Altium-Celestial-library/STEP/Connector/Samtec - HSEC8-110-01-L-DV-...

25928 lines
1.1 MiB

ISO-10303-21;
HEADER;
/* Generated by software containing ST-Developer
* from STEP Tools, Inc. (www.steptools.com)
*/
FILE_DESCRIPTION(
/* description */ (''),
/* implementation_level */ '2;1');
FILE_NAME(
/* name */
'C:/altium-library/STEP/Connector/Samtec - HSEC8-110-01-L-DV-A.step',
/* time_stamp */ '2020-08-03T00:27:08+01:00',
/* author */ (''),
/* organization */ (''),
/* preprocessor_version */ 'ST-DEVELOPER v18.1',
/* originating_system */ 'Autodesk Translation Framework v9.3.0.1241',
/* authorisation */ '');
FILE_SCHEMA (('AUTOMOTIVE_DESIGN { 1 0 10303 214 3 1 1 }'));
ENDSEC;
DATA;
#10=MECHANICAL_DESIGN_GEOMETRIC_PRESENTATION_REPRESENTATION('',(#14,#15,
#16,#17,#18,#19,#20,#21,#22,#23,#24,#25,#26,#27,#28,#29,#30,#31,#32,#33,
#34,#35,#36,#37,#38,#39,#40,#41,#42,#43,#44,#45,#46,#47,#48,#49,#50,#51,
#52,#53,#54,#55,#56,#57,#58,#59,#60,#61,#62,#63,#64,#65,#66,#67,#68,#69,
#70,#71,#72,#73,#74,#75,#76,#77,#78,#79,#80,#81,#82,#83,#84,#85,#86,#87,
#88,#89,#90,#91,#92,#93,#94,#95,#96,#97,#98,#99,#100,#101,#102,#103,#104,
#105,#106,#107,#108,#109,#110,#111,#112,#113,#114,#115,#116,#117,#118,#119,
#120,#121,#122,#123,#124,#125,#126,#127,#128,#129,#130,#131,#132,#133,#134,
#135,#136,#137,#138,#139,#140,#141,#142,#143,#144,#145,#146,#147,#148,#149,
#150,#151,#152,#153,#154,#155,#156,#157,#158,#159,#160,#161,#162,#163,#164,
#165,#166,#167,#168,#169,#170,#171,#172,#173,#174,#175,#176,#177,#178,#179,
#180,#181,#182,#183,#184,#185,#186,#187,#188,#189,#190,#191,#192,#193,#194,
#195,#196,#197,#198,#199,#200,#201,#202,#203,#204,#205,#206,#207,#208,#209,
#210,#211,#212,#213,#214,#215,#216,#217,#218,#219,#220,#221,#222,#223,#224,
#225,#226,#227,#228,#229,#230,#231,#232,#233,#234,#235,#236,#237,#238,#239,
#240,#241,#242,#243,#244,#245,#246,#247,#248,#249,#250,#251,#252,#253,#254,
#255,#256,#257,#258,#259,#260,#261,#262,#263,#264,#265,#266,#267,#268,#269,
#270,#271,#272,#273,#274,#275,#276,#277,#278,#279,#280,#281,#282,#283,#284,
#285,#286,#287,#288,#289,#290,#291,#292,#293,#294,#295,#296,#297,#298,#299,
#300,#301,#302,#303,#304,#305,#306,#307,#308,#309,#310,#311,#312,#313,#314,
#315,#316,#317,#318,#319,#320,#321,#322,#323,#324,#325,#326,#327,#328,#329,
#330,#331,#332,#333,#334),#25602);
#11=SHAPE_REPRESENTATION_RELATIONSHIP('SRR','None',#25609,#12);
#12=ADVANCED_BREP_SHAPE_REPRESENTATION('',(#13),#25601);
#13=MANIFOLD_SOLID_BREP('Body1',#15331);
#14=STYLED_ITEM('',(#25620),#14942);
#15=STYLED_ITEM('',(#25620),#14943);
#16=STYLED_ITEM('',(#25620),#14944);
#17=STYLED_ITEM('',(#25620),#14945);
#18=STYLED_ITEM('',(#25620),#14946);
#19=STYLED_ITEM('',(#25620),#14947);
#20=STYLED_ITEM('',(#25620),#14948);
#21=STYLED_ITEM('',(#25620),#14949);
#22=STYLED_ITEM('',(#25620),#14950);
#23=STYLED_ITEM('',(#25620),#14951);
#24=STYLED_ITEM('',(#25620),#14952);
#25=STYLED_ITEM('',(#25620),#14953);
#26=STYLED_ITEM('',(#25620),#14954);
#27=STYLED_ITEM('',(#25620),#14955);
#28=STYLED_ITEM('',(#25620),#14956);
#29=STYLED_ITEM('',(#25620),#14957);
#30=STYLED_ITEM('',(#25620),#14959);
#31=STYLED_ITEM('',(#25620),#14960);
#32=STYLED_ITEM('',(#25620),#14961);
#33=STYLED_ITEM('',(#25620),#14962);
#34=STYLED_ITEM('',(#25620),#14963);
#35=STYLED_ITEM('',(#25620),#14964);
#36=STYLED_ITEM('',(#25620),#14965);
#37=STYLED_ITEM('',(#25620),#14966);
#38=STYLED_ITEM('',(#25620),#14967);
#39=STYLED_ITEM('',(#25620),#14968);
#40=STYLED_ITEM('',(#25620),#14969);
#41=STYLED_ITEM('',(#25620),#14970);
#42=STYLED_ITEM('',(#25620),#14971);
#43=STYLED_ITEM('',(#25620),#14972);
#44=STYLED_ITEM('',(#25620),#14973);
#45=STYLED_ITEM('',(#25620),#14974);
#46=STYLED_ITEM('',(#25620),#14976);
#47=STYLED_ITEM('',(#25620),#14977);
#48=STYLED_ITEM('',(#25620),#14978);
#49=STYLED_ITEM('',(#25620),#14979);
#50=STYLED_ITEM('',(#25620),#14980);
#51=STYLED_ITEM('',(#25620),#14981);
#52=STYLED_ITEM('',(#25620),#14982);
#53=STYLED_ITEM('',(#25620),#14983);
#54=STYLED_ITEM('',(#25620),#14984);
#55=STYLED_ITEM('',(#25620),#14985);
#56=STYLED_ITEM('',(#25620),#14986);
#57=STYLED_ITEM('',(#25620),#14987);
#58=STYLED_ITEM('',(#25620),#14988);
#59=STYLED_ITEM('',(#25620),#14989);
#60=STYLED_ITEM('',(#25620),#14990);
#61=STYLED_ITEM('',(#25620),#14991);
#62=STYLED_ITEM('',(#25620),#14993);
#63=STYLED_ITEM('',(#25620),#14994);
#64=STYLED_ITEM('',(#25620),#14995);
#65=STYLED_ITEM('',(#25620),#14996);
#66=STYLED_ITEM('',(#25620),#14997);
#67=STYLED_ITEM('',(#25620),#14998);
#68=STYLED_ITEM('',(#25620),#14999);
#69=STYLED_ITEM('',(#25620),#15000);
#70=STYLED_ITEM('',(#25620),#15001);
#71=STYLED_ITEM('',(#25620),#15002);
#72=STYLED_ITEM('',(#25620),#15003);
#73=STYLED_ITEM('',(#25620),#15004);
#74=STYLED_ITEM('',(#25620),#15005);
#75=STYLED_ITEM('',(#25620),#15006);
#76=STYLED_ITEM('',(#25620),#15007);
#77=STYLED_ITEM('',(#25620),#15008);
#78=STYLED_ITEM('',(#25620),#15010);
#79=STYLED_ITEM('',(#25620),#15011);
#80=STYLED_ITEM('',(#25620),#15012);
#81=STYLED_ITEM('',(#25620),#15013);
#82=STYLED_ITEM('',(#25620),#15014);
#83=STYLED_ITEM('',(#25620),#15015);
#84=STYLED_ITEM('',(#25620),#15016);
#85=STYLED_ITEM('',(#25620),#15017);
#86=STYLED_ITEM('',(#25620),#15018);
#87=STYLED_ITEM('',(#25620),#15019);
#88=STYLED_ITEM('',(#25620),#15020);
#89=STYLED_ITEM('',(#25620),#15021);
#90=STYLED_ITEM('',(#25620),#15022);
#91=STYLED_ITEM('',(#25620),#15023);
#92=STYLED_ITEM('',(#25620),#15024);
#93=STYLED_ITEM('',(#25620),#15025);
#94=STYLED_ITEM('',(#25620),#15027);
#95=STYLED_ITEM('',(#25620),#15028);
#96=STYLED_ITEM('',(#25620),#15029);
#97=STYLED_ITEM('',(#25620),#15030);
#98=STYLED_ITEM('',(#25620),#15031);
#99=STYLED_ITEM('',(#25620),#15032);
#100=STYLED_ITEM('',(#25620),#15033);
#101=STYLED_ITEM('',(#25620),#15034);
#102=STYLED_ITEM('',(#25620),#15035);
#103=STYLED_ITEM('',(#25620),#15036);
#104=STYLED_ITEM('',(#25620),#15037);
#105=STYLED_ITEM('',(#25620),#15038);
#106=STYLED_ITEM('',(#25620),#15039);
#107=STYLED_ITEM('',(#25620),#15040);
#108=STYLED_ITEM('',(#25620),#15041);
#109=STYLED_ITEM('',(#25620),#15042);
#110=STYLED_ITEM('',(#25620),#15044);
#111=STYLED_ITEM('',(#25620),#15045);
#112=STYLED_ITEM('',(#25620),#15046);
#113=STYLED_ITEM('',(#25620),#15047);
#114=STYLED_ITEM('',(#25620),#15048);
#115=STYLED_ITEM('',(#25620),#15049);
#116=STYLED_ITEM('',(#25620),#15050);
#117=STYLED_ITEM('',(#25620),#15051);
#118=STYLED_ITEM('',(#25620),#15052);
#119=STYLED_ITEM('',(#25620),#15053);
#120=STYLED_ITEM('',(#25620),#15054);
#121=STYLED_ITEM('',(#25620),#15055);
#122=STYLED_ITEM('',(#25620),#15056);
#123=STYLED_ITEM('',(#25620),#15057);
#124=STYLED_ITEM('',(#25620),#15058);
#125=STYLED_ITEM('',(#25620),#15059);
#126=STYLED_ITEM('',(#25620),#15061);
#127=STYLED_ITEM('',(#25620),#15062);
#128=STYLED_ITEM('',(#25620),#15063);
#129=STYLED_ITEM('',(#25620),#15064);
#130=STYLED_ITEM('',(#25620),#15065);
#131=STYLED_ITEM('',(#25620),#15066);
#132=STYLED_ITEM('',(#25620),#15067);
#133=STYLED_ITEM('',(#25620),#15068);
#134=STYLED_ITEM('',(#25620),#15069);
#135=STYLED_ITEM('',(#25620),#15070);
#136=STYLED_ITEM('',(#25620),#15071);
#137=STYLED_ITEM('',(#25620),#15072);
#138=STYLED_ITEM('',(#25620),#15073);
#139=STYLED_ITEM('',(#25620),#15074);
#140=STYLED_ITEM('',(#25620),#15075);
#141=STYLED_ITEM('',(#25620),#15076);
#142=STYLED_ITEM('',(#25620),#15078);
#143=STYLED_ITEM('',(#25620),#15079);
#144=STYLED_ITEM('',(#25620),#15080);
#145=STYLED_ITEM('',(#25620),#15081);
#146=STYLED_ITEM('',(#25620),#15082);
#147=STYLED_ITEM('',(#25620),#15083);
#148=STYLED_ITEM('',(#25620),#15084);
#149=STYLED_ITEM('',(#25620),#15085);
#150=STYLED_ITEM('',(#25620),#15086);
#151=STYLED_ITEM('',(#25620),#15087);
#152=STYLED_ITEM('',(#25620),#15088);
#153=STYLED_ITEM('',(#25620),#15089);
#154=STYLED_ITEM('',(#25620),#15090);
#155=STYLED_ITEM('',(#25620),#15091);
#156=STYLED_ITEM('',(#25620),#15092);
#157=STYLED_ITEM('',(#25620),#15093);
#158=STYLED_ITEM('',(#25620),#15095);
#159=STYLED_ITEM('',(#25620),#15096);
#160=STYLED_ITEM('',(#25620),#15097);
#161=STYLED_ITEM('',(#25620),#15098);
#162=STYLED_ITEM('',(#25620),#15099);
#163=STYLED_ITEM('',(#25620),#15100);
#164=STYLED_ITEM('',(#25620),#15101);
#165=STYLED_ITEM('',(#25620),#15102);
#166=STYLED_ITEM('',(#25620),#15103);
#167=STYLED_ITEM('',(#25620),#15104);
#168=STYLED_ITEM('',(#25620),#15105);
#169=STYLED_ITEM('',(#25620),#15106);
#170=STYLED_ITEM('',(#25620),#15107);
#171=STYLED_ITEM('',(#25620),#15108);
#172=STYLED_ITEM('',(#25620),#15109);
#173=STYLED_ITEM('',(#25620),#15110);
#174=STYLED_ITEM('',(#25620),#15112);
#175=STYLED_ITEM('',(#25620),#15113);
#176=STYLED_ITEM('',(#25620),#15114);
#177=STYLED_ITEM('',(#25620),#15115);
#178=STYLED_ITEM('',(#25620),#15116);
#179=STYLED_ITEM('',(#25620),#15117);
#180=STYLED_ITEM('',(#25620),#15118);
#181=STYLED_ITEM('',(#25620),#15119);
#182=STYLED_ITEM('',(#25620),#15120);
#183=STYLED_ITEM('',(#25620),#15121);
#184=STYLED_ITEM('',(#25620),#15122);
#185=STYLED_ITEM('',(#25620),#15123);
#186=STYLED_ITEM('',(#25620),#15124);
#187=STYLED_ITEM('',(#25620),#15125);
#188=STYLED_ITEM('',(#25620),#15126);
#189=STYLED_ITEM('',(#25620),#15127);
#190=STYLED_ITEM('',(#25620),#15134);
#191=STYLED_ITEM('',(#25620),#15135);
#192=STYLED_ITEM('',(#25620),#15136);
#193=STYLED_ITEM('',(#25620),#15137);
#194=STYLED_ITEM('',(#25620),#15138);
#195=STYLED_ITEM('',(#25620),#15139);
#196=STYLED_ITEM('',(#25620),#15140);
#197=STYLED_ITEM('',(#25620),#15141);
#198=STYLED_ITEM('',(#25620),#15142);
#199=STYLED_ITEM('',(#25620),#15143);
#200=STYLED_ITEM('',(#25620),#15144);
#201=STYLED_ITEM('',(#25620),#15145);
#202=STYLED_ITEM('',(#25620),#15146);
#203=STYLED_ITEM('',(#25620),#15147);
#204=STYLED_ITEM('',(#25620),#15148);
#205=STYLED_ITEM('',(#25620),#15149);
#206=STYLED_ITEM('',(#25620),#15155);
#207=STYLED_ITEM('',(#25620),#15156);
#208=STYLED_ITEM('',(#25620),#15157);
#209=STYLED_ITEM('',(#25620),#15158);
#210=STYLED_ITEM('',(#25620),#15159);
#211=STYLED_ITEM('',(#25620),#15160);
#212=STYLED_ITEM('',(#25620),#15161);
#213=STYLED_ITEM('',(#25620),#15162);
#214=STYLED_ITEM('',(#25620),#15163);
#215=STYLED_ITEM('',(#25620),#15164);
#216=STYLED_ITEM('',(#25620),#15165);
#217=STYLED_ITEM('',(#25620),#15166);
#218=STYLED_ITEM('',(#25620),#15167);
#219=STYLED_ITEM('',(#25620),#15168);
#220=STYLED_ITEM('',(#25620),#15169);
#221=STYLED_ITEM('',(#25620),#15170);
#222=STYLED_ITEM('',(#25620),#15176);
#223=STYLED_ITEM('',(#25620),#15177);
#224=STYLED_ITEM('',(#25620),#15178);
#225=STYLED_ITEM('',(#25620),#15179);
#226=STYLED_ITEM('',(#25620),#15180);
#227=STYLED_ITEM('',(#25620),#15181);
#228=STYLED_ITEM('',(#25620),#15182);
#229=STYLED_ITEM('',(#25620),#15183);
#230=STYLED_ITEM('',(#25620),#15184);
#231=STYLED_ITEM('',(#25620),#15185);
#232=STYLED_ITEM('',(#25620),#15186);
#233=STYLED_ITEM('',(#25620),#15187);
#234=STYLED_ITEM('',(#25620),#15188);
#235=STYLED_ITEM('',(#25620),#15189);
#236=STYLED_ITEM('',(#25620),#15190);
#237=STYLED_ITEM('',(#25620),#15191);
#238=STYLED_ITEM('',(#25620),#15197);
#239=STYLED_ITEM('',(#25620),#15198);
#240=STYLED_ITEM('',(#25620),#15199);
#241=STYLED_ITEM('',(#25620),#15200);
#242=STYLED_ITEM('',(#25620),#15201);
#243=STYLED_ITEM('',(#25620),#15202);
#244=STYLED_ITEM('',(#25620),#15203);
#245=STYLED_ITEM('',(#25620),#15204);
#246=STYLED_ITEM('',(#25620),#15205);
#247=STYLED_ITEM('',(#25620),#15206);
#248=STYLED_ITEM('',(#25620),#15207);
#249=STYLED_ITEM('',(#25620),#15208);
#250=STYLED_ITEM('',(#25620),#15209);
#251=STYLED_ITEM('',(#25620),#15210);
#252=STYLED_ITEM('',(#25620),#15211);
#253=STYLED_ITEM('',(#25620),#15212);
#254=STYLED_ITEM('',(#25620),#15218);
#255=STYLED_ITEM('',(#25620),#15219);
#256=STYLED_ITEM('',(#25620),#15220);
#257=STYLED_ITEM('',(#25620),#15221);
#258=STYLED_ITEM('',(#25620),#15222);
#259=STYLED_ITEM('',(#25620),#15223);
#260=STYLED_ITEM('',(#25620),#15224);
#261=STYLED_ITEM('',(#25620),#15225);
#262=STYLED_ITEM('',(#25620),#15226);
#263=STYLED_ITEM('',(#25620),#15227);
#264=STYLED_ITEM('',(#25620),#15228);
#265=STYLED_ITEM('',(#25620),#15229);
#266=STYLED_ITEM('',(#25620),#15230);
#267=STYLED_ITEM('',(#25620),#15231);
#268=STYLED_ITEM('',(#25620),#15232);
#269=STYLED_ITEM('',(#25620),#15233);
#270=STYLED_ITEM('',(#25620),#15239);
#271=STYLED_ITEM('',(#25620),#15240);
#272=STYLED_ITEM('',(#25620),#15241);
#273=STYLED_ITEM('',(#25620),#15242);
#274=STYLED_ITEM('',(#25620),#15243);
#275=STYLED_ITEM('',(#25620),#15244);
#276=STYLED_ITEM('',(#25620),#15245);
#277=STYLED_ITEM('',(#25620),#15246);
#278=STYLED_ITEM('',(#25620),#15247);
#279=STYLED_ITEM('',(#25620),#15248);
#280=STYLED_ITEM('',(#25620),#15249);
#281=STYLED_ITEM('',(#25620),#15250);
#282=STYLED_ITEM('',(#25620),#15251);
#283=STYLED_ITEM('',(#25620),#15252);
#284=STYLED_ITEM('',(#25620),#15253);
#285=STYLED_ITEM('',(#25620),#15254);
#286=STYLED_ITEM('',(#25620),#15260);
#287=STYLED_ITEM('',(#25620),#15261);
#288=STYLED_ITEM('',(#25620),#15262);
#289=STYLED_ITEM('',(#25620),#15263);
#290=STYLED_ITEM('',(#25620),#15264);
#291=STYLED_ITEM('',(#25620),#15265);
#292=STYLED_ITEM('',(#25620),#15266);
#293=STYLED_ITEM('',(#25620),#15267);
#294=STYLED_ITEM('',(#25620),#15268);
#295=STYLED_ITEM('',(#25620),#15269);
#296=STYLED_ITEM('',(#25620),#15270);
#297=STYLED_ITEM('',(#25620),#15271);
#298=STYLED_ITEM('',(#25620),#15272);
#299=STYLED_ITEM('',(#25620),#15273);
#300=STYLED_ITEM('',(#25620),#15274);
#301=STYLED_ITEM('',(#25620),#15275);
#302=STYLED_ITEM('',(#25620),#15281);
#303=STYLED_ITEM('',(#25620),#15282);
#304=STYLED_ITEM('',(#25620),#15283);
#305=STYLED_ITEM('',(#25620),#15284);
#306=STYLED_ITEM('',(#25620),#15285);
#307=STYLED_ITEM('',(#25620),#15286);
#308=STYLED_ITEM('',(#25620),#15287);
#309=STYLED_ITEM('',(#25620),#15288);
#310=STYLED_ITEM('',(#25620),#15289);
#311=STYLED_ITEM('',(#25620),#15290);
#312=STYLED_ITEM('',(#25620),#15291);
#313=STYLED_ITEM('',(#25620),#15292);
#314=STYLED_ITEM('',(#25620),#15293);
#315=STYLED_ITEM('',(#25620),#15294);
#316=STYLED_ITEM('',(#25620),#15295);
#317=STYLED_ITEM('',(#25620),#15296);
#318=STYLED_ITEM('',(#25620),#15302);
#319=STYLED_ITEM('',(#25620),#15303);
#320=STYLED_ITEM('',(#25620),#15304);
#321=STYLED_ITEM('',(#25620),#15305);
#322=STYLED_ITEM('',(#25620),#15306);
#323=STYLED_ITEM('',(#25620),#15307);
#324=STYLED_ITEM('',(#25620),#15308);
#325=STYLED_ITEM('',(#25620),#15309);
#326=STYLED_ITEM('',(#25620),#15310);
#327=STYLED_ITEM('',(#25620),#15311);
#328=STYLED_ITEM('',(#25620),#15312);
#329=STYLED_ITEM('',(#25620),#15313);
#330=STYLED_ITEM('',(#25620),#15314);
#331=STYLED_ITEM('',(#25620),#15315);
#332=STYLED_ITEM('',(#25620),#15316);
#333=STYLED_ITEM('',(#25620),#15317);
#334=STYLED_ITEM('',(#25619),#13);
#335=(
BOUNDED_SURFACE()
B_SPLINE_SURFACE(2,2,((#23591,#23592,#23593,#23594,#23595,#23596,#23597,
#23598,#23599),(#23600,#23601,#23602,#23603,#23604,#23605,#23606,#23607,
#23608),(#23609,#23610,#23611,#23612,#23613,#23614,#23615,#23616,#23617)),
.UNSPECIFIED.,.F.,.T.,.F.)
B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,2,2,2,3),(-1.5707963267949,8.87164517394533E-17),
(-3.14159265358979,-1.5707963267949,0.,1.5707963267949,3.14159265358979),
.UNSPECIFIED.)
GEOMETRIC_REPRESENTATION_ITEM()
RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1.,
0.707106781186548,1.,0.707106781186548,1.),(0.707106781186543,0.499999999999997,
0.707106781186543,0.499999999999997,0.707106781186543,0.499999999999997,
0.707106781186543,0.499999999999997,0.707106781186543),(1.,0.707106781186548,
1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.)))
REPRESENTATION_ITEM('')
SURFACE()
);
#336=(
BOUNDED_SURFACE()
B_SPLINE_SURFACE(2,2,((#23622,#23623,#23624,#23625,#23626,#23627,#23628,
#23629,#23630),(#23631,#23632,#23633,#23634,#23635,#23636,#23637,#23638,
#23639),(#23640,#23641,#23642,#23643,#23644,#23645,#23646,#23647,#23648)),
.UNSPECIFIED.,.F.,.T.,.F.)
B_SPLINE_SURFACE_WITH_KNOTS((3,3),(3,2,2,2,3),(-1.5707963267949,8.87164517394532E-17),
(-3.14159265358979,-1.5707963267949,0.,1.5707963267949,3.14159265358979),
.UNSPECIFIED.)
GEOMETRIC_REPRESENTATION_ITEM()
RATIONAL_B_SPLINE_SURFACE(((1.,0.707106781186548,1.,0.707106781186548,1.,
0.707106781186548,1.,0.707106781186548,1.),(0.707106781186542,0.499999999999996,
0.707106781186542,0.499999999999996,0.707106781186542,0.499999999999996,
0.707106781186542,0.499999999999996,0.707106781186542),(1.,0.707106781186548,
1.,0.707106781186548,1.,0.707106781186548,1.,0.707106781186548,1.)))
REPRESENTATION_ITEM('')
SURFACE()
);
#337=B_SPLINE_SURFACE_WITH_KNOTS('',3,3,((#22959,#22960,#22961,#22962,#22963),
(#22964,#22965,#22966,#22967,#22968),(#22969,#22970,#22971,#22972,#22973),
(#22974,#22975,#22976,#22977,#22978),(#22979,#22980,#22981,#22982,#22983)),
.UNSPECIFIED.,.F.,.F.,.F.,(4,1,4),(4,1,4),(0.,0.571428571428571,1.),(-6.28318530717959,
-5.49778714378214,-4.71238898038469),.UNSPECIFIED.);
#338=FACE_BOUND('',#1954,.T.);
#339=FACE_BOUND('',#2107,.T.);
#340=FACE_BOUND('',#2108,.T.);
#341=FACE_BOUND('',#2109,.T.);
#342=FACE_BOUND('',#2110,.T.);
#343=FACE_BOUND('',#2111,.T.);
#344=FACE_BOUND('',#2112,.T.);
#345=FACE_BOUND('',#2113,.T.);
#346=FACE_BOUND('',#2114,.T.);
#347=FACE_BOUND('',#2115,.T.);
#348=FACE_BOUND('',#2116,.T.);
#349=FACE_BOUND('',#2117,.T.);
#350=FACE_BOUND('',#2118,.T.);
#351=FACE_BOUND('',#2119,.T.);
#352=FACE_BOUND('',#2120,.T.);
#353=FACE_BOUND('',#2121,.T.);
#354=FACE_BOUND('',#2122,.T.);
#355=FACE_BOUND('',#2123,.T.);
#356=FACE_BOUND('',#2124,.T.);
#357=FACE_BOUND('',#2125,.T.);
#358=FACE_BOUND('',#2126,.T.);
#359=FACE_BOUND('',#2127,.T.);
#360=FACE_BOUND('',#2128,.T.);
#361=FACE_BOUND('',#2357,.T.);
#362=FACE_BOUND('',#2358,.T.);
#363=FACE_BOUND('',#2359,.T.);
#364=FACE_BOUND('',#2360,.T.);
#365=FACE_BOUND('',#2361,.T.);
#366=FACE_BOUND('',#2362,.T.);
#367=FACE_BOUND('',#2363,.T.);
#368=FACE_BOUND('',#2364,.T.);
#369=FACE_BOUND('',#2365,.T.);
#370=FACE_BOUND('',#2366,.T.);
#371=FACE_BOUND('',#2564,.T.);
#372=FACE_BOUND('',#2565,.T.);
#373=FACE_BOUND('',#2566,.T.);
#374=FACE_BOUND('',#2567,.T.);
#375=FACE_BOUND('',#2568,.T.);
#376=FACE_BOUND('',#2569,.T.);
#377=FACE_BOUND('',#2570,.T.);
#378=FACE_BOUND('',#2571,.T.);
#379=FACE_BOUND('',#2572,.T.);
#380=FACE_BOUND('',#2573,.T.);
#381=B_SPLINE_CURVE_WITH_KNOTS('',3,(#21584,#21585,#21586,#21587,#21588,
#21589),.UNSPECIFIED.,.F.,.F.,(4,2,4),(0.0505532240038509,0.058199546660118,
0.0699558285734088),.UNSPECIFIED.);
#382=TOROIDAL_SURFACE('',#15390,0.2,0.1);
#383=TOROIDAL_SURFACE('',#15401,0.2,0.1);
#384=TOROIDAL_SURFACE('',#15407,0.2,0.1);
#385=TOROIDAL_SURFACE('',#15418,0.2,0.1);
#386=TOROIDAL_SURFACE('',#15423,0.2,0.1);
#387=TOROIDAL_SURFACE('',#15429,0.2,0.1);
#388=TOROIDAL_SURFACE('',#15435,0.2,0.1);
#389=TOROIDAL_SURFACE('',#15440,0.2,0.1);
#390=TOROIDAL_SURFACE('',#15466,0.2,0.1);
#391=TOROIDAL_SURFACE('',#15477,0.2,0.1);
#392=TOROIDAL_SURFACE('',#15488,0.2,0.1);
#393=TOROIDAL_SURFACE('',#15498,0.2,0.1);
#394=TOROIDAL_SURFACE('',#15504,0.2,0.1);
#395=TOROIDAL_SURFACE('',#15515,0.2,0.1);
#396=TOROIDAL_SURFACE('',#15531,0.2,0.1);
#397=TOROIDAL_SURFACE('',#15829,0.2,0.1);
#398=TOROIDAL_SURFACE('',#15839,0.2,0.1);
#399=TOROIDAL_SURFACE('',#15852,0.2,0.1);
#400=TOROIDAL_SURFACE('',#15857,0.2,0.1);
#401=TOROIDAL_SURFACE('',#15862,0.2,0.1);
#402=TOROIDAL_SURFACE('',#15872,0.2,0.1);
#403=TOROIDAL_SURFACE('',#15882,0.2,0.1);
#404=TOROIDAL_SURFACE('',#15889,0.2,0.1);
#405=TOROIDAL_SURFACE('',#15908,0.2,0.1);
#406=TOROIDAL_SURFACE('',#15918,0.2,0.1);
#407=TOROIDAL_SURFACE('',#15923,0.2,0.1);
#408=TOROIDAL_SURFACE('',#15931,0.2,0.1);
#409=TOROIDAL_SURFACE('',#15936,0.2,0.1);
#410=TOROIDAL_SURFACE('',#15946,0.2,0.1);
#411=TOROIDAL_SURFACE('',#15966,0.200000000000001,0.100000000000001);
#412=TOROIDAL_SURFACE('',#15971,0.2,0.1);
#413=TOROIDAL_SURFACE('',#15980,0.2,0.1);
#414=TOROIDAL_SURFACE('',#15999,0.200000000000001,0.1);
#415=TOROIDAL_SURFACE('',#16011,0.2,0.1);
#416=TOROIDAL_SURFACE('',#16023,0.200000000000001,0.1);
#417=TOROIDAL_SURFACE('',#16028,0.200000000000001,0.100000000000001);
#418=TOROIDAL_SURFACE('',#16033,0.2,0.1);
#419=TOROIDAL_SURFACE('',#16047,0.200000000000001,0.1);
#420=TOROIDAL_SURFACE('',#16083,0.200000000000001,0.1);
#421=TOROIDAL_SURFACE('',#16093,0.200000000000001,0.1);
#422=TOROIDAL_SURFACE('',#16097,0.2,0.1);
#423=TOROIDAL_SURFACE('',#16197,0.66,0.1);
#424=TOROIDAL_SURFACE('',#16202,0.660000000000001,0.1);
#425=SPHERICAL_SURFACE('',#15374,0.1);
#426=SPHERICAL_SURFACE('',#15378,0.1);
#427=SPHERICAL_SURFACE('',#15382,0.1);
#428=SPHERICAL_SURFACE('',#15386,0.1);
#429=SPHERICAL_SURFACE('',#15397,0.1);
#430=SPHERICAL_SURFACE('',#15414,0.1);
#431=SPHERICAL_SURFACE('',#15447,0.1);
#432=SPHERICAL_SURFACE('',#15452,0.1);
#433=SPHERICAL_SURFACE('',#15457,0.1);
#434=SPHERICAL_SURFACE('',#15461,0.1);
#435=SPHERICAL_SURFACE('',#15473,0.1);
#436=SPHERICAL_SURFACE('',#15484,0.1);
#437=SPHERICAL_SURFACE('',#15493,0.1);
#438=SPHERICAL_SURFACE('',#15510,0.1);
#439=SPHERICAL_SURFACE('',#15821,0.1);
#440=SPHERICAL_SURFACE('',#15825,0.1);
#441=SPHERICAL_SURFACE('',#15835,0.1);
#442=SPHERICAL_SURFACE('',#15844,0.1);
#443=SPHERICAL_SURFACE('',#15848,0.1);
#444=SPHERICAL_SURFACE('',#15868,0.1);
#445=SPHERICAL_SURFACE('',#15877,0.1);
#446=SPHERICAL_SURFACE('',#15896,0.1);
#447=SPHERICAL_SURFACE('',#15900,0.1);
#448=SPHERICAL_SURFACE('',#15904,0.1);
#449=SPHERICAL_SURFACE('',#15914,0.1);
#450=SPHERICAL_SURFACE('',#15942,0.1);
#451=SPHERICAL_SURFACE('',#15952,0.1);
#452=SPHERICAL_SURFACE('',#15957,0.1);
#453=SPHERICAL_SURFACE('',#15962,0.1);
#454=SPHERICAL_SURFACE('',#15976,0.1);
#455=SPHERICAL_SURFACE('',#15985,0.1);
#456=SPHERICAL_SURFACE('',#15995,0.1);
#457=SPHERICAL_SURFACE('',#16006,0.1);
#458=SPHERICAL_SURFACE('',#16018,0.1);
#459=SPHERICAL_SURFACE('',#16038,0.1);
#460=SPHERICAL_SURFACE('',#16043,0.099999999999999);
#461=SPHERICAL_SURFACE('',#16052,0.1);
#462=SPHERICAL_SURFACE('',#16057,0.1);
#463=SPHERICAL_SURFACE('',#16064,0.1);
#464=SPHERICAL_SURFACE('',#16068,0.1);
#465=SPHERICAL_SURFACE('',#16073,0.1);
#466=SPHERICAL_SURFACE('',#16079,0.1);
#467=SPHERICAL_SURFACE('',#16087,0.1);
#468=CYLINDRICAL_SURFACE('',#15360,0.1);
#469=CYLINDRICAL_SURFACE('',#15362,0.1);
#470=CYLINDRICAL_SURFACE('',#15372,0.1);
#471=CYLINDRICAL_SURFACE('',#15395,0.1);
#472=CYLINDRICAL_SURFACE('',#15396,0.1);
#473=CYLINDRICAL_SURFACE('',#15406,0.1);
#474=CYLINDRICAL_SURFACE('',#15412,0.1);
#475=CYLINDRICAL_SURFACE('',#15413,0.1);
#476=CYLINDRICAL_SURFACE('',#15428,0.1);
#477=CYLINDRICAL_SURFACE('',#15434,0.1);
#478=CYLINDRICAL_SURFACE('',#15445,0.1);
#479=CYLINDRICAL_SURFACE('',#15446,0.1);
#480=CYLINDRICAL_SURFACE('',#15451,0.1);
#481=CYLINDRICAL_SURFACE('',#15456,0.1);
#482=CYLINDRICAL_SURFACE('',#15465,0.1);
#483=CYLINDRICAL_SURFACE('',#15471,0.1);
#484=CYLINDRICAL_SURFACE('',#15476,0.1);
#485=CYLINDRICAL_SURFACE('',#15482,0.1);
#486=CYLINDRICAL_SURFACE('',#15483,0.1);
#487=CYLINDRICAL_SURFACE('',#15497,0.1);
#488=CYLINDRICAL_SURFACE('',#15503,0.1);
#489=CYLINDRICAL_SURFACE('',#15509,0.1);
#490=CYLINDRICAL_SURFACE('',#15514,0.1);
#491=CYLINDRICAL_SURFACE('',#15520,0.1);
#492=CYLINDRICAL_SURFACE('',#15521,0.1);
#493=CYLINDRICAL_SURFACE('',#15523,0.1);
#494=CYLINDRICAL_SURFACE('',#15525,0.1);
#495=CYLINDRICAL_SURFACE('',#15526,0.1);
#496=CYLINDRICAL_SURFACE('',#15527,0.1);
#497=CYLINDRICAL_SURFACE('',#15528,0.1);
#498=CYLINDRICAL_SURFACE('',#15529,0.1);
#499=CYLINDRICAL_SURFACE('',#15534,0.1);
#500=CYLINDRICAL_SURFACE('',#15535,0.1);
#501=CYLINDRICAL_SURFACE('',#15536,0.1);
#502=CYLINDRICAL_SURFACE('',#15537,0.1);
#503=CYLINDRICAL_SURFACE('',#15538,0.1);
#504=CYLINDRICAL_SURFACE('',#15539,0.1);
#505=CYLINDRICAL_SURFACE('',#15540,0.1);
#506=CYLINDRICAL_SURFACE('',#15541,0.1);
#507=CYLINDRICAL_SURFACE('',#15542,0.1);
#508=CYLINDRICAL_SURFACE('',#15543,0.1);
#509=CYLINDRICAL_SURFACE('',#15544,0.1);
#510=CYLINDRICAL_SURFACE('',#15545,0.1);
#511=CYLINDRICAL_SURFACE('',#15546,0.1);
#512=CYLINDRICAL_SURFACE('',#15547,0.1);
#513=CYLINDRICAL_SURFACE('',#15548,0.1);
#514=CYLINDRICAL_SURFACE('',#15553,0.1);
#515=CYLINDRICAL_SURFACE('',#15556,0.1);
#516=CYLINDRICAL_SURFACE('',#15570,0.1);
#517=CYLINDRICAL_SURFACE('',#15573,0.1);
#518=CYLINDRICAL_SURFACE('',#15576,0.1);
#519=CYLINDRICAL_SURFACE('',#15578,0.1);
#520=CYLINDRICAL_SURFACE('',#15581,0.1);
#521=CYLINDRICAL_SURFACE('',#15585,0.1);
#522=CYLINDRICAL_SURFACE('',#15588,0.1);
#523=CYLINDRICAL_SURFACE('',#15590,0.1);
#524=CYLINDRICAL_SURFACE('',#15592,0.1);
#525=CYLINDRICAL_SURFACE('',#15595,0.1);
#526=CYLINDRICAL_SURFACE('',#15597,0.1);
#527=CYLINDRICAL_SURFACE('',#15601,0.1);
#528=CYLINDRICAL_SURFACE('',#15604,0.1);
#529=CYLINDRICAL_SURFACE('',#15606,0.1);
#530=CYLINDRICAL_SURFACE('',#15608,0.1);
#531=CYLINDRICAL_SURFACE('',#15611,0.1);
#532=CYLINDRICAL_SURFACE('',#15613,0.1);
#533=CYLINDRICAL_SURFACE('',#15617,0.1);
#534=CYLINDRICAL_SURFACE('',#15620,0.1);
#535=CYLINDRICAL_SURFACE('',#15622,0.1);
#536=CYLINDRICAL_SURFACE('',#15624,0.1);
#537=CYLINDRICAL_SURFACE('',#15627,0.1);
#538=CYLINDRICAL_SURFACE('',#15629,0.1);
#539=CYLINDRICAL_SURFACE('',#15633,0.1);
#540=CYLINDRICAL_SURFACE('',#15636,0.1);
#541=CYLINDRICAL_SURFACE('',#15638,0.1);
#542=CYLINDRICAL_SURFACE('',#15640,0.1);
#543=CYLINDRICAL_SURFACE('',#15643,0.1);
#544=CYLINDRICAL_SURFACE('',#15645,0.1);
#545=CYLINDRICAL_SURFACE('',#15649,0.1);
#546=CYLINDRICAL_SURFACE('',#15652,0.1);
#547=CYLINDRICAL_SURFACE('',#15654,0.1);
#548=CYLINDRICAL_SURFACE('',#15656,0.1);
#549=CYLINDRICAL_SURFACE('',#15659,0.1);
#550=CYLINDRICAL_SURFACE('',#15661,0.1);
#551=CYLINDRICAL_SURFACE('',#15665,0.1);
#552=CYLINDRICAL_SURFACE('',#15668,0.1);
#553=CYLINDRICAL_SURFACE('',#15670,0.1);
#554=CYLINDRICAL_SURFACE('',#15672,0.1);
#555=CYLINDRICAL_SURFACE('',#15675,0.1);
#556=CYLINDRICAL_SURFACE('',#15677,0.1);
#557=CYLINDRICAL_SURFACE('',#15681,0.1);
#558=CYLINDRICAL_SURFACE('',#15684,0.1);
#559=CYLINDRICAL_SURFACE('',#15686,0.1);
#560=CYLINDRICAL_SURFACE('',#15688,0.1);
#561=CYLINDRICAL_SURFACE('',#15691,0.1);
#562=CYLINDRICAL_SURFACE('',#15693,0.1);
#563=CYLINDRICAL_SURFACE('',#15697,0.1);
#564=CYLINDRICAL_SURFACE('',#15700,0.1);
#565=CYLINDRICAL_SURFACE('',#15702,0.1);
#566=CYLINDRICAL_SURFACE('',#15704,0.1);
#567=CYLINDRICAL_SURFACE('',#15707,0.1);
#568=CYLINDRICAL_SURFACE('',#15709,0.1);
#569=CYLINDRICAL_SURFACE('',#15713,0.1);
#570=CYLINDRICAL_SURFACE('',#15716,0.1);
#571=CYLINDRICAL_SURFACE('',#15718,0.1);
#572=CYLINDRICAL_SURFACE('',#15720,0.1);
#573=CYLINDRICAL_SURFACE('',#15723,0.1);
#574=CYLINDRICAL_SURFACE('',#15725,0.1);
#575=CYLINDRICAL_SURFACE('',#15729,0.1);
#576=CYLINDRICAL_SURFACE('',#15731,0.1);
#577=CYLINDRICAL_SURFACE('',#15734,0.1);
#578=CYLINDRICAL_SURFACE('',#15736,0.1);
#579=CYLINDRICAL_SURFACE('',#15737,0.1);
#580=CYLINDRICAL_SURFACE('',#15739,0.1);
#581=CYLINDRICAL_SURFACE('',#15741,0.1);
#582=CYLINDRICAL_SURFACE('',#15743,0.1);
#583=CYLINDRICAL_SURFACE('',#15745,0.1);
#584=CYLINDRICAL_SURFACE('',#15747,0.1);
#585=CYLINDRICAL_SURFACE('',#15749,0.1);
#586=CYLINDRICAL_SURFACE('',#15751,0.1);
#587=CYLINDRICAL_SURFACE('',#15753,0.1);
#588=CYLINDRICAL_SURFACE('',#15755,0.1);
#589=CYLINDRICAL_SURFACE('',#15757,0.1);
#590=CYLINDRICAL_SURFACE('',#15759,0.1);
#591=CYLINDRICAL_SURFACE('',#15761,0.1);
#592=CYLINDRICAL_SURFACE('',#15763,0.1);
#593=CYLINDRICAL_SURFACE('',#15765,0.1);
#594=CYLINDRICAL_SURFACE('',#15767,0.1);
#595=CYLINDRICAL_SURFACE('',#15769,0.1);
#596=CYLINDRICAL_SURFACE('',#15771,0.1);
#597=CYLINDRICAL_SURFACE('',#15773,0.1);
#598=CYLINDRICAL_SURFACE('',#15774,0.1);
#599=CYLINDRICAL_SURFACE('',#15776,0.1);
#600=CYLINDRICAL_SURFACE('',#15834,0.1);
#601=CYLINDRICAL_SURFACE('',#15867,0.1);
#602=CYLINDRICAL_SURFACE('',#15881,0.1);
#603=CYLINDRICAL_SURFACE('',#15887,0.1);
#604=CYLINDRICAL_SURFACE('',#15888,0.1);
#605=CYLINDRICAL_SURFACE('',#15894,0.1);
#606=CYLINDRICAL_SURFACE('',#15895,0.1);
#607=CYLINDRICAL_SURFACE('',#15913,0.1);
#608=CYLINDRICAL_SURFACE('',#15928,0.1);
#609=CYLINDRICAL_SURFACE('',#15929,0.1);
#610=CYLINDRICAL_SURFACE('',#15941,0.1);
#611=CYLINDRICAL_SURFACE('',#15951,0.1);
#612=CYLINDRICAL_SURFACE('',#15956,0.1);
#613=CYLINDRICAL_SURFACE('',#15961,0.1);
#614=CYLINDRICAL_SURFACE('',#15970,0.1);
#615=CYLINDRICAL_SURFACE('',#15989,0.1);
#616=CYLINDRICAL_SURFACE('',#15990,0.1);
#617=CYLINDRICAL_SURFACE('',#15994,0.1);
#618=CYLINDRICAL_SURFACE('',#16004,0.1);
#619=CYLINDRICAL_SURFACE('',#16005,0.1);
#620=CYLINDRICAL_SURFACE('',#16010,0.1);
#621=CYLINDRICAL_SURFACE('',#16016,0.1);
#622=CYLINDRICAL_SURFACE('',#16017,0.1);
#623=CYLINDRICAL_SURFACE('',#16022,0.1);
#624=CYLINDRICAL_SURFACE('',#16042,0.1);
#625=CYLINDRICAL_SURFACE('',#16056,0.1);
#626=CYLINDRICAL_SURFACE('',#16061,0.1);
#627=CYLINDRICAL_SURFACE('',#16062,0.1);
#628=CYLINDRICAL_SURFACE('',#16063,0.1);
#629=CYLINDRICAL_SURFACE('',#16072,0.1);
#630=CYLINDRICAL_SURFACE('',#16077,0.1);
#631=CYLINDRICAL_SURFACE('',#16078,0.1);
#632=CYLINDRICAL_SURFACE('',#16091,0.1);
#633=CYLINDRICAL_SURFACE('',#16092,0.1);
#634=CYLINDRICAL_SURFACE('',#16101,0.1);
#635=CYLINDRICAL_SURFACE('',#16102,0.1);
#636=CYLINDRICAL_SURFACE('',#16103,0.1);
#637=CYLINDRICAL_SURFACE('',#16104,0.1);
#638=CYLINDRICAL_SURFACE('',#16105,0.1);
#639=CYLINDRICAL_SURFACE('',#16106,0.1);
#640=CYLINDRICAL_SURFACE('',#16107,0.1);
#641=CYLINDRICAL_SURFACE('',#16108,0.1);
#642=CYLINDRICAL_SURFACE('',#16109,0.1);
#643=CYLINDRICAL_SURFACE('',#16110,0.1);
#644=CYLINDRICAL_SURFACE('',#16111,0.1);
#645=CYLINDRICAL_SURFACE('',#16112,0.1);
#646=CYLINDRICAL_SURFACE('',#16113,0.1);
#647=CYLINDRICAL_SURFACE('',#16114,0.1);
#648=CYLINDRICAL_SURFACE('',#16115,0.1);
#649=CYLINDRICAL_SURFACE('',#16116,0.1);
#650=CYLINDRICAL_SURFACE('',#16117,0.1);
#651=CYLINDRICAL_SURFACE('',#16119,0.1);
#652=CYLINDRICAL_SURFACE('',#16120,0.1);
#653=CYLINDRICAL_SURFACE('',#16121,0.1);
#654=CYLINDRICAL_SURFACE('',#16122,0.1);
#655=CYLINDRICAL_SURFACE('',#16123,0.1);
#656=CYLINDRICAL_SURFACE('',#16124,0.1);
#657=CYLINDRICAL_SURFACE('',#16125,0.1);
#658=CYLINDRICAL_SURFACE('',#16126,0.1);
#659=CYLINDRICAL_SURFACE('',#16127,0.1);
#660=CYLINDRICAL_SURFACE('',#16129,0.1);
#661=CYLINDRICAL_SURFACE('',#16130,0.1);
#662=CYLINDRICAL_SURFACE('',#16132,0.1);
#663=CYLINDRICAL_SURFACE('',#16133,0.1);
#664=CYLINDRICAL_SURFACE('',#16134,0.1);
#665=CYLINDRICAL_SURFACE('',#16135,0.1);
#666=CYLINDRICAL_SURFACE('',#16136,0.1);
#667=CYLINDRICAL_SURFACE('',#16137,0.1);
#668=CYLINDRICAL_SURFACE('',#16138,0.1);
#669=CYLINDRICAL_SURFACE('',#16139,0.1);
#670=CYLINDRICAL_SURFACE('',#16140,0.1);
#671=CYLINDRICAL_SURFACE('',#16141,0.1);
#672=CYLINDRICAL_SURFACE('',#16142,0.1);
#673=CYLINDRICAL_SURFACE('',#16143,0.1);
#674=CYLINDRICAL_SURFACE('',#16144,0.1);
#675=CYLINDRICAL_SURFACE('',#16145,0.1);
#676=CYLINDRICAL_SURFACE('',#16146,0.1);
#677=CYLINDRICAL_SURFACE('',#16147,0.1);
#678=CYLINDRICAL_SURFACE('',#16148,0.1);
#679=CYLINDRICAL_SURFACE('',#16149,0.1);
#680=CYLINDRICAL_SURFACE('',#16150,0.1);
#681=CYLINDRICAL_SURFACE('',#16151,0.1);
#682=CYLINDRICAL_SURFACE('',#16152,0.1);
#683=CYLINDRICAL_SURFACE('',#16153,0.1);
#684=CYLINDRICAL_SURFACE('',#16154,0.1);
#685=CYLINDRICAL_SURFACE('',#16155,0.1);
#686=CYLINDRICAL_SURFACE('',#16156,0.1);
#687=CYLINDRICAL_SURFACE('',#16157,0.1);
#688=CYLINDRICAL_SURFACE('',#16158,0.1);
#689=CYLINDRICAL_SURFACE('',#16159,0.1);
#690=CYLINDRICAL_SURFACE('',#16160,0.1);
#691=CYLINDRICAL_SURFACE('',#16161,0.1);
#692=CYLINDRICAL_SURFACE('',#16200,0.56);
#693=CYLINDRICAL_SURFACE('',#16205,0.56);
#694=CYLINDRICAL_SURFACE('',#16213,0.2);
#695=CYLINDRICAL_SURFACE('',#16227,0.500000001669771);
#696=CYLINDRICAL_SURFACE('',#16232,0.3);
#697=CYLINDRICAL_SURFACE('',#16234,0.60000000166977);
#698=CYLINDRICAL_SURFACE('',#16238,0.2);
#699=CYLINDRICAL_SURFACE('',#16252,0.500000001669771);
#700=CYLINDRICAL_SURFACE('',#16257,0.3);
#701=CYLINDRICAL_SURFACE('',#16259,0.60000000166977);
#702=CYLINDRICAL_SURFACE('',#16263,0.2);
#703=CYLINDRICAL_SURFACE('',#16277,0.500000001669771);
#704=CYLINDRICAL_SURFACE('',#16282,0.3);
#705=CYLINDRICAL_SURFACE('',#16284,0.60000000166977);
#706=CYLINDRICAL_SURFACE('',#16288,0.2);
#707=CYLINDRICAL_SURFACE('',#16302,0.500000001669771);
#708=CYLINDRICAL_SURFACE('',#16307,0.3);
#709=CYLINDRICAL_SURFACE('',#16309,0.60000000166977);
#710=CYLINDRICAL_SURFACE('',#16313,0.2);
#711=CYLINDRICAL_SURFACE('',#16327,0.500000001669771);
#712=CYLINDRICAL_SURFACE('',#16332,0.3);
#713=CYLINDRICAL_SURFACE('',#16334,0.60000000166977);
#714=CYLINDRICAL_SURFACE('',#16338,0.2);
#715=CYLINDRICAL_SURFACE('',#16352,0.500000001669771);
#716=CYLINDRICAL_SURFACE('',#16357,0.3);
#717=CYLINDRICAL_SURFACE('',#16359,0.60000000166977);
#718=CYLINDRICAL_SURFACE('',#16363,0.2);
#719=CYLINDRICAL_SURFACE('',#16377,0.500000001669771);
#720=CYLINDRICAL_SURFACE('',#16382,0.3);
#721=CYLINDRICAL_SURFACE('',#16384,0.60000000166977);
#722=CYLINDRICAL_SURFACE('',#16388,0.2);
#723=CYLINDRICAL_SURFACE('',#16402,0.500000001669771);
#724=CYLINDRICAL_SURFACE('',#16407,0.3);
#725=CYLINDRICAL_SURFACE('',#16409,0.60000000166977);
#726=CYLINDRICAL_SURFACE('',#16413,0.2);
#727=CYLINDRICAL_SURFACE('',#16427,0.500000001669771);
#728=CYLINDRICAL_SURFACE('',#16432,0.3);
#729=CYLINDRICAL_SURFACE('',#16434,0.60000000166977);
#730=CYLINDRICAL_SURFACE('',#16438,0.2);
#731=CYLINDRICAL_SURFACE('',#16452,0.500000001669771);
#732=CYLINDRICAL_SURFACE('',#16457,0.3);
#733=CYLINDRICAL_SURFACE('',#16459,0.60000000166977);
#734=CYLINDRICAL_SURFACE('',#16464,0.3);
#735=CYLINDRICAL_SURFACE('',#16476,0.500000001669771);
#736=CYLINDRICAL_SURFACE('',#16478,0.2);
#737=CYLINDRICAL_SURFACE('',#16483,0.60000000166977);
#738=CYLINDRICAL_SURFACE('',#16494,0.3);
#739=CYLINDRICAL_SURFACE('',#16506,0.500000001669771);
#740=CYLINDRICAL_SURFACE('',#16508,0.2);
#741=CYLINDRICAL_SURFACE('',#16513,0.60000000166977);
#742=CYLINDRICAL_SURFACE('',#16523,0.3);
#743=CYLINDRICAL_SURFACE('',#16535,0.500000001669771);
#744=CYLINDRICAL_SURFACE('',#16537,0.2);
#745=CYLINDRICAL_SURFACE('',#16542,0.60000000166977);
#746=CYLINDRICAL_SURFACE('',#16552,0.3);
#747=CYLINDRICAL_SURFACE('',#16564,0.500000001669771);
#748=CYLINDRICAL_SURFACE('',#16566,0.2);
#749=CYLINDRICAL_SURFACE('',#16571,0.60000000166977);
#750=CYLINDRICAL_SURFACE('',#16581,0.3);
#751=CYLINDRICAL_SURFACE('',#16593,0.500000001669771);
#752=CYLINDRICAL_SURFACE('',#16595,0.2);
#753=CYLINDRICAL_SURFACE('',#16600,0.60000000166977);
#754=CYLINDRICAL_SURFACE('',#16610,0.3);
#755=CYLINDRICAL_SURFACE('',#16622,0.500000001669771);
#756=CYLINDRICAL_SURFACE('',#16624,0.2);
#757=CYLINDRICAL_SURFACE('',#16629,0.60000000166977);
#758=CYLINDRICAL_SURFACE('',#16639,0.3);
#759=CYLINDRICAL_SURFACE('',#16651,0.500000001669771);
#760=CYLINDRICAL_SURFACE('',#16653,0.2);
#761=CYLINDRICAL_SURFACE('',#16658,0.60000000166977);
#762=CYLINDRICAL_SURFACE('',#16668,0.3);
#763=CYLINDRICAL_SURFACE('',#16680,0.500000001669771);
#764=CYLINDRICAL_SURFACE('',#16682,0.2);
#765=CYLINDRICAL_SURFACE('',#16687,0.60000000166977);
#766=CYLINDRICAL_SURFACE('',#16697,0.3);
#767=CYLINDRICAL_SURFACE('',#16709,0.500000001669771);
#768=CYLINDRICAL_SURFACE('',#16711,0.2);
#769=CYLINDRICAL_SURFACE('',#16716,0.60000000166977);
#770=CYLINDRICAL_SURFACE('',#16725,0.3);
#771=CYLINDRICAL_SURFACE('',#16739,0.500000001669771);
#772=CYLINDRICAL_SURFACE('',#16740,0.2);
#773=CYLINDRICAL_SURFACE('',#16746,0.60000000166977);
#774=ELLIPSE('',#15348,0.100498756211209,0.1);
#775=ELLIPSE('',#15349,1.00498756211209,0.1);
#776=ELLIPSE('',#15351,0.100498756211209,0.1);
#777=ELLIPSE('',#15352,1.00498756211209,0.1);
#778=ELLIPSE('',#15356,0.109530866612271,0.1);
#779=ELLIPSE('',#15358,0.109530866612271,0.1);
#780=ELLIPSE('',#15361,0.100498756211209,0.1);
#781=ELLIPSE('',#15363,0.100498756211209,0.1);
#782=ELLIPSE('',#15367,1.00498756211209,0.1);
#783=ELLIPSE('',#15371,1.00498756211209,0.1);
#784=ELLIPSE('',#15522,0.14142135623731,0.1);
#785=ELLIPSE('',#15524,0.14142135623731,0.1);
#786=ELLIPSE('',#15550,0.235063696500515,0.2);
#787=ELLIPSE('',#15571,0.141421356237309,0.1);
#788=ELLIPSE('',#15572,0.141421356237309,0.1);
#789=ELLIPSE('',#15574,0.14142135623731,0.1);
#790=ELLIPSE('',#15575,0.14142135623731,0.1);
#791=ELLIPSE('',#15577,0.14142135623731,0.1);
#792=ELLIPSE('',#15579,0.141421356237309,0.1);
#793=ELLIPSE('',#15580,0.141421356237309,0.1);
#794=ELLIPSE('',#15582,0.14142135623731,0.1);
#795=ELLIPSE('',#15583,0.141421356237309,0.1);
#796=ELLIPSE('',#15584,0.141421356237309,0.1);
#797=ELLIPSE('',#15586,0.14142135623731,0.1);
#798=ELLIPSE('',#15587,0.141421356237309,0.1);
#799=ELLIPSE('',#15589,0.14142135623731,0.1);
#800=ELLIPSE('',#15591,0.14142135623731,0.1);
#801=ELLIPSE('',#15593,0.141421356237309,0.1);
#802=ELLIPSE('',#15594,0.14142135623731,0.1);
#803=ELLIPSE('',#15596,0.141421356237309,0.1);
#804=ELLIPSE('',#15598,0.14142135623731,0.1);
#805=ELLIPSE('',#15599,0.141421356237309,0.1);
#806=ELLIPSE('',#15600,0.14142135623731,0.1);
#807=ELLIPSE('',#15602,0.14142135623731,0.1);
#808=ELLIPSE('',#15603,0.141421356237309,0.1);
#809=ELLIPSE('',#15605,0.14142135623731,0.1);
#810=ELLIPSE('',#15607,0.14142135623731,0.1);
#811=ELLIPSE('',#15609,0.141421356237309,0.1);
#812=ELLIPSE('',#15610,0.14142135623731,0.1);
#813=ELLIPSE('',#15612,0.141421356237309,0.1);
#814=ELLIPSE('',#15614,0.14142135623731,0.1);
#815=ELLIPSE('',#15615,0.141421356237309,0.1);
#816=ELLIPSE('',#15616,0.14142135623731,0.1);
#817=ELLIPSE('',#15618,0.14142135623731,0.1);
#818=ELLIPSE('',#15619,0.141421356237309,0.1);
#819=ELLIPSE('',#15621,0.14142135623731,0.1);
#820=ELLIPSE('',#15623,0.14142135623731,0.1);
#821=ELLIPSE('',#15625,0.141421356237309,0.1);
#822=ELLIPSE('',#15626,0.14142135623731,0.1);
#823=ELLIPSE('',#15628,0.141421356237309,0.1);
#824=ELLIPSE('',#15630,0.14142135623731,0.1);
#825=ELLIPSE('',#15631,0.141421356237309,0.1);
#826=ELLIPSE('',#15632,0.14142135623731,0.1);
#827=ELLIPSE('',#15634,0.14142135623731,0.1);
#828=ELLIPSE('',#15635,0.141421356237309,0.1);
#829=ELLIPSE('',#15637,0.14142135623731,0.1);
#830=ELLIPSE('',#15639,0.14142135623731,0.1);
#831=ELLIPSE('',#15641,0.141421356237309,0.1);
#832=ELLIPSE('',#15642,0.14142135623731,0.1);
#833=ELLIPSE('',#15644,0.141421356237309,0.1);
#834=ELLIPSE('',#15646,0.14142135623731,0.1);
#835=ELLIPSE('',#15647,0.141421356237309,0.1);
#836=ELLIPSE('',#15648,0.14142135623731,0.1);
#837=ELLIPSE('',#15650,0.14142135623731,0.1);
#838=ELLIPSE('',#15651,0.141421356237309,0.1);
#839=ELLIPSE('',#15653,0.14142135623731,0.1);
#840=ELLIPSE('',#15655,0.14142135623731,0.1);
#841=ELLIPSE('',#15657,0.141421356237309,0.1);
#842=ELLIPSE('',#15658,0.14142135623731,0.1);
#843=ELLIPSE('',#15660,0.141421356237309,0.1);
#844=ELLIPSE('',#15662,0.14142135623731,0.1);
#845=ELLIPSE('',#15663,0.141421356237309,0.1);
#846=ELLIPSE('',#15664,0.14142135623731,0.1);
#847=ELLIPSE('',#15666,0.14142135623731,0.1);
#848=ELLIPSE('',#15667,0.141421356237309,0.1);
#849=ELLIPSE('',#15669,0.14142135623731,0.1);
#850=ELLIPSE('',#15671,0.14142135623731,0.1);
#851=ELLIPSE('',#15673,0.141421356237309,0.1);
#852=ELLIPSE('',#15674,0.14142135623731,0.1);
#853=ELLIPSE('',#15676,0.141421356237309,0.1);
#854=ELLIPSE('',#15678,0.14142135623731,0.1);
#855=ELLIPSE('',#15679,0.141421356237309,0.1);
#856=ELLIPSE('',#15680,0.14142135623731,0.1);
#857=ELLIPSE('',#15682,0.14142135623731,0.1);
#858=ELLIPSE('',#15683,0.141421356237309,0.1);
#859=ELLIPSE('',#15685,0.14142135623731,0.1);
#860=ELLIPSE('',#15687,0.14142135623731,0.1);
#861=ELLIPSE('',#15689,0.141421356237309,0.1);
#862=ELLIPSE('',#15690,0.14142135623731,0.1);
#863=ELLIPSE('',#15692,0.141421356237309,0.1);
#864=ELLIPSE('',#15694,0.14142135623731,0.1);
#865=ELLIPSE('',#15695,0.141421356237309,0.1);
#866=ELLIPSE('',#15696,0.14142135623731,0.1);
#867=ELLIPSE('',#15698,0.14142135623731,0.1);
#868=ELLIPSE('',#15699,0.141421356237309,0.1);
#869=ELLIPSE('',#15701,0.14142135623731,0.1);
#870=ELLIPSE('',#15703,0.14142135623731,0.1);
#871=ELLIPSE('',#15705,0.141421356237309,0.1);
#872=ELLIPSE('',#15706,0.14142135623731,0.1);
#873=ELLIPSE('',#15708,0.141421356237309,0.1);
#874=ELLIPSE('',#15710,0.14142135623731,0.1);
#875=ELLIPSE('',#15711,0.141421356237309,0.1);
#876=ELLIPSE('',#15712,0.14142135623731,0.1);
#877=ELLIPSE('',#15714,0.14142135623731,0.1);
#878=ELLIPSE('',#15715,0.141421356237309,0.1);
#879=ELLIPSE('',#15717,0.14142135623731,0.1);
#880=ELLIPSE('',#15719,0.14142135623731,0.1);
#881=ELLIPSE('',#15721,0.141421356237309,0.1);
#882=ELLIPSE('',#15722,0.14142135623731,0.1);
#883=ELLIPSE('',#15724,0.14142135623731,0.1);
#884=ELLIPSE('',#15726,0.14142135623731,0.1);
#885=ELLIPSE('',#15727,0.141421356237309,0.1);
#886=ELLIPSE('',#15728,0.14142135623731,0.1);
#887=ELLIPSE('',#15730,0.14142135623731,0.1);
#888=ELLIPSE('',#15732,0.141421356237309,0.1);
#889=ELLIPSE('',#15733,0.14142135623731,0.1);
#890=ELLIPSE('',#15735,0.141421356237309,0.1);
#891=ELLIPSE('',#15738,0.141421356237309,0.1);
#892=ELLIPSE('',#15740,0.141421356237309,0.1);
#893=ELLIPSE('',#15742,0.141421356237309,0.1);
#894=ELLIPSE('',#15744,0.141421356237309,0.1);
#895=ELLIPSE('',#15746,0.141421356237309,0.1);
#896=ELLIPSE('',#15748,0.141421356237309,0.1);
#897=ELLIPSE('',#15750,0.141421356237309,0.1);
#898=ELLIPSE('',#15752,0.141421356237309,0.1);
#899=ELLIPSE('',#15754,0.141421356237309,0.1);
#900=ELLIPSE('',#15756,0.141421356237309,0.1);
#901=ELLIPSE('',#15758,0.141421356237309,0.1);
#902=ELLIPSE('',#15760,0.141421356237309,0.1);
#903=ELLIPSE('',#15762,0.141421356237309,0.1);
#904=ELLIPSE('',#15764,0.141421356237309,0.1);
#905=ELLIPSE('',#15766,0.141421356237309,0.1);
#906=ELLIPSE('',#15768,0.141421356237309,0.1);
#907=ELLIPSE('',#15770,0.141421356237309,0.1);
#908=ELLIPSE('',#15772,0.141421356237309,0.1);
#909=ELLIPSE('',#15775,0.141421356237309,0.1);
#910=ELLIPSE('',#15777,0.141421356237309,0.1);
#911=ELLIPSE('',#15930,0.14142135623731,0.1);
#912=ELLIPSE('',#15991,0.158918033628932,0.1);
#913=ELLIPSE('',#16118,0.14142135623731,0.1);
#914=ELLIPSE('',#16128,0.14142135623731,0.1);
#915=ELLIPSE('',#16131,0.141421356237309,0.1);
#916=FACE_OUTER_BOUND('',#1724,.T.);
#917=FACE_OUTER_BOUND('',#1725,.T.);
#918=FACE_OUTER_BOUND('',#1726,.T.);
#919=FACE_OUTER_BOUND('',#1727,.T.);
#920=FACE_OUTER_BOUND('',#1728,.T.);
#921=FACE_OUTER_BOUND('',#1729,.T.);
#922=FACE_OUTER_BOUND('',#1730,.T.);
#923=FACE_OUTER_BOUND('',#1731,.T.);
#924=FACE_OUTER_BOUND('',#1732,.T.);
#925=FACE_OUTER_BOUND('',#1733,.T.);
#926=FACE_OUTER_BOUND('',#1734,.T.);
#927=FACE_OUTER_BOUND('',#1735,.T.);
#928=FACE_OUTER_BOUND('',#1736,.T.);
#929=FACE_OUTER_BOUND('',#1737,.T.);
#930=FACE_OUTER_BOUND('',#1738,.T.);
#931=FACE_OUTER_BOUND('',#1739,.T.);
#932=FACE_OUTER_BOUND('',#1740,.T.);
#933=FACE_OUTER_BOUND('',#1741,.T.);
#934=FACE_OUTER_BOUND('',#1742,.T.);
#935=FACE_OUTER_BOUND('',#1743,.T.);
#936=FACE_OUTER_BOUND('',#1744,.T.);
#937=FACE_OUTER_BOUND('',#1745,.T.);
#938=FACE_OUTER_BOUND('',#1746,.T.);
#939=FACE_OUTER_BOUND('',#1747,.T.);
#940=FACE_OUTER_BOUND('',#1748,.T.);
#941=FACE_OUTER_BOUND('',#1749,.T.);
#942=FACE_OUTER_BOUND('',#1750,.T.);
#943=FACE_OUTER_BOUND('',#1751,.T.);
#944=FACE_OUTER_BOUND('',#1752,.T.);
#945=FACE_OUTER_BOUND('',#1753,.T.);
#946=FACE_OUTER_BOUND('',#1754,.T.);
#947=FACE_OUTER_BOUND('',#1755,.T.);
#948=FACE_OUTER_BOUND('',#1756,.T.);
#949=FACE_OUTER_BOUND('',#1757,.T.);
#950=FACE_OUTER_BOUND('',#1758,.T.);
#951=FACE_OUTER_BOUND('',#1759,.T.);
#952=FACE_OUTER_BOUND('',#1760,.T.);
#953=FACE_OUTER_BOUND('',#1761,.T.);
#954=FACE_OUTER_BOUND('',#1762,.T.);
#955=FACE_OUTER_BOUND('',#1763,.T.);
#956=FACE_OUTER_BOUND('',#1764,.T.);
#957=FACE_OUTER_BOUND('',#1765,.T.);
#958=FACE_OUTER_BOUND('',#1766,.T.);
#959=FACE_OUTER_BOUND('',#1767,.T.);
#960=FACE_OUTER_BOUND('',#1768,.T.);
#961=FACE_OUTER_BOUND('',#1769,.T.);
#962=FACE_OUTER_BOUND('',#1770,.T.);
#963=FACE_OUTER_BOUND('',#1771,.T.);
#964=FACE_OUTER_BOUND('',#1772,.T.);
#965=FACE_OUTER_BOUND('',#1773,.T.);
#966=FACE_OUTER_BOUND('',#1774,.T.);
#967=FACE_OUTER_BOUND('',#1775,.T.);
#968=FACE_OUTER_BOUND('',#1776,.T.);
#969=FACE_OUTER_BOUND('',#1777,.T.);
#970=FACE_OUTER_BOUND('',#1778,.T.);
#971=FACE_OUTER_BOUND('',#1779,.T.);
#972=FACE_OUTER_BOUND('',#1780,.T.);
#973=FACE_OUTER_BOUND('',#1781,.T.);
#974=FACE_OUTER_BOUND('',#1782,.T.);
#975=FACE_OUTER_BOUND('',#1783,.T.);
#976=FACE_OUTER_BOUND('',#1784,.T.);
#977=FACE_OUTER_BOUND('',#1785,.T.);
#978=FACE_OUTER_BOUND('',#1786,.T.);
#979=FACE_OUTER_BOUND('',#1787,.T.);
#980=FACE_OUTER_BOUND('',#1788,.T.);
#981=FACE_OUTER_BOUND('',#1789,.T.);
#982=FACE_OUTER_BOUND('',#1790,.T.);
#983=FACE_OUTER_BOUND('',#1791,.T.);
#984=FACE_OUTER_BOUND('',#1792,.T.);
#985=FACE_OUTER_BOUND('',#1793,.T.);
#986=FACE_OUTER_BOUND('',#1794,.T.);
#987=FACE_OUTER_BOUND('',#1795,.T.);
#988=FACE_OUTER_BOUND('',#1796,.T.);
#989=FACE_OUTER_BOUND('',#1797,.T.);
#990=FACE_OUTER_BOUND('',#1798,.T.);
#991=FACE_OUTER_BOUND('',#1799,.T.);
#992=FACE_OUTER_BOUND('',#1800,.T.);
#993=FACE_OUTER_BOUND('',#1801,.T.);
#994=FACE_OUTER_BOUND('',#1802,.T.);
#995=FACE_OUTER_BOUND('',#1803,.T.);
#996=FACE_OUTER_BOUND('',#1804,.T.);
#997=FACE_OUTER_BOUND('',#1805,.T.);
#998=FACE_OUTER_BOUND('',#1806,.T.);
#999=FACE_OUTER_BOUND('',#1807,.T.);
#1000=FACE_OUTER_BOUND('',#1808,.T.);
#1001=FACE_OUTER_BOUND('',#1809,.T.);
#1002=FACE_OUTER_BOUND('',#1810,.T.);
#1003=FACE_OUTER_BOUND('',#1811,.T.);
#1004=FACE_OUTER_BOUND('',#1812,.T.);
#1005=FACE_OUTER_BOUND('',#1813,.T.);
#1006=FACE_OUTER_BOUND('',#1814,.T.);
#1007=FACE_OUTER_BOUND('',#1815,.T.);
#1008=FACE_OUTER_BOUND('',#1816,.T.);
#1009=FACE_OUTER_BOUND('',#1817,.T.);
#1010=FACE_OUTER_BOUND('',#1818,.T.);
#1011=FACE_OUTER_BOUND('',#1819,.T.);
#1012=FACE_OUTER_BOUND('',#1820,.T.);
#1013=FACE_OUTER_BOUND('',#1821,.T.);
#1014=FACE_OUTER_BOUND('',#1822,.T.);
#1015=FACE_OUTER_BOUND('',#1823,.T.);
#1016=FACE_OUTER_BOUND('',#1824,.T.);
#1017=FACE_OUTER_BOUND('',#1825,.T.);
#1018=FACE_OUTER_BOUND('',#1826,.T.);
#1019=FACE_OUTER_BOUND('',#1827,.T.);
#1020=FACE_OUTER_BOUND('',#1828,.T.);
#1021=FACE_OUTER_BOUND('',#1829,.T.);
#1022=FACE_OUTER_BOUND('',#1830,.T.);
#1023=FACE_OUTER_BOUND('',#1831,.T.);
#1024=FACE_OUTER_BOUND('',#1832,.T.);
#1025=FACE_OUTER_BOUND('',#1833,.T.);
#1026=FACE_OUTER_BOUND('',#1834,.T.);
#1027=FACE_OUTER_BOUND('',#1835,.T.);
#1028=FACE_OUTER_BOUND('',#1836,.T.);
#1029=FACE_OUTER_BOUND('',#1837,.T.);
#1030=FACE_OUTER_BOUND('',#1838,.T.);
#1031=FACE_OUTER_BOUND('',#1839,.T.);
#1032=FACE_OUTER_BOUND('',#1840,.T.);
#1033=FACE_OUTER_BOUND('',#1841,.T.);
#1034=FACE_OUTER_BOUND('',#1842,.T.);
#1035=FACE_OUTER_BOUND('',#1843,.T.);
#1036=FACE_OUTER_BOUND('',#1844,.T.);
#1037=FACE_OUTER_BOUND('',#1845,.T.);
#1038=FACE_OUTER_BOUND('',#1846,.T.);
#1039=FACE_OUTER_BOUND('',#1847,.T.);
#1040=FACE_OUTER_BOUND('',#1848,.T.);
#1041=FACE_OUTER_BOUND('',#1849,.T.);
#1042=FACE_OUTER_BOUND('',#1850,.T.);
#1043=FACE_OUTER_BOUND('',#1851,.T.);
#1044=FACE_OUTER_BOUND('',#1852,.T.);
#1045=FACE_OUTER_BOUND('',#1853,.T.);
#1046=FACE_OUTER_BOUND('',#1854,.T.);
#1047=FACE_OUTER_BOUND('',#1855,.T.);
#1048=FACE_OUTER_BOUND('',#1856,.T.);
#1049=FACE_OUTER_BOUND('',#1857,.T.);
#1050=FACE_OUTER_BOUND('',#1858,.T.);
#1051=FACE_OUTER_BOUND('',#1859,.T.);
#1052=FACE_OUTER_BOUND('',#1860,.T.);
#1053=FACE_OUTER_BOUND('',#1861,.T.);
#1054=FACE_OUTER_BOUND('',#1862,.T.);
#1055=FACE_OUTER_BOUND('',#1863,.T.);
#1056=FACE_OUTER_BOUND('',#1864,.T.);
#1057=FACE_OUTER_BOUND('',#1865,.T.);
#1058=FACE_OUTER_BOUND('',#1866,.T.);
#1059=FACE_OUTER_BOUND('',#1867,.T.);
#1060=FACE_OUTER_BOUND('',#1868,.T.);
#1061=FACE_OUTER_BOUND('',#1869,.T.);
#1062=FACE_OUTER_BOUND('',#1870,.T.);
#1063=FACE_OUTER_BOUND('',#1871,.T.);
#1064=FACE_OUTER_BOUND('',#1872,.T.);
#1065=FACE_OUTER_BOUND('',#1873,.T.);
#1066=FACE_OUTER_BOUND('',#1874,.T.);
#1067=FACE_OUTER_BOUND('',#1875,.T.);
#1068=FACE_OUTER_BOUND('',#1876,.T.);
#1069=FACE_OUTER_BOUND('',#1877,.T.);
#1070=FACE_OUTER_BOUND('',#1878,.T.);
#1071=FACE_OUTER_BOUND('',#1879,.T.);
#1072=FACE_OUTER_BOUND('',#1880,.T.);
#1073=FACE_OUTER_BOUND('',#1881,.T.);
#1074=FACE_OUTER_BOUND('',#1882,.T.);
#1075=FACE_OUTER_BOUND('',#1883,.T.);
#1076=FACE_OUTER_BOUND('',#1884,.T.);
#1077=FACE_OUTER_BOUND('',#1885,.T.);
#1078=FACE_OUTER_BOUND('',#1886,.T.);
#1079=FACE_OUTER_BOUND('',#1887,.T.);
#1080=FACE_OUTER_BOUND('',#1888,.T.);
#1081=FACE_OUTER_BOUND('',#1889,.T.);
#1082=FACE_OUTER_BOUND('',#1890,.T.);
#1083=FACE_OUTER_BOUND('',#1891,.T.);
#1084=FACE_OUTER_BOUND('',#1892,.T.);
#1085=FACE_OUTER_BOUND('',#1893,.T.);
#1086=FACE_OUTER_BOUND('',#1894,.T.);
#1087=FACE_OUTER_BOUND('',#1895,.T.);
#1088=FACE_OUTER_BOUND('',#1896,.T.);
#1089=FACE_OUTER_BOUND('',#1897,.T.);
#1090=FACE_OUTER_BOUND('',#1898,.T.);
#1091=FACE_OUTER_BOUND('',#1899,.T.);
#1092=FACE_OUTER_BOUND('',#1900,.T.);
#1093=FACE_OUTER_BOUND('',#1901,.T.);
#1094=FACE_OUTER_BOUND('',#1902,.T.);
#1095=FACE_OUTER_BOUND('',#1903,.T.);
#1096=FACE_OUTER_BOUND('',#1904,.T.);
#1097=FACE_OUTER_BOUND('',#1905,.T.);
#1098=FACE_OUTER_BOUND('',#1906,.T.);
#1099=FACE_OUTER_BOUND('',#1907,.T.);
#1100=FACE_OUTER_BOUND('',#1908,.T.);
#1101=FACE_OUTER_BOUND('',#1909,.T.);
#1102=FACE_OUTER_BOUND('',#1910,.T.);
#1103=FACE_OUTER_BOUND('',#1911,.T.);
#1104=FACE_OUTER_BOUND('',#1912,.T.);
#1105=FACE_OUTER_BOUND('',#1913,.T.);
#1106=FACE_OUTER_BOUND('',#1914,.T.);
#1107=FACE_OUTER_BOUND('',#1915,.T.);
#1108=FACE_OUTER_BOUND('',#1916,.T.);
#1109=FACE_OUTER_BOUND('',#1917,.T.);
#1110=FACE_OUTER_BOUND('',#1918,.T.);
#1111=FACE_OUTER_BOUND('',#1919,.T.);
#1112=FACE_OUTER_BOUND('',#1920,.T.);
#1113=FACE_OUTER_BOUND('',#1921,.T.);
#1114=FACE_OUTER_BOUND('',#1922,.T.);
#1115=FACE_OUTER_BOUND('',#1923,.T.);
#1116=FACE_OUTER_BOUND('',#1924,.T.);
#1117=FACE_OUTER_BOUND('',#1925,.T.);
#1118=FACE_OUTER_BOUND('',#1926,.T.);
#1119=FACE_OUTER_BOUND('',#1927,.T.);
#1120=FACE_OUTER_BOUND('',#1928,.T.);
#1121=FACE_OUTER_BOUND('',#1929,.T.);
#1122=FACE_OUTER_BOUND('',#1930,.T.);
#1123=FACE_OUTER_BOUND('',#1931,.T.);
#1124=FACE_OUTER_BOUND('',#1932,.T.);
#1125=FACE_OUTER_BOUND('',#1933,.T.);
#1126=FACE_OUTER_BOUND('',#1934,.T.);
#1127=FACE_OUTER_BOUND('',#1935,.T.);
#1128=FACE_OUTER_BOUND('',#1936,.T.);
#1129=FACE_OUTER_BOUND('',#1937,.T.);
#1130=FACE_OUTER_BOUND('',#1938,.T.);
#1131=FACE_OUTER_BOUND('',#1939,.T.);
#1132=FACE_OUTER_BOUND('',#1940,.T.);
#1133=FACE_OUTER_BOUND('',#1941,.T.);
#1134=FACE_OUTER_BOUND('',#1942,.T.);
#1135=FACE_OUTER_BOUND('',#1943,.T.);
#1136=FACE_OUTER_BOUND('',#1944,.T.);
#1137=FACE_OUTER_BOUND('',#1945,.T.);
#1138=FACE_OUTER_BOUND('',#1946,.T.);
#1139=FACE_OUTER_BOUND('',#1947,.T.);
#1140=FACE_OUTER_BOUND('',#1948,.T.);
#1141=FACE_OUTER_BOUND('',#1949,.T.);
#1142=FACE_OUTER_BOUND('',#1950,.T.);
#1143=FACE_OUTER_BOUND('',#1951,.T.);
#1144=FACE_OUTER_BOUND('',#1952,.T.);
#1145=FACE_OUTER_BOUND('',#1953,.T.);
#1146=FACE_OUTER_BOUND('',#1955,.T.);
#1147=FACE_OUTER_BOUND('',#1956,.T.);
#1148=FACE_OUTER_BOUND('',#1957,.T.);
#1149=FACE_OUTER_BOUND('',#1958,.T.);
#1150=FACE_OUTER_BOUND('',#1959,.T.);
#1151=FACE_OUTER_BOUND('',#1960,.T.);
#1152=FACE_OUTER_BOUND('',#1961,.T.);
#1153=FACE_OUTER_BOUND('',#1962,.T.);
#1154=FACE_OUTER_BOUND('',#1963,.T.);
#1155=FACE_OUTER_BOUND('',#1964,.T.);
#1156=FACE_OUTER_BOUND('',#1965,.T.);
#1157=FACE_OUTER_BOUND('',#1966,.T.);
#1158=FACE_OUTER_BOUND('',#1967,.T.);
#1159=FACE_OUTER_BOUND('',#1968,.T.);
#1160=FACE_OUTER_BOUND('',#1969,.T.);
#1161=FACE_OUTER_BOUND('',#1970,.T.);
#1162=FACE_OUTER_BOUND('',#1971,.T.);
#1163=FACE_OUTER_BOUND('',#1972,.T.);
#1164=FACE_OUTER_BOUND('',#1973,.T.);
#1165=FACE_OUTER_BOUND('',#1974,.T.);
#1166=FACE_OUTER_BOUND('',#1975,.T.);
#1167=FACE_OUTER_BOUND('',#1976,.T.);
#1168=FACE_OUTER_BOUND('',#1977,.T.);
#1169=FACE_OUTER_BOUND('',#1978,.T.);
#1170=FACE_OUTER_BOUND('',#1979,.T.);
#1171=FACE_OUTER_BOUND('',#1980,.T.);
#1172=FACE_OUTER_BOUND('',#1981,.T.);
#1173=FACE_OUTER_BOUND('',#1982,.T.);
#1174=FACE_OUTER_BOUND('',#1983,.T.);
#1175=FACE_OUTER_BOUND('',#1984,.T.);
#1176=FACE_OUTER_BOUND('',#1985,.T.);
#1177=FACE_OUTER_BOUND('',#1986,.T.);
#1178=FACE_OUTER_BOUND('',#1987,.T.);
#1179=FACE_OUTER_BOUND('',#1988,.T.);
#1180=FACE_OUTER_BOUND('',#1989,.T.);
#1181=FACE_OUTER_BOUND('',#1990,.T.);
#1182=FACE_OUTER_BOUND('',#1991,.T.);
#1183=FACE_OUTER_BOUND('',#1992,.T.);
#1184=FACE_OUTER_BOUND('',#1993,.T.);
#1185=FACE_OUTER_BOUND('',#1994,.T.);
#1186=FACE_OUTER_BOUND('',#1995,.T.);
#1187=FACE_OUTER_BOUND('',#1996,.T.);
#1188=FACE_OUTER_BOUND('',#1997,.T.);
#1189=FACE_OUTER_BOUND('',#1998,.T.);
#1190=FACE_OUTER_BOUND('',#1999,.T.);
#1191=FACE_OUTER_BOUND('',#2000,.T.);
#1192=FACE_OUTER_BOUND('',#2001,.T.);
#1193=FACE_OUTER_BOUND('',#2002,.T.);
#1194=FACE_OUTER_BOUND('',#2003,.T.);
#1195=FACE_OUTER_BOUND('',#2004,.T.);
#1196=FACE_OUTER_BOUND('',#2005,.T.);
#1197=FACE_OUTER_BOUND('',#2006,.T.);
#1198=FACE_OUTER_BOUND('',#2007,.T.);
#1199=FACE_OUTER_BOUND('',#2008,.T.);
#1200=FACE_OUTER_BOUND('',#2009,.T.);
#1201=FACE_OUTER_BOUND('',#2010,.T.);
#1202=FACE_OUTER_BOUND('',#2011,.T.);
#1203=FACE_OUTER_BOUND('',#2012,.T.);
#1204=FACE_OUTER_BOUND('',#2013,.T.);
#1205=FACE_OUTER_BOUND('',#2014,.T.);
#1206=FACE_OUTER_BOUND('',#2015,.T.);
#1207=FACE_OUTER_BOUND('',#2016,.T.);
#1208=FACE_OUTER_BOUND('',#2017,.T.);
#1209=FACE_OUTER_BOUND('',#2018,.T.);
#1210=FACE_OUTER_BOUND('',#2019,.T.);
#1211=FACE_OUTER_BOUND('',#2020,.T.);
#1212=FACE_OUTER_BOUND('',#2021,.T.);
#1213=FACE_OUTER_BOUND('',#2022,.T.);
#1214=FACE_OUTER_BOUND('',#2023,.T.);
#1215=FACE_OUTER_BOUND('',#2024,.T.);
#1216=FACE_OUTER_BOUND('',#2025,.T.);
#1217=FACE_OUTER_BOUND('',#2026,.T.);
#1218=FACE_OUTER_BOUND('',#2027,.T.);
#1219=FACE_OUTER_BOUND('',#2028,.T.);
#1220=FACE_OUTER_BOUND('',#2029,.T.);
#1221=FACE_OUTER_BOUND('',#2030,.T.);
#1222=FACE_OUTER_BOUND('',#2031,.T.);
#1223=FACE_OUTER_BOUND('',#2032,.T.);
#1224=FACE_OUTER_BOUND('',#2033,.T.);
#1225=FACE_OUTER_BOUND('',#2034,.T.);
#1226=FACE_OUTER_BOUND('',#2035,.T.);
#1227=FACE_OUTER_BOUND('',#2036,.T.);
#1228=FACE_OUTER_BOUND('',#2037,.T.);
#1229=FACE_OUTER_BOUND('',#2038,.T.);
#1230=FACE_OUTER_BOUND('',#2039,.T.);
#1231=FACE_OUTER_BOUND('',#2040,.T.);
#1232=FACE_OUTER_BOUND('',#2041,.T.);
#1233=FACE_OUTER_BOUND('',#2042,.T.);
#1234=FACE_OUTER_BOUND('',#2043,.T.);
#1235=FACE_OUTER_BOUND('',#2044,.T.);
#1236=FACE_OUTER_BOUND('',#2045,.T.);
#1237=FACE_OUTER_BOUND('',#2046,.T.);
#1238=FACE_OUTER_BOUND('',#2047,.T.);
#1239=FACE_OUTER_BOUND('',#2048,.T.);
#1240=FACE_OUTER_BOUND('',#2049,.T.);
#1241=FACE_OUTER_BOUND('',#2050,.T.);
#1242=FACE_OUTER_BOUND('',#2051,.T.);
#1243=FACE_OUTER_BOUND('',#2052,.T.);
#1244=FACE_OUTER_BOUND('',#2053,.T.);
#1245=FACE_OUTER_BOUND('',#2054,.T.);
#1246=FACE_OUTER_BOUND('',#2055,.T.);
#1247=FACE_OUTER_BOUND('',#2056,.T.);
#1248=FACE_OUTER_BOUND('',#2057,.T.);
#1249=FACE_OUTER_BOUND('',#2058,.T.);
#1250=FACE_OUTER_BOUND('',#2059,.T.);
#1251=FACE_OUTER_BOUND('',#2060,.T.);
#1252=FACE_OUTER_BOUND('',#2061,.T.);
#1253=FACE_OUTER_BOUND('',#2062,.T.);
#1254=FACE_OUTER_BOUND('',#2063,.T.);
#1255=FACE_OUTER_BOUND('',#2064,.T.);
#1256=FACE_OUTER_BOUND('',#2065,.T.);
#1257=FACE_OUTER_BOUND('',#2066,.T.);
#1258=FACE_OUTER_BOUND('',#2067,.T.);
#1259=FACE_OUTER_BOUND('',#2068,.T.);
#1260=FACE_OUTER_BOUND('',#2069,.T.);
#1261=FACE_OUTER_BOUND('',#2070,.T.);
#1262=FACE_OUTER_BOUND('',#2071,.T.);
#1263=FACE_OUTER_BOUND('',#2072,.T.);
#1264=FACE_OUTER_BOUND('',#2073,.T.);
#1265=FACE_OUTER_BOUND('',#2074,.T.);
#1266=FACE_OUTER_BOUND('',#2075,.T.);
#1267=FACE_OUTER_BOUND('',#2076,.T.);
#1268=FACE_OUTER_BOUND('',#2077,.T.);
#1269=FACE_OUTER_BOUND('',#2078,.T.);
#1270=FACE_OUTER_BOUND('',#2079,.T.);
#1271=FACE_OUTER_BOUND('',#2080,.T.);
#1272=FACE_OUTER_BOUND('',#2081,.T.);
#1273=FACE_OUTER_BOUND('',#2082,.T.);
#1274=FACE_OUTER_BOUND('',#2083,.T.);
#1275=FACE_OUTER_BOUND('',#2084,.T.);
#1276=FACE_OUTER_BOUND('',#2085,.T.);
#1277=FACE_OUTER_BOUND('',#2086,.T.);
#1278=FACE_OUTER_BOUND('',#2087,.T.);
#1279=FACE_OUTER_BOUND('',#2088,.T.);
#1280=FACE_OUTER_BOUND('',#2089,.T.);
#1281=FACE_OUTER_BOUND('',#2090,.T.);
#1282=FACE_OUTER_BOUND('',#2091,.T.);
#1283=FACE_OUTER_BOUND('',#2092,.T.);
#1284=FACE_OUTER_BOUND('',#2093,.T.);
#1285=FACE_OUTER_BOUND('',#2094,.T.);
#1286=FACE_OUTER_BOUND('',#2095,.T.);
#1287=FACE_OUTER_BOUND('',#2096,.T.);
#1288=FACE_OUTER_BOUND('',#2097,.T.);
#1289=FACE_OUTER_BOUND('',#2098,.T.);
#1290=FACE_OUTER_BOUND('',#2099,.T.);
#1291=FACE_OUTER_BOUND('',#2100,.T.);
#1292=FACE_OUTER_BOUND('',#2101,.T.);
#1293=FACE_OUTER_BOUND('',#2102,.T.);
#1294=FACE_OUTER_BOUND('',#2103,.T.);
#1295=FACE_OUTER_BOUND('',#2104,.T.);
#1296=FACE_OUTER_BOUND('',#2105,.T.);
#1297=FACE_OUTER_BOUND('',#2106,.T.);
#1298=FACE_OUTER_BOUND('',#2129,.T.);
#1299=FACE_OUTER_BOUND('',#2130,.T.);
#1300=FACE_OUTER_BOUND('',#2131,.T.);
#1301=FACE_OUTER_BOUND('',#2132,.T.);
#1302=FACE_OUTER_BOUND('',#2133,.T.);
#1303=FACE_OUTER_BOUND('',#2134,.T.);
#1304=FACE_OUTER_BOUND('',#2135,.T.);
#1305=FACE_OUTER_BOUND('',#2136,.T.);
#1306=FACE_OUTER_BOUND('',#2137,.T.);
#1307=FACE_OUTER_BOUND('',#2138,.T.);
#1308=FACE_OUTER_BOUND('',#2139,.T.);
#1309=FACE_OUTER_BOUND('',#2140,.T.);
#1310=FACE_OUTER_BOUND('',#2141,.T.);
#1311=FACE_OUTER_BOUND('',#2142,.T.);
#1312=FACE_OUTER_BOUND('',#2143,.T.);
#1313=FACE_OUTER_BOUND('',#2144,.T.);
#1314=FACE_OUTER_BOUND('',#2145,.T.);
#1315=FACE_OUTER_BOUND('',#2146,.T.);
#1316=FACE_OUTER_BOUND('',#2147,.T.);
#1317=FACE_OUTER_BOUND('',#2148,.T.);
#1318=FACE_OUTER_BOUND('',#2149,.T.);
#1319=FACE_OUTER_BOUND('',#2150,.T.);
#1320=FACE_OUTER_BOUND('',#2151,.T.);
#1321=FACE_OUTER_BOUND('',#2152,.T.);
#1322=FACE_OUTER_BOUND('',#2153,.T.);
#1323=FACE_OUTER_BOUND('',#2154,.T.);
#1324=FACE_OUTER_BOUND('',#2155,.T.);
#1325=FACE_OUTER_BOUND('',#2156,.T.);
#1326=FACE_OUTER_BOUND('',#2157,.T.);
#1327=FACE_OUTER_BOUND('',#2158,.T.);
#1328=FACE_OUTER_BOUND('',#2159,.T.);
#1329=FACE_OUTER_BOUND('',#2160,.T.);
#1330=FACE_OUTER_BOUND('',#2161,.T.);
#1331=FACE_OUTER_BOUND('',#2162,.T.);
#1332=FACE_OUTER_BOUND('',#2163,.T.);
#1333=FACE_OUTER_BOUND('',#2164,.T.);
#1334=FACE_OUTER_BOUND('',#2165,.T.);
#1335=FACE_OUTER_BOUND('',#2166,.T.);
#1336=FACE_OUTER_BOUND('',#2167,.T.);
#1337=FACE_OUTER_BOUND('',#2168,.T.);
#1338=FACE_OUTER_BOUND('',#2169,.T.);
#1339=FACE_OUTER_BOUND('',#2170,.T.);
#1340=FACE_OUTER_BOUND('',#2171,.T.);
#1341=FACE_OUTER_BOUND('',#2172,.T.);
#1342=FACE_OUTER_BOUND('',#2173,.T.);
#1343=FACE_OUTER_BOUND('',#2174,.T.);
#1344=FACE_OUTER_BOUND('',#2175,.T.);
#1345=FACE_OUTER_BOUND('',#2176,.T.);
#1346=FACE_OUTER_BOUND('',#2177,.T.);
#1347=FACE_OUTER_BOUND('',#2178,.T.);
#1348=FACE_OUTER_BOUND('',#2179,.T.);
#1349=FACE_OUTER_BOUND('',#2180,.T.);
#1350=FACE_OUTER_BOUND('',#2181,.T.);
#1351=FACE_OUTER_BOUND('',#2182,.T.);
#1352=FACE_OUTER_BOUND('',#2183,.T.);
#1353=FACE_OUTER_BOUND('',#2184,.T.);
#1354=FACE_OUTER_BOUND('',#2185,.T.);
#1355=FACE_OUTER_BOUND('',#2186,.T.);
#1356=FACE_OUTER_BOUND('',#2187,.T.);
#1357=FACE_OUTER_BOUND('',#2188,.T.);
#1358=FACE_OUTER_BOUND('',#2189,.T.);
#1359=FACE_OUTER_BOUND('',#2190,.T.);
#1360=FACE_OUTER_BOUND('',#2191,.T.);
#1361=FACE_OUTER_BOUND('',#2192,.T.);
#1362=FACE_OUTER_BOUND('',#2193,.T.);
#1363=FACE_OUTER_BOUND('',#2194,.T.);
#1364=FACE_OUTER_BOUND('',#2195,.T.);
#1365=FACE_OUTER_BOUND('',#2196,.T.);
#1366=FACE_OUTER_BOUND('',#2197,.T.);
#1367=FACE_OUTER_BOUND('',#2198,.T.);
#1368=FACE_OUTER_BOUND('',#2199,.T.);
#1369=FACE_OUTER_BOUND('',#2200,.T.);
#1370=FACE_OUTER_BOUND('',#2201,.T.);
#1371=FACE_OUTER_BOUND('',#2202,.T.);
#1372=FACE_OUTER_BOUND('',#2203,.T.);
#1373=FACE_OUTER_BOUND('',#2204,.T.);
#1374=FACE_OUTER_BOUND('',#2205,.T.);
#1375=FACE_OUTER_BOUND('',#2206,.T.);
#1376=FACE_OUTER_BOUND('',#2207,.T.);
#1377=FACE_OUTER_BOUND('',#2208,.T.);
#1378=FACE_OUTER_BOUND('',#2209,.T.);
#1379=FACE_OUTER_BOUND('',#2210,.T.);
#1380=FACE_OUTER_BOUND('',#2211,.T.);
#1381=FACE_OUTER_BOUND('',#2212,.T.);
#1382=FACE_OUTER_BOUND('',#2213,.T.);
#1383=FACE_OUTER_BOUND('',#2214,.T.);
#1384=FACE_OUTER_BOUND('',#2215,.T.);
#1385=FACE_OUTER_BOUND('',#2216,.T.);
#1386=FACE_OUTER_BOUND('',#2217,.T.);
#1387=FACE_OUTER_BOUND('',#2218,.T.);
#1388=FACE_OUTER_BOUND('',#2219,.T.);
#1389=FACE_OUTER_BOUND('',#2220,.T.);
#1390=FACE_OUTER_BOUND('',#2221,.T.);
#1391=FACE_OUTER_BOUND('',#2222,.T.);
#1392=FACE_OUTER_BOUND('',#2223,.T.);
#1393=FACE_OUTER_BOUND('',#2224,.T.);
#1394=FACE_OUTER_BOUND('',#2225,.T.);
#1395=FACE_OUTER_BOUND('',#2226,.T.);
#1396=FACE_OUTER_BOUND('',#2227,.T.);
#1397=FACE_OUTER_BOUND('',#2228,.T.);
#1398=FACE_OUTER_BOUND('',#2229,.T.);
#1399=FACE_OUTER_BOUND('',#2230,.T.);
#1400=FACE_OUTER_BOUND('',#2231,.T.);
#1401=FACE_OUTER_BOUND('',#2232,.T.);
#1402=FACE_OUTER_BOUND('',#2233,.T.);
#1403=FACE_OUTER_BOUND('',#2234,.T.);
#1404=FACE_OUTER_BOUND('',#2235,.T.);
#1405=FACE_OUTER_BOUND('',#2236,.T.);
#1406=FACE_OUTER_BOUND('',#2237,.T.);
#1407=FACE_OUTER_BOUND('',#2238,.T.);
#1408=FACE_OUTER_BOUND('',#2239,.T.);
#1409=FACE_OUTER_BOUND('',#2240,.T.);
#1410=FACE_OUTER_BOUND('',#2241,.T.);
#1411=FACE_OUTER_BOUND('',#2242,.T.);
#1412=FACE_OUTER_BOUND('',#2243,.T.);
#1413=FACE_OUTER_BOUND('',#2244,.T.);
#1414=FACE_OUTER_BOUND('',#2245,.T.);
#1415=FACE_OUTER_BOUND('',#2246,.T.);
#1416=FACE_OUTER_BOUND('',#2247,.T.);
#1417=FACE_OUTER_BOUND('',#2248,.T.);
#1418=FACE_OUTER_BOUND('',#2249,.T.);
#1419=FACE_OUTER_BOUND('',#2250,.T.);
#1420=FACE_OUTER_BOUND('',#2251,.T.);
#1421=FACE_OUTER_BOUND('',#2252,.T.);
#1422=FACE_OUTER_BOUND('',#2253,.T.);
#1423=FACE_OUTER_BOUND('',#2254,.T.);
#1424=FACE_OUTER_BOUND('',#2255,.T.);
#1425=FACE_OUTER_BOUND('',#2256,.T.);
#1426=FACE_OUTER_BOUND('',#2257,.T.);
#1427=FACE_OUTER_BOUND('',#2258,.T.);
#1428=FACE_OUTER_BOUND('',#2259,.T.);
#1429=FACE_OUTER_BOUND('',#2260,.T.);
#1430=FACE_OUTER_BOUND('',#2261,.T.);
#1431=FACE_OUTER_BOUND('',#2262,.T.);
#1432=FACE_OUTER_BOUND('',#2263,.T.);
#1433=FACE_OUTER_BOUND('',#2264,.T.);
#1434=FACE_OUTER_BOUND('',#2265,.T.);
#1435=FACE_OUTER_BOUND('',#2266,.T.);
#1436=FACE_OUTER_BOUND('',#2267,.T.);
#1437=FACE_OUTER_BOUND('',#2268,.T.);
#1438=FACE_OUTER_BOUND('',#2269,.T.);
#1439=FACE_OUTER_BOUND('',#2270,.T.);
#1440=FACE_OUTER_BOUND('',#2271,.T.);
#1441=FACE_OUTER_BOUND('',#2272,.T.);
#1442=FACE_OUTER_BOUND('',#2273,.T.);
#1443=FACE_OUTER_BOUND('',#2274,.T.);
#1444=FACE_OUTER_BOUND('',#2275,.T.);
#1445=FACE_OUTER_BOUND('',#2276,.T.);
#1446=FACE_OUTER_BOUND('',#2277,.T.);
#1447=FACE_OUTER_BOUND('',#2278,.T.);
#1448=FACE_OUTER_BOUND('',#2279,.T.);
#1449=FACE_OUTER_BOUND('',#2280,.T.);
#1450=FACE_OUTER_BOUND('',#2281,.T.);
#1451=FACE_OUTER_BOUND('',#2282,.T.);
#1452=FACE_OUTER_BOUND('',#2283,.T.);
#1453=FACE_OUTER_BOUND('',#2284,.T.);
#1454=FACE_OUTER_BOUND('',#2285,.T.);
#1455=FACE_OUTER_BOUND('',#2286,.T.);
#1456=FACE_OUTER_BOUND('',#2287,.T.);
#1457=FACE_OUTER_BOUND('',#2288,.T.);
#1458=FACE_OUTER_BOUND('',#2289,.T.);
#1459=FACE_OUTER_BOUND('',#2290,.T.);
#1460=FACE_OUTER_BOUND('',#2291,.T.);
#1461=FACE_OUTER_BOUND('',#2292,.T.);
#1462=FACE_OUTER_BOUND('',#2293,.T.);
#1463=FACE_OUTER_BOUND('',#2294,.T.);
#1464=FACE_OUTER_BOUND('',#2295,.T.);
#1465=FACE_OUTER_BOUND('',#2296,.T.);
#1466=FACE_OUTER_BOUND('',#2297,.T.);
#1467=FACE_OUTER_BOUND('',#2298,.T.);
#1468=FACE_OUTER_BOUND('',#2299,.T.);
#1469=FACE_OUTER_BOUND('',#2300,.T.);
#1470=FACE_OUTER_BOUND('',#2301,.T.);
#1471=FACE_OUTER_BOUND('',#2302,.T.);
#1472=FACE_OUTER_BOUND('',#2303,.T.);
#1473=FACE_OUTER_BOUND('',#2304,.T.);
#1474=FACE_OUTER_BOUND('',#2305,.T.);
#1475=FACE_OUTER_BOUND('',#2306,.T.);
#1476=FACE_OUTER_BOUND('',#2307,.T.);
#1477=FACE_OUTER_BOUND('',#2308,.T.);
#1478=FACE_OUTER_BOUND('',#2309,.T.);
#1479=FACE_OUTER_BOUND('',#2310,.T.);
#1480=FACE_OUTER_BOUND('',#2311,.T.);
#1481=FACE_OUTER_BOUND('',#2312,.T.);
#1482=FACE_OUTER_BOUND('',#2313,.T.);
#1483=FACE_OUTER_BOUND('',#2314,.T.);
#1484=FACE_OUTER_BOUND('',#2315,.T.);
#1485=FACE_OUTER_BOUND('',#2316,.T.);
#1486=FACE_OUTER_BOUND('',#2317,.T.);
#1487=FACE_OUTER_BOUND('',#2318,.T.);
#1488=FACE_OUTER_BOUND('',#2319,.T.);
#1489=FACE_OUTER_BOUND('',#2320,.T.);
#1490=FACE_OUTER_BOUND('',#2321,.T.);
#1491=FACE_OUTER_BOUND('',#2322,.T.);
#1492=FACE_OUTER_BOUND('',#2323,.T.);
#1493=FACE_OUTER_BOUND('',#2324,.T.);
#1494=FACE_OUTER_BOUND('',#2325,.T.);
#1495=FACE_OUTER_BOUND('',#2326,.T.);
#1496=FACE_OUTER_BOUND('',#2327,.T.);
#1497=FACE_OUTER_BOUND('',#2328,.T.);
#1498=FACE_OUTER_BOUND('',#2329,.T.);
#1499=FACE_OUTER_BOUND('',#2330,.T.);
#1500=FACE_OUTER_BOUND('',#2331,.T.);
#1501=FACE_OUTER_BOUND('',#2332,.T.);
#1502=FACE_OUTER_BOUND('',#2333,.T.);
#1503=FACE_OUTER_BOUND('',#2334,.T.);
#1504=FACE_OUTER_BOUND('',#2335,.T.);
#1505=FACE_OUTER_BOUND('',#2336,.T.);
#1506=FACE_OUTER_BOUND('',#2337,.T.);
#1507=FACE_OUTER_BOUND('',#2338,.T.);
#1508=FACE_OUTER_BOUND('',#2339,.T.);
#1509=FACE_OUTER_BOUND('',#2340,.T.);
#1510=FACE_OUTER_BOUND('',#2341,.T.);
#1511=FACE_OUTER_BOUND('',#2342,.T.);
#1512=FACE_OUTER_BOUND('',#2343,.T.);
#1513=FACE_OUTER_BOUND('',#2344,.T.);
#1514=FACE_OUTER_BOUND('',#2345,.T.);
#1515=FACE_OUTER_BOUND('',#2346,.T.);
#1516=FACE_OUTER_BOUND('',#2347,.T.);
#1517=FACE_OUTER_BOUND('',#2348,.T.);
#1518=FACE_OUTER_BOUND('',#2349,.T.);
#1519=FACE_OUTER_BOUND('',#2350,.T.);
#1520=FACE_OUTER_BOUND('',#2351,.T.);
#1521=FACE_OUTER_BOUND('',#2352,.T.);
#1522=FACE_OUTER_BOUND('',#2353,.T.);
#1523=FACE_OUTER_BOUND('',#2354,.T.);
#1524=FACE_OUTER_BOUND('',#2355,.T.);
#1525=FACE_OUTER_BOUND('',#2356,.T.);
#1526=FACE_OUTER_BOUND('',#2367,.T.);
#1527=FACE_OUTER_BOUND('',#2368,.T.);
#1528=FACE_OUTER_BOUND('',#2369,.T.);
#1529=FACE_OUTER_BOUND('',#2370,.T.);
#1530=FACE_OUTER_BOUND('',#2371,.T.);
#1531=FACE_OUTER_BOUND('',#2372,.T.);
#1532=FACE_OUTER_BOUND('',#2373,.T.);
#1533=FACE_OUTER_BOUND('',#2374,.T.);
#1534=FACE_OUTER_BOUND('',#2375,.T.);
#1535=FACE_OUTER_BOUND('',#2376,.T.);
#1536=FACE_OUTER_BOUND('',#2377,.T.);
#1537=FACE_OUTER_BOUND('',#2378,.T.);
#1538=FACE_OUTER_BOUND('',#2379,.T.);
#1539=FACE_OUTER_BOUND('',#2380,.T.);
#1540=FACE_OUTER_BOUND('',#2381,.T.);
#1541=FACE_OUTER_BOUND('',#2382,.T.);
#1542=FACE_OUTER_BOUND('',#2383,.T.);
#1543=FACE_OUTER_BOUND('',#2384,.T.);
#1544=FACE_OUTER_BOUND('',#2385,.T.);
#1545=FACE_OUTER_BOUND('',#2386,.T.);
#1546=FACE_OUTER_BOUND('',#2387,.T.);
#1547=FACE_OUTER_BOUND('',#2388,.T.);
#1548=FACE_OUTER_BOUND('',#2389,.T.);
#1549=FACE_OUTER_BOUND('',#2390,.T.);
#1550=FACE_OUTER_BOUND('',#2391,.T.);
#1551=FACE_OUTER_BOUND('',#2392,.T.);
#1552=FACE_OUTER_BOUND('',#2393,.T.);
#1553=FACE_OUTER_BOUND('',#2394,.T.);
#1554=FACE_OUTER_BOUND('',#2395,.T.);
#1555=FACE_OUTER_BOUND('',#2396,.T.);
#1556=FACE_OUTER_BOUND('',#2397,.T.);
#1557=FACE_OUTER_BOUND('',#2398,.T.);
#1558=FACE_OUTER_BOUND('',#2399,.T.);
#1559=FACE_OUTER_BOUND('',#2400,.T.);
#1560=FACE_OUTER_BOUND('',#2401,.T.);
#1561=FACE_OUTER_BOUND('',#2402,.T.);
#1562=FACE_OUTER_BOUND('',#2403,.T.);
#1563=FACE_OUTER_BOUND('',#2404,.T.);
#1564=FACE_OUTER_BOUND('',#2405,.T.);
#1565=FACE_OUTER_BOUND('',#2406,.T.);
#1566=FACE_OUTER_BOUND('',#2407,.T.);
#1567=FACE_OUTER_BOUND('',#2408,.T.);
#1568=FACE_OUTER_BOUND('',#2409,.T.);
#1569=FACE_OUTER_BOUND('',#2410,.T.);
#1570=FACE_OUTER_BOUND('',#2411,.T.);
#1571=FACE_OUTER_BOUND('',#2412,.T.);
#1572=FACE_OUTER_BOUND('',#2413,.T.);
#1573=FACE_OUTER_BOUND('',#2414,.T.);
#1574=FACE_OUTER_BOUND('',#2415,.T.);
#1575=FACE_OUTER_BOUND('',#2416,.T.);
#1576=FACE_OUTER_BOUND('',#2417,.T.);
#1577=FACE_OUTER_BOUND('',#2418,.T.);
#1578=FACE_OUTER_BOUND('',#2419,.T.);
#1579=FACE_OUTER_BOUND('',#2420,.T.);
#1580=FACE_OUTER_BOUND('',#2421,.T.);
#1581=FACE_OUTER_BOUND('',#2422,.T.);
#1582=FACE_OUTER_BOUND('',#2423,.T.);
#1583=FACE_OUTER_BOUND('',#2424,.T.);
#1584=FACE_OUTER_BOUND('',#2425,.T.);
#1585=FACE_OUTER_BOUND('',#2426,.T.);
#1586=FACE_OUTER_BOUND('',#2427,.T.);
#1587=FACE_OUTER_BOUND('',#2428,.T.);
#1588=FACE_OUTER_BOUND('',#2429,.T.);
#1589=FACE_OUTER_BOUND('',#2430,.T.);
#1590=FACE_OUTER_BOUND('',#2431,.T.);
#1591=FACE_OUTER_BOUND('',#2432,.T.);
#1592=FACE_OUTER_BOUND('',#2433,.T.);
#1593=FACE_OUTER_BOUND('',#2434,.T.);
#1594=FACE_OUTER_BOUND('',#2435,.T.);
#1595=FACE_OUTER_BOUND('',#2436,.T.);
#1596=FACE_OUTER_BOUND('',#2437,.T.);
#1597=FACE_OUTER_BOUND('',#2438,.T.);
#1598=FACE_OUTER_BOUND('',#2439,.T.);
#1599=FACE_OUTER_BOUND('',#2440,.T.);
#1600=FACE_OUTER_BOUND('',#2441,.T.);
#1601=FACE_OUTER_BOUND('',#2442,.T.);
#1602=FACE_OUTER_BOUND('',#2443,.T.);
#1603=FACE_OUTER_BOUND('',#2444,.T.);
#1604=FACE_OUTER_BOUND('',#2445,.T.);
#1605=FACE_OUTER_BOUND('',#2446,.T.);
#1606=FACE_OUTER_BOUND('',#2447,.T.);
#1607=FACE_OUTER_BOUND('',#2448,.T.);
#1608=FACE_OUTER_BOUND('',#2449,.T.);
#1609=FACE_OUTER_BOUND('',#2450,.T.);
#1610=FACE_OUTER_BOUND('',#2451,.T.);
#1611=FACE_OUTER_BOUND('',#2452,.T.);
#1612=FACE_OUTER_BOUND('',#2453,.T.);
#1613=FACE_OUTER_BOUND('',#2454,.T.);
#1614=FACE_OUTER_BOUND('',#2455,.T.);
#1615=FACE_OUTER_BOUND('',#2456,.T.);
#1616=FACE_OUTER_BOUND('',#2457,.T.);
#1617=FACE_OUTER_BOUND('',#2458,.T.);
#1618=FACE_OUTER_BOUND('',#2459,.T.);
#1619=FACE_OUTER_BOUND('',#2460,.T.);
#1620=FACE_OUTER_BOUND('',#2461,.T.);
#1621=FACE_OUTER_BOUND('',#2462,.T.);
#1622=FACE_OUTER_BOUND('',#2463,.T.);
#1623=FACE_OUTER_BOUND('',#2464,.T.);
#1624=FACE_OUTER_BOUND('',#2465,.T.);
#1625=FACE_OUTER_BOUND('',#2466,.T.);
#1626=FACE_OUTER_BOUND('',#2467,.T.);
#1627=FACE_OUTER_BOUND('',#2468,.T.);
#1628=FACE_OUTER_BOUND('',#2469,.T.);
#1629=FACE_OUTER_BOUND('',#2470,.T.);
#1630=FACE_OUTER_BOUND('',#2471,.T.);
#1631=FACE_OUTER_BOUND('',#2472,.T.);
#1632=FACE_OUTER_BOUND('',#2473,.T.);
#1633=FACE_OUTER_BOUND('',#2474,.T.);
#1634=FACE_OUTER_BOUND('',#2475,.T.);
#1635=FACE_OUTER_BOUND('',#2476,.T.);
#1636=FACE_OUTER_BOUND('',#2477,.T.);
#1637=FACE_OUTER_BOUND('',#2478,.T.);
#1638=FACE_OUTER_BOUND('',#2479,.T.);
#1639=FACE_OUTER_BOUND('',#2480,.T.);
#1640=FACE_OUTER_BOUND('',#2481,.T.);
#1641=FACE_OUTER_BOUND('',#2482,.T.);
#1642=FACE_OUTER_BOUND('',#2483,.T.);
#1643=FACE_OUTER_BOUND('',#2484,.T.);
#1644=FACE_OUTER_BOUND('',#2485,.T.);
#1645=FACE_OUTER_BOUND('',#2486,.T.);
#1646=FACE_OUTER_BOUND('',#2487,.T.);
#1647=FACE_OUTER_BOUND('',#2488,.T.);
#1648=FACE_OUTER_BOUND('',#2489,.T.);
#1649=FACE_OUTER_BOUND('',#2490,.T.);
#1650=FACE_OUTER_BOUND('',#2491,.T.);
#1651=FACE_OUTER_BOUND('',#2492,.T.);
#1652=FACE_OUTER_BOUND('',#2493,.T.);
#1653=FACE_OUTER_BOUND('',#2494,.T.);
#1654=FACE_OUTER_BOUND('',#2495,.T.);
#1655=FACE_OUTER_BOUND('',#2496,.T.);
#1656=FACE_OUTER_BOUND('',#2497,.T.);
#1657=FACE_OUTER_BOUND('',#2498,.T.);
#1658=FACE_OUTER_BOUND('',#2499,.T.);
#1659=FACE_OUTER_BOUND('',#2500,.T.);
#1660=FACE_OUTER_BOUND('',#2501,.T.);
#1661=FACE_OUTER_BOUND('',#2502,.T.);
#1662=FACE_OUTER_BOUND('',#2503,.T.);
#1663=FACE_OUTER_BOUND('',#2504,.T.);
#1664=FACE_OUTER_BOUND('',#2505,.T.);
#1665=FACE_OUTER_BOUND('',#2506,.T.);
#1666=FACE_OUTER_BOUND('',#2507,.T.);
#1667=FACE_OUTER_BOUND('',#2508,.T.);
#1668=FACE_OUTER_BOUND('',#2509,.T.);
#1669=FACE_OUTER_BOUND('',#2510,.T.);
#1670=FACE_OUTER_BOUND('',#2511,.T.);
#1671=FACE_OUTER_BOUND('',#2512,.T.);
#1672=FACE_OUTER_BOUND('',#2513,.T.);
#1673=FACE_OUTER_BOUND('',#2514,.T.);
#1674=FACE_OUTER_BOUND('',#2515,.T.);
#1675=FACE_OUTER_BOUND('',#2516,.T.);
#1676=FACE_OUTER_BOUND('',#2517,.T.);
#1677=FACE_OUTER_BOUND('',#2518,.T.);
#1678=FACE_OUTER_BOUND('',#2519,.T.);
#1679=FACE_OUTER_BOUND('',#2520,.T.);
#1680=FACE_OUTER_BOUND('',#2521,.T.);
#1681=FACE_OUTER_BOUND('',#2522,.T.);
#1682=FACE_OUTER_BOUND('',#2523,.T.);
#1683=FACE_OUTER_BOUND('',#2524,.T.);
#1684=FACE_OUTER_BOUND('',#2525,.T.);
#1685=FACE_OUTER_BOUND('',#2526,.T.);
#1686=FACE_OUTER_BOUND('',#2527,.T.);
#1687=FACE_OUTER_BOUND('',#2528,.T.);
#1688=FACE_OUTER_BOUND('',#2529,.T.);
#1689=FACE_OUTER_BOUND('',#2530,.T.);
#1690=FACE_OUTER_BOUND('',#2531,.T.);
#1691=FACE_OUTER_BOUND('',#2532,.T.);
#1692=FACE_OUTER_BOUND('',#2533,.T.);
#1693=FACE_OUTER_BOUND('',#2534,.T.);
#1694=FACE_OUTER_BOUND('',#2535,.T.);
#1695=FACE_OUTER_BOUND('',#2536,.T.);
#1696=FACE_OUTER_BOUND('',#2537,.T.);
#1697=FACE_OUTER_BOUND('',#2538,.T.);
#1698=FACE_OUTER_BOUND('',#2539,.T.);
#1699=FACE_OUTER_BOUND('',#2540,.T.);
#1700=FACE_OUTER_BOUND('',#2541,.T.);
#1701=FACE_OUTER_BOUND('',#2542,.T.);
#1702=FACE_OUTER_BOUND('',#2543,.T.);
#1703=FACE_OUTER_BOUND('',#2544,.T.);
#1704=FACE_OUTER_BOUND('',#2545,.T.);
#1705=FACE_OUTER_BOUND('',#2546,.T.);
#1706=FACE_OUTER_BOUND('',#2547,.T.);
#1707=FACE_OUTER_BOUND('',#2548,.T.);
#1708=FACE_OUTER_BOUND('',#2549,.T.);
#1709=FACE_OUTER_BOUND('',#2550,.T.);
#1710=FACE_OUTER_BOUND('',#2551,.T.);
#1711=FACE_OUTER_BOUND('',#2552,.T.);
#1712=FACE_OUTER_BOUND('',#2553,.T.);
#1713=FACE_OUTER_BOUND('',#2554,.T.);
#1714=FACE_OUTER_BOUND('',#2555,.T.);
#1715=FACE_OUTER_BOUND('',#2556,.T.);
#1716=FACE_OUTER_BOUND('',#2557,.T.);
#1717=FACE_OUTER_BOUND('',#2558,.T.);
#1718=FACE_OUTER_BOUND('',#2559,.T.);
#1719=FACE_OUTER_BOUND('',#2560,.T.);
#1720=FACE_OUTER_BOUND('',#2561,.T.);
#1721=FACE_OUTER_BOUND('',#2562,.T.);
#1722=FACE_OUTER_BOUND('',#2563,.T.);
#1723=FACE_OUTER_BOUND('',#2574,.T.);
#1724=EDGE_LOOP('',(#9732,#9733,#9734,#9735));
#1725=EDGE_LOOP('',(#9736,#9737,#9738,#9739));
#1726=EDGE_LOOP('',(#9740,#9741,#9742,#9743));
#1727=EDGE_LOOP('',(#9744,#9745,#9746,#9747));
#1728=EDGE_LOOP('',(#9748,#9749,#9750,#9751));
#1729=EDGE_LOOP('',(#9752,#9753,#9754,#9755));
#1730=EDGE_LOOP('',(#9756,#9757,#9758));
#1731=EDGE_LOOP('',(#9759,#9760,#9761,#9762));
#1732=EDGE_LOOP('',(#9763,#9764,#9765,#9766));
#1733=EDGE_LOOP('',(#9767,#9768,#9769,#9770));
#1734=EDGE_LOOP('',(#9771,#9772,#9773,#9774));
#1735=EDGE_LOOP('',(#9775,#9776,#9777,#9778));
#1736=EDGE_LOOP('',(#9779,#9780,#9781,#9782));
#1737=EDGE_LOOP('',(#9783,#9784,#9785,#9786));
#1738=EDGE_LOOP('',(#9787,#9788,#9789));
#1739=EDGE_LOOP('',(#9790,#9791,#9792));
#1740=EDGE_LOOP('',(#9793,#9794,#9795));
#1741=EDGE_LOOP('',(#9796,#9797,#9798));
#1742=EDGE_LOOP('',(#9799,#9800,#9801,#9802));
#1743=EDGE_LOOP('',(#9803,#9804,#9805,#9806));
#1744=EDGE_LOOP('',(#9807,#9808,#9809,#9810));
#1745=EDGE_LOOP('',(#9811,#9812,#9813));
#1746=EDGE_LOOP('',(#9814,#9815,#9816,#9817));
#1747=EDGE_LOOP('',(#9818,#9819,#9820,#9821));
#1748=EDGE_LOOP('',(#9822,#9823,#9824,#9825));
#1749=EDGE_LOOP('',(#9826,#9827,#9828,#9829));
#1750=EDGE_LOOP('',(#9830,#9831,#9832,#9833));
#1751=EDGE_LOOP('',(#9834,#9835,#9836));
#1752=EDGE_LOOP('',(#9837,#9838,#9839,#9840));
#1753=EDGE_LOOP('',(#9841,#9842,#9843,#9844));
#1754=EDGE_LOOP('',(#9845,#9846,#9847,#9848));
#1755=EDGE_LOOP('',(#9849,#9850,#9851,#9852));
#1756=EDGE_LOOP('',(#9853,#9854,#9855,#9856));
#1757=EDGE_LOOP('',(#9857,#9858,#9859,#9860));
#1758=EDGE_LOOP('',(#9861,#9862,#9863,#9864));
#1759=EDGE_LOOP('',(#9865,#9866,#9867,#9868));
#1760=EDGE_LOOP('',(#9869,#9870,#9871,#9872));
#1761=EDGE_LOOP('',(#9873,#9874,#9875));
#1762=EDGE_LOOP('',(#9876,#9877,#9878,#9879));
#1763=EDGE_LOOP('',(#9880,#9881,#9882));
#1764=EDGE_LOOP('',(#9883,#9884,#9885,#9886));
#1765=EDGE_LOOP('',(#9887,#9888,#9889));
#1766=EDGE_LOOP('',(#9890,#9891,#9892));
#1767=EDGE_LOOP('',(#9893,#9894,#9895,#9896));
#1768=EDGE_LOOP('',(#9897,#9898,#9899,#9900));
#1769=EDGE_LOOP('',(#9901,#9902,#9903,#9904));
#1770=EDGE_LOOP('',(#9905,#9906,#9907));
#1771=EDGE_LOOP('',(#9908,#9909,#9910,#9911));
#1772=EDGE_LOOP('',(#9912,#9913,#9914,#9915));
#1773=EDGE_LOOP('',(#9916,#9917,#9918,#9919));
#1774=EDGE_LOOP('',(#9920,#9921,#9922,#9923));
#1775=EDGE_LOOP('',(#9924,#9925,#9926));
#1776=EDGE_LOOP('',(#9927,#9928,#9929,#9930));
#1777=EDGE_LOOP('',(#9931,#9932,#9933));
#1778=EDGE_LOOP('',(#9934,#9935,#9936,#9937));
#1779=EDGE_LOOP('',(#9938,#9939,#9940,#9941));
#1780=EDGE_LOOP('',(#9942,#9943,#9944,#9945));
#1781=EDGE_LOOP('',(#9946,#9947,#9948,#9949));
#1782=EDGE_LOOP('',(#9950,#9951,#9952,#9953));
#1783=EDGE_LOOP('',(#9954,#9955,#9956));
#1784=EDGE_LOOP('',(#9957,#9958,#9959,#9960));
#1785=EDGE_LOOP('',(#9961,#9962,#9963,#9964));
#1786=EDGE_LOOP('',(#9965,#9966,#9967,#9968));
#1787=EDGE_LOOP('',(#9969,#9970,#9971,#9972));
#1788=EDGE_LOOP('',(#9973,#9974,#9975,#9976));
#1789=EDGE_LOOP('',(#9977,#9978,#9979,#9980));
#1790=EDGE_LOOP('',(#9981,#9982,#9983,#9984));
#1791=EDGE_LOOP('',(#9985,#9986,#9987,#9988));
#1792=EDGE_LOOP('',(#9989,#9990,#9991,#9992));
#1793=EDGE_LOOP('',(#9993,#9994,#9995,#9996));
#1794=EDGE_LOOP('',(#9997,#9998,#9999,#10000));
#1795=EDGE_LOOP('',(#10001,#10002,#10003,#10004));
#1796=EDGE_LOOP('',(#10005,#10006,#10007,#10008));
#1797=EDGE_LOOP('',(#10009,#10010,#10011,#10012));
#1798=EDGE_LOOP('',(#10013,#10014,#10015,#10016));
#1799=EDGE_LOOP('',(#10017,#10018,#10019,#10020));
#1800=EDGE_LOOP('',(#10021,#10022,#10023,#10024));
#1801=EDGE_LOOP('',(#10025,#10026,#10027,#10028));
#1802=EDGE_LOOP('',(#10029,#10030,#10031,#10032));
#1803=EDGE_LOOP('',(#10033,#10034,#10035,#10036));
#1804=EDGE_LOOP('',(#10037,#10038,#10039,#10040));
#1805=EDGE_LOOP('',(#10041,#10042,#10043,#10044));
#1806=EDGE_LOOP('',(#10045,#10046,#10047,#10048));
#1807=EDGE_LOOP('',(#10049,#10050,#10051,#10052));
#1808=EDGE_LOOP('',(#10053,#10054,#10055,#10056));
#1809=EDGE_LOOP('',(#10057,#10058,#10059,#10060));
#1810=EDGE_LOOP('',(#10061,#10062,#10063,#10064,#10065,#10066,#10067,#10068,
#10069,#10070,#10071,#10072,#10073,#10074,#10075,#10076,#10077,#10078,#10079,
#10080,#10081,#10082,#10083,#10084,#10085,#10086,#10087,#10088,#10089,#10090,
#10091,#10092,#10093,#10094,#10095,#10096,#10097,#10098,#10099,#10100,#10101,
#10102));
#1811=EDGE_LOOP('',(#10103,#10104,#10105,#10106));
#1812=EDGE_LOOP('',(#10107,#10108,#10109,#10110));
#1813=EDGE_LOOP('',(#10111,#10112,#10113,#10114));
#1814=EDGE_LOOP('',(#10115,#10116,#10117,#10118));
#1815=EDGE_LOOP('',(#10119,#10120,#10121,#10122));
#1816=EDGE_LOOP('',(#10123,#10124,#10125,#10126));
#1817=EDGE_LOOP('',(#10127,#10128,#10129,#10130));
#1818=EDGE_LOOP('',(#10131,#10132,#10133,#10134));
#1819=EDGE_LOOP('',(#10135,#10136,#10137,#10138));
#1820=EDGE_LOOP('',(#10139,#10140,#10141,#10142));
#1821=EDGE_LOOP('',(#10143,#10144,#10145,#10146));
#1822=EDGE_LOOP('',(#10147,#10148,#10149,#10150));
#1823=EDGE_LOOP('',(#10151,#10152,#10153,#10154));
#1824=EDGE_LOOP('',(#10155,#10156,#10157,#10158));
#1825=EDGE_LOOP('',(#10159,#10160,#10161,#10162,#10163,#10164,#10165,#10166,
#10167,#10168,#10169,#10170,#10171,#10172,#10173,#10174,#10175,#10176,#10177,
#10178,#10179,#10180));
#1826=EDGE_LOOP('',(#10181,#10182,#10183,#10184));
#1827=EDGE_LOOP('',(#10185,#10186,#10187,#10188));
#1828=EDGE_LOOP('',(#10189,#10190,#10191,#10192));
#1829=EDGE_LOOP('',(#10193,#10194,#10195,#10196));
#1830=EDGE_LOOP('',(#10197,#10198,#10199,#10200));
#1831=EDGE_LOOP('',(#10201,#10202,#10203,#10204,#10205));
#1832=EDGE_LOOP('',(#10206,#10207,#10208,#10209,#10210));
#1833=EDGE_LOOP('',(#10211,#10212,#10213,#10214));
#1834=EDGE_LOOP('',(#10215,#10216,#10217,#10218));
#1835=EDGE_LOOP('',(#10219,#10220,#10221,#10222,#10223));
#1836=EDGE_LOOP('',(#10224,#10225,#10226,#10227));
#1837=EDGE_LOOP('',(#10228,#10229,#10230,#10231,#10232));
#1838=EDGE_LOOP('',(#10233,#10234,#10235,#10236,#10237));
#1839=EDGE_LOOP('',(#10238,#10239,#10240,#10241));
#1840=EDGE_LOOP('',(#10242,#10243,#10244,#10245));
#1841=EDGE_LOOP('',(#10246,#10247,#10248,#10249,#10250));
#1842=EDGE_LOOP('',(#10251,#10252,#10253,#10254));
#1843=EDGE_LOOP('',(#10255,#10256,#10257,#10258,#10259));
#1844=EDGE_LOOP('',(#10260,#10261,#10262,#10263,#10264));
#1845=EDGE_LOOP('',(#10265,#10266,#10267,#10268));
#1846=EDGE_LOOP('',(#10269,#10270,#10271,#10272));
#1847=EDGE_LOOP('',(#10273,#10274,#10275,#10276,#10277));
#1848=EDGE_LOOP('',(#10278,#10279,#10280,#10281));
#1849=EDGE_LOOP('',(#10282,#10283,#10284,#10285,#10286));
#1850=EDGE_LOOP('',(#10287,#10288,#10289,#10290,#10291));
#1851=EDGE_LOOP('',(#10292,#10293,#10294,#10295));
#1852=EDGE_LOOP('',(#10296,#10297,#10298,#10299));
#1853=EDGE_LOOP('',(#10300,#10301,#10302,#10303,#10304));
#1854=EDGE_LOOP('',(#10305,#10306,#10307,#10308));
#1855=EDGE_LOOP('',(#10309,#10310,#10311,#10312,#10313));
#1856=EDGE_LOOP('',(#10314,#10315,#10316,#10317,#10318));
#1857=EDGE_LOOP('',(#10319,#10320,#10321,#10322));
#1858=EDGE_LOOP('',(#10323,#10324,#10325,#10326));
#1859=EDGE_LOOP('',(#10327,#10328,#10329,#10330,#10331));
#1860=EDGE_LOOP('',(#10332,#10333,#10334,#10335));
#1861=EDGE_LOOP('',(#10336,#10337,#10338,#10339,#10340));
#1862=EDGE_LOOP('',(#10341,#10342,#10343,#10344,#10345));
#1863=EDGE_LOOP('',(#10346,#10347,#10348,#10349));
#1864=EDGE_LOOP('',(#10350,#10351,#10352,#10353));
#1865=EDGE_LOOP('',(#10354,#10355,#10356,#10357,#10358));
#1866=EDGE_LOOP('',(#10359,#10360,#10361,#10362));
#1867=EDGE_LOOP('',(#10363,#10364,#10365,#10366,#10367));
#1868=EDGE_LOOP('',(#10368,#10369,#10370,#10371,#10372));
#1869=EDGE_LOOP('',(#10373,#10374,#10375,#10376));
#1870=EDGE_LOOP('',(#10377,#10378,#10379,#10380));
#1871=EDGE_LOOP('',(#10381,#10382,#10383,#10384,#10385));
#1872=EDGE_LOOP('',(#10386,#10387,#10388,#10389));
#1873=EDGE_LOOP('',(#10390,#10391,#10392,#10393,#10394));
#1874=EDGE_LOOP('',(#10395,#10396,#10397,#10398,#10399));
#1875=EDGE_LOOP('',(#10400,#10401,#10402,#10403));
#1876=EDGE_LOOP('',(#10404,#10405,#10406,#10407));
#1877=EDGE_LOOP('',(#10408,#10409,#10410,#10411,#10412));
#1878=EDGE_LOOP('',(#10413,#10414,#10415,#10416));
#1879=EDGE_LOOP('',(#10417,#10418,#10419,#10420,#10421));
#1880=EDGE_LOOP('',(#10422,#10423,#10424,#10425,#10426));
#1881=EDGE_LOOP('',(#10427,#10428,#10429,#10430));
#1882=EDGE_LOOP('',(#10431,#10432,#10433,#10434));
#1883=EDGE_LOOP('',(#10435,#10436,#10437,#10438,#10439));
#1884=EDGE_LOOP('',(#10440,#10441,#10442,#10443));
#1885=EDGE_LOOP('',(#10444,#10445,#10446,#10447,#10448));
#1886=EDGE_LOOP('',(#10449,#10450,#10451,#10452));
#1887=EDGE_LOOP('',(#10453,#10454,#10455,#10456));
#1888=EDGE_LOOP('',(#10457,#10458,#10459,#10460,#10461));
#1889=EDGE_LOOP('',(#10462,#10463,#10464,#10465));
#1890=EDGE_LOOP('',(#10466,#10467,#10468,#10469));
#1891=EDGE_LOOP('',(#10470,#10471,#10472,#10473,#10474));
#1892=EDGE_LOOP('',(#10475,#10476,#10477,#10478));
#1893=EDGE_LOOP('',(#10479,#10480,#10481,#10482,#10483));
#1894=EDGE_LOOP('',(#10484,#10485,#10486,#10487));
#1895=EDGE_LOOP('',(#10488,#10489,#10490,#10491,#10492));
#1896=EDGE_LOOP('',(#10493,#10494,#10495,#10496));
#1897=EDGE_LOOP('',(#10497,#10498,#10499,#10500,#10501));
#1898=EDGE_LOOP('',(#10502,#10503,#10504,#10505));
#1899=EDGE_LOOP('',(#10506,#10507,#10508,#10509,#10510));
#1900=EDGE_LOOP('',(#10511,#10512,#10513,#10514));
#1901=EDGE_LOOP('',(#10515,#10516,#10517,#10518,#10519));
#1902=EDGE_LOOP('',(#10520,#10521,#10522,#10523));
#1903=EDGE_LOOP('',(#10524,#10525,#10526,#10527,#10528));
#1904=EDGE_LOOP('',(#10529,#10530,#10531,#10532));
#1905=EDGE_LOOP('',(#10533,#10534,#10535,#10536,#10537));
#1906=EDGE_LOOP('',(#10538,#10539,#10540,#10541));
#1907=EDGE_LOOP('',(#10542,#10543,#10544,#10545,#10546));
#1908=EDGE_LOOP('',(#10547,#10548,#10549,#10550));
#1909=EDGE_LOOP('',(#10551,#10552,#10553,#10554,#10555));
#1910=EDGE_LOOP('',(#10556,#10557,#10558,#10559,#10560));
#1911=EDGE_LOOP('',(#10561,#10562,#10563,#10564));
#1912=EDGE_LOOP('',(#10565,#10566,#10567,#10568,#10569));
#1913=EDGE_LOOP('',(#10570,#10571,#10572,#10573,#10574,#10575,#10576,#10577,
#10578));
#1914=EDGE_LOOP('',(#10579,#10580,#10581,#10582));
#1915=EDGE_LOOP('',(#10583,#10584,#10585,#10586,#10587,#10588,#10589,#10590,
#10591));
#1916=EDGE_LOOP('',(#10592,#10593,#10594,#10595,#10596,#10597));
#1917=EDGE_LOOP('',(#10598,#10599,#10600,#10601,#10602,#10603,#10604,#10605,
#10606));
#1918=EDGE_LOOP('',(#10607,#10608,#10609,#10610));
#1919=EDGE_LOOP('',(#10611,#10612,#10613,#10614,#10615,#10616,#10617,#10618,
#10619));
#1920=EDGE_LOOP('',(#10620,#10621,#10622,#10623,#10624,#10625));
#1921=EDGE_LOOP('',(#10626,#10627,#10628,#10629,#10630,#10631,#10632,#10633,
#10634));
#1922=EDGE_LOOP('',(#10635,#10636,#10637,#10638));
#1923=EDGE_LOOP('',(#10639,#10640,#10641,#10642,#10643,#10644,#10645,#10646,
#10647));
#1924=EDGE_LOOP('',(#10648,#10649,#10650,#10651,#10652,#10653));
#1925=EDGE_LOOP('',(#10654,#10655,#10656,#10657,#10658,#10659,#10660,#10661,
#10662));
#1926=EDGE_LOOP('',(#10663,#10664,#10665,#10666));
#1927=EDGE_LOOP('',(#10667,#10668,#10669,#10670,#10671,#10672,#10673,#10674,
#10675));
#1928=EDGE_LOOP('',(#10676,#10677,#10678,#10679,#10680,#10681));
#1929=EDGE_LOOP('',(#10682,#10683,#10684,#10685,#10686,#10687,#10688,#10689,
#10690));
#1930=EDGE_LOOP('',(#10691,#10692,#10693,#10694));
#1931=EDGE_LOOP('',(#10695,#10696,#10697,#10698,#10699,#10700,#10701,#10702,
#10703));
#1932=EDGE_LOOP('',(#10704,#10705,#10706,#10707,#10708,#10709));
#1933=EDGE_LOOP('',(#10710,#10711,#10712,#10713,#10714,#10715,#10716,#10717,
#10718));
#1934=EDGE_LOOP('',(#10719,#10720,#10721,#10722));
#1935=EDGE_LOOP('',(#10723,#10724,#10725,#10726,#10727,#10728,#10729,#10730,
#10731));
#1936=EDGE_LOOP('',(#10732,#10733,#10734,#10735,#10736,#10737));
#1937=EDGE_LOOP('',(#10738,#10739,#10740,#10741,#10742,#10743,#10744,#10745,
#10746));
#1938=EDGE_LOOP('',(#10747,#10748,#10749,#10750));
#1939=EDGE_LOOP('',(#10751,#10752,#10753,#10754,#10755,#10756,#10757,#10758,
#10759));
#1940=EDGE_LOOP('',(#10760,#10761,#10762,#10763,#10764,#10765));
#1941=EDGE_LOOP('',(#10766,#10767,#10768,#10769,#10770,#10771,#10772,#10773,
#10774));
#1942=EDGE_LOOP('',(#10775,#10776,#10777,#10778));
#1943=EDGE_LOOP('',(#10779,#10780,#10781,#10782,#10783,#10784,#10785,#10786,
#10787));
#1944=EDGE_LOOP('',(#10788,#10789,#10790,#10791,#10792,#10793));
#1945=EDGE_LOOP('',(#10794,#10795,#10796,#10797,#10798,#10799,#10800,#10801,
#10802));
#1946=EDGE_LOOP('',(#10803,#10804,#10805,#10806));
#1947=EDGE_LOOP('',(#10807,#10808,#10809,#10810,#10811,#10812,#10813,#10814,
#10815));
#1948=EDGE_LOOP('',(#10816,#10817,#10818,#10819,#10820,#10821));
#1949=EDGE_LOOP('',(#10822,#10823,#10824,#10825,#10826,#10827,#10828,#10829,
#10830));
#1950=EDGE_LOOP('',(#10831,#10832,#10833,#10834));
#1951=EDGE_LOOP('',(#10835,#10836,#10837,#10838,#10839,#10840,#10841,#10842,
#10843));
#1952=EDGE_LOOP('',(#10844,#10845,#10846,#10847,#10848));
#1953=EDGE_LOOP('',(#10849,#10850,#10851,#10852,#10853,#10854,#10855,#10856,
#10857,#10858));
#1954=EDGE_LOOP('',(#10859,#10860,#10861,#10862,#10863,#10864,#10865,#10866,
#10867,#10868,#10869,#10870,#10871,#10872,#10873,#10874,#10875,#10876,#10877,
#10878,#10879,#10880,#10881,#10882,#10883,#10884,#10885,#10886,#10887,#10888,
#10889,#10890,#10891,#10892,#10893,#10894,#10895,#10896,#10897,#10898,#10899,
#10900,#10901,#10902,#10903,#10904,#10905,#10906,#10907,#10908,#10909,#10910,
#10911,#10912,#10913,#10914,#10915,#10916,#10917,#10918,#10919,#10920,#10921,
#10922,#10923,#10924,#10925,#10926,#10927,#10928,#10929,#10930,#10931,#10932,
#10933,#10934,#10935,#10936,#10937,#10938,#10939,#10940,#10941,#10942));
#1955=EDGE_LOOP('',(#10943,#10944,#10945));
#1956=EDGE_LOOP('',(#10946,#10947,#10948));
#1957=EDGE_LOOP('',(#10949,#10950,#10951,#10952));
#1958=EDGE_LOOP('',(#10953,#10954,#10955,#10956));
#1959=EDGE_LOOP('',(#10957,#10958,#10959));
#1960=EDGE_LOOP('',(#10960,#10961,#10962,#10963));
#1961=EDGE_LOOP('',(#10964,#10965,#10966));
#1962=EDGE_LOOP('',(#10967,#10968,#10969));
#1963=EDGE_LOOP('',(#10970,#10971,#10972,#10973));
#1964=EDGE_LOOP('',(#10974,#10975,#10976,#10977));
#1965=EDGE_LOOP('',(#10978,#10979,#10980,#10981));
#1966=EDGE_LOOP('',(#10982,#10983,#10984,#10985));
#1967=EDGE_LOOP('',(#10986,#10987,#10988));
#1968=EDGE_LOOP('',(#10989,#10990,#10991,#10992));
#1969=EDGE_LOOP('',(#10993,#10994,#10995));
#1970=EDGE_LOOP('',(#10996,#10997,#10998,#10999));
#1971=EDGE_LOOP('',(#11000,#11001,#11002,#11003));
#1972=EDGE_LOOP('',(#11004,#11005,#11006,#11007));
#1973=EDGE_LOOP('',(#11008,#11009,#11010,#11011));
#1974=EDGE_LOOP('',(#11012,#11013,#11014,#11015));
#1975=EDGE_LOOP('',(#11016,#11017,#11018,#11019));
#1976=EDGE_LOOP('',(#11020,#11021,#11022,#11023));
#1977=EDGE_LOOP('',(#11024,#11025,#11026));
#1978=EDGE_LOOP('',(#11027,#11028,#11029));
#1979=EDGE_LOOP('',(#11030,#11031,#11032));
#1980=EDGE_LOOP('',(#11033,#11034,#11035,#11036));
#1981=EDGE_LOOP('',(#11037,#11038,#11039,#11040));
#1982=EDGE_LOOP('',(#11041,#11042,#11043));
#1983=EDGE_LOOP('',(#11044,#11045,#11046,#11047));
#1984=EDGE_LOOP('',(#11048,#11049,#11050,#11051));
#1985=EDGE_LOOP('',(#11052,#11053,#11054,#11055));
#1986=EDGE_LOOP('',(#11056,#11057,#11058,#11059));
#1987=EDGE_LOOP('',(#11060,#11061,#11062,#11063));
#1988=EDGE_LOOP('',(#11064,#11065,#11066,#11067));
#1989=EDGE_LOOP('',(#11068,#11069,#11070,#11071));
#1990=EDGE_LOOP('',(#11072,#11073,#11074));
#1991=EDGE_LOOP('',(#11075,#11076,#11077,#11078));
#1992=EDGE_LOOP('',(#11079,#11080,#11081,#11082));
#1993=EDGE_LOOP('',(#11083,#11084,#11085));
#1994=EDGE_LOOP('',(#11086,#11087,#11088,#11089));
#1995=EDGE_LOOP('',(#11090,#11091,#11092));
#1996=EDGE_LOOP('',(#11093,#11094,#11095,#11096));
#1997=EDGE_LOOP('',(#11097,#11098,#11099));
#1998=EDGE_LOOP('',(#11100,#11101,#11102,#11103));
#1999=EDGE_LOOP('',(#11104,#11105,#11106,#11107));
#2000=EDGE_LOOP('',(#11108,#11109,#11110,#11111));
#2001=EDGE_LOOP('',(#11112,#11113,#11114));
#2002=EDGE_LOOP('',(#11115,#11116,#11117,#11118));
#2003=EDGE_LOOP('',(#11119,#11120,#11121));
#2004=EDGE_LOOP('',(#11122,#11123,#11124,#11125));
#2005=EDGE_LOOP('',(#11126,#11127,#11128,#11129));
#2006=EDGE_LOOP('',(#11130,#11131,#11132,#11133));
#2007=EDGE_LOOP('',(#11134,#11135,#11136,#11137));
#2008=EDGE_LOOP('',(#11138,#11139,#11140));
#2009=EDGE_LOOP('',(#11141,#11142,#11143,#11144));
#2010=EDGE_LOOP('',(#11145,#11146,#11147,#11148));
#2011=EDGE_LOOP('',(#11149,#11150,#11151,#11152));
#2012=EDGE_LOOP('',(#11153,#11154,#11155));
#2013=EDGE_LOOP('',(#11156,#11157,#11158,#11159));
#2014=EDGE_LOOP('',(#11160,#11161,#11162,#11163));
#2015=EDGE_LOOP('',(#11164,#11165,#11166,#11167));
#2016=EDGE_LOOP('',(#11168,#11169,#11170,#11171));
#2017=EDGE_LOOP('',(#11172,#11173,#11174));
#2018=EDGE_LOOP('',(#11175,#11176,#11177,#11178));
#2019=EDGE_LOOP('',(#11179,#11180,#11181,#11182));
#2020=EDGE_LOOP('',(#11183,#11184,#11185,#11186));
#2021=EDGE_LOOP('',(#11187,#11188,#11189,#11190));
#2022=EDGE_LOOP('',(#11191,#11192,#11193));
#2023=EDGE_LOOP('',(#11194,#11195,#11196,#11197));
#2024=EDGE_LOOP('',(#11198,#11199,#11200));
#2025=EDGE_LOOP('',(#11201,#11202,#11203,#11204));
#2026=EDGE_LOOP('',(#11205,#11206,#11207));
#2027=EDGE_LOOP('',(#11208,#11209,#11210,#11211));
#2028=EDGE_LOOP('',(#11212,#11213,#11214));
#2029=EDGE_LOOP('',(#11215,#11216,#11217,#11218));
#2030=EDGE_LOOP('',(#11219,#11220,#11221,#11222));
#2031=EDGE_LOOP('',(#11223,#11224,#11225,#11226));
#2032=EDGE_LOOP('',(#11227,#11228,#11229));
#2033=EDGE_LOOP('',(#11230,#11231,#11232));
#2034=EDGE_LOOP('',(#11233,#11234,#11235,#11236));
#2035=EDGE_LOOP('',(#11237,#11238,#11239));
#2036=EDGE_LOOP('',(#11240,#11241,#11242,#11243));
#2037=EDGE_LOOP('',(#11244,#11245,#11246,#11247));
#2038=EDGE_LOOP('',(#11248,#11249,#11250));
#2039=EDGE_LOOP('',(#11251,#11252,#11253,#11254));
#2040=EDGE_LOOP('',(#11255,#11256,#11257));
#2041=EDGE_LOOP('',(#11258,#11259,#11260,#11261));
#2042=EDGE_LOOP('',(#11262,#11263,#11264,#11265));
#2043=EDGE_LOOP('',(#11266,#11267,#11268,#11269));
#2044=EDGE_LOOP('',(#11270,#11271,#11272,#11273));
#2045=EDGE_LOOP('',(#11274,#11275,#11276,#11277));
#2046=EDGE_LOOP('',(#11278,#11279,#11280,#11281));
#2047=EDGE_LOOP('',(#11282,#11283,#11284,#11285));
#2048=EDGE_LOOP('',(#11286,#11287,#11288,#11289));
#2049=EDGE_LOOP('',(#11290,#11291,#11292,#11293));
#2050=EDGE_LOOP('',(#11294,#11295,#11296,#11297));
#2051=EDGE_LOOP('',(#11298,#11299,#11300,#11301));
#2052=EDGE_LOOP('',(#11302,#11303,#11304,#11305));
#2053=EDGE_LOOP('',(#11306,#11307,#11308,#11309));
#2054=EDGE_LOOP('',(#11310,#11311,#11312,#11313));
#2055=EDGE_LOOP('',(#11314,#11315,#11316,#11317));
#2056=EDGE_LOOP('',(#11318,#11319,#11320,#11321));
#2057=EDGE_LOOP('',(#11322,#11323,#11324,#11325));
#2058=EDGE_LOOP('',(#11326,#11327,#11328,#11329));
#2059=EDGE_LOOP('',(#11330,#11331,#11332,#11333));
#2060=EDGE_LOOP('',(#11334,#11335,#11336,#11337));
#2061=EDGE_LOOP('',(#11338,#11339,#11340,#11341));
#2062=EDGE_LOOP('',(#11342,#11343,#11344,#11345));
#2063=EDGE_LOOP('',(#11346,#11347,#11348,#11349));
#2064=EDGE_LOOP('',(#11350,#11351,#11352,#11353));
#2065=EDGE_LOOP('',(#11354,#11355,#11356,#11357));
#2066=EDGE_LOOP('',(#11358,#11359,#11360,#11361));
#2067=EDGE_LOOP('',(#11362,#11363,#11364,#11365));
#2068=EDGE_LOOP('',(#11366,#11367,#11368,#11369));
#2069=EDGE_LOOP('',(#11370,#11371,#11372,#11373));
#2070=EDGE_LOOP('',(#11374,#11375,#11376,#11377));
#2071=EDGE_LOOP('',(#11378,#11379,#11380,#11381));
#2072=EDGE_LOOP('',(#11382,#11383,#11384,#11385));
#2073=EDGE_LOOP('',(#11386,#11387,#11388,#11389));
#2074=EDGE_LOOP('',(#11390,#11391,#11392,#11393));
#2075=EDGE_LOOP('',(#11394,#11395,#11396,#11397));
#2076=EDGE_LOOP('',(#11398,#11399,#11400,#11401));
#2077=EDGE_LOOP('',(#11402,#11403,#11404,#11405));
#2078=EDGE_LOOP('',(#11406,#11407,#11408,#11409));
#2079=EDGE_LOOP('',(#11410,#11411,#11412,#11413));
#2080=EDGE_LOOP('',(#11414,#11415,#11416,#11417));
#2081=EDGE_LOOP('',(#11418,#11419,#11420,#11421));
#2082=EDGE_LOOP('',(#11422,#11423,#11424,#11425));
#2083=EDGE_LOOP('',(#11426,#11427,#11428,#11429));
#2084=EDGE_LOOP('',(#11430,#11431,#11432,#11433));
#2085=EDGE_LOOP('',(#11434,#11435,#11436,#11437));
#2086=EDGE_LOOP('',(#11438,#11439,#11440,#11441));
#2087=EDGE_LOOP('',(#11442,#11443,#11444,#11445));
#2088=EDGE_LOOP('',(#11446,#11447,#11448,#11449));
#2089=EDGE_LOOP('',(#11450,#11451,#11452,#11453));
#2090=EDGE_LOOP('',(#11454,#11455,#11456,#11457));
#2091=EDGE_LOOP('',(#11458,#11459,#11460,#11461));
#2092=EDGE_LOOP('',(#11462,#11463,#11464,#11465));
#2093=EDGE_LOOP('',(#11466,#11467,#11468,#11469));
#2094=EDGE_LOOP('',(#11470,#11471,#11472,#11473));
#2095=EDGE_LOOP('',(#11474,#11475,#11476,#11477));
#2096=EDGE_LOOP('',(#11478,#11479,#11480,#11481));
#2097=EDGE_LOOP('',(#11482,#11483,#11484,#11485));
#2098=EDGE_LOOP('',(#11486,#11487,#11488,#11489));
#2099=EDGE_LOOP('',(#11490,#11491,#11492,#11493));
#2100=EDGE_LOOP('',(#11494,#11495,#11496,#11497));
#2101=EDGE_LOOP('',(#11498,#11499,#11500,#11501));
#2102=EDGE_LOOP('',(#11502,#11503,#11504,#11505));
#2103=EDGE_LOOP('',(#11506,#11507,#11508,#11509));
#2104=EDGE_LOOP('',(#11510,#11511,#11512,#11513));
#2105=EDGE_LOOP('',(#11514,#11515,#11516,#11517));
#2106=EDGE_LOOP('',(#11518,#11519,#11520,#11521,#11522,#11523,#11524,#11525,
#11526,#11527,#11528,#11529,#11530,#11531,#11532,#11533,#11534,#11535,#11536,
#11537,#11538,#11539,#11540,#11541,#11542,#11543,#11544,#11545));
#2107=EDGE_LOOP('',(#11546));
#2108=EDGE_LOOP('',(#11547,#11548,#11549,#11550));
#2109=EDGE_LOOP('',(#11551,#11552,#11553,#11554));
#2110=EDGE_LOOP('',(#11555,#11556,#11557,#11558));
#2111=EDGE_LOOP('',(#11559,#11560,#11561,#11562));
#2112=EDGE_LOOP('',(#11563,#11564,#11565,#11566));
#2113=EDGE_LOOP('',(#11567,#11568,#11569,#11570));
#2114=EDGE_LOOP('',(#11571,#11572,#11573,#11574));
#2115=EDGE_LOOP('',(#11575,#11576,#11577,#11578));
#2116=EDGE_LOOP('',(#11579,#11580,#11581,#11582));
#2117=EDGE_LOOP('',(#11583,#11584,#11585,#11586));
#2118=EDGE_LOOP('',(#11587,#11588,#11589,#11590));
#2119=EDGE_LOOP('',(#11591,#11592,#11593,#11594));
#2120=EDGE_LOOP('',(#11595,#11596,#11597,#11598));
#2121=EDGE_LOOP('',(#11599,#11600,#11601,#11602));
#2122=EDGE_LOOP('',(#11603,#11604,#11605,#11606));
#2123=EDGE_LOOP('',(#11607,#11608,#11609,#11610));
#2124=EDGE_LOOP('',(#11611,#11612,#11613,#11614));
#2125=EDGE_LOOP('',(#11615,#11616,#11617,#11618));
#2126=EDGE_LOOP('',(#11619,#11620,#11621,#11622));
#2127=EDGE_LOOP('',(#11623,#11624,#11625,#11626));
#2128=EDGE_LOOP('',(#11627));
#2129=EDGE_LOOP('',(#11628,#11629,#11630,#11631));
#2130=EDGE_LOOP('',(#11632,#11633,#11634,#11635));
#2131=EDGE_LOOP('',(#11636,#11637,#11638,#11639));
#2132=EDGE_LOOP('',(#11640,#11641,#11642,#11643));
#2133=EDGE_LOOP('',(#11644,#11645,#11646,#11647));
#2134=EDGE_LOOP('',(#11648,#11649,#11650,#11651));
#2135=EDGE_LOOP('',(#11652,#11653,#11654,#11655));
#2136=EDGE_LOOP('',(#11656,#11657,#11658,#11659));
#2137=EDGE_LOOP('',(#11660,#11661,#11662,#11663));
#2138=EDGE_LOOP('',(#11664,#11665,#11666,#11667));
#2139=EDGE_LOOP('',(#11668,#11669,#11670,#11671));
#2140=EDGE_LOOP('',(#11672,#11673,#11674,#11675));
#2141=EDGE_LOOP('',(#11676,#11677,#11678,#11679));
#2142=EDGE_LOOP('',(#11680,#11681,#11682,#11683));
#2143=EDGE_LOOP('',(#11684,#11685,#11686,#11687));
#2144=EDGE_LOOP('',(#11688,#11689,#11690,#11691));
#2145=EDGE_LOOP('',(#11692,#11693,#11694,#11695));
#2146=EDGE_LOOP('',(#11696,#11697,#11698,#11699));
#2147=EDGE_LOOP('',(#11700,#11701,#11702,#11703));
#2148=EDGE_LOOP('',(#11704,#11705,#11706,#11707));
#2149=EDGE_LOOP('',(#11708,#11709,#11710,#11711));
#2150=EDGE_LOOP('',(#11712,#11713,#11714,#11715));
#2151=EDGE_LOOP('',(#11716,#11717,#11718,#11719,#11720,#11721,#11722,#11723,
#11724,#11725,#11726,#11727));
#2152=EDGE_LOOP('',(#11728,#11729,#11730,#11731));
#2153=EDGE_LOOP('',(#11732,#11733,#11734,#11735));
#2154=EDGE_LOOP('',(#11736,#11737,#11738,#11739,#11740,#11741,#11742,#11743,
#11744));
#2155=EDGE_LOOP('',(#11745,#11746,#11747,#11748,#11749,#11750,#11751,#11752,
#11753,#11754,#11755,#11756,#11757,#11758,#11759,#11760,#11761,#11762,#11763,
#11764,#11765,#11766,#11767,#11768,#11769,#11770,#11771,#11772,#11773,#11774,
#11775,#11776,#11777,#11778,#11779,#11780));
#2156=EDGE_LOOP('',(#11781,#11782,#11783,#11784,#11785,#11786,#11787,#11788,
#11789,#11790,#11791,#11792,#11793,#11794,#11795,#11796,#11797,#11798,#11799,
#11800,#11801,#11802));
#2157=EDGE_LOOP('',(#11803,#11804,#11805,#11806));
#2158=EDGE_LOOP('',(#11807,#11808,#11809,#11810));
#2159=EDGE_LOOP('',(#11811,#11812,#11813,#11814));
#2160=EDGE_LOOP('',(#11815,#11816,#11817,#11818));
#2161=EDGE_LOOP('',(#11819,#11820,#11821,#11822));
#2162=EDGE_LOOP('',(#11823,#11824,#11825,#11826));
#2163=EDGE_LOOP('',(#11827));
#2164=EDGE_LOOP('',(#11828,#11829,#11830,#11831));
#2165=EDGE_LOOP('',(#11832));
#2166=EDGE_LOOP('',(#11833,#11834,#11835,#11836));
#2167=EDGE_LOOP('',(#11837,#11838,#11839,#11840));
#2168=EDGE_LOOP('',(#11841,#11842,#11843,#11844,#11845,#11846,#11847,#11848));
#2169=EDGE_LOOP('',(#11849,#11850,#11851,#11852,#11853,#11854,#11855,#11856));
#2170=EDGE_LOOP('',(#11857,#11858,#11859,#11860,#11861,#11862));
#2171=EDGE_LOOP('',(#11863,#11864,#11865,#11866));
#2172=EDGE_LOOP('',(#11867,#11868,#11869,#11870,#11871,#11872));
#2173=EDGE_LOOP('',(#11873,#11874,#11875,#11876));
#2174=EDGE_LOOP('',(#11877,#11878,#11879,#11880));
#2175=EDGE_LOOP('',(#11881,#11882,#11883,#11884));
#2176=EDGE_LOOP('',(#11885,#11886,#11887,#11888));
#2177=EDGE_LOOP('',(#11889,#11890,#11891,#11892));
#2178=EDGE_LOOP('',(#11893,#11894,#11895,#11896));
#2179=EDGE_LOOP('',(#11897,#11898,#11899,#11900,#11901));
#2180=EDGE_LOOP('',(#11902,#11903,#11904,#11905,#11906,#11907,#11908));
#2181=EDGE_LOOP('',(#11909,#11910,#11911,#11912,#11913,#11914));
#2182=EDGE_LOOP('',(#11915,#11916,#11917,#11918));
#2183=EDGE_LOOP('',(#11919,#11920,#11921,#11922));
#2184=EDGE_LOOP('',(#11923,#11924,#11925,#11926));
#2185=EDGE_LOOP('',(#11927,#11928,#11929,#11930,#11931,#11932,#11933,#11934));
#2186=EDGE_LOOP('',(#11935,#11936,#11937,#11938,#11939,#11940,#11941,#11942));
#2187=EDGE_LOOP('',(#11943,#11944,#11945,#11946,#11947,#11948));
#2188=EDGE_LOOP('',(#11949,#11950,#11951,#11952));
#2189=EDGE_LOOP('',(#11953,#11954,#11955,#11956,#11957,#11958));
#2190=EDGE_LOOP('',(#11959,#11960,#11961,#11962));
#2191=EDGE_LOOP('',(#11963,#11964,#11965,#11966));
#2192=EDGE_LOOP('',(#11967,#11968,#11969,#11970));
#2193=EDGE_LOOP('',(#11971,#11972,#11973,#11974));
#2194=EDGE_LOOP('',(#11975,#11976,#11977,#11978));
#2195=EDGE_LOOP('',(#11979,#11980,#11981,#11982));
#2196=EDGE_LOOP('',(#11983,#11984,#11985,#11986,#11987));
#2197=EDGE_LOOP('',(#11988,#11989,#11990,#11991,#11992,#11993,#11994));
#2198=EDGE_LOOP('',(#11995,#11996,#11997,#11998,#11999,#12000));
#2199=EDGE_LOOP('',(#12001,#12002,#12003,#12004));
#2200=EDGE_LOOP('',(#12005,#12006,#12007,#12008));
#2201=EDGE_LOOP('',(#12009,#12010,#12011,#12012));
#2202=EDGE_LOOP('',(#12013,#12014,#12015,#12016,#12017,#12018,#12019,#12020));
#2203=EDGE_LOOP('',(#12021,#12022,#12023,#12024,#12025,#12026,#12027,#12028));
#2204=EDGE_LOOP('',(#12029,#12030,#12031,#12032,#12033,#12034));
#2205=EDGE_LOOP('',(#12035,#12036,#12037,#12038));
#2206=EDGE_LOOP('',(#12039,#12040,#12041,#12042,#12043,#12044));
#2207=EDGE_LOOP('',(#12045,#12046,#12047,#12048));
#2208=EDGE_LOOP('',(#12049,#12050,#12051,#12052));
#2209=EDGE_LOOP('',(#12053,#12054,#12055,#12056));
#2210=EDGE_LOOP('',(#12057,#12058,#12059,#12060));
#2211=EDGE_LOOP('',(#12061,#12062,#12063,#12064));
#2212=EDGE_LOOP('',(#12065,#12066,#12067,#12068));
#2213=EDGE_LOOP('',(#12069,#12070,#12071,#12072,#12073));
#2214=EDGE_LOOP('',(#12074,#12075,#12076,#12077,#12078,#12079,#12080));
#2215=EDGE_LOOP('',(#12081,#12082,#12083,#12084,#12085,#12086));
#2216=EDGE_LOOP('',(#12087,#12088,#12089,#12090));
#2217=EDGE_LOOP('',(#12091,#12092,#12093,#12094));
#2218=EDGE_LOOP('',(#12095,#12096,#12097,#12098));
#2219=EDGE_LOOP('',(#12099,#12100,#12101,#12102,#12103,#12104,#12105,#12106));
#2220=EDGE_LOOP('',(#12107,#12108,#12109,#12110,#12111,#12112,#12113,#12114));
#2221=EDGE_LOOP('',(#12115,#12116,#12117,#12118,#12119,#12120));
#2222=EDGE_LOOP('',(#12121,#12122,#12123,#12124));
#2223=EDGE_LOOP('',(#12125,#12126,#12127,#12128,#12129,#12130));
#2224=EDGE_LOOP('',(#12131,#12132,#12133,#12134));
#2225=EDGE_LOOP('',(#12135,#12136,#12137,#12138));
#2226=EDGE_LOOP('',(#12139,#12140,#12141,#12142));
#2227=EDGE_LOOP('',(#12143,#12144,#12145,#12146));
#2228=EDGE_LOOP('',(#12147,#12148,#12149,#12150));
#2229=EDGE_LOOP('',(#12151,#12152,#12153,#12154));
#2230=EDGE_LOOP('',(#12155,#12156,#12157,#12158,#12159));
#2231=EDGE_LOOP('',(#12160,#12161,#12162,#12163,#12164,#12165,#12166));
#2232=EDGE_LOOP('',(#12167,#12168,#12169,#12170,#12171,#12172));
#2233=EDGE_LOOP('',(#12173,#12174,#12175,#12176));
#2234=EDGE_LOOP('',(#12177,#12178,#12179,#12180));
#2235=EDGE_LOOP('',(#12181,#12182,#12183,#12184));
#2236=EDGE_LOOP('',(#12185,#12186,#12187,#12188,#12189,#12190,#12191,#12192));
#2237=EDGE_LOOP('',(#12193,#12194,#12195,#12196,#12197,#12198,#12199,#12200));
#2238=EDGE_LOOP('',(#12201,#12202,#12203,#12204,#12205,#12206));
#2239=EDGE_LOOP('',(#12207,#12208,#12209,#12210));
#2240=EDGE_LOOP('',(#12211,#12212,#12213,#12214,#12215,#12216));
#2241=EDGE_LOOP('',(#12217,#12218,#12219,#12220));
#2242=EDGE_LOOP('',(#12221,#12222,#12223,#12224));
#2243=EDGE_LOOP('',(#12225,#12226,#12227,#12228));
#2244=EDGE_LOOP('',(#12229,#12230,#12231,#12232));
#2245=EDGE_LOOP('',(#12233,#12234,#12235,#12236));
#2246=EDGE_LOOP('',(#12237,#12238,#12239,#12240));
#2247=EDGE_LOOP('',(#12241,#12242,#12243,#12244,#12245));
#2248=EDGE_LOOP('',(#12246,#12247,#12248,#12249,#12250,#12251,#12252));
#2249=EDGE_LOOP('',(#12253,#12254,#12255,#12256,#12257,#12258));
#2250=EDGE_LOOP('',(#12259,#12260,#12261,#12262));
#2251=EDGE_LOOP('',(#12263,#12264,#12265,#12266));
#2252=EDGE_LOOP('',(#12267,#12268,#12269,#12270));
#2253=EDGE_LOOP('',(#12271,#12272,#12273,#12274,#12275,#12276,#12277,#12278));
#2254=EDGE_LOOP('',(#12279,#12280,#12281,#12282,#12283,#12284,#12285,#12286));
#2255=EDGE_LOOP('',(#12287,#12288,#12289,#12290,#12291,#12292));
#2256=EDGE_LOOP('',(#12293,#12294,#12295,#12296));
#2257=EDGE_LOOP('',(#12297,#12298,#12299,#12300,#12301,#12302));
#2258=EDGE_LOOP('',(#12303,#12304,#12305,#12306));
#2259=EDGE_LOOP('',(#12307,#12308,#12309,#12310));
#2260=EDGE_LOOP('',(#12311,#12312,#12313,#12314));
#2261=EDGE_LOOP('',(#12315,#12316,#12317,#12318));
#2262=EDGE_LOOP('',(#12319,#12320,#12321,#12322));
#2263=EDGE_LOOP('',(#12323,#12324,#12325,#12326));
#2264=EDGE_LOOP('',(#12327,#12328,#12329,#12330,#12331));
#2265=EDGE_LOOP('',(#12332,#12333,#12334,#12335,#12336,#12337,#12338));
#2266=EDGE_LOOP('',(#12339,#12340,#12341,#12342,#12343,#12344));
#2267=EDGE_LOOP('',(#12345,#12346,#12347,#12348));
#2268=EDGE_LOOP('',(#12349,#12350,#12351,#12352));
#2269=EDGE_LOOP('',(#12353,#12354,#12355,#12356));
#2270=EDGE_LOOP('',(#12357,#12358,#12359,#12360,#12361,#12362,#12363,#12364));
#2271=EDGE_LOOP('',(#12365,#12366,#12367,#12368,#12369,#12370,#12371,#12372));
#2272=EDGE_LOOP('',(#12373,#12374,#12375,#12376,#12377,#12378));
#2273=EDGE_LOOP('',(#12379,#12380,#12381,#12382));
#2274=EDGE_LOOP('',(#12383,#12384,#12385,#12386,#12387,#12388));
#2275=EDGE_LOOP('',(#12389,#12390,#12391,#12392));
#2276=EDGE_LOOP('',(#12393,#12394,#12395,#12396));
#2277=EDGE_LOOP('',(#12397,#12398,#12399,#12400));
#2278=EDGE_LOOP('',(#12401,#12402,#12403,#12404));
#2279=EDGE_LOOP('',(#12405,#12406,#12407,#12408));
#2280=EDGE_LOOP('',(#12409,#12410,#12411,#12412));
#2281=EDGE_LOOP('',(#12413,#12414,#12415,#12416,#12417));
#2282=EDGE_LOOP('',(#12418,#12419,#12420,#12421,#12422,#12423,#12424));
#2283=EDGE_LOOP('',(#12425,#12426,#12427,#12428,#12429,#12430));
#2284=EDGE_LOOP('',(#12431,#12432,#12433,#12434));
#2285=EDGE_LOOP('',(#12435,#12436,#12437,#12438));
#2286=EDGE_LOOP('',(#12439,#12440,#12441,#12442));
#2287=EDGE_LOOP('',(#12443,#12444,#12445,#12446,#12447,#12448,#12449,#12450));
#2288=EDGE_LOOP('',(#12451,#12452,#12453,#12454,#12455,#12456,#12457,#12458));
#2289=EDGE_LOOP('',(#12459,#12460,#12461,#12462,#12463,#12464));
#2290=EDGE_LOOP('',(#12465,#12466,#12467,#12468));
#2291=EDGE_LOOP('',(#12469,#12470,#12471,#12472,#12473,#12474));
#2292=EDGE_LOOP('',(#12475,#12476,#12477,#12478));
#2293=EDGE_LOOP('',(#12479,#12480,#12481,#12482));
#2294=EDGE_LOOP('',(#12483,#12484,#12485,#12486));
#2295=EDGE_LOOP('',(#12487,#12488,#12489,#12490));
#2296=EDGE_LOOP('',(#12491,#12492,#12493,#12494));
#2297=EDGE_LOOP('',(#12495,#12496,#12497,#12498));
#2298=EDGE_LOOP('',(#12499,#12500,#12501,#12502,#12503));
#2299=EDGE_LOOP('',(#12504,#12505,#12506,#12507,#12508,#12509,#12510));
#2300=EDGE_LOOP('',(#12511,#12512,#12513,#12514,#12515,#12516));
#2301=EDGE_LOOP('',(#12517,#12518,#12519,#12520));
#2302=EDGE_LOOP('',(#12521,#12522,#12523,#12524));
#2303=EDGE_LOOP('',(#12525,#12526,#12527,#12528));
#2304=EDGE_LOOP('',(#12529,#12530,#12531,#12532,#12533,#12534,#12535,#12536));
#2305=EDGE_LOOP('',(#12537,#12538,#12539,#12540,#12541,#12542,#12543,#12544));
#2306=EDGE_LOOP('',(#12545,#12546,#12547,#12548,#12549,#12550));
#2307=EDGE_LOOP('',(#12551,#12552,#12553,#12554));
#2308=EDGE_LOOP('',(#12555,#12556,#12557,#12558,#12559,#12560));
#2309=EDGE_LOOP('',(#12561,#12562,#12563,#12564));
#2310=EDGE_LOOP('',(#12565,#12566,#12567,#12568));
#2311=EDGE_LOOP('',(#12569,#12570,#12571,#12572));
#2312=EDGE_LOOP('',(#12573,#12574,#12575,#12576));
#2313=EDGE_LOOP('',(#12577,#12578,#12579,#12580));
#2314=EDGE_LOOP('',(#12581,#12582,#12583,#12584));
#2315=EDGE_LOOP('',(#12585,#12586,#12587,#12588,#12589));
#2316=EDGE_LOOP('',(#12590,#12591,#12592,#12593,#12594,#12595,#12596));
#2317=EDGE_LOOP('',(#12597,#12598,#12599,#12600,#12601,#12602));
#2318=EDGE_LOOP('',(#12603,#12604,#12605,#12606));
#2319=EDGE_LOOP('',(#12607,#12608,#12609,#12610));
#2320=EDGE_LOOP('',(#12611,#12612,#12613,#12614));
#2321=EDGE_LOOP('',(#12615,#12616,#12617,#12618,#12619,#12620,#12621,#12622));
#2322=EDGE_LOOP('',(#12623,#12624,#12625,#12626,#12627,#12628,#12629,#12630));
#2323=EDGE_LOOP('',(#12631,#12632,#12633,#12634,#12635,#12636));
#2324=EDGE_LOOP('',(#12637,#12638,#12639,#12640));
#2325=EDGE_LOOP('',(#12641,#12642,#12643,#12644,#12645,#12646));
#2326=EDGE_LOOP('',(#12647,#12648,#12649,#12650));
#2327=EDGE_LOOP('',(#12651,#12652,#12653,#12654));
#2328=EDGE_LOOP('',(#12655,#12656,#12657,#12658));
#2329=EDGE_LOOP('',(#12659,#12660,#12661,#12662));
#2330=EDGE_LOOP('',(#12663,#12664,#12665,#12666));
#2331=EDGE_LOOP('',(#12667,#12668,#12669,#12670));
#2332=EDGE_LOOP('',(#12671,#12672,#12673,#12674,#12675));
#2333=EDGE_LOOP('',(#12676,#12677,#12678,#12679,#12680,#12681,#12682));
#2334=EDGE_LOOP('',(#12683,#12684,#12685,#12686,#12687,#12688));
#2335=EDGE_LOOP('',(#12689,#12690,#12691,#12692));
#2336=EDGE_LOOP('',(#12693,#12694,#12695,#12696));
#2337=EDGE_LOOP('',(#12697,#12698,#12699,#12700));
#2338=EDGE_LOOP('',(#12701,#12702,#12703,#12704,#12705,#12706));
#2339=EDGE_LOOP('',(#12707,#12708,#12709,#12710,#12711,#12712,#12713,#12714));
#2340=EDGE_LOOP('',(#12715,#12716,#12717,#12718,#12719,#12720));
#2341=EDGE_LOOP('',(#12721,#12722,#12723,#12724));
#2342=EDGE_LOOP('',(#12725,#12726,#12727,#12728,#12729,#12730));
#2343=EDGE_LOOP('',(#12731,#12732,#12733,#12734));
#2344=EDGE_LOOP('',(#12735,#12736,#12737,#12738));
#2345=EDGE_LOOP('',(#12739,#12740,#12741,#12742));
#2346=EDGE_LOOP('',(#12743,#12744,#12745,#12746));
#2347=EDGE_LOOP('',(#12747,#12748,#12749,#12750));
#2348=EDGE_LOOP('',(#12751,#12752,#12753,#12754));
#2349=EDGE_LOOP('',(#12755,#12756,#12757,#12758,#12759));
#2350=EDGE_LOOP('',(#12760,#12761,#12762,#12763,#12764,#12765,#12766));
#2351=EDGE_LOOP('',(#12767,#12768,#12769,#12770,#12771,#12772,#12773,#12774));
#2352=EDGE_LOOP('',(#12775,#12776,#12777,#12778));
#2353=EDGE_LOOP('',(#12779,#12780,#12781,#12782,#12783,#12784,#12785,#12786,
#12787));
#2354=EDGE_LOOP('',(#12788,#12789,#12790,#12791));
#2355=EDGE_LOOP('',(#12792,#12793,#12794,#12795,#12796,#12797,#12798,#12799,
#12800));
#2356=EDGE_LOOP('',(#12801,#12802,#12803,#12804,#12805,#12806,#12807,#12808,
#12809,#12810,#12811,#12812,#12813,#12814,#12815,#12816,#12817,#12818,#12819,
#12820,#12821,#12822,#12823,#12824,#12825,#12826,#12827,#12828,#12829,#12830,
#12831,#12832,#12833,#12834,#12835,#12836,#12837,#12838,#12839,#12840,#12841,
#12842,#12843,#12844,#12845,#12846,#12847,#12848,#12849,#12850,#12851,#12852,
#12853,#12854,#12855,#12856,#12857,#12858,#12859,#12860,#12861,#12862,#12863,
#12864,#12865,#12866,#12867,#12868,#12869,#12870,#12871,#12872,#12873,#12874,
#12875,#12876,#12877,#12878,#12879,#12880,#12881,#12882,#12883,#12884));
#2357=EDGE_LOOP('',(#12885,#12886,#12887,#12888));
#2358=EDGE_LOOP('',(#12889,#12890,#12891,#12892));
#2359=EDGE_LOOP('',(#12893,#12894,#12895,#12896));
#2360=EDGE_LOOP('',(#12897,#12898,#12899,#12900));
#2361=EDGE_LOOP('',(#12901,#12902,#12903,#12904));
#2362=EDGE_LOOP('',(#12905,#12906,#12907,#12908));
#2363=EDGE_LOOP('',(#12909,#12910,#12911,#12912));
#2364=EDGE_LOOP('',(#12913,#12914,#12915,#12916));
#2365=EDGE_LOOP('',(#12917,#12918,#12919,#12920));
#2366=EDGE_LOOP('',(#12921,#12922,#12923,#12924));
#2367=EDGE_LOOP('',(#12925,#12926,#12927,#12928,#12929,#12930));
#2368=EDGE_LOOP('',(#12931,#12932,#12933,#12934));
#2369=EDGE_LOOP('',(#12935,#12936,#12937,#12938));
#2370=EDGE_LOOP('',(#12939,#12940,#12941,#12942,#12943,#12944));
#2371=EDGE_LOOP('',(#12945,#12946,#12947,#12948,#12949,#12950,#12951,#12952));
#2372=EDGE_LOOP('',(#12953,#12954,#12955,#12956,#12957,#12958));
#2373=EDGE_LOOP('',(#12959,#12960,#12961,#12962));
#2374=EDGE_LOOP('',(#12963,#12964,#12965,#12966,#12967,#12968));
#2375=EDGE_LOOP('',(#12969,#12970,#12971,#12972));
#2376=EDGE_LOOP('',(#12973,#12974,#12975,#12976));
#2377=EDGE_LOOP('',(#12977,#12978,#12979,#12980));
#2378=EDGE_LOOP('',(#12981,#12982,#12983,#12984));
#2379=EDGE_LOOP('',(#12985,#12986,#12987,#12988));
#2380=EDGE_LOOP('',(#12989,#12990,#12991,#12992));
#2381=EDGE_LOOP('',(#12993,#12994,#12995,#12996,#12997));
#2382=EDGE_LOOP('',(#12998,#12999,#13000,#13001,#13002,#13003,#13004));
#2383=EDGE_LOOP('',(#13005,#13006,#13007,#13008,#13009,#13010,#13011,#13012));
#2384=EDGE_LOOP('',(#13013,#13014,#13015,#13016));
#2385=EDGE_LOOP('',(#13017,#13018,#13019,#13020,#13021,#13022,#13023,#13024,
#13025));
#2386=EDGE_LOOP('',(#13026,#13027,#13028,#13029));
#2387=EDGE_LOOP('',(#13030,#13031,#13032,#13033,#13034,#13035,#13036,#13037,
#13038));
#2388=EDGE_LOOP('',(#13039,#13040,#13041,#13042,#13043,#13044));
#2389=EDGE_LOOP('',(#13045,#13046,#13047,#13048));
#2390=EDGE_LOOP('',(#13049,#13050,#13051,#13052));
#2391=EDGE_LOOP('',(#13053,#13054,#13055,#13056,#13057,#13058));
#2392=EDGE_LOOP('',(#13059,#13060,#13061,#13062,#13063,#13064,#13065,#13066));
#2393=EDGE_LOOP('',(#13067,#13068,#13069,#13070,#13071,#13072));
#2394=EDGE_LOOP('',(#13073,#13074,#13075,#13076));
#2395=EDGE_LOOP('',(#13077,#13078,#13079,#13080,#13081,#13082));
#2396=EDGE_LOOP('',(#13083,#13084,#13085,#13086));
#2397=EDGE_LOOP('',(#13087,#13088,#13089,#13090));
#2398=EDGE_LOOP('',(#13091,#13092,#13093,#13094));
#2399=EDGE_LOOP('',(#13095,#13096,#13097,#13098));
#2400=EDGE_LOOP('',(#13099,#13100,#13101,#13102));
#2401=EDGE_LOOP('',(#13103,#13104,#13105,#13106));
#2402=EDGE_LOOP('',(#13107,#13108,#13109,#13110,#13111));
#2403=EDGE_LOOP('',(#13112,#13113,#13114,#13115,#13116,#13117,#13118));
#2404=EDGE_LOOP('',(#13119,#13120,#13121,#13122,#13123,#13124,#13125,#13126));
#2405=EDGE_LOOP('',(#13127,#13128,#13129,#13130));
#2406=EDGE_LOOP('',(#13131,#13132,#13133,#13134,#13135,#13136,#13137,#13138,
#13139));
#2407=EDGE_LOOP('',(#13140,#13141,#13142,#13143));
#2408=EDGE_LOOP('',(#13144,#13145,#13146,#13147,#13148,#13149,#13150,#13151,
#13152));
#2409=EDGE_LOOP('',(#13153,#13154,#13155,#13156,#13157,#13158));
#2410=EDGE_LOOP('',(#13159,#13160,#13161,#13162));
#2411=EDGE_LOOP('',(#13163,#13164,#13165,#13166));
#2412=EDGE_LOOP('',(#13167,#13168,#13169,#13170,#13171,#13172));
#2413=EDGE_LOOP('',(#13173,#13174,#13175,#13176,#13177,#13178,#13179,#13180));
#2414=EDGE_LOOP('',(#13181,#13182,#13183,#13184,#13185,#13186));
#2415=EDGE_LOOP('',(#13187,#13188,#13189,#13190));
#2416=EDGE_LOOP('',(#13191,#13192,#13193,#13194,#13195,#13196));
#2417=EDGE_LOOP('',(#13197,#13198,#13199,#13200));
#2418=EDGE_LOOP('',(#13201,#13202,#13203,#13204));
#2419=EDGE_LOOP('',(#13205,#13206,#13207,#13208));
#2420=EDGE_LOOP('',(#13209,#13210,#13211,#13212));
#2421=EDGE_LOOP('',(#13213,#13214,#13215,#13216));
#2422=EDGE_LOOP('',(#13217,#13218,#13219,#13220));
#2423=EDGE_LOOP('',(#13221,#13222,#13223,#13224,#13225));
#2424=EDGE_LOOP('',(#13226,#13227,#13228,#13229,#13230,#13231,#13232));
#2425=EDGE_LOOP('',(#13233,#13234,#13235,#13236,#13237,#13238,#13239,#13240));
#2426=EDGE_LOOP('',(#13241,#13242,#13243,#13244));
#2427=EDGE_LOOP('',(#13245,#13246,#13247,#13248,#13249,#13250,#13251,#13252,
#13253));
#2428=EDGE_LOOP('',(#13254,#13255,#13256,#13257));
#2429=EDGE_LOOP('',(#13258,#13259,#13260,#13261,#13262,#13263,#13264,#13265,
#13266));
#2430=EDGE_LOOP('',(#13267,#13268,#13269,#13270,#13271,#13272));
#2431=EDGE_LOOP('',(#13273,#13274,#13275,#13276));
#2432=EDGE_LOOP('',(#13277,#13278,#13279,#13280));
#2433=EDGE_LOOP('',(#13281,#13282,#13283,#13284,#13285,#13286));
#2434=EDGE_LOOP('',(#13287,#13288,#13289,#13290,#13291,#13292,#13293,#13294));
#2435=EDGE_LOOP('',(#13295,#13296,#13297,#13298,#13299,#13300));
#2436=EDGE_LOOP('',(#13301,#13302,#13303,#13304));
#2437=EDGE_LOOP('',(#13305,#13306,#13307,#13308,#13309,#13310));
#2438=EDGE_LOOP('',(#13311,#13312,#13313,#13314));
#2439=EDGE_LOOP('',(#13315,#13316,#13317,#13318));
#2440=EDGE_LOOP('',(#13319,#13320,#13321,#13322));
#2441=EDGE_LOOP('',(#13323,#13324,#13325,#13326));
#2442=EDGE_LOOP('',(#13327,#13328,#13329,#13330));
#2443=EDGE_LOOP('',(#13331,#13332,#13333,#13334));
#2444=EDGE_LOOP('',(#13335,#13336,#13337,#13338,#13339));
#2445=EDGE_LOOP('',(#13340,#13341,#13342,#13343,#13344,#13345,#13346));
#2446=EDGE_LOOP('',(#13347,#13348,#13349,#13350,#13351,#13352,#13353,#13354));
#2447=EDGE_LOOP('',(#13355,#13356,#13357,#13358));
#2448=EDGE_LOOP('',(#13359,#13360,#13361,#13362,#13363,#13364,#13365,#13366,
#13367));
#2449=EDGE_LOOP('',(#13368,#13369,#13370,#13371));
#2450=EDGE_LOOP('',(#13372,#13373,#13374,#13375,#13376,#13377,#13378,#13379,
#13380));
#2451=EDGE_LOOP('',(#13381,#13382,#13383,#13384,#13385,#13386));
#2452=EDGE_LOOP('',(#13387,#13388,#13389,#13390));
#2453=EDGE_LOOP('',(#13391,#13392,#13393,#13394));
#2454=EDGE_LOOP('',(#13395,#13396,#13397,#13398,#13399,#13400));
#2455=EDGE_LOOP('',(#13401,#13402,#13403,#13404,#13405,#13406,#13407,#13408));
#2456=EDGE_LOOP('',(#13409,#13410,#13411,#13412,#13413,#13414));
#2457=EDGE_LOOP('',(#13415,#13416,#13417,#13418));
#2458=EDGE_LOOP('',(#13419,#13420,#13421,#13422,#13423,#13424));
#2459=EDGE_LOOP('',(#13425,#13426,#13427,#13428));
#2460=EDGE_LOOP('',(#13429,#13430,#13431,#13432));
#2461=EDGE_LOOP('',(#13433,#13434,#13435,#13436));
#2462=EDGE_LOOP('',(#13437,#13438,#13439,#13440));
#2463=EDGE_LOOP('',(#13441,#13442,#13443,#13444));
#2464=EDGE_LOOP('',(#13445,#13446,#13447,#13448));
#2465=EDGE_LOOP('',(#13449,#13450,#13451,#13452,#13453));
#2466=EDGE_LOOP('',(#13454,#13455,#13456,#13457,#13458,#13459,#13460));
#2467=EDGE_LOOP('',(#13461,#13462,#13463,#13464,#13465,#13466,#13467,#13468));
#2468=EDGE_LOOP('',(#13469,#13470,#13471,#13472));
#2469=EDGE_LOOP('',(#13473,#13474,#13475,#13476,#13477,#13478,#13479,#13480,
#13481));
#2470=EDGE_LOOP('',(#13482,#13483,#13484,#13485));
#2471=EDGE_LOOP('',(#13486,#13487,#13488,#13489,#13490,#13491,#13492,#13493,
#13494));
#2472=EDGE_LOOP('',(#13495,#13496,#13497,#13498,#13499,#13500));
#2473=EDGE_LOOP('',(#13501,#13502,#13503,#13504));
#2474=EDGE_LOOP('',(#13505,#13506,#13507,#13508));
#2475=EDGE_LOOP('',(#13509,#13510,#13511,#13512,#13513,#13514));
#2476=EDGE_LOOP('',(#13515,#13516,#13517,#13518,#13519,#13520,#13521,#13522));
#2477=EDGE_LOOP('',(#13523,#13524,#13525,#13526,#13527,#13528));
#2478=EDGE_LOOP('',(#13529,#13530,#13531,#13532));
#2479=EDGE_LOOP('',(#13533,#13534,#13535,#13536,#13537,#13538));
#2480=EDGE_LOOP('',(#13539,#13540,#13541,#13542));
#2481=EDGE_LOOP('',(#13543,#13544,#13545,#13546));
#2482=EDGE_LOOP('',(#13547,#13548,#13549,#13550));
#2483=EDGE_LOOP('',(#13551,#13552,#13553,#13554));
#2484=EDGE_LOOP('',(#13555,#13556,#13557,#13558));
#2485=EDGE_LOOP('',(#13559,#13560,#13561,#13562));
#2486=EDGE_LOOP('',(#13563,#13564,#13565,#13566,#13567));
#2487=EDGE_LOOP('',(#13568,#13569,#13570,#13571,#13572,#13573,#13574));
#2488=EDGE_LOOP('',(#13575,#13576,#13577,#13578,#13579,#13580,#13581,#13582));
#2489=EDGE_LOOP('',(#13583,#13584,#13585,#13586));
#2490=EDGE_LOOP('',(#13587,#13588,#13589,#13590,#13591,#13592,#13593,#13594,
#13595));
#2491=EDGE_LOOP('',(#13596,#13597,#13598,#13599));
#2492=EDGE_LOOP('',(#13600,#13601,#13602,#13603,#13604,#13605,#13606,#13607,
#13608));
#2493=EDGE_LOOP('',(#13609,#13610,#13611,#13612,#13613,#13614));
#2494=EDGE_LOOP('',(#13615,#13616,#13617,#13618));
#2495=EDGE_LOOP('',(#13619,#13620,#13621,#13622));
#2496=EDGE_LOOP('',(#13623,#13624,#13625,#13626,#13627,#13628));
#2497=EDGE_LOOP('',(#13629,#13630,#13631,#13632,#13633,#13634,#13635,#13636));
#2498=EDGE_LOOP('',(#13637,#13638,#13639,#13640,#13641,#13642));
#2499=EDGE_LOOP('',(#13643,#13644,#13645,#13646));
#2500=EDGE_LOOP('',(#13647,#13648,#13649,#13650,#13651,#13652));
#2501=EDGE_LOOP('',(#13653,#13654,#13655,#13656));
#2502=EDGE_LOOP('',(#13657,#13658,#13659,#13660));
#2503=EDGE_LOOP('',(#13661,#13662,#13663,#13664));
#2504=EDGE_LOOP('',(#13665,#13666,#13667,#13668));
#2505=EDGE_LOOP('',(#13669,#13670,#13671,#13672));
#2506=EDGE_LOOP('',(#13673,#13674,#13675,#13676));
#2507=EDGE_LOOP('',(#13677,#13678,#13679,#13680,#13681));
#2508=EDGE_LOOP('',(#13682,#13683,#13684,#13685,#13686,#13687,#13688));
#2509=EDGE_LOOP('',(#13689,#13690,#13691,#13692,#13693,#13694,#13695,#13696));
#2510=EDGE_LOOP('',(#13697,#13698,#13699,#13700));
#2511=EDGE_LOOP('',(#13701,#13702,#13703,#13704,#13705,#13706,#13707,#13708,
#13709));
#2512=EDGE_LOOP('',(#13710,#13711,#13712,#13713));
#2513=EDGE_LOOP('',(#13714,#13715,#13716,#13717,#13718,#13719,#13720,#13721,
#13722));
#2514=EDGE_LOOP('',(#13723,#13724,#13725,#13726,#13727,#13728));
#2515=EDGE_LOOP('',(#13729,#13730,#13731,#13732));
#2516=EDGE_LOOP('',(#13733,#13734,#13735,#13736));
#2517=EDGE_LOOP('',(#13737,#13738,#13739,#13740,#13741,#13742));
#2518=EDGE_LOOP('',(#13743,#13744,#13745,#13746,#13747,#13748,#13749,#13750));
#2519=EDGE_LOOP('',(#13751,#13752,#13753,#13754,#13755,#13756));
#2520=EDGE_LOOP('',(#13757,#13758,#13759,#13760));
#2521=EDGE_LOOP('',(#13761,#13762,#13763,#13764,#13765,#13766));
#2522=EDGE_LOOP('',(#13767,#13768,#13769,#13770));
#2523=EDGE_LOOP('',(#13771,#13772,#13773,#13774));
#2524=EDGE_LOOP('',(#13775,#13776,#13777,#13778));
#2525=EDGE_LOOP('',(#13779,#13780,#13781,#13782));
#2526=EDGE_LOOP('',(#13783,#13784,#13785,#13786));
#2527=EDGE_LOOP('',(#13787,#13788,#13789,#13790));
#2528=EDGE_LOOP('',(#13791,#13792,#13793,#13794,#13795));
#2529=EDGE_LOOP('',(#13796,#13797,#13798,#13799,#13800,#13801,#13802));
#2530=EDGE_LOOP('',(#13803,#13804,#13805,#13806,#13807,#13808,#13809,#13810));
#2531=EDGE_LOOP('',(#13811,#13812,#13813,#13814));
#2532=EDGE_LOOP('',(#13815,#13816,#13817,#13818,#13819,#13820,#13821,#13822,
#13823));
#2533=EDGE_LOOP('',(#13824,#13825,#13826,#13827));
#2534=EDGE_LOOP('',(#13828,#13829,#13830,#13831,#13832,#13833,#13834,#13835,
#13836));
#2535=EDGE_LOOP('',(#13837,#13838,#13839,#13840,#13841,#13842));
#2536=EDGE_LOOP('',(#13843,#13844,#13845,#13846));
#2537=EDGE_LOOP('',(#13847,#13848,#13849,#13850));
#2538=EDGE_LOOP('',(#13851,#13852,#13853,#13854,#13855,#13856,#13857,#13858));
#2539=EDGE_LOOP('',(#13859,#13860,#13861,#13862,#13863,#13864));
#2540=EDGE_LOOP('',(#13865,#13866,#13867,#13868,#13869,#13870,#13871,#13872));
#2541=EDGE_LOOP('',(#13873,#13874,#13875,#13876));
#2542=EDGE_LOOP('',(#13877,#13878,#13879,#13880,#13881,#13882));
#2543=EDGE_LOOP('',(#13883,#13884,#13885,#13886));
#2544=EDGE_LOOP('',(#13887,#13888,#13889,#13890));
#2545=EDGE_LOOP('',(#13891,#13892,#13893,#13894));
#2546=EDGE_LOOP('',(#13895,#13896,#13897,#13898));
#2547=EDGE_LOOP('',(#13899,#13900,#13901,#13902));
#2548=EDGE_LOOP('',(#13903,#13904,#13905,#13906));
#2549=EDGE_LOOP('',(#13907,#13908,#13909,#13910,#13911));
#2550=EDGE_LOOP('',(#13912,#13913,#13914,#13915,#13916,#13917,#13918));
#2551=EDGE_LOOP('',(#13919,#13920,#13921,#13922,#13923,#13924));
#2552=EDGE_LOOP('',(#13925,#13926,#13927,#13928,#13929,#13930,#13931,#13932,
#13933));
#2553=EDGE_LOOP('',(#13934,#13935,#13936,#13937));
#2554=EDGE_LOOP('',(#13938,#13939,#13940,#13941));
#2555=EDGE_LOOP('',(#13942,#13943,#13944,#13945,#13946,#13947,#13948,#13949,
#13950));
#2556=EDGE_LOOP('',(#13951,#13952,#13953,#13954,#13955));
#2557=EDGE_LOOP('',(#13956,#13957,#13958,#13959,#13960));
#2558=EDGE_LOOP('',(#13961,#13962,#13963,#13964));
#2559=EDGE_LOOP('',(#13965,#13966,#13967,#13968,#13969));
#2560=EDGE_LOOP('',(#13970,#13971,#13972,#13973));
#2561=EDGE_LOOP('',(#13974,#13975,#13976,#13977));
#2562=EDGE_LOOP('',(#13978,#13979,#13980,#13981));
#2563=EDGE_LOOP('',(#13982,#13983,#13984,#13985,#13986,#13987,#13988,#13989,
#13990,#13991,#13992,#13993,#13994,#13995,#13996,#13997,#13998,#13999,#14000,
#14001,#14002,#14003,#14004,#14005,#14006,#14007,#14008,#14009,#14010,#14011,
#14012,#14013,#14014,#14015,#14016,#14017,#14018,#14019,#14020,#14021,#14022,
#14023,#14024,#14025,#14026,#14027,#14028,#14029,#14030,#14031,#14032,#14033,
#14034,#14035,#14036,#14037,#14038,#14039,#14040,#14041,#14042,#14043,#14044,
#14045,#14046,#14047,#14048,#14049,#14050,#14051,#14052,#14053,#14054,#14055,
#14056,#14057,#14058,#14059,#14060,#14061,#14062,#14063,#14064,#14065));
#2564=EDGE_LOOP('',(#14066,#14067,#14068,#14069));
#2565=EDGE_LOOP('',(#14070,#14071,#14072,#14073));
#2566=EDGE_LOOP('',(#14074,#14075,#14076,#14077));
#2567=EDGE_LOOP('',(#14078,#14079,#14080,#14081));
#2568=EDGE_LOOP('',(#14082,#14083,#14084,#14085));
#2569=EDGE_LOOP('',(#14086,#14087,#14088,#14089));
#2570=EDGE_LOOP('',(#14090,#14091,#14092,#14093));
#2571=EDGE_LOOP('',(#14094,#14095,#14096,#14097));
#2572=EDGE_LOOP('',(#14098,#14099,#14100,#14101));
#2573=EDGE_LOOP('',(#14102,#14103,#14104,#14105));
#2574=EDGE_LOOP('',(#14106,#14107,#14108,#14109));
#2575=LINE('',#21180,#4151);
#2576=LINE('',#21182,#4152);
#2577=LINE('',#21183,#4153);
#2578=LINE('',#21188,#4154);
#2579=LINE('',#21195,#4155);
#2580=LINE('',#21198,#4156);
#2581=LINE('',#21203,#4157);
#2582=LINE('',#21204,#4158);
#2583=LINE('',#21210,#4159);
#2584=LINE('',#21212,#4160);
#2585=LINE('',#21213,#4161);
#2586=LINE('',#21218,#4162);
#2587=LINE('',#21219,#4163);
#2588=LINE('',#21221,#4164);
#2589=LINE('',#21224,#4165);
#2590=LINE('',#21227,#4166);
#2591=LINE('',#21230,#4167);
#2592=LINE('',#21233,#4168);
#2593=LINE('',#21239,#4169);
#2594=LINE('',#21240,#4170);
#2595=LINE('',#21241,#4171);
#2596=LINE('',#21245,#4172);
#2597=LINE('',#21250,#4173);
#2598=LINE('',#21252,#4174);
#2599=LINE('',#21253,#4175);
#2600=LINE('',#21256,#4176);
#2601=LINE('',#21260,#4177);
#2602=LINE('',#21263,#4178);
#2603=LINE('',#21302,#4179);
#2604=LINE('',#21303,#4180);
#2605=LINE('',#21305,#4181);
#2606=LINE('',#21306,#4182);
#2607=LINE('',#21324,#4183);
#2608=LINE('',#21325,#4184);
#2609=LINE('',#21336,#4185);
#2610=LINE('',#21337,#4186);
#2611=LINE('',#21339,#4187);
#2612=LINE('',#21340,#4188);
#2613=LINE('',#21367,#4189);
#2614=LINE('',#21368,#4190);
#2615=LINE('',#21379,#4191);
#2616=LINE('',#21380,#4192);
#2617=LINE('',#21400,#4193);
#2618=LINE('',#21401,#4194);
#2619=LINE('',#21403,#4195);
#2620=LINE('',#21404,#4196);
#2621=LINE('',#21413,#4197);
#2622=LINE('',#21414,#4198);
#2623=LINE('',#21423,#4199);
#2624=LINE('',#21424,#4200);
#2625=LINE('',#21440,#4201);
#2626=LINE('',#21441,#4202);
#2627=LINE('',#21455,#4203);
#2628=LINE('',#21456,#4204);
#2629=LINE('',#21462,#4205);
#2630=LINE('',#21463,#4206);
#2631=LINE('',#21474,#4207);
#2632=LINE('',#21475,#4208);
#2633=LINE('',#21477,#4209);
#2634=LINE('',#21478,#4210);
#2635=LINE('',#21503,#4211);
#2636=LINE('',#21504,#4212);
#2637=LINE('',#21515,#4213);
#2638=LINE('',#21516,#4214);
#2639=LINE('',#21527,#4215);
#2640=LINE('',#21528,#4216);
#2641=LINE('',#21537,#4217);
#2642=LINE('',#21538,#4218);
#2643=LINE('',#21549,#4219);
#2644=LINE('',#21550,#4220);
#2645=LINE('',#21555,#4221);
#2646=LINE('',#21556,#4222);
#2647=LINE('',#21559,#4223);
#2648=LINE('',#21561,#4224);
#2649=LINE('',#21564,#4225);
#2650=LINE('',#21565,#4226);
#2651=LINE('',#21567,#4227);
#2652=LINE('',#21568,#4228);
#2653=LINE('',#21570,#4229);
#2654=LINE('',#21571,#4230);
#2655=LINE('',#21573,#4231);
#2656=LINE('',#21574,#4232);
#2657=LINE('',#21579,#4233);
#2658=LINE('',#21580,#4234);
#2659=LINE('',#21593,#4235);
#2660=LINE('',#21594,#4236);
#2661=LINE('',#21596,#4237);
#2662=LINE('',#21597,#4238);
#2663=LINE('',#21599,#4239);
#2664=LINE('',#21600,#4240);
#2665=LINE('',#21602,#4241);
#2666=LINE('',#21603,#4242);
#2667=LINE('',#21605,#4243);
#2668=LINE('',#21606,#4244);
#2669=LINE('',#21608,#4245);
#2670=LINE('',#21609,#4246);
#2671=LINE('',#21611,#4247);
#2672=LINE('',#21612,#4248);
#2673=LINE('',#21614,#4249);
#2674=LINE('',#21615,#4250);
#2675=LINE('',#21617,#4251);
#2676=LINE('',#21618,#4252);
#2677=LINE('',#21620,#4253);
#2678=LINE('',#21621,#4254);
#2679=LINE('',#21623,#4255);
#2680=LINE('',#21624,#4256);
#2681=LINE('',#21626,#4257);
#2682=LINE('',#21627,#4258);
#2683=LINE('',#21629,#4259);
#2684=LINE('',#21630,#4260);
#2685=LINE('',#21632,#4261);
#2686=LINE('',#21633,#4262);
#2687=LINE('',#21635,#4263);
#2688=LINE('',#21636,#4264);
#2689=LINE('',#21639,#4265);
#2690=LINE('',#21641,#4266);
#2691=LINE('',#21643,#4267);
#2692=LINE('',#21647,#4268);
#2693=LINE('',#21651,#4269);
#2694=LINE('',#21652,#4270);
#2695=LINE('',#21654,#4271);
#2696=LINE('',#21656,#4272);
#2697=LINE('',#21659,#4273);
#2698=LINE('',#21662,#4274);
#2699=LINE('',#21665,#4275);
#2700=LINE('',#21667,#4276);
#2701=LINE('',#21684,#4277);
#2702=LINE('',#21688,#4278);
#2703=LINE('',#21693,#4279);
#2704=LINE('',#21697,#4280);
#2705=LINE('',#21701,#4281);
#2706=LINE('',#21704,#4282);
#2707=LINE('',#21708,#4283);
#2708=LINE('',#21712,#4284);
#2709=LINE('',#21717,#4285);
#2710=LINE('',#21721,#4286);
#2711=LINE('',#21728,#4287);
#2712=LINE('',#21731,#4288);
#2713=LINE('',#21735,#4289);
#2714=LINE('',#21738,#4290);
#2715=LINE('',#21741,#4291);
#2716=LINE('',#21744,#4292);
#2717=LINE('',#21747,#4293);
#2718=LINE('',#21752,#4294);
#2719=LINE('',#21755,#4295);
#2720=LINE('',#21758,#4296);
#2721=LINE('',#21762,#4297);
#2722=LINE('',#21766,#4298);
#2723=LINE('',#21773,#4299);
#2724=LINE('',#21776,#4300);
#2725=LINE('',#21780,#4301);
#2726=LINE('',#21783,#4302);
#2727=LINE('',#21786,#4303);
#2728=LINE('',#21789,#4304);
#2729=LINE('',#21792,#4305);
#2730=LINE('',#21797,#4306);
#2731=LINE('',#21800,#4307);
#2732=LINE('',#21803,#4308);
#2733=LINE('',#21807,#4309);
#2734=LINE('',#21811,#4310);
#2735=LINE('',#21818,#4311);
#2736=LINE('',#21821,#4312);
#2737=LINE('',#21825,#4313);
#2738=LINE('',#21828,#4314);
#2739=LINE('',#21831,#4315);
#2740=LINE('',#21834,#4316);
#2741=LINE('',#21837,#4317);
#2742=LINE('',#21842,#4318);
#2743=LINE('',#21845,#4319);
#2744=LINE('',#21848,#4320);
#2745=LINE('',#21852,#4321);
#2746=LINE('',#21856,#4322);
#2747=LINE('',#21863,#4323);
#2748=LINE('',#21866,#4324);
#2749=LINE('',#21870,#4325);
#2750=LINE('',#21873,#4326);
#2751=LINE('',#21876,#4327);
#2752=LINE('',#21879,#4328);
#2753=LINE('',#21882,#4329);
#2754=LINE('',#21887,#4330);
#2755=LINE('',#21890,#4331);
#2756=LINE('',#21893,#4332);
#2757=LINE('',#21897,#4333);
#2758=LINE('',#21901,#4334);
#2759=LINE('',#21908,#4335);
#2760=LINE('',#21911,#4336);
#2761=LINE('',#21915,#4337);
#2762=LINE('',#21918,#4338);
#2763=LINE('',#21921,#4339);
#2764=LINE('',#21924,#4340);
#2765=LINE('',#21927,#4341);
#2766=LINE('',#21932,#4342);
#2767=LINE('',#21935,#4343);
#2768=LINE('',#21938,#4344);
#2769=LINE('',#21942,#4345);
#2770=LINE('',#21946,#4346);
#2771=LINE('',#21953,#4347);
#2772=LINE('',#21956,#4348);
#2773=LINE('',#21960,#4349);
#2774=LINE('',#21963,#4350);
#2775=LINE('',#21966,#4351);
#2776=LINE('',#21969,#4352);
#2777=LINE('',#21972,#4353);
#2778=LINE('',#21977,#4354);
#2779=LINE('',#21980,#4355);
#2780=LINE('',#21983,#4356);
#2781=LINE('',#21987,#4357);
#2782=LINE('',#21991,#4358);
#2783=LINE('',#21998,#4359);
#2784=LINE('',#22001,#4360);
#2785=LINE('',#22005,#4361);
#2786=LINE('',#22008,#4362);
#2787=LINE('',#22011,#4363);
#2788=LINE('',#22014,#4364);
#2789=LINE('',#22017,#4365);
#2790=LINE('',#22022,#4366);
#2791=LINE('',#22025,#4367);
#2792=LINE('',#22028,#4368);
#2793=LINE('',#22032,#4369);
#2794=LINE('',#22036,#4370);
#2795=LINE('',#22043,#4371);
#2796=LINE('',#22046,#4372);
#2797=LINE('',#22050,#4373);
#2798=LINE('',#22053,#4374);
#2799=LINE('',#22056,#4375);
#2800=LINE('',#22059,#4376);
#2801=LINE('',#22062,#4377);
#2802=LINE('',#22067,#4378);
#2803=LINE('',#22070,#4379);
#2804=LINE('',#22073,#4380);
#2805=LINE('',#22077,#4381);
#2806=LINE('',#22081,#4382);
#2807=LINE('',#22088,#4383);
#2808=LINE('',#22091,#4384);
#2809=LINE('',#22095,#4385);
#2810=LINE('',#22098,#4386);
#2811=LINE('',#22101,#4387);
#2812=LINE('',#22104,#4388);
#2813=LINE('',#22107,#4389);
#2814=LINE('',#22112,#4390);
#2815=LINE('',#22115,#4391);
#2816=LINE('',#22118,#4392);
#2817=LINE('',#22122,#4393);
#2818=LINE('',#22126,#4394);
#2819=LINE('',#22132,#4395);
#2820=LINE('',#22135,#4396);
#2821=LINE('',#22139,#4397);
#2822=LINE('',#22143,#4398);
#2823=LINE('',#22146,#4399);
#2824=LINE('',#22149,#4400);
#2825=LINE('',#22151,#4401);
#2826=LINE('',#22152,#4402);
#2827=LINE('',#22155,#4403);
#2828=LINE('',#22157,#4404);
#2829=LINE('',#22160,#4405);
#2830=LINE('',#22163,#4406);
#2831=LINE('',#22166,#4407);
#2832=LINE('',#22168,#4408);
#2833=LINE('',#22171,#4409);
#2834=LINE('',#22174,#4410);
#2835=LINE('',#22177,#4411);
#2836=LINE('',#22179,#4412);
#2837=LINE('',#22182,#4413);
#2838=LINE('',#22185,#4414);
#2839=LINE('',#22188,#4415);
#2840=LINE('',#22190,#4416);
#2841=LINE('',#22193,#4417);
#2842=LINE('',#22196,#4418);
#2843=LINE('',#22199,#4419);
#2844=LINE('',#22201,#4420);
#2845=LINE('',#22204,#4421);
#2846=LINE('',#22207,#4422);
#2847=LINE('',#22210,#4423);
#2848=LINE('',#22212,#4424);
#2849=LINE('',#22215,#4425);
#2850=LINE('',#22218,#4426);
#2851=LINE('',#22221,#4427);
#2852=LINE('',#22223,#4428);
#2853=LINE('',#22226,#4429);
#2854=LINE('',#22229,#4430);
#2855=LINE('',#22232,#4431);
#2856=LINE('',#22234,#4432);
#2857=LINE('',#22237,#4433);
#2858=LINE('',#22240,#4434);
#2859=LINE('',#22243,#4435);
#2860=LINE('',#22245,#4436);
#2861=LINE('',#22248,#4437);
#2862=LINE('',#22251,#4438);
#2863=LINE('',#22253,#4439);
#2864=LINE('',#22254,#4440);
#2865=LINE('',#22257,#4441);
#2866=LINE('',#22259,#4442);
#2867=LINE('',#22261,#4443);
#2868=LINE('',#22264,#4444);
#2869=LINE('',#22267,#4445);
#2870=LINE('',#22269,#4446);
#2871=LINE('',#22270,#4447);
#2872=LINE('',#22273,#4448);
#2873=LINE('',#22274,#4449);
#2874=LINE('',#22277,#4450);
#2875=LINE('',#22279,#4451);
#2876=LINE('',#22281,#4452);
#2877=LINE('',#22283,#4453);
#2878=LINE('',#22285,#4454);
#2879=LINE('',#22287,#4455);
#2880=LINE('',#22288,#4456);
#2881=LINE('',#22291,#4457);
#2882=LINE('',#22292,#4458);
#2883=LINE('',#22295,#4459);
#2884=LINE('',#22297,#4460);
#2885=LINE('',#22299,#4461);
#2886=LINE('',#22301,#4462);
#2887=LINE('',#22303,#4463);
#2888=LINE('',#22305,#4464);
#2889=LINE('',#22306,#4465);
#2890=LINE('',#22309,#4466);
#2891=LINE('',#22310,#4467);
#2892=LINE('',#22313,#4468);
#2893=LINE('',#22315,#4469);
#2894=LINE('',#22317,#4470);
#2895=LINE('',#22319,#4471);
#2896=LINE('',#22321,#4472);
#2897=LINE('',#22323,#4473);
#2898=LINE('',#22324,#4474);
#2899=LINE('',#22327,#4475);
#2900=LINE('',#22328,#4476);
#2901=LINE('',#22331,#4477);
#2902=LINE('',#22333,#4478);
#2903=LINE('',#22335,#4479);
#2904=LINE('',#22337,#4480);
#2905=LINE('',#22339,#4481);
#2906=LINE('',#22341,#4482);
#2907=LINE('',#22342,#4483);
#2908=LINE('',#22345,#4484);
#2909=LINE('',#22346,#4485);
#2910=LINE('',#22349,#4486);
#2911=LINE('',#22351,#4487);
#2912=LINE('',#22353,#4488);
#2913=LINE('',#22355,#4489);
#2914=LINE('',#22357,#4490);
#2915=LINE('',#22359,#4491);
#2916=LINE('',#22360,#4492);
#2917=LINE('',#22363,#4493);
#2918=LINE('',#22364,#4494);
#2919=LINE('',#22367,#4495);
#2920=LINE('',#22369,#4496);
#2921=LINE('',#22371,#4497);
#2922=LINE('',#22373,#4498);
#2923=LINE('',#22375,#4499);
#2924=LINE('',#22377,#4500);
#2925=LINE('',#22378,#4501);
#2926=LINE('',#22381,#4502);
#2927=LINE('',#22382,#4503);
#2928=LINE('',#22385,#4504);
#2929=LINE('',#22387,#4505);
#2930=LINE('',#22389,#4506);
#2931=LINE('',#22391,#4507);
#2932=LINE('',#22393,#4508);
#2933=LINE('',#22395,#4509);
#2934=LINE('',#22396,#4510);
#2935=LINE('',#22399,#4511);
#2936=LINE('',#22400,#4512);
#2937=LINE('',#22403,#4513);
#2938=LINE('',#22405,#4514);
#2939=LINE('',#22407,#4515);
#2940=LINE('',#22409,#4516);
#2941=LINE('',#22411,#4517);
#2942=LINE('',#22413,#4518);
#2943=LINE('',#22414,#4519);
#2944=LINE('',#22417,#4520);
#2945=LINE('',#22418,#4521);
#2946=LINE('',#22421,#4522);
#2947=LINE('',#22423,#4523);
#2948=LINE('',#22425,#4524);
#2949=LINE('',#22427,#4525);
#2950=LINE('',#22429,#4526);
#2951=LINE('',#22431,#4527);
#2952=LINE('',#22432,#4528);
#2953=LINE('',#22435,#4529);
#2954=LINE('',#22436,#4530);
#2955=LINE('',#22439,#4531);
#2956=LINE('',#22441,#4532);
#2957=LINE('',#22443,#4533);
#2958=LINE('',#22445,#4534);
#2959=LINE('',#22447,#4535);
#2960=LINE('',#22449,#4536);
#2961=LINE('',#22450,#4537);
#2962=LINE('',#22453,#4538);
#2963=LINE('',#22454,#4539);
#2964=LINE('',#22457,#4540);
#2965=LINE('',#22459,#4541);
#2966=LINE('',#22461,#4542);
#2967=LINE('',#22463,#4543);
#2968=LINE('',#22465,#4544);
#2969=LINE('',#22467,#4545);
#2970=LINE('',#22468,#4546);
#2971=LINE('',#22471,#4547);
#2972=LINE('',#22472,#4548);
#2973=LINE('',#22475,#4549);
#2974=LINE('',#22477,#4550);
#2975=LINE('',#22479,#4551);
#2976=LINE('',#22481,#4552);
#2977=LINE('',#22483,#4553);
#2978=LINE('',#22485,#4554);
#2979=LINE('',#22486,#4555);
#2980=LINE('',#22489,#4556);
#2981=LINE('',#22490,#4557);
#2982=LINE('',#22493,#4558);
#2983=LINE('',#22495,#4559);
#2984=LINE('',#22497,#4560);
#2985=LINE('',#22499,#4561);
#2986=LINE('',#22501,#4562);
#2987=LINE('',#22503,#4563);
#2988=LINE('',#22504,#4564);
#2989=LINE('',#22507,#4565);
#2990=LINE('',#22508,#4566);
#2991=LINE('',#22511,#4567);
#2992=LINE('',#22513,#4568);
#2993=LINE('',#22515,#4569);
#2994=LINE('',#22517,#4570);
#2995=LINE('',#22519,#4571);
#2996=LINE('',#22521,#4572);
#2997=LINE('',#22522,#4573);
#2998=LINE('',#22525,#4574);
#2999=LINE('',#22526,#4575);
#3000=LINE('',#22529,#4576);
#3001=LINE('',#22531,#4577);
#3002=LINE('',#22533,#4578);
#3003=LINE('',#22535,#4579);
#3004=LINE('',#22537,#4580);
#3005=LINE('',#22539,#4581);
#3006=LINE('',#22540,#4582);
#3007=LINE('',#22543,#4583);
#3008=LINE('',#22544,#4584);
#3009=LINE('',#22547,#4585);
#3010=LINE('',#22549,#4586);
#3011=LINE('',#22551,#4587);
#3012=LINE('',#22553,#4588);
#3013=LINE('',#22555,#4589);
#3014=LINE('',#22557,#4590);
#3015=LINE('',#22558,#4591);
#3016=LINE('',#22561,#4592);
#3017=LINE('',#22562,#4593);
#3018=LINE('',#22565,#4594);
#3019=LINE('',#22567,#4595);
#3020=LINE('',#22569,#4596);
#3021=LINE('',#22571,#4597);
#3022=LINE('',#22573,#4598);
#3023=LINE('',#22575,#4599);
#3024=LINE('',#22576,#4600);
#3025=LINE('',#22579,#4601);
#3026=LINE('',#22580,#4602);
#3027=LINE('',#22583,#4603);
#3028=LINE('',#22585,#4604);
#3029=LINE('',#22587,#4605);
#3030=LINE('',#22589,#4606);
#3031=LINE('',#22591,#4607);
#3032=LINE('',#22593,#4608);
#3033=LINE('',#22594,#4609);
#3034=LINE('',#22597,#4610);
#3035=LINE('',#22598,#4611);
#3036=LINE('',#22601,#4612);
#3037=LINE('',#22603,#4613);
#3038=LINE('',#22605,#4614);
#3039=LINE('',#22607,#4615);
#3040=LINE('',#22609,#4616);
#3041=LINE('',#22611,#4617);
#3042=LINE('',#22612,#4618);
#3043=LINE('',#22615,#4619);
#3044=LINE('',#22616,#4620);
#3045=LINE('',#22619,#4621);
#3046=LINE('',#22621,#4622);
#3047=LINE('',#22623,#4623);
#3048=LINE('',#22625,#4624);
#3049=LINE('',#22627,#4625);
#3050=LINE('',#22629,#4626);
#3051=LINE('',#22630,#4627);
#3052=LINE('',#22633,#4628);
#3053=LINE('',#22634,#4629);
#3054=LINE('',#22636,#4630);
#3055=LINE('',#22637,#4631);
#3056=LINE('',#22638,#4632);
#3057=LINE('',#22663,#4633);
#3058=LINE('',#22664,#4634);
#3059=LINE('',#22723,#4635);
#3060=LINE('',#22724,#4636);
#3061=LINE('',#22749,#4637);
#3062=LINE('',#22750,#4638);
#3063=LINE('',#22761,#4639);
#3064=LINE('',#22762,#4640);
#3065=LINE('',#22764,#4641);
#3066=LINE('',#22765,#4642);
#3067=LINE('',#22776,#4643);
#3068=LINE('',#22777,#4644);
#3069=LINE('',#22779,#4645);
#3070=LINE('',#22780,#4646);
#3071=LINE('',#22812,#4647);
#3072=LINE('',#22813,#4648);
#3073=LINE('',#22840,#4649);
#3074=LINE('',#22841,#4650);
#3075=LINE('',#22844,#4651);
#3076=LINE('',#22846,#4652);
#3077=LINE('',#22867,#4653);
#3078=LINE('',#22868,#4654);
#3079=LINE('',#22885,#4655);
#3080=LINE('',#22894,#4656);
#3081=LINE('',#22895,#4657);
#3082=LINE('',#22904,#4658);
#3083=LINE('',#22905,#4659);
#3084=LINE('',#22920,#4660);
#3085=LINE('',#22954,#4661);
#3086=LINE('',#22955,#4662);
#3087=LINE('',#22957,#4663);
#3088=LINE('',#22958,#4664);
#3089=LINE('',#22990,#4665);
#3090=LINE('',#23008,#4666);
#3091=LINE('',#23009,#4667);
#3092=LINE('',#23011,#4668);
#3093=LINE('',#23012,#4669);
#3094=LINE('',#23021,#4670);
#3095=LINE('',#23022,#4671);
#3096=LINE('',#23032,#4672);
#3097=LINE('',#23033,#4673);
#3098=LINE('',#23035,#4674);
#3099=LINE('',#23044,#4675);
#3100=LINE('',#23045,#4676);
#3101=LINE('',#23081,#4677);
#3102=LINE('',#23082,#4678);
#3103=LINE('',#23106,#4679);
#3104=LINE('',#23107,#4680);
#3105=LINE('',#23116,#4681);
#3106=LINE('',#23117,#4682);
#3107=LINE('',#23119,#4683);
#3108=LINE('',#23120,#4684);
#3109=LINE('',#23122,#4685);
#3110=LINE('',#23138,#4686);
#3111=LINE('',#23147,#4687);
#3112=LINE('',#23148,#4688);
#3113=LINE('',#23150,#4689);
#3114=LINE('',#23151,#4690);
#3115=LINE('',#23173,#4691);
#3116=LINE('',#23174,#4692);
#3117=LINE('',#23176,#4693);
#3118=LINE('',#23177,#4694);
#3119=LINE('',#23191,#4695);
#3120=LINE('',#23192,#4696);
#3121=LINE('',#23194,#4697);
#3122=LINE('',#23196,#4698);
#3123=LINE('',#23197,#4699);
#3124=LINE('',#23199,#4700);
#3125=LINE('',#23201,#4701);
#3126=LINE('',#23202,#4702);
#3127=LINE('',#23204,#4703);
#3128=LINE('',#23205,#4704);
#3129=LINE('',#23207,#4705);
#3130=LINE('',#23208,#4706);
#3131=LINE('',#23210,#4707);
#3132=LINE('',#23211,#4708);
#3133=LINE('',#23213,#4709);
#3134=LINE('',#23214,#4710);
#3135=LINE('',#23216,#4711);
#3136=LINE('',#23218,#4712);
#3137=LINE('',#23220,#4713);
#3138=LINE('',#23221,#4714);
#3139=LINE('',#23223,#4715);
#3140=LINE('',#23224,#4716);
#3141=LINE('',#23226,#4717);
#3142=LINE('',#23227,#4718);
#3143=LINE('',#23229,#4719);
#3144=LINE('',#23230,#4720);
#3145=LINE('',#23232,#4721);
#3146=LINE('',#23235,#4722);
#3147=LINE('',#23237,#4723);
#3148=LINE('',#23240,#4724);
#3149=LINE('',#23241,#4725);
#3150=LINE('',#23243,#4726);
#3151=LINE('',#23244,#4727);
#3152=LINE('',#23246,#4728);
#3153=LINE('',#23247,#4729);
#3154=LINE('',#23249,#4730);
#3155=LINE('',#23250,#4731);
#3156=LINE('',#23252,#4732);
#3157=LINE('',#23253,#4733);
#3158=LINE('',#23255,#4734);
#3159=LINE('',#23256,#4735);
#3160=LINE('',#23258,#4736);
#3161=LINE('',#23259,#4737);
#3162=LINE('',#23261,#4738);
#3163=LINE('',#23262,#4739);
#3164=LINE('',#23265,#4740);
#3165=LINE('',#23267,#4741);
#3166=LINE('',#23270,#4742);
#3167=LINE('',#23271,#4743);
#3168=LINE('',#23274,#4744);
#3169=LINE('',#23276,#4745);
#3170=LINE('',#23279,#4746);
#3171=LINE('',#23280,#4747);
#3172=LINE('',#23282,#4748);
#3173=LINE('',#23283,#4749);
#3174=LINE('',#23285,#4750);
#3175=LINE('',#23286,#4751);
#3176=LINE('',#23288,#4752);
#3177=LINE('',#23289,#4753);
#3178=LINE('',#23291,#4754);
#3179=LINE('',#23292,#4755);
#3180=LINE('',#23294,#4756);
#3181=LINE('',#23295,#4757);
#3182=LINE('',#23297,#4758);
#3183=LINE('',#23298,#4759);
#3184=LINE('',#23300,#4760);
#3185=LINE('',#23301,#4761);
#3186=LINE('',#23303,#4762);
#3187=LINE('',#23304,#4763);
#3188=LINE('',#23306,#4764);
#3189=LINE('',#23307,#4765);
#3190=LINE('',#23309,#4766);
#3191=LINE('',#23310,#4767);
#3192=LINE('',#23312,#4768);
#3193=LINE('',#23313,#4769);
#3194=LINE('',#23315,#4770);
#3195=LINE('',#23316,#4771);
#3196=LINE('',#23318,#4772);
#3197=LINE('',#23319,#4773);
#3198=LINE('',#23321,#4774);
#3199=LINE('',#23322,#4775);
#3200=LINE('',#23324,#4776);
#3201=LINE('',#23325,#4777);
#3202=LINE('',#23327,#4778);
#3203=LINE('',#23328,#4779);
#3204=LINE('',#23330,#4780);
#3205=LINE('',#23331,#4781);
#3206=LINE('',#23333,#4782);
#3207=LINE('',#23334,#4783);
#3208=LINE('',#23336,#4784);
#3209=LINE('',#23337,#4785);
#3210=LINE('',#23339,#4786);
#3211=LINE('',#23340,#4787);
#3212=LINE('',#23342,#4788);
#3213=LINE('',#23343,#4789);
#3214=LINE('',#23345,#4790);
#3215=LINE('',#23346,#4791);
#3216=LINE('',#23348,#4792);
#3217=LINE('',#23349,#4793);
#3218=LINE('',#23351,#4794);
#3219=LINE('',#23352,#4795);
#3220=LINE('',#23354,#4796);
#3221=LINE('',#23355,#4797);
#3222=LINE('',#23357,#4798);
#3223=LINE('',#23358,#4799);
#3224=LINE('',#23360,#4800);
#3225=LINE('',#23361,#4801);
#3226=LINE('',#23363,#4802);
#3227=LINE('',#23364,#4803);
#3228=LINE('',#23366,#4804);
#3229=LINE('',#23367,#4805);
#3230=LINE('',#23370,#4806);
#3231=LINE('',#23371,#4807);
#3232=LINE('',#23374,#4808);
#3233=LINE('',#23375,#4809);
#3234=LINE('',#23377,#4810);
#3235=LINE('',#23380,#4811);
#3236=LINE('',#23381,#4812);
#3237=LINE('',#23386,#4813);
#3238=LINE('',#23388,#4814);
#3239=LINE('',#23390,#4815);
#3240=LINE('',#23391,#4816);
#3241=LINE('',#23394,#4817);
#3242=LINE('',#23396,#4818);
#3243=LINE('',#23398,#4819);
#3244=LINE('',#23399,#4820);
#3245=LINE('',#23402,#4821);
#3246=LINE('',#23404,#4822);
#3247=LINE('',#23406,#4823);
#3248=LINE('',#23407,#4824);
#3249=LINE('',#23410,#4825);
#3250=LINE('',#23412,#4826);
#3251=LINE('',#23414,#4827);
#3252=LINE('',#23415,#4828);
#3253=LINE('',#23418,#4829);
#3254=LINE('',#23420,#4830);
#3255=LINE('',#23422,#4831);
#3256=LINE('',#23423,#4832);
#3257=LINE('',#23426,#4833);
#3258=LINE('',#23428,#4834);
#3259=LINE('',#23430,#4835);
#3260=LINE('',#23431,#4836);
#3261=LINE('',#23434,#4837);
#3262=LINE('',#23436,#4838);
#3263=LINE('',#23438,#4839);
#3264=LINE('',#23439,#4840);
#3265=LINE('',#23442,#4841);
#3266=LINE('',#23444,#4842);
#3267=LINE('',#23446,#4843);
#3268=LINE('',#23447,#4844);
#3269=LINE('',#23450,#4845);
#3270=LINE('',#23452,#4846);
#3271=LINE('',#23454,#4847);
#3272=LINE('',#23455,#4848);
#3273=LINE('',#23458,#4849);
#3274=LINE('',#23460,#4850);
#3275=LINE('',#23462,#4851);
#3276=LINE('',#23463,#4852);
#3277=LINE('',#23466,#4853);
#3278=LINE('',#23468,#4854);
#3279=LINE('',#23470,#4855);
#3280=LINE('',#23471,#4856);
#3281=LINE('',#23474,#4857);
#3282=LINE('',#23476,#4858);
#3283=LINE('',#23478,#4859);
#3284=LINE('',#23479,#4860);
#3285=LINE('',#23482,#4861);
#3286=LINE('',#23484,#4862);
#3287=LINE('',#23486,#4863);
#3288=LINE('',#23487,#4864);
#3289=LINE('',#23490,#4865);
#3290=LINE('',#23492,#4866);
#3291=LINE('',#23494,#4867);
#3292=LINE('',#23495,#4868);
#3293=LINE('',#23498,#4869);
#3294=LINE('',#23500,#4870);
#3295=LINE('',#23502,#4871);
#3296=LINE('',#23503,#4872);
#3297=LINE('',#23506,#4873);
#3298=LINE('',#23508,#4874);
#3299=LINE('',#23510,#4875);
#3300=LINE('',#23511,#4876);
#3301=LINE('',#23514,#4877);
#3302=LINE('',#23516,#4878);
#3303=LINE('',#23518,#4879);
#3304=LINE('',#23519,#4880);
#3305=LINE('',#23522,#4881);
#3306=LINE('',#23524,#4882);
#3307=LINE('',#23526,#4883);
#3308=LINE('',#23527,#4884);
#3309=LINE('',#23530,#4885);
#3310=LINE('',#23532,#4886);
#3311=LINE('',#23534,#4887);
#3312=LINE('',#23535,#4888);
#3313=LINE('',#23538,#4889);
#3314=LINE('',#23540,#4890);
#3315=LINE('',#23542,#4891);
#3316=LINE('',#23543,#4892);
#3317=LINE('',#23582,#4893);
#3318=LINE('',#23590,#4894);
#3319=LINE('',#23657,#4895);
#3320=LINE('',#23662,#4896);
#3321=LINE('',#23664,#4897);
#3322=LINE('',#23666,#4898);
#3323=LINE('',#23667,#4899);
#3324=LINE('',#23670,#4900);
#3325=LINE('',#23673,#4901);
#3326=LINE('',#23674,#4902);
#3327=LINE('',#23676,#4903);
#3328=LINE('',#23679,#4904);
#3329=LINE('',#23682,#4905);
#3330=LINE('',#23684,#4906);
#3331=LINE('',#23687,#4907);
#3332=LINE('',#23688,#4908);
#3333=LINE('',#23690,#4909);
#3334=LINE('',#23696,#4910);
#3335=LINE('',#23698,#4911);
#3336=LINE('',#23699,#4912);
#3337=LINE('',#23701,#4913);
#3338=LINE('',#23702,#4914);
#3339=LINE('',#23704,#4915);
#3340=LINE('',#23706,#4916);
#3341=LINE('',#23708,#4917);
#3342=LINE('',#23711,#4918);
#3343=LINE('',#23712,#4919);
#3344=LINE('',#23715,#4920);
#3345=LINE('',#23716,#4921);
#3346=LINE('',#23719,#4922);
#3347=LINE('',#23720,#4923);
#3348=LINE('',#23725,#4924);
#3349=LINE('',#23726,#4925);
#3350=LINE('',#23730,#4926);
#3351=LINE('',#23735,#4927);
#3352=LINE('',#23740,#4928);
#3353=LINE('',#23742,#4929);
#3354=LINE('',#23744,#4930);
#3355=LINE('',#23745,#4931);
#3356=LINE('',#23748,#4932);
#3357=LINE('',#23751,#4933);
#3358=LINE('',#23752,#4934);
#3359=LINE('',#23754,#4935);
#3360=LINE('',#23757,#4936);
#3361=LINE('',#23760,#4937);
#3362=LINE('',#23762,#4938);
#3363=LINE('',#23765,#4939);
#3364=LINE('',#23766,#4940);
#3365=LINE('',#23768,#4941);
#3366=LINE('',#23774,#4942);
#3367=LINE('',#23776,#4943);
#3368=LINE('',#23777,#4944);
#3369=LINE('',#23779,#4945);
#3370=LINE('',#23780,#4946);
#3371=LINE('',#23782,#4947);
#3372=LINE('',#23784,#4948);
#3373=LINE('',#23786,#4949);
#3374=LINE('',#23789,#4950);
#3375=LINE('',#23790,#4951);
#3376=LINE('',#23793,#4952);
#3377=LINE('',#23794,#4953);
#3378=LINE('',#23797,#4954);
#3379=LINE('',#23798,#4955);
#3380=LINE('',#23803,#4956);
#3381=LINE('',#23804,#4957);
#3382=LINE('',#23808,#4958);
#3383=LINE('',#23813,#4959);
#3384=LINE('',#23818,#4960);
#3385=LINE('',#23820,#4961);
#3386=LINE('',#23822,#4962);
#3387=LINE('',#23823,#4963);
#3388=LINE('',#23826,#4964);
#3389=LINE('',#23829,#4965);
#3390=LINE('',#23830,#4966);
#3391=LINE('',#23832,#4967);
#3392=LINE('',#23835,#4968);
#3393=LINE('',#23838,#4969);
#3394=LINE('',#23840,#4970);
#3395=LINE('',#23843,#4971);
#3396=LINE('',#23844,#4972);
#3397=LINE('',#23846,#4973);
#3398=LINE('',#23852,#4974);
#3399=LINE('',#23854,#4975);
#3400=LINE('',#23855,#4976);
#3401=LINE('',#23857,#4977);
#3402=LINE('',#23858,#4978);
#3403=LINE('',#23860,#4979);
#3404=LINE('',#23862,#4980);
#3405=LINE('',#23864,#4981);
#3406=LINE('',#23867,#4982);
#3407=LINE('',#23868,#4983);
#3408=LINE('',#23871,#4984);
#3409=LINE('',#23872,#4985);
#3410=LINE('',#23875,#4986);
#3411=LINE('',#23876,#4987);
#3412=LINE('',#23881,#4988);
#3413=LINE('',#23882,#4989);
#3414=LINE('',#23886,#4990);
#3415=LINE('',#23891,#4991);
#3416=LINE('',#23896,#4992);
#3417=LINE('',#23898,#4993);
#3418=LINE('',#23900,#4994);
#3419=LINE('',#23901,#4995);
#3420=LINE('',#23904,#4996);
#3421=LINE('',#23907,#4997);
#3422=LINE('',#23908,#4998);
#3423=LINE('',#23910,#4999);
#3424=LINE('',#23913,#5000);
#3425=LINE('',#23916,#5001);
#3426=LINE('',#23918,#5002);
#3427=LINE('',#23921,#5003);
#3428=LINE('',#23922,#5004);
#3429=LINE('',#23924,#5005);
#3430=LINE('',#23930,#5006);
#3431=LINE('',#23932,#5007);
#3432=LINE('',#23933,#5008);
#3433=LINE('',#23935,#5009);
#3434=LINE('',#23936,#5010);
#3435=LINE('',#23938,#5011);
#3436=LINE('',#23940,#5012);
#3437=LINE('',#23942,#5013);
#3438=LINE('',#23945,#5014);
#3439=LINE('',#23946,#5015);
#3440=LINE('',#23949,#5016);
#3441=LINE('',#23950,#5017);
#3442=LINE('',#23953,#5018);
#3443=LINE('',#23954,#5019);
#3444=LINE('',#23959,#5020);
#3445=LINE('',#23960,#5021);
#3446=LINE('',#23964,#5022);
#3447=LINE('',#23969,#5023);
#3448=LINE('',#23974,#5024);
#3449=LINE('',#23976,#5025);
#3450=LINE('',#23978,#5026);
#3451=LINE('',#23979,#5027);
#3452=LINE('',#23982,#5028);
#3453=LINE('',#23985,#5029);
#3454=LINE('',#23986,#5030);
#3455=LINE('',#23988,#5031);
#3456=LINE('',#23991,#5032);
#3457=LINE('',#23994,#5033);
#3458=LINE('',#23996,#5034);
#3459=LINE('',#23999,#5035);
#3460=LINE('',#24000,#5036);
#3461=LINE('',#24002,#5037);
#3462=LINE('',#24008,#5038);
#3463=LINE('',#24010,#5039);
#3464=LINE('',#24011,#5040);
#3465=LINE('',#24013,#5041);
#3466=LINE('',#24014,#5042);
#3467=LINE('',#24016,#5043);
#3468=LINE('',#24018,#5044);
#3469=LINE('',#24020,#5045);
#3470=LINE('',#24023,#5046);
#3471=LINE('',#24024,#5047);
#3472=LINE('',#24027,#5048);
#3473=LINE('',#24028,#5049);
#3474=LINE('',#24031,#5050);
#3475=LINE('',#24032,#5051);
#3476=LINE('',#24037,#5052);
#3477=LINE('',#24038,#5053);
#3478=LINE('',#24042,#5054);
#3479=LINE('',#24047,#5055);
#3480=LINE('',#24052,#5056);
#3481=LINE('',#24054,#5057);
#3482=LINE('',#24056,#5058);
#3483=LINE('',#24057,#5059);
#3484=LINE('',#24060,#5060);
#3485=LINE('',#24063,#5061);
#3486=LINE('',#24064,#5062);
#3487=LINE('',#24066,#5063);
#3488=LINE('',#24069,#5064);
#3489=LINE('',#24072,#5065);
#3490=LINE('',#24074,#5066);
#3491=LINE('',#24077,#5067);
#3492=LINE('',#24078,#5068);
#3493=LINE('',#24080,#5069);
#3494=LINE('',#24086,#5070);
#3495=LINE('',#24088,#5071);
#3496=LINE('',#24089,#5072);
#3497=LINE('',#24091,#5073);
#3498=LINE('',#24092,#5074);
#3499=LINE('',#24094,#5075);
#3500=LINE('',#24096,#5076);
#3501=LINE('',#24098,#5077);
#3502=LINE('',#24101,#5078);
#3503=LINE('',#24102,#5079);
#3504=LINE('',#24105,#5080);
#3505=LINE('',#24106,#5081);
#3506=LINE('',#24109,#5082);
#3507=LINE('',#24110,#5083);
#3508=LINE('',#24115,#5084);
#3509=LINE('',#24116,#5085);
#3510=LINE('',#24120,#5086);
#3511=LINE('',#24125,#5087);
#3512=LINE('',#24130,#5088);
#3513=LINE('',#24132,#5089);
#3514=LINE('',#24134,#5090);
#3515=LINE('',#24135,#5091);
#3516=LINE('',#24138,#5092);
#3517=LINE('',#24141,#5093);
#3518=LINE('',#24142,#5094);
#3519=LINE('',#24144,#5095);
#3520=LINE('',#24147,#5096);
#3521=LINE('',#24150,#5097);
#3522=LINE('',#24152,#5098);
#3523=LINE('',#24155,#5099);
#3524=LINE('',#24156,#5100);
#3525=LINE('',#24158,#5101);
#3526=LINE('',#24164,#5102);
#3527=LINE('',#24166,#5103);
#3528=LINE('',#24167,#5104);
#3529=LINE('',#24169,#5105);
#3530=LINE('',#24170,#5106);
#3531=LINE('',#24172,#5107);
#3532=LINE('',#24174,#5108);
#3533=LINE('',#24176,#5109);
#3534=LINE('',#24179,#5110);
#3535=LINE('',#24180,#5111);
#3536=LINE('',#24183,#5112);
#3537=LINE('',#24184,#5113);
#3538=LINE('',#24187,#5114);
#3539=LINE('',#24188,#5115);
#3540=LINE('',#24193,#5116);
#3541=LINE('',#24194,#5117);
#3542=LINE('',#24198,#5118);
#3543=LINE('',#24203,#5119);
#3544=LINE('',#24208,#5120);
#3545=LINE('',#24210,#5121);
#3546=LINE('',#24212,#5122);
#3547=LINE('',#24213,#5123);
#3548=LINE('',#24216,#5124);
#3549=LINE('',#24219,#5125);
#3550=LINE('',#24220,#5126);
#3551=LINE('',#24222,#5127);
#3552=LINE('',#24225,#5128);
#3553=LINE('',#24228,#5129);
#3554=LINE('',#24230,#5130);
#3555=LINE('',#24233,#5131);
#3556=LINE('',#24234,#5132);
#3557=LINE('',#24236,#5133);
#3558=LINE('',#24242,#5134);
#3559=LINE('',#24244,#5135);
#3560=LINE('',#24245,#5136);
#3561=LINE('',#24247,#5137);
#3562=LINE('',#24248,#5138);
#3563=LINE('',#24250,#5139);
#3564=LINE('',#24252,#5140);
#3565=LINE('',#24254,#5141);
#3566=LINE('',#24257,#5142);
#3567=LINE('',#24258,#5143);
#3568=LINE('',#24261,#5144);
#3569=LINE('',#24262,#5145);
#3570=LINE('',#24265,#5146);
#3571=LINE('',#24266,#5147);
#3572=LINE('',#24271,#5148);
#3573=LINE('',#24272,#5149);
#3574=LINE('',#24276,#5150);
#3575=LINE('',#24281,#5151);
#3576=LINE('',#24286,#5152);
#3577=LINE('',#24288,#5153);
#3578=LINE('',#24290,#5154);
#3579=LINE('',#24291,#5155);
#3580=LINE('',#24294,#5156);
#3581=LINE('',#24297,#5157);
#3582=LINE('',#24298,#5158);
#3583=LINE('',#24300,#5159);
#3584=LINE('',#24303,#5160);
#3585=LINE('',#24306,#5161);
#3586=LINE('',#24308,#5162);
#3587=LINE('',#24311,#5163);
#3588=LINE('',#24312,#5164);
#3589=LINE('',#24314,#5165);
#3590=LINE('',#24320,#5166);
#3591=LINE('',#24322,#5167);
#3592=LINE('',#24323,#5168);
#3593=LINE('',#24325,#5169);
#3594=LINE('',#24326,#5170);
#3595=LINE('',#24328,#5171);
#3596=LINE('',#24330,#5172);
#3597=LINE('',#24332,#5173);
#3598=LINE('',#24335,#5174);
#3599=LINE('',#24336,#5175);
#3600=LINE('',#24339,#5176);
#3601=LINE('',#24340,#5177);
#3602=LINE('',#24343,#5178);
#3603=LINE('',#24344,#5179);
#3604=LINE('',#24349,#5180);
#3605=LINE('',#24350,#5181);
#3606=LINE('',#24354,#5182);
#3607=LINE('',#24359,#5183);
#3608=LINE('',#24364,#5184);
#3609=LINE('',#24366,#5185);
#3610=LINE('',#24368,#5186);
#3611=LINE('',#24369,#5187);
#3612=LINE('',#24372,#5188);
#3613=LINE('',#24375,#5189);
#3614=LINE('',#24376,#5190);
#3615=LINE('',#24378,#5191);
#3616=LINE('',#24381,#5192);
#3617=LINE('',#24384,#5193);
#3618=LINE('',#24386,#5194);
#3619=LINE('',#24389,#5195);
#3620=LINE('',#24390,#5196);
#3621=LINE('',#24392,#5197);
#3622=LINE('',#24398,#5198);
#3623=LINE('',#24400,#5199);
#3624=LINE('',#24401,#5200);
#3625=LINE('',#24403,#5201);
#3626=LINE('',#24404,#5202);
#3627=LINE('',#24406,#5203);
#3628=LINE('',#24408,#5204);
#3629=LINE('',#24410,#5205);
#3630=LINE('',#24413,#5206);
#3631=LINE('',#24414,#5207);
#3632=LINE('',#24417,#5208);
#3633=LINE('',#24418,#5209);
#3634=LINE('',#24421,#5210);
#3635=LINE('',#24422,#5211);
#3636=LINE('',#24427,#5212);
#3637=LINE('',#24428,#5213);
#3638=LINE('',#24432,#5214);
#3639=LINE('',#24436,#5215);
#3640=LINE('',#24438,#5216);
#3641=LINE('',#24440,#5217);
#3642=LINE('',#24441,#5218);
#3643=LINE('',#24446,#5219);
#3644=LINE('',#24452,#5220);
#3645=LINE('',#24454,#5221);
#3646=LINE('',#24455,#5222);
#3647=LINE('',#24458,#5223);
#3648=LINE('',#24460,#5224);
#3649=LINE('',#24464,#5225);
#3650=LINE('',#24466,#5226);
#3651=LINE('',#24468,#5227);
#3652=LINE('',#24472,#5228);
#3653=LINE('',#24474,#5229);
#3654=LINE('',#24476,#5230);
#3655=LINE('',#24481,#5231);
#3656=LINE('',#24483,#5232);
#3657=LINE('',#24485,#5233);
#3658=LINE('',#24486,#5234);
#3659=LINE('',#24489,#5235);
#3660=LINE('',#24491,#5236);
#3661=LINE('',#24492,#5237);
#3662=LINE('',#24493,#5238);
#3663=LINE('',#24497,#5239);
#3664=LINE('',#24499,#5240);
#3665=LINE('',#24501,#5241);
#3666=LINE('',#24505,#5242);
#3667=LINE('',#24507,#5243);
#3668=LINE('',#24508,#5244);
#3669=LINE('',#24513,#5245);
#3670=LINE('',#24514,#5246);
#3671=LINE('',#24517,#5247);
#3672=LINE('',#24518,#5248);
#3673=LINE('',#24519,#5249);
#3674=LINE('',#24521,#5250);
#3675=LINE('',#24522,#5251);
#3676=LINE('',#24526,#5252);
#3677=LINE('',#24528,#5253);
#3678=LINE('',#24530,#5254);
#3679=LINE('',#24531,#5255);
#3680=LINE('',#24534,#5256);
#3681=LINE('',#24535,#5257);
#3682=LINE('',#24536,#5258);
#3683=LINE('',#24537,#5259);
#3684=LINE('',#24539,#5260);
#3685=LINE('',#24541,#5261);
#3686=LINE('',#24543,#5262);
#3687=LINE('',#24544,#5263);
#3688=LINE('',#24547,#5264);
#3689=LINE('',#24549,#5265);
#3690=LINE('',#24551,#5266);
#3691=LINE('',#24553,#5267);
#3692=LINE('',#24555,#5268);
#3693=LINE('',#24557,#5269);
#3694=LINE('',#24559,#5270);
#3695=LINE('',#24561,#5271);
#3696=LINE('',#24563,#5272);
#3697=LINE('',#24565,#5273);
#3698=LINE('',#24567,#5274);
#3699=LINE('',#24569,#5275);
#3700=LINE('',#24571,#5276);
#3701=LINE('',#24573,#5277);
#3702=LINE('',#24575,#5278);
#3703=LINE('',#24577,#5279);
#3704=LINE('',#24579,#5280);
#3705=LINE('',#24581,#5281);
#3706=LINE('',#24583,#5282);
#3707=LINE('',#24585,#5283);
#3708=LINE('',#24587,#5284);
#3709=LINE('',#24589,#5285);
#3710=LINE('',#24591,#5286);
#3711=LINE('',#24593,#5287);
#3712=LINE('',#24595,#5288);
#3713=LINE('',#24597,#5289);
#3714=LINE('',#24599,#5290);
#3715=LINE('',#24601,#5291);
#3716=LINE('',#24603,#5292);
#3717=LINE('',#24605,#5293);
#3718=LINE('',#24607,#5294);
#3719=LINE('',#24609,#5295);
#3720=LINE('',#24611,#5296);
#3721=LINE('',#24613,#5297);
#3722=LINE('',#24615,#5298);
#3723=LINE('',#24617,#5299);
#3724=LINE('',#24619,#5300);
#3725=LINE('',#24621,#5301);
#3726=LINE('',#24623,#5302);
#3727=LINE('',#24625,#5303);
#3728=LINE('',#24627,#5304);
#3729=LINE('',#24629,#5305);
#3730=LINE('',#24631,#5306);
#3731=LINE('',#24633,#5307);
#3732=LINE('',#24635,#5308);
#3733=LINE('',#24637,#5309);
#3734=LINE('',#24639,#5310);
#3735=LINE('',#24641,#5311);
#3736=LINE('',#24643,#5312);
#3737=LINE('',#24645,#5313);
#3738=LINE('',#24647,#5314);
#3739=LINE('',#24649,#5315);
#3740=LINE('',#24651,#5316);
#3741=LINE('',#24653,#5317);
#3742=LINE('',#24655,#5318);
#3743=LINE('',#24657,#5319);
#3744=LINE('',#24659,#5320);
#3745=LINE('',#24661,#5321);
#3746=LINE('',#24663,#5322);
#3747=LINE('',#24665,#5323);
#3748=LINE('',#24667,#5324);
#3749=LINE('',#24669,#5325);
#3750=LINE('',#24671,#5326);
#3751=LINE('',#24673,#5327);
#3752=LINE('',#24675,#5328);
#3753=LINE('',#24677,#5329);
#3754=LINE('',#24679,#5330);
#3755=LINE('',#24681,#5331);
#3756=LINE('',#24683,#5332);
#3757=LINE('',#24685,#5333);
#3758=LINE('',#24687,#5334);
#3759=LINE('',#24689,#5335);
#3760=LINE('',#24690,#5336);
#3761=LINE('',#24692,#5337);
#3762=LINE('',#24694,#5338);
#3763=LINE('',#24696,#5339);
#3764=LINE('',#24697,#5340);
#3765=LINE('',#24700,#5341);
#3766=LINE('',#24702,#5342);
#3767=LINE('',#24704,#5343);
#3768=LINE('',#24705,#5344);
#3769=LINE('',#24708,#5345);
#3770=LINE('',#24710,#5346);
#3771=LINE('',#24712,#5347);
#3772=LINE('',#24713,#5348);
#3773=LINE('',#24716,#5349);
#3774=LINE('',#24718,#5350);
#3775=LINE('',#24720,#5351);
#3776=LINE('',#24721,#5352);
#3777=LINE('',#24724,#5353);
#3778=LINE('',#24726,#5354);
#3779=LINE('',#24728,#5355);
#3780=LINE('',#24729,#5356);
#3781=LINE('',#24732,#5357);
#3782=LINE('',#24734,#5358);
#3783=LINE('',#24736,#5359);
#3784=LINE('',#24737,#5360);
#3785=LINE('',#24740,#5361);
#3786=LINE('',#24742,#5362);
#3787=LINE('',#24744,#5363);
#3788=LINE('',#24745,#5364);
#3789=LINE('',#24748,#5365);
#3790=LINE('',#24750,#5366);
#3791=LINE('',#24752,#5367);
#3792=LINE('',#24753,#5368);
#3793=LINE('',#24756,#5369);
#3794=LINE('',#24758,#5370);
#3795=LINE('',#24760,#5371);
#3796=LINE('',#24761,#5372);
#3797=LINE('',#24764,#5373);
#3798=LINE('',#24766,#5374);
#3799=LINE('',#24768,#5375);
#3800=LINE('',#24769,#5376);
#3801=LINE('',#24771,#5377);
#3802=LINE('',#24774,#5378);
#3803=LINE('',#24776,#5379);
#3804=LINE('',#24777,#5380);
#3805=LINE('',#24782,#5381);
#3806=LINE('',#24788,#5382);
#3807=LINE('',#24790,#5383);
#3808=LINE('',#24791,#5384);
#3809=LINE('',#24794,#5385);
#3810=LINE('',#24797,#5386);
#3811=LINE('',#24799,#5387);
#3812=LINE('',#24803,#5388);
#3813=LINE('',#24805,#5389);
#3814=LINE('',#24807,#5390);
#3815=LINE('',#24812,#5391);
#3816=LINE('',#24814,#5392);
#3817=LINE('',#24816,#5393);
#3818=LINE('',#24817,#5394);
#3819=LINE('',#24819,#5395);
#3820=LINE('',#24821,#5396);
#3821=LINE('',#24822,#5397);
#3822=LINE('',#24823,#5398);
#3823=LINE('',#24827,#5399);
#3824=LINE('',#24829,#5400);
#3825=LINE('',#24831,#5401);
#3826=LINE('',#24835,#5402);
#3827=LINE('',#24836,#5403);
#3828=LINE('',#24841,#5404);
#3829=LINE('',#24842,#5405);
#3830=LINE('',#24844,#5406);
#3831=LINE('',#24845,#5407);
#3832=LINE('',#24846,#5408);
#3833=LINE('',#24850,#5409);
#3834=LINE('',#24852,#5410);
#3835=LINE('',#24853,#5411);
#3836=LINE('',#24855,#5412);
#3837=LINE('',#24856,#5413);
#3838=LINE('',#24858,#5414);
#3839=LINE('',#24861,#5415);
#3840=LINE('',#24864,#5416);
#3841=LINE('',#24866,#5417);
#3842=LINE('',#24867,#5418);
#3843=LINE('',#24872,#5419);
#3844=LINE('',#24878,#5420);
#3845=LINE('',#24880,#5421);
#3846=LINE('',#24881,#5422);
#3847=LINE('',#24884,#5423);
#3848=LINE('',#24887,#5424);
#3849=LINE('',#24889,#5425);
#3850=LINE('',#24893,#5426);
#3851=LINE('',#24895,#5427);
#3852=LINE('',#24897,#5428);
#3853=LINE('',#24902,#5429);
#3854=LINE('',#24904,#5430);
#3855=LINE('',#24906,#5431);
#3856=LINE('',#24907,#5432);
#3857=LINE('',#24909,#5433);
#3858=LINE('',#24911,#5434);
#3859=LINE('',#24912,#5435);
#3860=LINE('',#24913,#5436);
#3861=LINE('',#24917,#5437);
#3862=LINE('',#24919,#5438);
#3863=LINE('',#24921,#5439);
#3864=LINE('',#24925,#5440);
#3865=LINE('',#24926,#5441);
#3866=LINE('',#24931,#5442);
#3867=LINE('',#24932,#5443);
#3868=LINE('',#24934,#5444);
#3869=LINE('',#24935,#5445);
#3870=LINE('',#24936,#5446);
#3871=LINE('',#24940,#5447);
#3872=LINE('',#24942,#5448);
#3873=LINE('',#24943,#5449);
#3874=LINE('',#24945,#5450);
#3875=LINE('',#24946,#5451);
#3876=LINE('',#24948,#5452);
#3877=LINE('',#24951,#5453);
#3878=LINE('',#24954,#5454);
#3879=LINE('',#24956,#5455);
#3880=LINE('',#24957,#5456);
#3881=LINE('',#24962,#5457);
#3882=LINE('',#24968,#5458);
#3883=LINE('',#24970,#5459);
#3884=LINE('',#24971,#5460);
#3885=LINE('',#24974,#5461);
#3886=LINE('',#24977,#5462);
#3887=LINE('',#24979,#5463);
#3888=LINE('',#24983,#5464);
#3889=LINE('',#24985,#5465);
#3890=LINE('',#24987,#5466);
#3891=LINE('',#24992,#5467);
#3892=LINE('',#24994,#5468);
#3893=LINE('',#24996,#5469);
#3894=LINE('',#24997,#5470);
#3895=LINE('',#24999,#5471);
#3896=LINE('',#25001,#5472);
#3897=LINE('',#25002,#5473);
#3898=LINE('',#25003,#5474);
#3899=LINE('',#25007,#5475);
#3900=LINE('',#25009,#5476);
#3901=LINE('',#25011,#5477);
#3902=LINE('',#25015,#5478);
#3903=LINE('',#25016,#5479);
#3904=LINE('',#25021,#5480);
#3905=LINE('',#25022,#5481);
#3906=LINE('',#25024,#5482);
#3907=LINE('',#25025,#5483);
#3908=LINE('',#25026,#5484);
#3909=LINE('',#25030,#5485);
#3910=LINE('',#25032,#5486);
#3911=LINE('',#25033,#5487);
#3912=LINE('',#25035,#5488);
#3913=LINE('',#25036,#5489);
#3914=LINE('',#25038,#5490);
#3915=LINE('',#25041,#5491);
#3916=LINE('',#25044,#5492);
#3917=LINE('',#25046,#5493);
#3918=LINE('',#25047,#5494);
#3919=LINE('',#25052,#5495);
#3920=LINE('',#25058,#5496);
#3921=LINE('',#25060,#5497);
#3922=LINE('',#25061,#5498);
#3923=LINE('',#25064,#5499);
#3924=LINE('',#25067,#5500);
#3925=LINE('',#25069,#5501);
#3926=LINE('',#25073,#5502);
#3927=LINE('',#25075,#5503);
#3928=LINE('',#25077,#5504);
#3929=LINE('',#25082,#5505);
#3930=LINE('',#25084,#5506);
#3931=LINE('',#25086,#5507);
#3932=LINE('',#25087,#5508);
#3933=LINE('',#25089,#5509);
#3934=LINE('',#25091,#5510);
#3935=LINE('',#25092,#5511);
#3936=LINE('',#25093,#5512);
#3937=LINE('',#25097,#5513);
#3938=LINE('',#25099,#5514);
#3939=LINE('',#25101,#5515);
#3940=LINE('',#25105,#5516);
#3941=LINE('',#25106,#5517);
#3942=LINE('',#25111,#5518);
#3943=LINE('',#25112,#5519);
#3944=LINE('',#25114,#5520);
#3945=LINE('',#25115,#5521);
#3946=LINE('',#25116,#5522);
#3947=LINE('',#25120,#5523);
#3948=LINE('',#25122,#5524);
#3949=LINE('',#25123,#5525);
#3950=LINE('',#25125,#5526);
#3951=LINE('',#25126,#5527);
#3952=LINE('',#25128,#5528);
#3953=LINE('',#25131,#5529);
#3954=LINE('',#25134,#5530);
#3955=LINE('',#25136,#5531);
#3956=LINE('',#25137,#5532);
#3957=LINE('',#25142,#5533);
#3958=LINE('',#25148,#5534);
#3959=LINE('',#25150,#5535);
#3960=LINE('',#25151,#5536);
#3961=LINE('',#25154,#5537);
#3962=LINE('',#25157,#5538);
#3963=LINE('',#25159,#5539);
#3964=LINE('',#25163,#5540);
#3965=LINE('',#25165,#5541);
#3966=LINE('',#25167,#5542);
#3967=LINE('',#25172,#5543);
#3968=LINE('',#25174,#5544);
#3969=LINE('',#25176,#5545);
#3970=LINE('',#25177,#5546);
#3971=LINE('',#25179,#5547);
#3972=LINE('',#25181,#5548);
#3973=LINE('',#25182,#5549);
#3974=LINE('',#25183,#5550);
#3975=LINE('',#25187,#5551);
#3976=LINE('',#25189,#5552);
#3977=LINE('',#25191,#5553);
#3978=LINE('',#25195,#5554);
#3979=LINE('',#25196,#5555);
#3980=LINE('',#25201,#5556);
#3981=LINE('',#25202,#5557);
#3982=LINE('',#25204,#5558);
#3983=LINE('',#25205,#5559);
#3984=LINE('',#25206,#5560);
#3985=LINE('',#25210,#5561);
#3986=LINE('',#25212,#5562);
#3987=LINE('',#25213,#5563);
#3988=LINE('',#25215,#5564);
#3989=LINE('',#25216,#5565);
#3990=LINE('',#25218,#5566);
#3991=LINE('',#25221,#5567);
#3992=LINE('',#25224,#5568);
#3993=LINE('',#25226,#5569);
#3994=LINE('',#25227,#5570);
#3995=LINE('',#25232,#5571);
#3996=LINE('',#25238,#5572);
#3997=LINE('',#25240,#5573);
#3998=LINE('',#25241,#5574);
#3999=LINE('',#25244,#5575);
#4000=LINE('',#25247,#5576);
#4001=LINE('',#25249,#5577);
#4002=LINE('',#25253,#5578);
#4003=LINE('',#25255,#5579);
#4004=LINE('',#25257,#5580);
#4005=LINE('',#25262,#5581);
#4006=LINE('',#25264,#5582);
#4007=LINE('',#25266,#5583);
#4008=LINE('',#25267,#5584);
#4009=LINE('',#25269,#5585);
#4010=LINE('',#25271,#5586);
#4011=LINE('',#25272,#5587);
#4012=LINE('',#25273,#5588);
#4013=LINE('',#25277,#5589);
#4014=LINE('',#25279,#5590);
#4015=LINE('',#25281,#5591);
#4016=LINE('',#25285,#5592);
#4017=LINE('',#25286,#5593);
#4018=LINE('',#25291,#5594);
#4019=LINE('',#25292,#5595);
#4020=LINE('',#25294,#5596);
#4021=LINE('',#25295,#5597);
#4022=LINE('',#25296,#5598);
#4023=LINE('',#25300,#5599);
#4024=LINE('',#25302,#5600);
#4025=LINE('',#25303,#5601);
#4026=LINE('',#25305,#5602);
#4027=LINE('',#25306,#5603);
#4028=LINE('',#25308,#5604);
#4029=LINE('',#25311,#5605);
#4030=LINE('',#25314,#5606);
#4031=LINE('',#25316,#5607);
#4032=LINE('',#25317,#5608);
#4033=LINE('',#25322,#5609);
#4034=LINE('',#25328,#5610);
#4035=LINE('',#25330,#5611);
#4036=LINE('',#25331,#5612);
#4037=LINE('',#25334,#5613);
#4038=LINE('',#25337,#5614);
#4039=LINE('',#25339,#5615);
#4040=LINE('',#25343,#5616);
#4041=LINE('',#25345,#5617);
#4042=LINE('',#25347,#5618);
#4043=LINE('',#25352,#5619);
#4044=LINE('',#25354,#5620);
#4045=LINE('',#25356,#5621);
#4046=LINE('',#25357,#5622);
#4047=LINE('',#25359,#5623);
#4048=LINE('',#25361,#5624);
#4049=LINE('',#25362,#5625);
#4050=LINE('',#25363,#5626);
#4051=LINE('',#25367,#5627);
#4052=LINE('',#25369,#5628);
#4053=LINE('',#25371,#5629);
#4054=LINE('',#25375,#5630);
#4055=LINE('',#25376,#5631);
#4056=LINE('',#25381,#5632);
#4057=LINE('',#25382,#5633);
#4058=LINE('',#25384,#5634);
#4059=LINE('',#25385,#5635);
#4060=LINE('',#25386,#5636);
#4061=LINE('',#25390,#5637);
#4062=LINE('',#25392,#5638);
#4063=LINE('',#25393,#5639);
#4064=LINE('',#25395,#5640);
#4065=LINE('',#25396,#5641);
#4066=LINE('',#25398,#5642);
#4067=LINE('',#25401,#5643);
#4068=LINE('',#25404,#5644);
#4069=LINE('',#25406,#5645);
#4070=LINE('',#25407,#5646);
#4071=LINE('',#25412,#5647);
#4072=LINE('',#25418,#5648);
#4073=LINE('',#25420,#5649);
#4074=LINE('',#25421,#5650);
#4075=LINE('',#25424,#5651);
#4076=LINE('',#25427,#5652);
#4077=LINE('',#25429,#5653);
#4078=LINE('',#25433,#5654);
#4079=LINE('',#25435,#5655);
#4080=LINE('',#25437,#5656);
#4081=LINE('',#25442,#5657);
#4082=LINE('',#25444,#5658);
#4083=LINE('',#25446,#5659);
#4084=LINE('',#25447,#5660);
#4085=LINE('',#25449,#5661);
#4086=LINE('',#25451,#5662);
#4087=LINE('',#25452,#5663);
#4088=LINE('',#25453,#5664);
#4089=LINE('',#25457,#5665);
#4090=LINE('',#25459,#5666);
#4091=LINE('',#25461,#5667);
#4092=LINE('',#25465,#5668);
#4093=LINE('',#25466,#5669);
#4094=LINE('',#25471,#5670);
#4095=LINE('',#25472,#5671);
#4096=LINE('',#25474,#5672);
#4097=LINE('',#25475,#5673);
#4098=LINE('',#25476,#5674);
#4099=LINE('',#25480,#5675);
#4100=LINE('',#25482,#5676);
#4101=LINE('',#25483,#5677);
#4102=LINE('',#25485,#5678);
#4103=LINE('',#25486,#5679);
#4104=LINE('',#25488,#5680);
#4105=LINE('',#25491,#5681);
#4106=LINE('',#25496,#5682);
#4107=LINE('',#25500,#5683);
#4108=LINE('',#25502,#5684);
#4109=LINE('',#25503,#5685);
#4110=LINE('',#25506,#5686);
#4111=LINE('',#25509,#5687);
#4112=LINE('',#25511,#5688);
#4113=LINE('',#25517,#5689);
#4114=LINE('',#25519,#5690);
#4115=LINE('',#25520,#5691);
#4116=LINE('',#25524,#5692);
#4117=LINE('',#25526,#5693);
#4118=LINE('',#25529,#5694);
#4119=LINE('',#25533,#5695);
#4120=LINE('',#25535,#5696);
#4121=LINE('',#25537,#5697);
#4122=LINE('',#25538,#5698);
#4123=LINE('',#25540,#5699);
#4124=LINE('',#25541,#5700);
#4125=LINE('',#25542,#5701);
#4126=LINE('',#25547,#5702);
#4127=LINE('',#25550,#5703);
#4128=LINE('',#25551,#5704);
#4129=LINE('',#25554,#5705);
#4130=LINE('',#25555,#5706);
#4131=LINE('',#25557,#5707);
#4132=LINE('',#25559,#5708);
#4133=LINE('',#25562,#5709);
#4134=LINE('',#25563,#5710);
#4135=LINE('',#25565,#5711);
#4136=LINE('',#25566,#5712);
#4137=LINE('',#25569,#5713);
#4138=LINE('',#25571,#5714);
#4139=LINE('',#25572,#5715);
#4140=LINE('',#25575,#5716);
#4141=LINE('',#25576,#5717);
#4142=LINE('',#25578,#5718);
#4143=LINE('',#25581,#5719);
#4144=LINE('',#25584,#5720);
#4145=LINE('',#25587,#5721);
#4146=LINE('',#25590,#5722);
#4147=LINE('',#25591,#5723);
#4148=LINE('',#25594,#5724);
#4149=LINE('',#25595,#5725);
#4150=LINE('',#25597,#5726);
#4151=VECTOR('',#16768,10.);
#4152=VECTOR('',#16769,10.);
#4153=VECTOR('',#16770,10.);
#4154=VECTOR('',#16775,10.);
#4155=VECTOR('',#16782,10.);
#4156=VECTOR('',#16785,10.);
#4157=VECTOR('',#16790,10.);
#4158=VECTOR('',#16791,10.);
#4159=VECTOR('',#16796,10.);
#4160=VECTOR('',#16797,10.);
#4161=VECTOR('',#16798,10.);
#4162=VECTOR('',#16803,10.);
#4163=VECTOR('',#16804,10.);
#4164=VECTOR('',#16807,10.);
#4165=VECTOR('',#16810,10.);
#4166=VECTOR('',#16813,10.);
#4167=VECTOR('',#16816,10.);
#4168=VECTOR('',#16819,10.);
#4169=VECTOR('',#16824,10.);
#4170=VECTOR('',#16825,10.);
#4171=VECTOR('',#16826,10.);
#4172=VECTOR('',#16831,10.);
#4173=VECTOR('',#16836,10.);
#4174=VECTOR('',#16837,10.);
#4175=VECTOR('',#16838,10.);
#4176=VECTOR('',#16841,10.);
#4177=VECTOR('',#16846,10.);
#4178=VECTOR('',#16849,10.);
#4179=VECTOR('',#16894,10.);
#4180=VECTOR('',#16895,10.);
#4181=VECTOR('',#16898,10.);
#4182=VECTOR('',#16899,10.);
#4183=VECTOR('',#16920,10.);
#4184=VECTOR('',#16921,10.);
#4185=VECTOR('',#16934,10.);
#4186=VECTOR('',#16935,10.);
#4187=VECTOR('',#16938,10.);
#4188=VECTOR('',#16939,10.);
#4189=VECTOR('',#16970,10.);
#4190=VECTOR('',#16971,10.);
#4191=VECTOR('',#16984,10.);
#4192=VECTOR('',#16985,10.);
#4193=VECTOR('',#17008,10.);
#4194=VECTOR('',#17009,10.);
#4195=VECTOR('',#17012,10.);
#4196=VECTOR('',#17013,10.);
#4197=VECTOR('',#17024,10.);
#4198=VECTOR('',#17025,10.);
#4199=VECTOR('',#17036,10.);
#4200=VECTOR('',#17037,10.);
#4201=VECTOR('',#17056,10.);
#4202=VECTOR('',#17057,10.);
#4203=VECTOR('',#17072,10.);
#4204=VECTOR('',#17073,10.);
#4205=VECTOR('',#17082,10.);
#4206=VECTOR('',#17083,10.);
#4207=VECTOR('',#17096,10.);
#4208=VECTOR('',#17097,10.);
#4209=VECTOR('',#17100,10.);
#4210=VECTOR('',#17101,10.);
#4211=VECTOR('',#17130,10.);
#4212=VECTOR('',#17131,10.);
#4213=VECTOR('',#17144,10.);
#4214=VECTOR('',#17145,10.);
#4215=VECTOR('',#17158,10.);
#4216=VECTOR('',#17159,10.);
#4217=VECTOR('',#17170,10.);
#4218=VECTOR('',#17171,10.);
#4219=VECTOR('',#17184,10.);
#4220=VECTOR('',#17185,10.);
#4221=VECTOR('',#17190,10.);
#4222=VECTOR('',#17191,10.);
#4223=VECTOR('',#17194,10.);
#4224=VECTOR('',#17195,10.);
#4225=VECTOR('',#17200,10.);
#4226=VECTOR('',#17201,10.);
#4227=VECTOR('',#17204,10.);
#4228=VECTOR('',#17205,10.);
#4229=VECTOR('',#17208,10.);
#4230=VECTOR('',#17209,10.);
#4231=VECTOR('',#17212,10.);
#4232=VECTOR('',#17213,10.);
#4233=VECTOR('',#17218,10.);
#4234=VECTOR('',#17219,10.);
#4235=VECTOR('',#17228,10.);
#4236=VECTOR('',#17229,10.);
#4237=VECTOR('',#17232,10.);
#4238=VECTOR('',#17233,10.);
#4239=VECTOR('',#17236,10.);
#4240=VECTOR('',#17237,10.);
#4241=VECTOR('',#17240,10.);
#4242=VECTOR('',#17241,10.);
#4243=VECTOR('',#17244,10.);
#4244=VECTOR('',#17245,10.);
#4245=VECTOR('',#17248,10.);
#4246=VECTOR('',#17249,10.);
#4247=VECTOR('',#17252,10.);
#4248=VECTOR('',#17253,10.);
#4249=VECTOR('',#17256,10.);
#4250=VECTOR('',#17257,10.);
#4251=VECTOR('',#17260,10.);
#4252=VECTOR('',#17261,10.);
#4253=VECTOR('',#17264,10.);
#4254=VECTOR('',#17265,10.);
#4255=VECTOR('',#17268,10.);
#4256=VECTOR('',#17269,10.);
#4257=VECTOR('',#17272,10.);
#4258=VECTOR('',#17273,10.);
#4259=VECTOR('',#17276,10.);
#4260=VECTOR('',#17277,10.);
#4261=VECTOR('',#17280,10.);
#4262=VECTOR('',#17281,10.);
#4263=VECTOR('',#17284,10.);
#4264=VECTOR('',#17285,10.);
#4265=VECTOR('',#17288,10.);
#4266=VECTOR('',#17289,10.);
#4267=VECTOR('',#17290,10.);
#4268=VECTOR('',#17293,10.);
#4269=VECTOR('',#17296,10.);
#4270=VECTOR('',#17297,10.);
#4271=VECTOR('',#17298,10.);
#4272=VECTOR('',#17299,10.);
#4273=VECTOR('',#17302,10.);
#4274=VECTOR('',#17305,10.);
#4275=VECTOR('',#17310,10.);
#4276=VECTOR('',#17313,10.);
#4277=VECTOR('',#17342,10.);
#4278=VECTOR('',#17345,10.);
#4279=VECTOR('',#17350,10.);
#4280=VECTOR('',#17353,10.);
#4281=VECTOR('',#17358,10.);
#4282=VECTOR('',#17361,10.);
#4283=VECTOR('',#17364,10.);
#4284=VECTOR('',#17367,10.);
#4285=VECTOR('',#17372,10.);
#4286=VECTOR('',#17375,10.);
#4287=VECTOR('',#17382,10.);
#4288=VECTOR('',#17385,10.);
#4289=VECTOR('',#17390,10.);
#4290=VECTOR('',#17393,10.);
#4291=VECTOR('',#17396,10.);
#4292=VECTOR('',#17399,10.);
#4293=VECTOR('',#17402,10.);
#4294=VECTOR('',#17407,10.);
#4295=VECTOR('',#17410,10.);
#4296=VECTOR('',#17413,10.);
#4297=VECTOR('',#17416,10.);
#4298=VECTOR('',#17419,10.);
#4299=VECTOR('',#17426,10.);
#4300=VECTOR('',#17429,10.);
#4301=VECTOR('',#17434,10.);
#4302=VECTOR('',#17437,10.);
#4303=VECTOR('',#17440,10.);
#4304=VECTOR('',#17443,10.);
#4305=VECTOR('',#17446,10.);
#4306=VECTOR('',#17451,10.);
#4307=VECTOR('',#17454,10.);
#4308=VECTOR('',#17457,10.);
#4309=VECTOR('',#17460,10.);
#4310=VECTOR('',#17463,10.);
#4311=VECTOR('',#17470,10.);
#4312=VECTOR('',#17473,10.);
#4313=VECTOR('',#17478,10.);
#4314=VECTOR('',#17481,10.);
#4315=VECTOR('',#17484,10.);
#4316=VECTOR('',#17487,10.);
#4317=VECTOR('',#17490,10.);
#4318=VECTOR('',#17495,10.);
#4319=VECTOR('',#17498,10.);
#4320=VECTOR('',#17501,10.);
#4321=VECTOR('',#17504,10.);
#4322=VECTOR('',#17507,10.);
#4323=VECTOR('',#17514,10.);
#4324=VECTOR('',#17517,10.);
#4325=VECTOR('',#17522,10.);
#4326=VECTOR('',#17525,10.);
#4327=VECTOR('',#17528,10.);
#4328=VECTOR('',#17531,10.);
#4329=VECTOR('',#17534,10.);
#4330=VECTOR('',#17539,10.);
#4331=VECTOR('',#17542,10.);
#4332=VECTOR('',#17545,10.);
#4333=VECTOR('',#17548,10.);
#4334=VECTOR('',#17551,10.);
#4335=VECTOR('',#17558,10.);
#4336=VECTOR('',#17561,10.);
#4337=VECTOR('',#17566,10.);
#4338=VECTOR('',#17569,10.);
#4339=VECTOR('',#17572,10.);
#4340=VECTOR('',#17575,10.);
#4341=VECTOR('',#17578,10.);
#4342=VECTOR('',#17583,10.);
#4343=VECTOR('',#17586,10.);
#4344=VECTOR('',#17589,10.);
#4345=VECTOR('',#17592,10.);
#4346=VECTOR('',#17595,10.);
#4347=VECTOR('',#17602,10.);
#4348=VECTOR('',#17605,10.);
#4349=VECTOR('',#17610,10.);
#4350=VECTOR('',#17613,10.);
#4351=VECTOR('',#17616,10.);
#4352=VECTOR('',#17619,10.);
#4353=VECTOR('',#17622,10.);
#4354=VECTOR('',#17627,10.);
#4355=VECTOR('',#17630,10.);
#4356=VECTOR('',#17633,10.);
#4357=VECTOR('',#17636,10.);
#4358=VECTOR('',#17639,10.);
#4359=VECTOR('',#17646,10.);
#4360=VECTOR('',#17649,10.);
#4361=VECTOR('',#17654,10.);
#4362=VECTOR('',#17657,10.);
#4363=VECTOR('',#17660,10.);
#4364=VECTOR('',#17663,10.);
#4365=VECTOR('',#17666,10.);
#4366=VECTOR('',#17671,10.);
#4367=VECTOR('',#17674,10.);
#4368=VECTOR('',#17677,10.);
#4369=VECTOR('',#17680,10.);
#4370=VECTOR('',#17683,10.);
#4371=VECTOR('',#17690,10.);
#4372=VECTOR('',#17693,10.);
#4373=VECTOR('',#17698,10.);
#4374=VECTOR('',#17701,10.);
#4375=VECTOR('',#17704,10.);
#4376=VECTOR('',#17707,10.);
#4377=VECTOR('',#17710,10.);
#4378=VECTOR('',#17715,10.);
#4379=VECTOR('',#17718,10.);
#4380=VECTOR('',#17721,10.);
#4381=VECTOR('',#17724,10.);
#4382=VECTOR('',#17727,10.);
#4383=VECTOR('',#17734,10.);
#4384=VECTOR('',#17737,10.);
#4385=VECTOR('',#17742,10.);
#4386=VECTOR('',#17745,10.);
#4387=VECTOR('',#17748,10.);
#4388=VECTOR('',#17751,10.);
#4389=VECTOR('',#17754,10.);
#4390=VECTOR('',#17759,10.);
#4391=VECTOR('',#17762,10.);
#4392=VECTOR('',#17765,10.);
#4393=VECTOR('',#17768,10.);
#4394=VECTOR('',#17771,10.);
#4395=VECTOR('',#17778,10.);
#4396=VECTOR('',#17781,10.);
#4397=VECTOR('',#17784,10.);
#4398=VECTOR('',#17787,10.);
#4399=VECTOR('',#17792,10.);
#4400=VECTOR('',#17795,10.);
#4401=VECTOR('',#17798,10.);
#4402=VECTOR('',#17799,10.);
#4403=VECTOR('',#17802,10.);
#4404=VECTOR('',#17803,10.);
#4405=VECTOR('',#17808,10.);
#4406=VECTOR('',#17811,10.);
#4407=VECTOR('',#17814,10.);
#4408=VECTOR('',#17815,10.);
#4409=VECTOR('',#17820,10.);
#4410=VECTOR('',#17823,10.);
#4411=VECTOR('',#17826,10.);
#4412=VECTOR('',#17827,10.);
#4413=VECTOR('',#17832,10.);
#4414=VECTOR('',#17835,10.);
#4415=VECTOR('',#17838,10.);
#4416=VECTOR('',#17839,10.);
#4417=VECTOR('',#17844,10.);
#4418=VECTOR('',#17847,10.);
#4419=VECTOR('',#17850,10.);
#4420=VECTOR('',#17851,10.);
#4421=VECTOR('',#17856,10.);
#4422=VECTOR('',#17859,10.);
#4423=VECTOR('',#17862,10.);
#4424=VECTOR('',#17863,10.);
#4425=VECTOR('',#17868,10.);
#4426=VECTOR('',#17871,10.);
#4427=VECTOR('',#17874,10.);
#4428=VECTOR('',#17875,10.);
#4429=VECTOR('',#17880,10.);
#4430=VECTOR('',#17883,10.);
#4431=VECTOR('',#17886,10.);
#4432=VECTOR('',#17887,10.);
#4433=VECTOR('',#17892,10.);
#4434=VECTOR('',#17895,10.);
#4435=VECTOR('',#17898,10.);
#4436=VECTOR('',#17899,10.);
#4437=VECTOR('',#17904,10.);
#4438=VECTOR('',#17907,10.);
#4439=VECTOR('',#17910,10.);
#4440=VECTOR('',#17911,10.);
#4441=VECTOR('',#17914,10.);
#4442=VECTOR('',#17917,10.);
#4443=VECTOR('',#17920,10.);
#4444=VECTOR('',#17923,10.);
#4445=VECTOR('',#17926,10.);
#4446=VECTOR('',#17927,10.);
#4447=VECTOR('',#17928,10.);
#4448=VECTOR('',#17931,10.);
#4449=VECTOR('',#17932,10.);
#4450=VECTOR('',#17935,10.);
#4451=VECTOR('',#17936,10.);
#4452=VECTOR('',#17937,10.);
#4453=VECTOR('',#17938,10.);
#4454=VECTOR('',#17939,10.);
#4455=VECTOR('',#17940,10.);
#4456=VECTOR('',#17941,10.);
#4457=VECTOR('',#17944,10.);
#4458=VECTOR('',#17945,10.);
#4459=VECTOR('',#17948,10.);
#4460=VECTOR('',#17949,10.);
#4461=VECTOR('',#17950,10.);
#4462=VECTOR('',#17951,10.);
#4463=VECTOR('',#17952,10.);
#4464=VECTOR('',#17953,10.);
#4465=VECTOR('',#17954,10.);
#4466=VECTOR('',#17957,10.);
#4467=VECTOR('',#17958,10.);
#4468=VECTOR('',#17961,10.);
#4469=VECTOR('',#17962,10.);
#4470=VECTOR('',#17963,10.);
#4471=VECTOR('',#17964,10.);
#4472=VECTOR('',#17965,10.);
#4473=VECTOR('',#17966,10.);
#4474=VECTOR('',#17967,10.);
#4475=VECTOR('',#17970,10.);
#4476=VECTOR('',#17971,10.);
#4477=VECTOR('',#17974,10.);
#4478=VECTOR('',#17975,10.);
#4479=VECTOR('',#17976,10.);
#4480=VECTOR('',#17977,10.);
#4481=VECTOR('',#17978,10.);
#4482=VECTOR('',#17979,10.);
#4483=VECTOR('',#17980,10.);
#4484=VECTOR('',#17983,10.);
#4485=VECTOR('',#17984,10.);
#4486=VECTOR('',#17987,10.);
#4487=VECTOR('',#17988,10.);
#4488=VECTOR('',#17989,10.);
#4489=VECTOR('',#17990,10.);
#4490=VECTOR('',#17991,10.);
#4491=VECTOR('',#17992,10.);
#4492=VECTOR('',#17993,10.);
#4493=VECTOR('',#17996,10.);
#4494=VECTOR('',#17997,10.);
#4495=VECTOR('',#18000,10.);
#4496=VECTOR('',#18001,10.);
#4497=VECTOR('',#18002,10.);
#4498=VECTOR('',#18003,10.);
#4499=VECTOR('',#18004,10.);
#4500=VECTOR('',#18005,10.);
#4501=VECTOR('',#18006,10.);
#4502=VECTOR('',#18009,10.);
#4503=VECTOR('',#18010,10.);
#4504=VECTOR('',#18013,10.);
#4505=VECTOR('',#18014,10.);
#4506=VECTOR('',#18015,10.);
#4507=VECTOR('',#18016,10.);
#4508=VECTOR('',#18017,10.);
#4509=VECTOR('',#18018,10.);
#4510=VECTOR('',#18019,10.);
#4511=VECTOR('',#18022,10.);
#4512=VECTOR('',#18023,10.);
#4513=VECTOR('',#18026,10.);
#4514=VECTOR('',#18027,10.);
#4515=VECTOR('',#18028,10.);
#4516=VECTOR('',#18029,10.);
#4517=VECTOR('',#18030,10.);
#4518=VECTOR('',#18031,10.);
#4519=VECTOR('',#18032,10.);
#4520=VECTOR('',#18035,10.);
#4521=VECTOR('',#18036,10.);
#4522=VECTOR('',#18039,10.);
#4523=VECTOR('',#18040,10.);
#4524=VECTOR('',#18041,10.);
#4525=VECTOR('',#18042,10.);
#4526=VECTOR('',#18043,10.);
#4527=VECTOR('',#18044,10.);
#4528=VECTOR('',#18045,10.);
#4529=VECTOR('',#18048,10.);
#4530=VECTOR('',#18049,10.);
#4531=VECTOR('',#18052,10.);
#4532=VECTOR('',#18053,10.);
#4533=VECTOR('',#18054,10.);
#4534=VECTOR('',#18055,10.);
#4535=VECTOR('',#18056,10.);
#4536=VECTOR('',#18057,10.);
#4537=VECTOR('',#18058,10.);
#4538=VECTOR('',#18061,10.);
#4539=VECTOR('',#18062,10.);
#4540=VECTOR('',#18065,10.);
#4541=VECTOR('',#18066,10.);
#4542=VECTOR('',#18067,10.);
#4543=VECTOR('',#18068,10.);
#4544=VECTOR('',#18069,10.);
#4545=VECTOR('',#18070,10.);
#4546=VECTOR('',#18071,10.);
#4547=VECTOR('',#18074,10.);
#4548=VECTOR('',#18075,10.);
#4549=VECTOR('',#18078,10.);
#4550=VECTOR('',#18079,10.);
#4551=VECTOR('',#18080,10.);
#4552=VECTOR('',#18081,10.);
#4553=VECTOR('',#18082,10.);
#4554=VECTOR('',#18083,10.);
#4555=VECTOR('',#18084,10.);
#4556=VECTOR('',#18087,10.);
#4557=VECTOR('',#18088,10.);
#4558=VECTOR('',#18091,10.);
#4559=VECTOR('',#18092,10.);
#4560=VECTOR('',#18093,10.);
#4561=VECTOR('',#18094,10.);
#4562=VECTOR('',#18095,10.);
#4563=VECTOR('',#18096,10.);
#4564=VECTOR('',#18097,10.);
#4565=VECTOR('',#18100,10.);
#4566=VECTOR('',#18101,10.);
#4567=VECTOR('',#18104,10.);
#4568=VECTOR('',#18105,10.);
#4569=VECTOR('',#18106,10.);
#4570=VECTOR('',#18107,10.);
#4571=VECTOR('',#18108,10.);
#4572=VECTOR('',#18109,10.);
#4573=VECTOR('',#18110,10.);
#4574=VECTOR('',#18113,10.);
#4575=VECTOR('',#18114,10.);
#4576=VECTOR('',#18117,10.);
#4577=VECTOR('',#18118,10.);
#4578=VECTOR('',#18119,10.);
#4579=VECTOR('',#18120,10.);
#4580=VECTOR('',#18121,10.);
#4581=VECTOR('',#18122,10.);
#4582=VECTOR('',#18123,10.);
#4583=VECTOR('',#18126,10.);
#4584=VECTOR('',#18127,10.);
#4585=VECTOR('',#18130,10.);
#4586=VECTOR('',#18131,10.);
#4587=VECTOR('',#18132,10.);
#4588=VECTOR('',#18133,10.);
#4589=VECTOR('',#18134,10.);
#4590=VECTOR('',#18135,10.);
#4591=VECTOR('',#18136,10.);
#4592=VECTOR('',#18139,10.);
#4593=VECTOR('',#18140,10.);
#4594=VECTOR('',#18143,10.);
#4595=VECTOR('',#18144,10.);
#4596=VECTOR('',#18145,10.);
#4597=VECTOR('',#18146,10.);
#4598=VECTOR('',#18147,10.);
#4599=VECTOR('',#18148,10.);
#4600=VECTOR('',#18149,10.);
#4601=VECTOR('',#18152,10.);
#4602=VECTOR('',#18153,10.);
#4603=VECTOR('',#18156,10.);
#4604=VECTOR('',#18157,10.);
#4605=VECTOR('',#18158,10.);
#4606=VECTOR('',#18159,10.);
#4607=VECTOR('',#18160,10.);
#4608=VECTOR('',#18161,10.);
#4609=VECTOR('',#18162,10.);
#4610=VECTOR('',#18165,10.);
#4611=VECTOR('',#18166,10.);
#4612=VECTOR('',#18169,10.);
#4613=VECTOR('',#18170,10.);
#4614=VECTOR('',#18171,10.);
#4615=VECTOR('',#18172,10.);
#4616=VECTOR('',#18173,10.);
#4617=VECTOR('',#18174,10.);
#4618=VECTOR('',#18175,10.);
#4619=VECTOR('',#18178,10.);
#4620=VECTOR('',#18179,10.);
#4621=VECTOR('',#18182,10.);
#4622=VECTOR('',#18183,10.);
#4623=VECTOR('',#18184,10.);
#4624=VECTOR('',#18185,10.);
#4625=VECTOR('',#18186,10.);
#4626=VECTOR('',#18187,10.);
#4627=VECTOR('',#18188,10.);
#4628=VECTOR('',#18191,10.);
#4629=VECTOR('',#18192,10.);
#4630=VECTOR('',#18195,10.);
#4631=VECTOR('',#18196,10.);
#4632=VECTOR('',#18197,10.);
#4633=VECTOR('',#18226,10.);
#4634=VECTOR('',#18227,10.);
#4635=VECTOR('',#18294,10.);
#4636=VECTOR('',#18295,10.);
#4637=VECTOR('',#18324,10.);
#4638=VECTOR('',#18325,10.);
#4639=VECTOR('',#18338,10.);
#4640=VECTOR('',#18339,10.);
#4641=VECTOR('',#18342,10.);
#4642=VECTOR('',#18343,10.);
#4643=VECTOR('',#18356,10.);
#4644=VECTOR('',#18357,10.);
#4645=VECTOR('',#18360,10.);
#4646=VECTOR('',#18361,10.);
#4647=VECTOR('',#18398,10.);
#4648=VECTOR('',#18399,10.);
#4649=VECTOR('',#18430,10.);
#4650=VECTOR('',#18431,10.);
#4651=VECTOR('',#18434,10.);
#4652=VECTOR('',#18435,10.);
#4653=VECTOR('',#18460,10.);
#4654=VECTOR('',#18461,10.);
#4655=VECTOR('',#18482,10.);
#4656=VECTOR('',#18493,10.);
#4657=VECTOR('',#18494,10.);
#4658=VECTOR('',#18505,10.);
#4659=VECTOR('',#18506,10.);
#4660=VECTOR('',#18525,10.);
#4661=VECTOR('',#18564,10.);
#4662=VECTOR('',#18565,10.);
#4663=VECTOR('',#18568,10.);
#4664=VECTOR('',#18569,10.);
#4665=VECTOR('',#18578,10.);
#4666=VECTOR('',#18599,10.);
#4667=VECTOR('',#18600,10.);
#4668=VECTOR('',#18603,10.);
#4669=VECTOR('',#18604,10.);
#4670=VECTOR('',#18615,10.);
#4671=VECTOR('',#18616,10.);
#4672=VECTOR('',#18629,10.);
#4673=VECTOR('',#18630,10.);
#4674=VECTOR('',#18633,10.);
#4675=VECTOR('',#18644,10.);
#4676=VECTOR('',#18645,10.);
#4677=VECTOR('',#18686,10.);
#4678=VECTOR('',#18687,10.);
#4679=VECTOR('',#18716,10.);
#4680=VECTOR('',#18717,10.);
#4681=VECTOR('',#18728,10.);
#4682=VECTOR('',#18729,10.);
#4683=VECTOR('',#18732,10.);
#4684=VECTOR('',#18733,10.);
#4685=VECTOR('',#18736,10.);
#4686=VECTOR('',#18755,10.);
#4687=VECTOR('',#18766,10.);
#4688=VECTOR('',#18767,10.);
#4689=VECTOR('',#18770,10.);
#4690=VECTOR('',#18771,10.);
#4691=VECTOR('',#18798,10.);
#4692=VECTOR('',#18799,10.);
#4693=VECTOR('',#18802,10.);
#4694=VECTOR('',#18803,10.);
#4695=VECTOR('',#18822,10.);
#4696=VECTOR('',#18823,10.);
#4697=VECTOR('',#18826,10.);
#4698=VECTOR('',#18829,10.);
#4699=VECTOR('',#18830,10.);
#4700=VECTOR('',#18833,10.);
#4701=VECTOR('',#18836,10.);
#4702=VECTOR('',#18837,10.);
#4703=VECTOR('',#18840,10.);
#4704=VECTOR('',#18841,10.);
#4705=VECTOR('',#18844,10.);
#4706=VECTOR('',#18845,10.);
#4707=VECTOR('',#18848,10.);
#4708=VECTOR('',#18849,10.);
#4709=VECTOR('',#18852,10.);
#4710=VECTOR('',#18853,10.);
#4711=VECTOR('',#18856,10.);
#4712=VECTOR('',#18859,10.);
#4713=VECTOR('',#18862,10.);
#4714=VECTOR('',#18863,10.);
#4715=VECTOR('',#18866,10.);
#4716=VECTOR('',#18867,10.);
#4717=VECTOR('',#18870,10.);
#4718=VECTOR('',#18871,10.);
#4719=VECTOR('',#18874,10.);
#4720=VECTOR('',#18875,10.);
#4721=VECTOR('',#18878,10.);
#4722=VECTOR('',#18881,10.);
#4723=VECTOR('',#18882,10.);
#4724=VECTOR('',#18887,10.);
#4725=VECTOR('',#18888,10.);
#4726=VECTOR('',#18891,10.);
#4727=VECTOR('',#18892,10.);
#4728=VECTOR('',#18895,10.);
#4729=VECTOR('',#18896,10.);
#4730=VECTOR('',#18899,10.);
#4731=VECTOR('',#18900,10.);
#4732=VECTOR('',#18903,10.);
#4733=VECTOR('',#18904,10.);
#4734=VECTOR('',#18907,10.);
#4735=VECTOR('',#18908,10.);
#4736=VECTOR('',#18911,10.);
#4737=VECTOR('',#18912,10.);
#4738=VECTOR('',#18915,10.);
#4739=VECTOR('',#18916,10.);
#4740=VECTOR('',#18919,10.);
#4741=VECTOR('',#18920,10.);
#4742=VECTOR('',#18925,10.);
#4743=VECTOR('',#18926,10.);
#4744=VECTOR('',#18929,10.);
#4745=VECTOR('',#18930,10.);
#4746=VECTOR('',#18935,10.);
#4747=VECTOR('',#18936,10.);
#4748=VECTOR('',#18939,10.);
#4749=VECTOR('',#18940,10.);
#4750=VECTOR('',#18943,10.);
#4751=VECTOR('',#18944,10.);
#4752=VECTOR('',#18947,10.);
#4753=VECTOR('',#18948,10.);
#4754=VECTOR('',#18951,10.);
#4755=VECTOR('',#18952,10.);
#4756=VECTOR('',#18955,10.);
#4757=VECTOR('',#18956,10.);
#4758=VECTOR('',#18959,10.);
#4759=VECTOR('',#18960,10.);
#4760=VECTOR('',#18963,10.);
#4761=VECTOR('',#18964,10.);
#4762=VECTOR('',#18967,10.);
#4763=VECTOR('',#18968,10.);
#4764=VECTOR('',#18971,10.);
#4765=VECTOR('',#18972,10.);
#4766=VECTOR('',#18975,10.);
#4767=VECTOR('',#18976,10.);
#4768=VECTOR('',#18979,10.);
#4769=VECTOR('',#18980,10.);
#4770=VECTOR('',#18983,10.);
#4771=VECTOR('',#18984,10.);
#4772=VECTOR('',#18987,10.);
#4773=VECTOR('',#18988,10.);
#4774=VECTOR('',#18991,10.);
#4775=VECTOR('',#18992,10.);
#4776=VECTOR('',#18995,10.);
#4777=VECTOR('',#18996,10.);
#4778=VECTOR('',#18999,10.);
#4779=VECTOR('',#19000,10.);
#4780=VECTOR('',#19003,10.);
#4781=VECTOR('',#19004,10.);
#4782=VECTOR('',#19007,10.);
#4783=VECTOR('',#19008,10.);
#4784=VECTOR('',#19011,10.);
#4785=VECTOR('',#19012,10.);
#4786=VECTOR('',#19015,10.);
#4787=VECTOR('',#19016,10.);
#4788=VECTOR('',#19019,10.);
#4789=VECTOR('',#19020,10.);
#4790=VECTOR('',#19023,10.);
#4791=VECTOR('',#19024,10.);
#4792=VECTOR('',#19027,10.);
#4793=VECTOR('',#19028,10.);
#4794=VECTOR('',#19031,10.);
#4795=VECTOR('',#19032,10.);
#4796=VECTOR('',#19035,10.);
#4797=VECTOR('',#19036,10.);
#4798=VECTOR('',#19039,10.);
#4799=VECTOR('',#19040,10.);
#4800=VECTOR('',#19043,10.);
#4801=VECTOR('',#19044,10.);
#4802=VECTOR('',#19047,10.);
#4803=VECTOR('',#19048,10.);
#4804=VECTOR('',#19051,10.);
#4805=VECTOR('',#19052,10.);
#4806=VECTOR('',#19055,10.);
#4807=VECTOR('',#19056,10.);
#4808=VECTOR('',#19059,10.);
#4809=VECTOR('',#19060,10.);
#4810=VECTOR('',#19063,10.);
#4811=VECTOR('',#19066,10.);
#4812=VECTOR('',#19067,10.);
#4813=VECTOR('',#19070,10.);
#4814=VECTOR('',#19071,10.);
#4815=VECTOR('',#19072,10.);
#4816=VECTOR('',#19073,10.);
#4817=VECTOR('',#19074,10.);
#4818=VECTOR('',#19075,10.);
#4819=VECTOR('',#19076,10.);
#4820=VECTOR('',#19077,10.);
#4821=VECTOR('',#19078,10.);
#4822=VECTOR('',#19079,10.);
#4823=VECTOR('',#19080,10.);
#4824=VECTOR('',#19081,10.);
#4825=VECTOR('',#19082,10.);
#4826=VECTOR('',#19083,10.);
#4827=VECTOR('',#19084,10.);
#4828=VECTOR('',#19085,10.);
#4829=VECTOR('',#19086,10.);
#4830=VECTOR('',#19087,10.);
#4831=VECTOR('',#19088,10.);
#4832=VECTOR('',#19089,10.);
#4833=VECTOR('',#19090,10.);
#4834=VECTOR('',#19091,10.);
#4835=VECTOR('',#19092,10.);
#4836=VECTOR('',#19093,10.);
#4837=VECTOR('',#19094,10.);
#4838=VECTOR('',#19095,10.);
#4839=VECTOR('',#19096,10.);
#4840=VECTOR('',#19097,10.);
#4841=VECTOR('',#19098,10.);
#4842=VECTOR('',#19099,10.);
#4843=VECTOR('',#19100,10.);
#4844=VECTOR('',#19101,10.);
#4845=VECTOR('',#19102,10.);
#4846=VECTOR('',#19103,10.);
#4847=VECTOR('',#19104,10.);
#4848=VECTOR('',#19105,10.);
#4849=VECTOR('',#19106,10.);
#4850=VECTOR('',#19107,10.);
#4851=VECTOR('',#19108,10.);
#4852=VECTOR('',#19109,10.);
#4853=VECTOR('',#19110,10.);
#4854=VECTOR('',#19111,10.);
#4855=VECTOR('',#19112,10.);
#4856=VECTOR('',#19113,10.);
#4857=VECTOR('',#19114,10.);
#4858=VECTOR('',#19115,10.);
#4859=VECTOR('',#19116,10.);
#4860=VECTOR('',#19117,10.);
#4861=VECTOR('',#19118,10.);
#4862=VECTOR('',#19119,10.);
#4863=VECTOR('',#19120,10.);
#4864=VECTOR('',#19121,10.);
#4865=VECTOR('',#19122,10.);
#4866=VECTOR('',#19123,10.);
#4867=VECTOR('',#19124,10.);
#4868=VECTOR('',#19125,10.);
#4869=VECTOR('',#19126,10.);
#4870=VECTOR('',#19127,10.);
#4871=VECTOR('',#19128,10.);
#4872=VECTOR('',#19129,10.);
#4873=VECTOR('',#19130,10.);
#4874=VECTOR('',#19131,10.);
#4875=VECTOR('',#19132,10.);
#4876=VECTOR('',#19133,10.);
#4877=VECTOR('',#19134,10.);
#4878=VECTOR('',#19135,10.);
#4879=VECTOR('',#19136,10.);
#4880=VECTOR('',#19137,10.);
#4881=VECTOR('',#19138,10.);
#4882=VECTOR('',#19139,10.);
#4883=VECTOR('',#19140,10.);
#4884=VECTOR('',#19141,10.);
#4885=VECTOR('',#19142,10.);
#4886=VECTOR('',#19143,10.);
#4887=VECTOR('',#19144,10.);
#4888=VECTOR('',#19145,10.);
#4889=VECTOR('',#19146,10.);
#4890=VECTOR('',#19147,10.);
#4891=VECTOR('',#19148,10.);
#4892=VECTOR('',#19149,10.);
#4893=VECTOR('',#19220,0.56);
#4894=VECTOR('',#19231,0.56);
#4895=VECTOR('',#19248,10.);
#4896=VECTOR('',#19253,10.);
#4897=VECTOR('',#19254,10.);
#4898=VECTOR('',#19255,10.);
#4899=VECTOR('',#19256,10.);
#4900=VECTOR('',#19259,10.);
#4901=VECTOR('',#19262,10.);
#4902=VECTOR('',#19263,10.);
#4903=VECTOR('',#19264,10.);
#4904=VECTOR('',#19267,10.);
#4905=VECTOR('',#19270,10.);
#4906=VECTOR('',#19271,10.);
#4907=VECTOR('',#19274,10.);
#4908=VECTOR('',#19275,10.);
#4909=VECTOR('',#19276,10.);
#4910=VECTOR('',#19283,10.);
#4911=VECTOR('',#19284,10.);
#4912=VECTOR('',#19285,10.);
#4913=VECTOR('',#19288,10.);
#4914=VECTOR('',#19289,10.);
#4915=VECTOR('',#19292,10.);
#4916=VECTOR('',#19295,10.);
#4917=VECTOR('',#19298,10.);
#4918=VECTOR('',#19301,10.);
#4919=VECTOR('',#19302,10.);
#4920=VECTOR('',#19305,10.);
#4921=VECTOR('',#19306,10.);
#4922=VECTOR('',#19309,10.);
#4923=VECTOR('',#19310,10.);
#4924=VECTOR('',#19317,10.);
#4925=VECTOR('',#19318,10.);
#4926=VECTOR('',#19325,10.);
#4927=VECTOR('',#19330,10.);
#4928=VECTOR('',#19335,10.);
#4929=VECTOR('',#19336,10.);
#4930=VECTOR('',#19337,10.);
#4931=VECTOR('',#19338,10.);
#4932=VECTOR('',#19341,10.);
#4933=VECTOR('',#19344,10.);
#4934=VECTOR('',#19345,10.);
#4935=VECTOR('',#19346,10.);
#4936=VECTOR('',#19349,10.);
#4937=VECTOR('',#19352,10.);
#4938=VECTOR('',#19353,10.);
#4939=VECTOR('',#19356,10.);
#4940=VECTOR('',#19357,10.);
#4941=VECTOR('',#19358,10.);
#4942=VECTOR('',#19365,10.);
#4943=VECTOR('',#19366,10.);
#4944=VECTOR('',#19367,10.);
#4945=VECTOR('',#19370,10.);
#4946=VECTOR('',#19371,10.);
#4947=VECTOR('',#19374,10.);
#4948=VECTOR('',#19377,10.);
#4949=VECTOR('',#19380,10.);
#4950=VECTOR('',#19383,10.);
#4951=VECTOR('',#19384,10.);
#4952=VECTOR('',#19387,10.);
#4953=VECTOR('',#19388,10.);
#4954=VECTOR('',#19391,10.);
#4955=VECTOR('',#19392,10.);
#4956=VECTOR('',#19399,10.);
#4957=VECTOR('',#19400,10.);
#4958=VECTOR('',#19407,10.);
#4959=VECTOR('',#19412,10.);
#4960=VECTOR('',#19417,10.);
#4961=VECTOR('',#19418,10.);
#4962=VECTOR('',#19419,10.);
#4963=VECTOR('',#19420,10.);
#4964=VECTOR('',#19423,10.);
#4965=VECTOR('',#19426,10.);
#4966=VECTOR('',#19427,10.);
#4967=VECTOR('',#19428,10.);
#4968=VECTOR('',#19431,10.);
#4969=VECTOR('',#19434,10.);
#4970=VECTOR('',#19435,10.);
#4971=VECTOR('',#19438,10.);
#4972=VECTOR('',#19439,10.);
#4973=VECTOR('',#19440,10.);
#4974=VECTOR('',#19447,10.);
#4975=VECTOR('',#19448,10.);
#4976=VECTOR('',#19449,10.);
#4977=VECTOR('',#19452,10.);
#4978=VECTOR('',#19453,10.);
#4979=VECTOR('',#19456,10.);
#4980=VECTOR('',#19459,10.);
#4981=VECTOR('',#19462,10.);
#4982=VECTOR('',#19465,10.);
#4983=VECTOR('',#19466,10.);
#4984=VECTOR('',#19469,10.);
#4985=VECTOR('',#19470,10.);
#4986=VECTOR('',#19473,10.);
#4987=VECTOR('',#19474,10.);
#4988=VECTOR('',#19481,10.);
#4989=VECTOR('',#19482,10.);
#4990=VECTOR('',#19489,10.);
#4991=VECTOR('',#19494,10.);
#4992=VECTOR('',#19499,10.);
#4993=VECTOR('',#19500,10.);
#4994=VECTOR('',#19501,10.);
#4995=VECTOR('',#19502,10.);
#4996=VECTOR('',#19505,10.);
#4997=VECTOR('',#19508,10.);
#4998=VECTOR('',#19509,10.);
#4999=VECTOR('',#19510,10.);
#5000=VECTOR('',#19513,10.);
#5001=VECTOR('',#19516,10.);
#5002=VECTOR('',#19517,10.);
#5003=VECTOR('',#19520,10.);
#5004=VECTOR('',#19521,10.);
#5005=VECTOR('',#19522,10.);
#5006=VECTOR('',#19529,10.);
#5007=VECTOR('',#19530,10.);
#5008=VECTOR('',#19531,10.);
#5009=VECTOR('',#19534,10.);
#5010=VECTOR('',#19535,10.);
#5011=VECTOR('',#19538,10.);
#5012=VECTOR('',#19541,10.);
#5013=VECTOR('',#19544,10.);
#5014=VECTOR('',#19547,10.);
#5015=VECTOR('',#19548,10.);
#5016=VECTOR('',#19551,10.);
#5017=VECTOR('',#19552,10.);
#5018=VECTOR('',#19555,10.);
#5019=VECTOR('',#19556,10.);
#5020=VECTOR('',#19563,10.);
#5021=VECTOR('',#19564,10.);
#5022=VECTOR('',#19571,10.);
#5023=VECTOR('',#19576,10.);
#5024=VECTOR('',#19581,10.);
#5025=VECTOR('',#19582,10.);
#5026=VECTOR('',#19583,10.);
#5027=VECTOR('',#19584,10.);
#5028=VECTOR('',#19587,10.);
#5029=VECTOR('',#19590,10.);
#5030=VECTOR('',#19591,10.);
#5031=VECTOR('',#19592,10.);
#5032=VECTOR('',#19595,10.);
#5033=VECTOR('',#19598,10.);
#5034=VECTOR('',#19599,10.);
#5035=VECTOR('',#19602,10.);
#5036=VECTOR('',#19603,10.);
#5037=VECTOR('',#19604,10.);
#5038=VECTOR('',#19611,10.);
#5039=VECTOR('',#19612,10.);
#5040=VECTOR('',#19613,10.);
#5041=VECTOR('',#19616,10.);
#5042=VECTOR('',#19617,10.);
#5043=VECTOR('',#19620,10.);
#5044=VECTOR('',#19623,10.);
#5045=VECTOR('',#19626,10.);
#5046=VECTOR('',#19629,10.);
#5047=VECTOR('',#19630,10.);
#5048=VECTOR('',#19633,10.);
#5049=VECTOR('',#19634,10.);
#5050=VECTOR('',#19637,10.);
#5051=VECTOR('',#19638,10.);
#5052=VECTOR('',#19645,10.);
#5053=VECTOR('',#19646,10.);
#5054=VECTOR('',#19653,10.);
#5055=VECTOR('',#19658,10.);
#5056=VECTOR('',#19663,10.);
#5057=VECTOR('',#19664,10.);
#5058=VECTOR('',#19665,10.);
#5059=VECTOR('',#19666,10.);
#5060=VECTOR('',#19669,10.);
#5061=VECTOR('',#19672,10.);
#5062=VECTOR('',#19673,10.);
#5063=VECTOR('',#19674,10.);
#5064=VECTOR('',#19677,10.);
#5065=VECTOR('',#19680,10.);
#5066=VECTOR('',#19681,10.);
#5067=VECTOR('',#19684,10.);
#5068=VECTOR('',#19685,10.);
#5069=VECTOR('',#19686,10.);
#5070=VECTOR('',#19693,10.);
#5071=VECTOR('',#19694,10.);
#5072=VECTOR('',#19695,10.);
#5073=VECTOR('',#19698,10.);
#5074=VECTOR('',#19699,10.);
#5075=VECTOR('',#19702,10.);
#5076=VECTOR('',#19705,10.);
#5077=VECTOR('',#19708,10.);
#5078=VECTOR('',#19711,10.);
#5079=VECTOR('',#19712,10.);
#5080=VECTOR('',#19715,10.);
#5081=VECTOR('',#19716,10.);
#5082=VECTOR('',#19719,10.);
#5083=VECTOR('',#19720,10.);
#5084=VECTOR('',#19727,10.);
#5085=VECTOR('',#19728,10.);
#5086=VECTOR('',#19735,10.);
#5087=VECTOR('',#19740,10.);
#5088=VECTOR('',#19745,10.);
#5089=VECTOR('',#19746,10.);
#5090=VECTOR('',#19747,10.);
#5091=VECTOR('',#19748,10.);
#5092=VECTOR('',#19751,10.);
#5093=VECTOR('',#19754,10.);
#5094=VECTOR('',#19755,10.);
#5095=VECTOR('',#19756,10.);
#5096=VECTOR('',#19759,10.);
#5097=VECTOR('',#19762,10.);
#5098=VECTOR('',#19763,10.);
#5099=VECTOR('',#19766,10.);
#5100=VECTOR('',#19767,10.);
#5101=VECTOR('',#19768,10.);
#5102=VECTOR('',#19775,10.);
#5103=VECTOR('',#19776,10.);
#5104=VECTOR('',#19777,10.);
#5105=VECTOR('',#19780,10.);
#5106=VECTOR('',#19781,10.);
#5107=VECTOR('',#19784,10.);
#5108=VECTOR('',#19787,10.);
#5109=VECTOR('',#19790,10.);
#5110=VECTOR('',#19793,10.);
#5111=VECTOR('',#19794,10.);
#5112=VECTOR('',#19797,10.);
#5113=VECTOR('',#19798,10.);
#5114=VECTOR('',#19801,10.);
#5115=VECTOR('',#19802,10.);
#5116=VECTOR('',#19809,10.);
#5117=VECTOR('',#19810,10.);
#5118=VECTOR('',#19817,10.);
#5119=VECTOR('',#19822,10.);
#5120=VECTOR('',#19827,10.);
#5121=VECTOR('',#19828,10.);
#5122=VECTOR('',#19829,10.);
#5123=VECTOR('',#19830,10.);
#5124=VECTOR('',#19833,10.);
#5125=VECTOR('',#19836,10.);
#5126=VECTOR('',#19837,10.);
#5127=VECTOR('',#19838,10.);
#5128=VECTOR('',#19841,10.);
#5129=VECTOR('',#19844,10.);
#5130=VECTOR('',#19845,10.);
#5131=VECTOR('',#19848,10.);
#5132=VECTOR('',#19849,10.);
#5133=VECTOR('',#19850,10.);
#5134=VECTOR('',#19857,10.);
#5135=VECTOR('',#19858,10.);
#5136=VECTOR('',#19859,10.);
#5137=VECTOR('',#19862,10.);
#5138=VECTOR('',#19863,10.);
#5139=VECTOR('',#19866,10.);
#5140=VECTOR('',#19869,10.);
#5141=VECTOR('',#19872,10.);
#5142=VECTOR('',#19875,10.);
#5143=VECTOR('',#19876,10.);
#5144=VECTOR('',#19879,10.);
#5145=VECTOR('',#19880,10.);
#5146=VECTOR('',#19883,10.);
#5147=VECTOR('',#19884,10.);
#5148=VECTOR('',#19891,10.);
#5149=VECTOR('',#19892,10.);
#5150=VECTOR('',#19899,10.);
#5151=VECTOR('',#19904,10.);
#5152=VECTOR('',#19909,10.);
#5153=VECTOR('',#19910,10.);
#5154=VECTOR('',#19911,10.);
#5155=VECTOR('',#19912,10.);
#5156=VECTOR('',#19915,10.);
#5157=VECTOR('',#19918,10.);
#5158=VECTOR('',#19919,10.);
#5159=VECTOR('',#19920,10.);
#5160=VECTOR('',#19923,10.);
#5161=VECTOR('',#19926,10.);
#5162=VECTOR('',#19927,10.);
#5163=VECTOR('',#19930,10.);
#5164=VECTOR('',#19931,10.);
#5165=VECTOR('',#19932,10.);
#5166=VECTOR('',#19939,10.);
#5167=VECTOR('',#19940,10.);
#5168=VECTOR('',#19941,10.);
#5169=VECTOR('',#19944,10.);
#5170=VECTOR('',#19945,10.);
#5171=VECTOR('',#19948,10.);
#5172=VECTOR('',#19951,10.);
#5173=VECTOR('',#19954,10.);
#5174=VECTOR('',#19957,10.);
#5175=VECTOR('',#19958,10.);
#5176=VECTOR('',#19961,10.);
#5177=VECTOR('',#19962,10.);
#5178=VECTOR('',#19965,10.);
#5179=VECTOR('',#19966,10.);
#5180=VECTOR('',#19973,10.);
#5181=VECTOR('',#19974,10.);
#5182=VECTOR('',#19981,10.);
#5183=VECTOR('',#19986,10.);
#5184=VECTOR('',#19991,10.);
#5185=VECTOR('',#19992,10.);
#5186=VECTOR('',#19993,10.);
#5187=VECTOR('',#19994,10.);
#5188=VECTOR('',#19997,10.);
#5189=VECTOR('',#20000,10.);
#5190=VECTOR('',#20001,10.);
#5191=VECTOR('',#20002,10.);
#5192=VECTOR('',#20005,10.);
#5193=VECTOR('',#20008,10.);
#5194=VECTOR('',#20009,10.);
#5195=VECTOR('',#20012,10.);
#5196=VECTOR('',#20013,10.);
#5197=VECTOR('',#20014,10.);
#5198=VECTOR('',#20021,10.);
#5199=VECTOR('',#20022,10.);
#5200=VECTOR('',#20023,10.);
#5201=VECTOR('',#20026,10.);
#5202=VECTOR('',#20027,10.);
#5203=VECTOR('',#20030,10.);
#5204=VECTOR('',#20033,10.);
#5205=VECTOR('',#20036,10.);
#5206=VECTOR('',#20039,10.);
#5207=VECTOR('',#20040,10.);
#5208=VECTOR('',#20043,10.);
#5209=VECTOR('',#20044,10.);
#5210=VECTOR('',#20047,10.);
#5211=VECTOR('',#20048,10.);
#5212=VECTOR('',#20055,10.);
#5213=VECTOR('',#20056,10.);
#5214=VECTOR('',#20063,10.);
#5215=VECTOR('',#20066,10.);
#5216=VECTOR('',#20067,10.);
#5217=VECTOR('',#20068,10.);
#5218=VECTOR('',#20069,10.);
#5219=VECTOR('',#20074,10.);
#5220=VECTOR('',#20081,10.);
#5221=VECTOR('',#20082,10.);
#5222=VECTOR('',#20083,10.);
#5223=VECTOR('',#20086,10.);
#5224=VECTOR('',#20087,10.);
#5225=VECTOR('',#20090,10.);
#5226=VECTOR('',#20091,10.);
#5227=VECTOR('',#20092,10.);
#5228=VECTOR('',#20097,10.);
#5229=VECTOR('',#20098,10.);
#5230=VECTOR('',#20099,10.);
#5231=VECTOR('',#20104,10.);
#5232=VECTOR('',#20105,10.);
#5233=VECTOR('',#20106,10.);
#5234=VECTOR('',#20107,10.);
#5235=VECTOR('',#20110,10.);
#5236=VECTOR('',#20111,10.);
#5237=VECTOR('',#20112,10.);
#5238=VECTOR('',#20113,10.);
#5239=VECTOR('',#20120,10.);
#5240=VECTOR('',#20123,10.);
#5241=VECTOR('',#20126,10.);
#5242=VECTOR('',#20131,10.);
#5243=VECTOR('',#20132,10.);
#5244=VECTOR('',#20133,10.);
#5245=VECTOR('',#20138,10.);
#5246=VECTOR('',#20139,10.);
#5247=VECTOR('',#20142,10.);
#5248=VECTOR('',#20143,10.);
#5249=VECTOR('',#20144,10.);
#5250=VECTOR('',#20147,10.);
#5251=VECTOR('',#20148,10.);
#5252=VECTOR('',#20151,10.);
#5253=VECTOR('',#20152,10.);
#5254=VECTOR('',#20153,10.);
#5255=VECTOR('',#20154,10.);
#5256=VECTOR('',#20157,10.);
#5257=VECTOR('',#20158,10.);
#5258=VECTOR('',#20159,10.);
#5259=VECTOR('',#20160,10.);
#5260=VECTOR('',#20163,10.);
#5261=VECTOR('',#20166,10.);
#5262=VECTOR('',#20167,10.);
#5263=VECTOR('',#20168,10.);
#5264=VECTOR('',#20171,10.);
#5265=VECTOR('',#20172,10.);
#5266=VECTOR('',#20173,10.);
#5267=VECTOR('',#20174,10.);
#5268=VECTOR('',#20175,10.);
#5269=VECTOR('',#20176,10.);
#5270=VECTOR('',#20177,10.);
#5271=VECTOR('',#20178,10.);
#5272=VECTOR('',#20179,10.);
#5273=VECTOR('',#20180,10.);
#5274=VECTOR('',#20181,10.);
#5275=VECTOR('',#20182,10.);
#5276=VECTOR('',#20183,10.);
#5277=VECTOR('',#20184,10.);
#5278=VECTOR('',#20185,10.);
#5279=VECTOR('',#20186,10.);
#5280=VECTOR('',#20187,10.);
#5281=VECTOR('',#20188,10.);
#5282=VECTOR('',#20189,10.);
#5283=VECTOR('',#20190,10.);
#5284=VECTOR('',#20191,10.);
#5285=VECTOR('',#20192,10.);
#5286=VECTOR('',#20193,10.);
#5287=VECTOR('',#20194,10.);
#5288=VECTOR('',#20195,10.);
#5289=VECTOR('',#20196,10.);
#5290=VECTOR('',#20197,10.);
#5291=VECTOR('',#20198,10.);
#5292=VECTOR('',#20199,10.);
#5293=VECTOR('',#20200,10.);
#5294=VECTOR('',#20201,10.);
#5295=VECTOR('',#20202,10.);
#5296=VECTOR('',#20203,10.);
#5297=VECTOR('',#20204,10.);
#5298=VECTOR('',#20205,10.);
#5299=VECTOR('',#20206,10.);
#5300=VECTOR('',#20207,10.);
#5301=VECTOR('',#20208,10.);
#5302=VECTOR('',#20209,10.);
#5303=VECTOR('',#20210,10.);
#5304=VECTOR('',#20211,10.);
#5305=VECTOR('',#20212,10.);
#5306=VECTOR('',#20213,10.);
#5307=VECTOR('',#20214,10.);
#5308=VECTOR('',#20215,10.);
#5309=VECTOR('',#20216,10.);
#5310=VECTOR('',#20217,10.);
#5311=VECTOR('',#20218,10.);
#5312=VECTOR('',#20219,10.);
#5313=VECTOR('',#20220,10.);
#5314=VECTOR('',#20221,10.);
#5315=VECTOR('',#20222,10.);
#5316=VECTOR('',#20223,10.);
#5317=VECTOR('',#20224,10.);
#5318=VECTOR('',#20225,10.);
#5319=VECTOR('',#20226,10.);
#5320=VECTOR('',#20227,10.);
#5321=VECTOR('',#20228,10.);
#5322=VECTOR('',#20229,10.);
#5323=VECTOR('',#20230,10.);
#5324=VECTOR('',#20231,10.);
#5325=VECTOR('',#20232,10.);
#5326=VECTOR('',#20233,10.);
#5327=VECTOR('',#20234,10.);
#5328=VECTOR('',#20235,10.);
#5329=VECTOR('',#20236,10.);
#5330=VECTOR('',#20237,10.);
#5331=VECTOR('',#20238,10.);
#5332=VECTOR('',#20239,10.);
#5333=VECTOR('',#20240,10.);
#5334=VECTOR('',#20241,10.);
#5335=VECTOR('',#20242,10.);
#5336=VECTOR('',#20243,10.);
#5337=VECTOR('',#20244,10.);
#5338=VECTOR('',#20245,10.);
#5339=VECTOR('',#20246,10.);
#5340=VECTOR('',#20247,10.);
#5341=VECTOR('',#20248,10.);
#5342=VECTOR('',#20249,10.);
#5343=VECTOR('',#20250,10.);
#5344=VECTOR('',#20251,10.);
#5345=VECTOR('',#20252,10.);
#5346=VECTOR('',#20253,10.);
#5347=VECTOR('',#20254,10.);
#5348=VECTOR('',#20255,10.);
#5349=VECTOR('',#20256,10.);
#5350=VECTOR('',#20257,10.);
#5351=VECTOR('',#20258,10.);
#5352=VECTOR('',#20259,10.);
#5353=VECTOR('',#20260,10.);
#5354=VECTOR('',#20261,10.);
#5355=VECTOR('',#20262,10.);
#5356=VECTOR('',#20263,10.);
#5357=VECTOR('',#20264,10.);
#5358=VECTOR('',#20265,10.);
#5359=VECTOR('',#20266,10.);
#5360=VECTOR('',#20267,10.);
#5361=VECTOR('',#20268,10.);
#5362=VECTOR('',#20269,10.);
#5363=VECTOR('',#20270,10.);
#5364=VECTOR('',#20271,10.);
#5365=VECTOR('',#20272,10.);
#5366=VECTOR('',#20273,10.);
#5367=VECTOR('',#20274,10.);
#5368=VECTOR('',#20275,10.);
#5369=VECTOR('',#20276,10.);
#5370=VECTOR('',#20277,10.);
#5371=VECTOR('',#20278,10.);
#5372=VECTOR('',#20279,10.);
#5373=VECTOR('',#20280,10.);
#5374=VECTOR('',#20281,10.);
#5375=VECTOR('',#20282,10.);
#5376=VECTOR('',#20283,10.);
#5377=VECTOR('',#20286,10.);
#5378=VECTOR('',#20289,10.);
#5379=VECTOR('',#20290,10.);
#5380=VECTOR('',#20291,10.);
#5381=VECTOR('',#20296,10.);
#5382=VECTOR('',#20303,10.);
#5383=VECTOR('',#20304,10.);
#5384=VECTOR('',#20305,10.);
#5385=VECTOR('',#20308,10.);
#5386=VECTOR('',#20311,10.);
#5387=VECTOR('',#20312,10.);
#5388=VECTOR('',#20317,10.);
#5389=VECTOR('',#20318,10.);
#5390=VECTOR('',#20319,10.);
#5391=VECTOR('',#20324,10.);
#5392=VECTOR('',#20325,10.);
#5393=VECTOR('',#20326,10.);
#5394=VECTOR('',#20327,10.);
#5395=VECTOR('',#20330,10.);
#5396=VECTOR('',#20331,10.);
#5397=VECTOR('',#20332,10.);
#5398=VECTOR('',#20333,10.);
#5399=VECTOR('',#20340,10.);
#5400=VECTOR('',#20343,10.);
#5401=VECTOR('',#20346,10.);
#5402=VECTOR('',#20351,10.);
#5403=VECTOR('',#20352,10.);
#5404=VECTOR('',#20357,10.);
#5405=VECTOR('',#20358,10.);
#5406=VECTOR('',#20361,10.);
#5407=VECTOR('',#20362,10.);
#5408=VECTOR('',#20363,10.);
#5409=VECTOR('',#20368,10.);
#5410=VECTOR('',#20369,10.);
#5411=VECTOR('',#20370,10.);
#5412=VECTOR('',#20373,10.);
#5413=VECTOR('',#20374,10.);
#5414=VECTOR('',#20377,10.);
#5415=VECTOR('',#20382,10.);
#5416=VECTOR('',#20385,10.);
#5417=VECTOR('',#20386,10.);
#5418=VECTOR('',#20387,10.);
#5419=VECTOR('',#20392,10.);
#5420=VECTOR('',#20399,10.);
#5421=VECTOR('',#20400,10.);
#5422=VECTOR('',#20401,10.);
#5423=VECTOR('',#20404,10.);
#5424=VECTOR('',#20407,10.);
#5425=VECTOR('',#20408,10.);
#5426=VECTOR('',#20413,10.);
#5427=VECTOR('',#20414,10.);
#5428=VECTOR('',#20415,10.);
#5429=VECTOR('',#20420,10.);
#5430=VECTOR('',#20421,10.);
#5431=VECTOR('',#20422,10.);
#5432=VECTOR('',#20423,10.);
#5433=VECTOR('',#20426,10.);
#5434=VECTOR('',#20427,10.);
#5435=VECTOR('',#20428,10.);
#5436=VECTOR('',#20429,10.);
#5437=VECTOR('',#20436,10.);
#5438=VECTOR('',#20439,10.);
#5439=VECTOR('',#20442,10.);
#5440=VECTOR('',#20447,10.);
#5441=VECTOR('',#20448,10.);
#5442=VECTOR('',#20453,10.);
#5443=VECTOR('',#20454,10.);
#5444=VECTOR('',#20457,10.);
#5445=VECTOR('',#20458,10.);
#5446=VECTOR('',#20459,10.);
#5447=VECTOR('',#20464,10.);
#5448=VECTOR('',#20465,10.);
#5449=VECTOR('',#20466,10.);
#5450=VECTOR('',#20469,10.);
#5451=VECTOR('',#20470,10.);
#5452=VECTOR('',#20473,10.);
#5453=VECTOR('',#20478,10.);
#5454=VECTOR('',#20481,10.);
#5455=VECTOR('',#20482,10.);
#5456=VECTOR('',#20483,10.);
#5457=VECTOR('',#20488,10.);
#5458=VECTOR('',#20495,10.);
#5459=VECTOR('',#20496,10.);
#5460=VECTOR('',#20497,10.);
#5461=VECTOR('',#20500,10.);
#5462=VECTOR('',#20503,10.);
#5463=VECTOR('',#20504,10.);
#5464=VECTOR('',#20509,10.);
#5465=VECTOR('',#20510,10.);
#5466=VECTOR('',#20511,10.);
#5467=VECTOR('',#20516,10.);
#5468=VECTOR('',#20517,10.);
#5469=VECTOR('',#20518,10.);
#5470=VECTOR('',#20519,10.);
#5471=VECTOR('',#20522,10.);
#5472=VECTOR('',#20523,10.);
#5473=VECTOR('',#20524,10.);
#5474=VECTOR('',#20525,10.);
#5475=VECTOR('',#20532,10.);
#5476=VECTOR('',#20535,10.);
#5477=VECTOR('',#20538,10.);
#5478=VECTOR('',#20543,10.);
#5479=VECTOR('',#20544,10.);
#5480=VECTOR('',#20549,10.);
#5481=VECTOR('',#20550,10.);
#5482=VECTOR('',#20553,10.);
#5483=VECTOR('',#20554,10.);
#5484=VECTOR('',#20555,10.);
#5485=VECTOR('',#20560,10.);
#5486=VECTOR('',#20561,10.);
#5487=VECTOR('',#20562,10.);
#5488=VECTOR('',#20565,10.);
#5489=VECTOR('',#20566,10.);
#5490=VECTOR('',#20569,10.);
#5491=VECTOR('',#20574,10.);
#5492=VECTOR('',#20577,10.);
#5493=VECTOR('',#20578,10.);
#5494=VECTOR('',#20579,10.);
#5495=VECTOR('',#20584,10.);
#5496=VECTOR('',#20591,10.);
#5497=VECTOR('',#20592,10.);
#5498=VECTOR('',#20593,10.);
#5499=VECTOR('',#20596,10.);
#5500=VECTOR('',#20599,10.);
#5501=VECTOR('',#20600,10.);
#5502=VECTOR('',#20605,10.);
#5503=VECTOR('',#20606,10.);
#5504=VECTOR('',#20607,10.);
#5505=VECTOR('',#20612,10.);
#5506=VECTOR('',#20613,10.);
#5507=VECTOR('',#20614,10.);
#5508=VECTOR('',#20615,10.);
#5509=VECTOR('',#20618,10.);
#5510=VECTOR('',#20619,10.);
#5511=VECTOR('',#20620,10.);
#5512=VECTOR('',#20621,10.);
#5513=VECTOR('',#20628,10.);
#5514=VECTOR('',#20631,10.);
#5515=VECTOR('',#20634,10.);
#5516=VECTOR('',#20639,10.);
#5517=VECTOR('',#20640,10.);
#5518=VECTOR('',#20645,10.);
#5519=VECTOR('',#20646,10.);
#5520=VECTOR('',#20649,10.);
#5521=VECTOR('',#20650,10.);
#5522=VECTOR('',#20651,10.);
#5523=VECTOR('',#20656,10.);
#5524=VECTOR('',#20657,10.);
#5525=VECTOR('',#20658,10.);
#5526=VECTOR('',#20661,10.);
#5527=VECTOR('',#20662,10.);
#5528=VECTOR('',#20665,10.);
#5529=VECTOR('',#20670,10.);
#5530=VECTOR('',#20673,10.);
#5531=VECTOR('',#20674,10.);
#5532=VECTOR('',#20675,10.);
#5533=VECTOR('',#20680,10.);
#5534=VECTOR('',#20687,10.);
#5535=VECTOR('',#20688,10.);
#5536=VECTOR('',#20689,10.);
#5537=VECTOR('',#20692,10.);
#5538=VECTOR('',#20695,10.);
#5539=VECTOR('',#20696,10.);
#5540=VECTOR('',#20701,10.);
#5541=VECTOR('',#20702,10.);
#5542=VECTOR('',#20703,10.);
#5543=VECTOR('',#20708,10.);
#5544=VECTOR('',#20709,10.);
#5545=VECTOR('',#20710,10.);
#5546=VECTOR('',#20711,10.);
#5547=VECTOR('',#20714,10.);
#5548=VECTOR('',#20715,10.);
#5549=VECTOR('',#20716,10.);
#5550=VECTOR('',#20717,10.);
#5551=VECTOR('',#20724,10.);
#5552=VECTOR('',#20727,10.);
#5553=VECTOR('',#20730,10.);
#5554=VECTOR('',#20735,10.);
#5555=VECTOR('',#20736,10.);
#5556=VECTOR('',#20741,10.);
#5557=VECTOR('',#20742,10.);
#5558=VECTOR('',#20745,10.);
#5559=VECTOR('',#20746,10.);
#5560=VECTOR('',#20747,10.);
#5561=VECTOR('',#20752,10.);
#5562=VECTOR('',#20753,10.);
#5563=VECTOR('',#20754,10.);
#5564=VECTOR('',#20757,10.);
#5565=VECTOR('',#20758,10.);
#5566=VECTOR('',#20761,10.);
#5567=VECTOR('',#20766,10.);
#5568=VECTOR('',#20769,10.);
#5569=VECTOR('',#20770,10.);
#5570=VECTOR('',#20771,10.);
#5571=VECTOR('',#20776,10.);
#5572=VECTOR('',#20783,10.);
#5573=VECTOR('',#20784,10.);
#5574=VECTOR('',#20785,10.);
#5575=VECTOR('',#20788,10.);
#5576=VECTOR('',#20791,10.);
#5577=VECTOR('',#20792,10.);
#5578=VECTOR('',#20797,10.);
#5579=VECTOR('',#20798,10.);
#5580=VECTOR('',#20799,10.);
#5581=VECTOR('',#20804,10.);
#5582=VECTOR('',#20805,10.);
#5583=VECTOR('',#20806,10.);
#5584=VECTOR('',#20807,10.);
#5585=VECTOR('',#20810,10.);
#5586=VECTOR('',#20811,10.);
#5587=VECTOR('',#20812,10.);
#5588=VECTOR('',#20813,10.);
#5589=VECTOR('',#20820,10.);
#5590=VECTOR('',#20823,10.);
#5591=VECTOR('',#20826,10.);
#5592=VECTOR('',#20831,10.);
#5593=VECTOR('',#20832,10.);
#5594=VECTOR('',#20837,10.);
#5595=VECTOR('',#20838,10.);
#5596=VECTOR('',#20841,10.);
#5597=VECTOR('',#20842,10.);
#5598=VECTOR('',#20843,10.);
#5599=VECTOR('',#20848,10.);
#5600=VECTOR('',#20849,10.);
#5601=VECTOR('',#20850,10.);
#5602=VECTOR('',#20853,10.);
#5603=VECTOR('',#20854,10.);
#5604=VECTOR('',#20857,10.);
#5605=VECTOR('',#20862,10.);
#5606=VECTOR('',#20865,10.);
#5607=VECTOR('',#20866,10.);
#5608=VECTOR('',#20867,10.);
#5609=VECTOR('',#20872,10.);
#5610=VECTOR('',#20879,10.);
#5611=VECTOR('',#20880,10.);
#5612=VECTOR('',#20881,10.);
#5613=VECTOR('',#20884,10.);
#5614=VECTOR('',#20887,10.);
#5615=VECTOR('',#20888,10.);
#5616=VECTOR('',#20893,10.);
#5617=VECTOR('',#20894,10.);
#5618=VECTOR('',#20895,10.);
#5619=VECTOR('',#20900,10.);
#5620=VECTOR('',#20901,10.);
#5621=VECTOR('',#20902,10.);
#5622=VECTOR('',#20903,10.);
#5623=VECTOR('',#20906,10.);
#5624=VECTOR('',#20907,10.);
#5625=VECTOR('',#20908,10.);
#5626=VECTOR('',#20909,10.);
#5627=VECTOR('',#20916,10.);
#5628=VECTOR('',#20919,10.);
#5629=VECTOR('',#20922,10.);
#5630=VECTOR('',#20927,10.);
#5631=VECTOR('',#20928,10.);
#5632=VECTOR('',#20933,10.);
#5633=VECTOR('',#20934,10.);
#5634=VECTOR('',#20937,10.);
#5635=VECTOR('',#20938,10.);
#5636=VECTOR('',#20939,10.);
#5637=VECTOR('',#20944,10.);
#5638=VECTOR('',#20945,10.);
#5639=VECTOR('',#20946,10.);
#5640=VECTOR('',#20949,10.);
#5641=VECTOR('',#20950,10.);
#5642=VECTOR('',#20953,10.);
#5643=VECTOR('',#20958,10.);
#5644=VECTOR('',#20961,10.);
#5645=VECTOR('',#20962,10.);
#5646=VECTOR('',#20963,10.);
#5647=VECTOR('',#20968,10.);
#5648=VECTOR('',#20975,10.);
#5649=VECTOR('',#20976,10.);
#5650=VECTOR('',#20977,10.);
#5651=VECTOR('',#20980,10.);
#5652=VECTOR('',#20983,10.);
#5653=VECTOR('',#20984,10.);
#5654=VECTOR('',#20989,10.);
#5655=VECTOR('',#20990,10.);
#5656=VECTOR('',#20991,10.);
#5657=VECTOR('',#20996,10.);
#5658=VECTOR('',#20997,10.);
#5659=VECTOR('',#20998,10.);
#5660=VECTOR('',#20999,10.);
#5661=VECTOR('',#21002,10.);
#5662=VECTOR('',#21003,10.);
#5663=VECTOR('',#21004,10.);
#5664=VECTOR('',#21005,10.);
#5665=VECTOR('',#21012,10.);
#5666=VECTOR('',#21015,10.);
#5667=VECTOR('',#21018,10.);
#5668=VECTOR('',#21023,10.);
#5669=VECTOR('',#21024,10.);
#5670=VECTOR('',#21029,10.);
#5671=VECTOR('',#21030,10.);
#5672=VECTOR('',#21033,10.);
#5673=VECTOR('',#21034,10.);
#5674=VECTOR('',#21035,10.);
#5675=VECTOR('',#21040,10.);
#5676=VECTOR('',#21041,10.);
#5677=VECTOR('',#21042,10.);
#5678=VECTOR('',#21045,10.);
#5679=VECTOR('',#21046,10.);
#5680=VECTOR('',#21049,10.);
#5681=VECTOR('',#21054,10.);
#5682=VECTOR('',#21059,10.);
#5683=VECTOR('',#21064,10.);
#5684=VECTOR('',#21065,10.);
#5685=VECTOR('',#21066,10.);
#5686=VECTOR('',#21069,10.);
#5687=VECTOR('',#21072,10.);
#5688=VECTOR('',#21073,10.);
#5689=VECTOR('',#21080,10.);
#5690=VECTOR('',#21081,10.);
#5691=VECTOR('',#21082,10.);
#5692=VECTOR('',#21087,10.);
#5693=VECTOR('',#21088,10.);
#5694=VECTOR('',#21091,10.);
#5695=VECTOR('',#21094,10.);
#5696=VECTOR('',#21095,10.);
#5697=VECTOR('',#21096,10.);
#5698=VECTOR('',#21097,10.);
#5699=VECTOR('',#21100,10.);
#5700=VECTOR('',#21101,10.);
#5701=VECTOR('',#21102,10.);
#5702=VECTOR('',#21109,10.);
#5703=VECTOR('',#21112,10.);
#5704=VECTOR('',#21113,10.);
#5705=VECTOR('',#21116,10.);
#5706=VECTOR('',#21117,10.);
#5707=VECTOR('',#21120,10.);
#5708=VECTOR('',#21123,10.);
#5709=VECTOR('',#21126,10.);
#5710=VECTOR('',#21127,10.);
#5711=VECTOR('',#21130,10.);
#5712=VECTOR('',#21131,10.);
#5713=VECTOR('',#21136,10.);
#5714=VECTOR('',#21137,10.);
#5715=VECTOR('',#21138,10.);
#5716=VECTOR('',#21141,10.);
#5717=VECTOR('',#21142,10.);
#5718=VECTOR('',#21145,10.);
#5719=VECTOR('',#21150,10.);
#5720=VECTOR('',#21155,10.);
#5721=VECTOR('',#21160,10.);
#5722=VECTOR('',#21163,10.);
#5723=VECTOR('',#21164,10.);
#5724=VECTOR('',#21167,10.);
#5725=VECTOR('',#21168,10.);
#5726=VECTOR('',#21171,10.);
#5727=CIRCLE('',#15346,0.1);
#5728=CIRCLE('',#15354,0.1);
#5729=CIRCLE('',#15365,0.1);
#5730=CIRCLE('',#15369,0.1);
#5731=CIRCLE('',#15373,0.1);
#5732=CIRCLE('',#15375,0.1);
#5733=CIRCLE('',#15376,0.1);
#5734=CIRCLE('',#15377,0.1);
#5735=CIRCLE('',#15379,0.1);
#5736=CIRCLE('',#15380,0.1);
#5737=CIRCLE('',#15381,0.1);
#5738=CIRCLE('',#15383,0.1);
#5739=CIRCLE('',#15384,0.1);
#5740=CIRCLE('',#15385,0.1);
#5741=CIRCLE('',#15387,0.1);
#5742=CIRCLE('',#15388,0.1);
#5743=CIRCLE('',#15389,0.1);
#5744=CIRCLE('',#15391,0.2);
#5745=CIRCLE('',#15392,0.1);
#5746=CIRCLE('',#15393,0.1);
#5747=CIRCLE('',#15394,0.1);
#5748=CIRCLE('',#15398,0.1);
#5749=CIRCLE('',#15399,0.1);
#5750=CIRCLE('',#15400,0.1);
#5751=CIRCLE('',#15402,0.1);
#5752=CIRCLE('',#15403,0.1);
#5753=CIRCLE('',#15404,0.2);
#5754=CIRCLE('',#15405,0.1);
#5755=CIRCLE('',#15408,0.1);
#5756=CIRCLE('',#15409,0.1);
#5757=CIRCLE('',#15410,0.1);
#5758=CIRCLE('',#15411,0.2);
#5759=CIRCLE('',#15415,0.1);
#5760=CIRCLE('',#15416,0.1);
#5761=CIRCLE('',#15417,0.1);
#5762=CIRCLE('',#15419,0.1);
#5763=CIRCLE('',#15420,0.1);
#5764=CIRCLE('',#15421,0.2);
#5765=CIRCLE('',#15422,0.1);
#5766=CIRCLE('',#15424,0.1);
#5767=CIRCLE('',#15425,0.1);
#5768=CIRCLE('',#15426,0.1);
#5769=CIRCLE('',#15427,0.2);
#5770=CIRCLE('',#15430,0.1);
#5771=CIRCLE('',#15431,0.1);
#5772=CIRCLE('',#15432,0.2);
#5773=CIRCLE('',#15433,0.1);
#5774=CIRCLE('',#15436,0.2);
#5775=CIRCLE('',#15437,0.1);
#5776=CIRCLE('',#15438,0.1);
#5777=CIRCLE('',#15439,0.1);
#5778=CIRCLE('',#15441,0.2);
#5779=CIRCLE('',#15442,0.1);
#5780=CIRCLE('',#15443,0.1);
#5781=CIRCLE('',#15444,0.1);
#5782=CIRCLE('',#15448,0.1);
#5783=CIRCLE('',#15449,0.1);
#5784=CIRCLE('',#15450,0.1);
#5785=CIRCLE('',#15453,0.1);
#5786=CIRCLE('',#15454,0.1);
#5787=CIRCLE('',#15455,0.1);
#5788=CIRCLE('',#15458,0.1);
#5789=CIRCLE('',#15459,0.1);
#5790=CIRCLE('',#15460,0.1);
#5791=CIRCLE('',#15462,0.1);
#5792=CIRCLE('',#15463,0.1);
#5793=CIRCLE('',#15464,0.1);
#5794=CIRCLE('',#15467,0.1);
#5795=CIRCLE('',#15468,0.1);
#5796=CIRCLE('',#15469,0.199999999999999);
#5797=CIRCLE('',#15470,0.1);
#5798=CIRCLE('',#15472,0.0999999999999995);
#5799=CIRCLE('',#15474,0.1);
#5800=CIRCLE('',#15475,0.0999999999999998);
#5801=CIRCLE('',#15478,0.2);
#5802=CIRCLE('',#15479,0.1);
#5803=CIRCLE('',#15480,0.1);
#5804=CIRCLE('',#15481,0.1);
#5805=CIRCLE('',#15485,0.1);
#5806=CIRCLE('',#15486,0.1);
#5807=CIRCLE('',#15487,0.1);
#5808=CIRCLE('',#15489,0.2);
#5809=CIRCLE('',#15490,0.1);
#5810=CIRCLE('',#15491,0.1);
#5811=CIRCLE('',#15492,0.1);
#5812=CIRCLE('',#15494,0.1);
#5813=CIRCLE('',#15495,0.1);
#5814=CIRCLE('',#15496,0.1);
#5815=CIRCLE('',#15499,0.1);
#5816=CIRCLE('',#15500,0.1);
#5817=CIRCLE('',#15501,0.1);
#5818=CIRCLE('',#15502,0.2);
#5819=CIRCLE('',#15505,0.1);
#5820=CIRCLE('',#15506,0.1);
#5821=CIRCLE('',#15507,0.2);
#5822=CIRCLE('',#15508,0.1);
#5823=CIRCLE('',#15511,0.1);
#5824=CIRCLE('',#15512,0.1);
#5825=CIRCLE('',#15513,0.1);
#5826=CIRCLE('',#15516,0.1);
#5827=CIRCLE('',#15517,0.1);
#5828=CIRCLE('',#15518,0.2);
#5829=CIRCLE('',#15519,0.1);
#5830=CIRCLE('',#15530,0.1);
#5831=CIRCLE('',#15532,0.1);
#5832=CIRCLE('',#15533,0.2);
#5833=CIRCLE('',#15551,0.2);
#5834=CIRCLE('',#15552,0.200000000000001);
#5835=CIRCLE('',#15554,0.1);
#5836=CIRCLE('',#15822,0.1);
#5837=CIRCLE('',#15823,0.1);
#5838=CIRCLE('',#15824,0.1);
#5839=CIRCLE('',#15826,0.1);
#5840=CIRCLE('',#15827,0.1);
#5841=CIRCLE('',#15828,0.1);
#5842=CIRCLE('',#15830,0.1);
#5843=CIRCLE('',#15831,0.1);
#5844=CIRCLE('',#15832,0.1);
#5845=CIRCLE('',#15833,0.2);
#5846=CIRCLE('',#15836,0.1);
#5847=CIRCLE('',#15837,0.1);
#5848=CIRCLE('',#15838,0.1);
#5849=CIRCLE('',#15840,0.2);
#5850=CIRCLE('',#15841,0.1);
#5851=CIRCLE('',#15842,0.1);
#5852=CIRCLE('',#15843,0.1);
#5853=CIRCLE('',#15845,0.1);
#5854=CIRCLE('',#15846,0.1);
#5855=CIRCLE('',#15847,0.1);
#5856=CIRCLE('',#15849,0.1);
#5857=CIRCLE('',#15850,0.1);
#5858=CIRCLE('',#15851,0.1);
#5859=CIRCLE('',#15853,0.1);
#5860=CIRCLE('',#15854,0.1);
#5861=CIRCLE('',#15855,0.2);
#5862=CIRCLE('',#15856,0.1);
#5863=CIRCLE('',#15858,0.2);
#5864=CIRCLE('',#15859,0.1);
#5865=CIRCLE('',#15860,0.1);
#5866=CIRCLE('',#15861,0.1);
#5867=CIRCLE('',#15863,0.1);
#5868=CIRCLE('',#15864,0.1);
#5869=CIRCLE('',#15865,0.2);
#5870=CIRCLE('',#15866,0.1);
#5871=CIRCLE('',#15869,0.1);
#5872=CIRCLE('',#15870,0.1);
#5873=CIRCLE('',#15871,0.1);
#5874=CIRCLE('',#15873,0.1);
#5875=CIRCLE('',#15874,0.1);
#5876=CIRCLE('',#15875,0.2);
#5877=CIRCLE('',#15876,0.1);
#5878=CIRCLE('',#15878,0.1);
#5879=CIRCLE('',#15879,0.1);
#5880=CIRCLE('',#15880,0.1);
#5881=CIRCLE('',#15883,0.1);
#5882=CIRCLE('',#15884,0.1);
#5883=CIRCLE('',#15885,0.1);
#5884=CIRCLE('',#15886,0.2);
#5885=CIRCLE('',#15890,0.2);
#5886=CIRCLE('',#15891,0.1);
#5887=CIRCLE('',#15892,0.1);
#5888=CIRCLE('',#15893,0.1);
#5889=CIRCLE('',#15897,0.1);
#5890=CIRCLE('',#15898,0.1);
#5891=CIRCLE('',#15899,0.1);
#5892=CIRCLE('',#15901,0.1);
#5893=CIRCLE('',#15902,0.1);
#5894=CIRCLE('',#15903,0.1);
#5895=CIRCLE('',#15905,0.1);
#5896=CIRCLE('',#15906,0.1);
#5897=CIRCLE('',#15907,0.1);
#5898=CIRCLE('',#15909,0.1);
#5899=CIRCLE('',#15910,0.1);
#5900=CIRCLE('',#15911,0.1);
#5901=CIRCLE('',#15912,0.2);
#5902=CIRCLE('',#15915,0.1);
#5903=CIRCLE('',#15916,0.1);
#5904=CIRCLE('',#15917,0.1);
#5905=CIRCLE('',#15919,0.2);
#5906=CIRCLE('',#15920,0.1);
#5907=CIRCLE('',#15921,0.1);
#5908=CIRCLE('',#15922,0.1);
#5909=CIRCLE('',#15924,0.2);
#5910=CIRCLE('',#15925,0.1);
#5911=CIRCLE('',#15926,0.1);
#5912=CIRCLE('',#15927,0.1);
#5913=CIRCLE('',#15932,0.1);
#5914=CIRCLE('',#15933,0.1);
#5915=CIRCLE('',#15934,0.2);
#5916=CIRCLE('',#15935,0.1);
#5917=CIRCLE('',#15937,0.1);
#5918=CIRCLE('',#15938,0.1);
#5919=CIRCLE('',#15939,0.2);
#5920=CIRCLE('',#15940,0.1);
#5921=CIRCLE('',#15943,0.1);
#5922=CIRCLE('',#15944,0.1);
#5923=CIRCLE('',#15945,0.1);
#5924=CIRCLE('',#15947,0.2);
#5925=CIRCLE('',#15948,0.1);
#5926=CIRCLE('',#15949,0.1);
#5927=CIRCLE('',#15950,0.1);
#5928=CIRCLE('',#15953,0.1);
#5929=CIRCLE('',#15954,0.1);
#5930=CIRCLE('',#15955,0.1);
#5931=CIRCLE('',#15958,0.1);
#5932=CIRCLE('',#15959,0.1);
#5933=CIRCLE('',#15960,0.1);
#5934=CIRCLE('',#15963,0.1);
#5935=CIRCLE('',#15964,0.1);
#5936=CIRCLE('',#15965,0.1);
#5937=CIRCLE('',#15967,0.1);
#5938=CIRCLE('',#15968,0.0999999999999995);
#5939=CIRCLE('',#15969,0.100000000000001);
#5940=CIRCLE('',#15972,0.2);
#5941=CIRCLE('',#15973,0.1);
#5942=CIRCLE('',#15974,0.1);
#5943=CIRCLE('',#15975,0.1);
#5944=CIRCLE('',#15977,0.1);
#5945=CIRCLE('',#15978,0.1);
#5946=CIRCLE('',#15979,0.1);
#5947=CIRCLE('',#15981,0.2);
#5948=CIRCLE('',#15982,0.1);
#5949=CIRCLE('',#15983,0.1);
#5950=CIRCLE('',#15984,0.1);
#5951=CIRCLE('',#15986,0.1);
#5952=CIRCLE('',#15987,0.1);
#5953=CIRCLE('',#15988,0.1);
#5954=CIRCLE('',#15992,0.1);
#5955=CIRCLE('',#15993,0.1);
#5956=CIRCLE('',#15996,0.1);
#5957=CIRCLE('',#15997,0.1);
#5958=CIRCLE('',#15998,0.1);
#5959=CIRCLE('',#16000,0.200000000000001);
#5960=CIRCLE('',#16001,0.1);
#5961=CIRCLE('',#16002,0.1);
#5962=CIRCLE('',#16003,0.1);
#5963=CIRCLE('',#16007,0.1);
#5964=CIRCLE('',#16008,0.1);
#5965=CIRCLE('',#16009,0.1);
#5966=CIRCLE('',#16012,0.2);
#5967=CIRCLE('',#16013,0.1);
#5968=CIRCLE('',#16014,0.1);
#5969=CIRCLE('',#16015,0.1);
#5970=CIRCLE('',#16019,0.1);
#5971=CIRCLE('',#16020,0.1);
#5972=CIRCLE('',#16021,0.1);
#5973=CIRCLE('',#16024,0.200000000000001);
#5974=CIRCLE('',#16025,0.1);
#5975=CIRCLE('',#16026,0.100000000000001);
#5976=CIRCLE('',#16027,0.1);
#5977=CIRCLE('',#16029,0.1);
#5978=CIRCLE('',#16030,0.0999999999999995);
#5979=CIRCLE('',#16031,0.2);
#5980=CIRCLE('',#16032,0.100000000000001);
#5981=CIRCLE('',#16034,0.1);
#5982=CIRCLE('',#16035,0.1);
#5983=CIRCLE('',#16036,0.2);
#5984=CIRCLE('',#16037,0.1);
#5985=CIRCLE('',#16039,0.1);
#5986=CIRCLE('',#16040,0.1);
#5987=CIRCLE('',#16041,0.1);
#5988=CIRCLE('',#16044,0.1);
#5989=CIRCLE('',#16045,0.099999999999999);
#5990=CIRCLE('',#16046,0.1);
#5991=CIRCLE('',#16048,0.1);
#5992=CIRCLE('',#16049,0.099999999999999);
#5993=CIRCLE('',#16050,0.2);
#5994=CIRCLE('',#16051,0.1);
#5995=CIRCLE('',#16053,0.1);
#5996=CIRCLE('',#16054,0.1);
#5997=CIRCLE('',#16055,0.1);
#5998=CIRCLE('',#16058,0.1);
#5999=CIRCLE('',#16059,0.1);
#6000=CIRCLE('',#16060,0.1);
#6001=CIRCLE('',#16065,0.1);
#6002=CIRCLE('',#16066,0.1);
#6003=CIRCLE('',#16067,0.1);
#6004=CIRCLE('',#16069,0.1);
#6005=CIRCLE('',#16070,0.1);
#6006=CIRCLE('',#16071,0.1);
#6007=CIRCLE('',#16074,0.1);
#6008=CIRCLE('',#16075,0.1);
#6009=CIRCLE('',#16076,0.1);
#6010=CIRCLE('',#16080,0.1);
#6011=CIRCLE('',#16081,0.1);
#6012=CIRCLE('',#16082,0.1);
#6013=CIRCLE('',#16084,0.200000000000001);
#6014=CIRCLE('',#16085,0.100000000000001);
#6015=CIRCLE('',#16086,0.1);
#6016=CIRCLE('',#16088,0.1);
#6017=CIRCLE('',#16089,0.1);
#6018=CIRCLE('',#16090,0.1);
#6019=CIRCLE('',#16094,0.1);
#6020=CIRCLE('',#16095,0.1);
#6021=CIRCLE('',#16096,0.1);
#6022=CIRCLE('',#16098,0.1);
#6023=CIRCLE('',#16099,0.2);
#6024=CIRCLE('',#16100,0.1);
#6025=CIRCLE('',#16166,0.66);
#6026=CIRCLE('',#16167,0.660000000000001);
#6027=CIRCLE('',#16198,0.56);
#6028=CIRCLE('',#16199,0.1);
#6029=CIRCLE('',#16201,0.56);
#6030=CIRCLE('',#16203,0.56);
#6031=CIRCLE('',#16204,0.1);
#6032=CIRCLE('',#16206,0.56);
#6033=CIRCLE('',#16207,0.3);
#6034=CIRCLE('',#16208,0.260000000000001);
#6035=CIRCLE('',#16210,0.3);
#6036=CIRCLE('',#16211,0.26);
#6037=CIRCLE('',#16214,0.2);
#6038=CIRCLE('',#16215,0.2);
#6039=CIRCLE('',#16218,0.60000000166977);
#6040=CIRCLE('',#16219,0.500000001669771);
#6041=CIRCLE('',#16221,0.500000001669771);
#6042=CIRCLE('',#16222,0.60000000166977);
#6043=CIRCLE('',#16224,0.3);
#6044=CIRCLE('',#16233,0.3);
#6045=CIRCLE('',#16239,0.2);
#6046=CIRCLE('',#16240,0.2);
#6047=CIRCLE('',#16243,0.60000000166977);
#6048=CIRCLE('',#16244,0.500000001669771);
#6049=CIRCLE('',#16246,0.500000001669771);
#6050=CIRCLE('',#16247,0.60000000166977);
#6051=CIRCLE('',#16249,0.3);
#6052=CIRCLE('',#16258,0.3);
#6053=CIRCLE('',#16264,0.2);
#6054=CIRCLE('',#16265,0.2);
#6055=CIRCLE('',#16268,0.60000000166977);
#6056=CIRCLE('',#16269,0.500000001669771);
#6057=CIRCLE('',#16271,0.500000001669771);
#6058=CIRCLE('',#16272,0.60000000166977);
#6059=CIRCLE('',#16274,0.3);
#6060=CIRCLE('',#16283,0.3);
#6061=CIRCLE('',#16289,0.2);
#6062=CIRCLE('',#16290,0.2);
#6063=CIRCLE('',#16293,0.60000000166977);
#6064=CIRCLE('',#16294,0.500000001669771);
#6065=CIRCLE('',#16296,0.500000001669771);
#6066=CIRCLE('',#16297,0.60000000166977);
#6067=CIRCLE('',#16299,0.3);
#6068=CIRCLE('',#16308,0.3);
#6069=CIRCLE('',#16314,0.2);
#6070=CIRCLE('',#16315,0.2);
#6071=CIRCLE('',#16318,0.60000000166977);
#6072=CIRCLE('',#16319,0.500000001669771);
#6073=CIRCLE('',#16321,0.500000001669771);
#6074=CIRCLE('',#16322,0.60000000166977);
#6075=CIRCLE('',#16324,0.3);
#6076=CIRCLE('',#16333,0.3);
#6077=CIRCLE('',#16339,0.2);
#6078=CIRCLE('',#16340,0.2);
#6079=CIRCLE('',#16343,0.60000000166977);
#6080=CIRCLE('',#16344,0.500000001669771);
#6081=CIRCLE('',#16346,0.500000001669771);
#6082=CIRCLE('',#16347,0.60000000166977);
#6083=CIRCLE('',#16349,0.3);
#6084=CIRCLE('',#16358,0.3);
#6085=CIRCLE('',#16364,0.2);
#6086=CIRCLE('',#16365,0.2);
#6087=CIRCLE('',#16368,0.60000000166977);
#6088=CIRCLE('',#16369,0.500000001669771);
#6089=CIRCLE('',#16371,0.500000001669771);
#6090=CIRCLE('',#16372,0.60000000166977);
#6091=CIRCLE('',#16374,0.3);
#6092=CIRCLE('',#16383,0.3);
#6093=CIRCLE('',#16389,0.2);
#6094=CIRCLE('',#16390,0.2);
#6095=CIRCLE('',#16393,0.60000000166977);
#6096=CIRCLE('',#16394,0.500000001669771);
#6097=CIRCLE('',#16396,0.500000001669771);
#6098=CIRCLE('',#16397,0.60000000166977);
#6099=CIRCLE('',#16399,0.3);
#6100=CIRCLE('',#16408,0.3);
#6101=CIRCLE('',#16414,0.2);
#6102=CIRCLE('',#16415,0.2);
#6103=CIRCLE('',#16418,0.60000000166977);
#6104=CIRCLE('',#16419,0.500000001669771);
#6105=CIRCLE('',#16421,0.500000001669771);
#6106=CIRCLE('',#16422,0.60000000166977);
#6107=CIRCLE('',#16424,0.3);
#6108=CIRCLE('',#16433,0.3);
#6109=CIRCLE('',#16439,0.2);
#6110=CIRCLE('',#16440,0.2);
#6111=CIRCLE('',#16443,0.60000000166977);
#6112=CIRCLE('',#16444,0.500000001669771);
#6113=CIRCLE('',#16446,0.500000001669771);
#6114=CIRCLE('',#16447,0.60000000166977);
#6115=CIRCLE('',#16449,0.3);
#6116=CIRCLE('',#16458,0.3);
#6117=CIRCLE('',#16465,0.3);
#6118=CIRCLE('',#16466,0.3);
#6119=CIRCLE('',#16468,0.2);
#6120=CIRCLE('',#16470,0.60000000166977);
#6121=CIRCLE('',#16471,0.500000001669771);
#6122=CIRCLE('',#16473,0.2);
#6123=CIRCLE('',#16477,0.500000001669771);
#6124=CIRCLE('',#16484,0.60000000166977);
#6125=CIRCLE('',#16495,0.3);
#6126=CIRCLE('',#16496,0.3);
#6127=CIRCLE('',#16498,0.2);
#6128=CIRCLE('',#16500,0.60000000166977);
#6129=CIRCLE('',#16501,0.500000001669771);
#6130=CIRCLE('',#16503,0.2);
#6131=CIRCLE('',#16507,0.500000001669771);
#6132=CIRCLE('',#16514,0.60000000166977);
#6133=CIRCLE('',#16524,0.3);
#6134=CIRCLE('',#16525,0.3);
#6135=CIRCLE('',#16527,0.2);
#6136=CIRCLE('',#16529,0.60000000166977);
#6137=CIRCLE('',#16530,0.500000001669771);
#6138=CIRCLE('',#16532,0.2);
#6139=CIRCLE('',#16536,0.500000001669771);
#6140=CIRCLE('',#16543,0.60000000166977);
#6141=CIRCLE('',#16553,0.3);
#6142=CIRCLE('',#16554,0.3);
#6143=CIRCLE('',#16556,0.2);
#6144=CIRCLE('',#16558,0.60000000166977);
#6145=CIRCLE('',#16559,0.500000001669771);
#6146=CIRCLE('',#16561,0.2);
#6147=CIRCLE('',#16565,0.500000001669771);
#6148=CIRCLE('',#16572,0.60000000166977);
#6149=CIRCLE('',#16582,0.3);
#6150=CIRCLE('',#16583,0.3);
#6151=CIRCLE('',#16585,0.2);
#6152=CIRCLE('',#16587,0.60000000166977);
#6153=CIRCLE('',#16588,0.500000001669771);
#6154=CIRCLE('',#16590,0.2);
#6155=CIRCLE('',#16594,0.500000001669771);
#6156=CIRCLE('',#16601,0.60000000166977);
#6157=CIRCLE('',#16611,0.3);
#6158=CIRCLE('',#16612,0.3);
#6159=CIRCLE('',#16614,0.2);
#6160=CIRCLE('',#16616,0.60000000166977);
#6161=CIRCLE('',#16617,0.500000001669771);
#6162=CIRCLE('',#16619,0.2);
#6163=CIRCLE('',#16623,0.500000001669771);
#6164=CIRCLE('',#16630,0.60000000166977);
#6165=CIRCLE('',#16640,0.3);
#6166=CIRCLE('',#16641,0.3);
#6167=CIRCLE('',#16643,0.2);
#6168=CIRCLE('',#16645,0.60000000166977);
#6169=CIRCLE('',#16646,0.500000001669771);
#6170=CIRCLE('',#16648,0.2);
#6171=CIRCLE('',#16652,0.500000001669771);
#6172=CIRCLE('',#16659,0.60000000166977);
#6173=CIRCLE('',#16669,0.3);
#6174=CIRCLE('',#16670,0.3);
#6175=CIRCLE('',#16672,0.2);
#6176=CIRCLE('',#16674,0.60000000166977);
#6177=CIRCLE('',#16675,0.500000001669771);
#6178=CIRCLE('',#16677,0.2);
#6179=CIRCLE('',#16681,0.500000001669771);
#6180=CIRCLE('',#16688,0.60000000166977);
#6181=CIRCLE('',#16698,0.3);
#6182=CIRCLE('',#16699,0.3);
#6183=CIRCLE('',#16701,0.2);
#6184=CIRCLE('',#16703,0.60000000166977);
#6185=CIRCLE('',#16704,0.500000001669771);
#6186=CIRCLE('',#16706,0.2);
#6187=CIRCLE('',#16710,0.500000001669771);
#6188=CIRCLE('',#16717,0.60000000166977);
#6189=CIRCLE('',#16726,0.3);
#6190=CIRCLE('',#16727,0.3);
#6191=CIRCLE('',#16730,0.60000000166977);
#6192=CIRCLE('',#16731,0.500000001669771);
#6193=CIRCLE('',#16733,0.2);
#6194=CIRCLE('',#16735,0.500000001669771);
#6195=CIRCLE('',#16736,0.60000000166977);
#6196=CIRCLE('',#16741,0.2);
#6197=VERTEX_POINT('',#21176);
#6198=VERTEX_POINT('',#21177);
#6199=VERTEX_POINT('',#21179);
#6200=VERTEX_POINT('',#21181);
#6201=VERTEX_POINT('',#21185);
#6202=VERTEX_POINT('',#21187);
#6203=VERTEX_POINT('',#21191);
#6204=VERTEX_POINT('',#21192);
#6205=VERTEX_POINT('',#21194);
#6206=VERTEX_POINT('',#21196);
#6207=VERTEX_POINT('',#21200);
#6208=VERTEX_POINT('',#21201);
#6209=VERTEX_POINT('',#21206);
#6210=VERTEX_POINT('',#21207);
#6211=VERTEX_POINT('',#21209);
#6212=VERTEX_POINT('',#21211);
#6213=VERTEX_POINT('',#21215);
#6214=VERTEX_POINT('',#21216);
#6215=VERTEX_POINT('',#21223);
#6216=VERTEX_POINT('',#21225);
#6217=VERTEX_POINT('',#21229);
#6218=VERTEX_POINT('',#21231);
#6219=VERTEX_POINT('',#21235);
#6220=VERTEX_POINT('',#21236);
#6221=VERTEX_POINT('',#21238);
#6222=VERTEX_POINT('',#21243);
#6223=VERTEX_POINT('',#21247);
#6224=VERTEX_POINT('',#21248);
#6225=VERTEX_POINT('',#21251);
#6226=VERTEX_POINT('',#21255);
#6227=VERTEX_POINT('',#21259);
#6228=VERTEX_POINT('',#21261);
#6229=VERTEX_POINT('',#21265);
#6230=VERTEX_POINT('',#21266);
#6231=VERTEX_POINT('',#21268);
#6232=VERTEX_POINT('',#21272);
#6233=VERTEX_POINT('',#21273);
#6234=VERTEX_POINT('',#21275);
#6235=VERTEX_POINT('',#21279);
#6236=VERTEX_POINT('',#21280);
#6237=VERTEX_POINT('',#21282);
#6238=VERTEX_POINT('',#21286);
#6239=VERTEX_POINT('',#21287);
#6240=VERTEX_POINT('',#21289);
#6241=VERTEX_POINT('',#21293);
#6242=VERTEX_POINT('',#21294);
#6243=VERTEX_POINT('',#21296);
#6244=VERTEX_POINT('',#21298);
#6245=VERTEX_POINT('',#21308);
#6246=VERTEX_POINT('',#21309);
#6247=VERTEX_POINT('',#21311);
#6248=VERTEX_POINT('',#21315);
#6249=VERTEX_POINT('',#21316);
#6250=VERTEX_POINT('',#21318);
#6251=VERTEX_POINT('',#21320);
#6252=VERTEX_POINT('',#21327);
#6253=VERTEX_POINT('',#21328);
#6254=VERTEX_POINT('',#21330);
#6255=VERTEX_POINT('',#21332);
#6256=VERTEX_POINT('',#21342);
#6257=VERTEX_POINT('',#21343);
#6258=VERTEX_POINT('',#21345);
#6259=VERTEX_POINT('',#21349);
#6260=VERTEX_POINT('',#21350);
#6261=VERTEX_POINT('',#21352);
#6262=VERTEX_POINT('',#21354);
#6263=VERTEX_POINT('',#21358);
#6264=VERTEX_POINT('',#21359);
#6265=VERTEX_POINT('',#21361);
#6266=VERTEX_POINT('',#21363);
#6267=VERTEX_POINT('',#21370);
#6268=VERTEX_POINT('',#21371);
#6269=VERTEX_POINT('',#21373);
#6270=VERTEX_POINT('',#21375);
#6271=VERTEX_POINT('',#21382);
#6272=VERTEX_POINT('',#21383);
#6273=VERTEX_POINT('',#21385);
#6274=VERTEX_POINT('',#21387);
#6275=VERTEX_POINT('',#21391);
#6276=VERTEX_POINT('',#21392);
#6277=VERTEX_POINT('',#21394);
#6278=VERTEX_POINT('',#21396);
#6279=VERTEX_POINT('',#21406);
#6280=VERTEX_POINT('',#21407);
#6281=VERTEX_POINT('',#21409);
#6282=VERTEX_POINT('',#21416);
#6283=VERTEX_POINT('',#21417);
#6284=VERTEX_POINT('',#21419);
#6285=VERTEX_POINT('',#21426);
#6286=VERTEX_POINT('',#21427);
#6287=VERTEX_POINT('',#21429);
#6288=VERTEX_POINT('',#21433);
#6289=VERTEX_POINT('',#21434);
#6290=VERTEX_POINT('',#21436);
#6291=VERTEX_POINT('',#21443);
#6292=VERTEX_POINT('',#21444);
#6293=VERTEX_POINT('',#21446);
#6294=VERTEX_POINT('',#21448);
#6295=VERTEX_POINT('',#21452);
#6296=VERTEX_POINT('',#21453);
#6297=VERTEX_POINT('',#21458);
#6298=VERTEX_POINT('',#21465);
#6299=VERTEX_POINT('',#21466);
#6300=VERTEX_POINT('',#21468);
#6301=VERTEX_POINT('',#21470);
#6302=VERTEX_POINT('',#21480);
#6303=VERTEX_POINT('',#21481);
#6304=VERTEX_POINT('',#21483);
#6305=VERTEX_POINT('',#21487);
#6306=VERTEX_POINT('',#21488);
#6307=VERTEX_POINT('',#21490);
#6308=VERTEX_POINT('',#21492);
#6309=VERTEX_POINT('',#21496);
#6310=VERTEX_POINT('',#21497);
#6311=VERTEX_POINT('',#21499);
#6312=VERTEX_POINT('',#21506);
#6313=VERTEX_POINT('',#21507);
#6314=VERTEX_POINT('',#21509);
#6315=VERTEX_POINT('',#21511);
#6316=VERTEX_POINT('',#21518);
#6317=VERTEX_POINT('',#21519);
#6318=VERTEX_POINT('',#21521);
#6319=VERTEX_POINT('',#21523);
#6320=VERTEX_POINT('',#21530);
#6321=VERTEX_POINT('',#21531);
#6322=VERTEX_POINT('',#21533);
#6323=VERTEX_POINT('',#21540);
#6324=VERTEX_POINT('',#21541);
#6325=VERTEX_POINT('',#21543);
#6326=VERTEX_POINT('',#21545);
#6327=VERTEX_POINT('',#21552);
#6328=VERTEX_POINT('',#21553);
#6329=VERTEX_POINT('',#21558);
#6330=VERTEX_POINT('',#21560);
#6331=VERTEX_POINT('',#21576);
#6332=VERTEX_POINT('',#21577);
#6333=VERTEX_POINT('',#21582);
#6334=VERTEX_POINT('',#21583);
#6335=VERTEX_POINT('',#21638);
#6336=VERTEX_POINT('',#21640);
#6337=VERTEX_POINT('',#21642);
#6338=VERTEX_POINT('',#21644);
#6339=VERTEX_POINT('',#21646);
#6340=VERTEX_POINT('',#21648);
#6341=VERTEX_POINT('',#21650);
#6342=VERTEX_POINT('',#21653);
#6343=VERTEX_POINT('',#21655);
#6344=VERTEX_POINT('',#21657);
#6345=VERTEX_POINT('',#21661);
#6346=VERTEX_POINT('',#21682);
#6347=VERTEX_POINT('',#21683);
#6348=VERTEX_POINT('',#21685);
#6349=VERTEX_POINT('',#21687);
#6350=VERTEX_POINT('',#21691);
#6351=VERTEX_POINT('',#21692);
#6352=VERTEX_POINT('',#21694);
#6353=VERTEX_POINT('',#21696);
#6354=VERTEX_POINT('',#21700);
#6355=VERTEX_POINT('',#21702);
#6356=VERTEX_POINT('',#21706);
#6357=VERTEX_POINT('',#21707);
#6358=VERTEX_POINT('',#21709);
#6359=VERTEX_POINT('',#21711);
#6360=VERTEX_POINT('',#21715);
#6361=VERTEX_POINT('',#21716);
#6362=VERTEX_POINT('',#21718);
#6363=VERTEX_POINT('',#21720);
#6364=VERTEX_POINT('',#21722);
#6365=VERTEX_POINT('',#21726);
#6366=VERTEX_POINT('',#21727);
#6367=VERTEX_POINT('',#21729);
#6368=VERTEX_POINT('',#21734);
#6369=VERTEX_POINT('',#21736);
#6370=VERTEX_POINT('',#21740);
#6371=VERTEX_POINT('',#21742);
#6372=VERTEX_POINT('',#21746);
#6373=VERTEX_POINT('',#21748);
#6374=VERTEX_POINT('',#21750);
#6375=VERTEX_POINT('',#21754);
#6376=VERTEX_POINT('',#21756);
#6377=VERTEX_POINT('',#21760);
#6378=VERTEX_POINT('',#21761);
#6379=VERTEX_POINT('',#21763);
#6380=VERTEX_POINT('',#21765);
#6381=VERTEX_POINT('',#21767);
#6382=VERTEX_POINT('',#21771);
#6383=VERTEX_POINT('',#21772);
#6384=VERTEX_POINT('',#21774);
#6385=VERTEX_POINT('',#21779);
#6386=VERTEX_POINT('',#21781);
#6387=VERTEX_POINT('',#21785);
#6388=VERTEX_POINT('',#21787);
#6389=VERTEX_POINT('',#21791);
#6390=VERTEX_POINT('',#21793);
#6391=VERTEX_POINT('',#21795);
#6392=VERTEX_POINT('',#21799);
#6393=VERTEX_POINT('',#21801);
#6394=VERTEX_POINT('',#21805);
#6395=VERTEX_POINT('',#21806);
#6396=VERTEX_POINT('',#21808);
#6397=VERTEX_POINT('',#21810);
#6398=VERTEX_POINT('',#21812);
#6399=VERTEX_POINT('',#21816);
#6400=VERTEX_POINT('',#21817);
#6401=VERTEX_POINT('',#21819);
#6402=VERTEX_POINT('',#21824);
#6403=VERTEX_POINT('',#21826);
#6404=VERTEX_POINT('',#21830);
#6405=VERTEX_POINT('',#21832);
#6406=VERTEX_POINT('',#21836);
#6407=VERTEX_POINT('',#21838);
#6408=VERTEX_POINT('',#21840);
#6409=VERTEX_POINT('',#21844);
#6410=VERTEX_POINT('',#21846);
#6411=VERTEX_POINT('',#21850);
#6412=VERTEX_POINT('',#21851);
#6413=VERTEX_POINT('',#21853);
#6414=VERTEX_POINT('',#21855);
#6415=VERTEX_POINT('',#21857);
#6416=VERTEX_POINT('',#21861);
#6417=VERTEX_POINT('',#21862);
#6418=VERTEX_POINT('',#21864);
#6419=VERTEX_POINT('',#21869);
#6420=VERTEX_POINT('',#21871);
#6421=VERTEX_POINT('',#21875);
#6422=VERTEX_POINT('',#21877);
#6423=VERTEX_POINT('',#21881);
#6424=VERTEX_POINT('',#21883);
#6425=VERTEX_POINT('',#21885);
#6426=VERTEX_POINT('',#21889);
#6427=VERTEX_POINT('',#21891);
#6428=VERTEX_POINT('',#21895);
#6429=VERTEX_POINT('',#21896);
#6430=VERTEX_POINT('',#21898);
#6431=VERTEX_POINT('',#21900);
#6432=VERTEX_POINT('',#21902);
#6433=VERTEX_POINT('',#21906);
#6434=VERTEX_POINT('',#21907);
#6435=VERTEX_POINT('',#21909);
#6436=VERTEX_POINT('',#21914);
#6437=VERTEX_POINT('',#21916);
#6438=VERTEX_POINT('',#21920);
#6439=VERTEX_POINT('',#21922);
#6440=VERTEX_POINT('',#21926);
#6441=VERTEX_POINT('',#21928);
#6442=VERTEX_POINT('',#21930);
#6443=VERTEX_POINT('',#21934);
#6444=VERTEX_POINT('',#21936);
#6445=VERTEX_POINT('',#21940);
#6446=VERTEX_POINT('',#21941);
#6447=VERTEX_POINT('',#21943);
#6448=VERTEX_POINT('',#21945);
#6449=VERTEX_POINT('',#21947);
#6450=VERTEX_POINT('',#21951);
#6451=VERTEX_POINT('',#21952);
#6452=VERTEX_POINT('',#21954);
#6453=VERTEX_POINT('',#21959);
#6454=VERTEX_POINT('',#21961);
#6455=VERTEX_POINT('',#21965);
#6456=VERTEX_POINT('',#21967);
#6457=VERTEX_POINT('',#21971);
#6458=VERTEX_POINT('',#21973);
#6459=VERTEX_POINT('',#21975);
#6460=VERTEX_POINT('',#21979);
#6461=VERTEX_POINT('',#21981);
#6462=VERTEX_POINT('',#21985);
#6463=VERTEX_POINT('',#21986);
#6464=VERTEX_POINT('',#21988);
#6465=VERTEX_POINT('',#21990);
#6466=VERTEX_POINT('',#21992);
#6467=VERTEX_POINT('',#21996);
#6468=VERTEX_POINT('',#21997);
#6469=VERTEX_POINT('',#21999);
#6470=VERTEX_POINT('',#22004);
#6471=VERTEX_POINT('',#22006);
#6472=VERTEX_POINT('',#22010);
#6473=VERTEX_POINT('',#22012);
#6474=VERTEX_POINT('',#22016);
#6475=VERTEX_POINT('',#22018);
#6476=VERTEX_POINT('',#22020);
#6477=VERTEX_POINT('',#22024);
#6478=VERTEX_POINT('',#22026);
#6479=VERTEX_POINT('',#22030);
#6480=VERTEX_POINT('',#22031);
#6481=VERTEX_POINT('',#22033);
#6482=VERTEX_POINT('',#22035);
#6483=VERTEX_POINT('',#22037);
#6484=VERTEX_POINT('',#22041);
#6485=VERTEX_POINT('',#22042);
#6486=VERTEX_POINT('',#22044);
#6487=VERTEX_POINT('',#22049);
#6488=VERTEX_POINT('',#22051);
#6489=VERTEX_POINT('',#22055);
#6490=VERTEX_POINT('',#22057);
#6491=VERTEX_POINT('',#22061);
#6492=VERTEX_POINT('',#22063);
#6493=VERTEX_POINT('',#22065);
#6494=VERTEX_POINT('',#22069);
#6495=VERTEX_POINT('',#22071);
#6496=VERTEX_POINT('',#22075);
#6497=VERTEX_POINT('',#22076);
#6498=VERTEX_POINT('',#22078);
#6499=VERTEX_POINT('',#22080);
#6500=VERTEX_POINT('',#22082);
#6501=VERTEX_POINT('',#22086);
#6502=VERTEX_POINT('',#22087);
#6503=VERTEX_POINT('',#22089);
#6504=VERTEX_POINT('',#22094);
#6505=VERTEX_POINT('',#22096);
#6506=VERTEX_POINT('',#22100);
#6507=VERTEX_POINT('',#22102);
#6508=VERTEX_POINT('',#22106);
#6509=VERTEX_POINT('',#22108);
#6510=VERTEX_POINT('',#22110);
#6511=VERTEX_POINT('',#22114);
#6512=VERTEX_POINT('',#22116);
#6513=VERTEX_POINT('',#22120);
#6514=VERTEX_POINT('',#22121);
#6515=VERTEX_POINT('',#22123);
#6516=VERTEX_POINT('',#22125);
#6517=VERTEX_POINT('',#22127);
#6518=VERTEX_POINT('',#22131);
#6519=VERTEX_POINT('',#22133);
#6520=VERTEX_POINT('',#22137);
#6521=VERTEX_POINT('',#22138);
#6522=VERTEX_POINT('',#22140);
#6523=VERTEX_POINT('',#22142);
#6524=VERTEX_POINT('',#22147);
#6525=VERTEX_POINT('',#22154);
#6526=VERTEX_POINT('',#22156);
#6527=VERTEX_POINT('',#22161);
#6528=VERTEX_POINT('',#22165);
#6529=VERTEX_POINT('',#22167);
#6530=VERTEX_POINT('',#22172);
#6531=VERTEX_POINT('',#22176);
#6532=VERTEX_POINT('',#22178);
#6533=VERTEX_POINT('',#22183);
#6534=VERTEX_POINT('',#22187);
#6535=VERTEX_POINT('',#22189);
#6536=VERTEX_POINT('',#22194);
#6537=VERTEX_POINT('',#22198);
#6538=VERTEX_POINT('',#22200);
#6539=VERTEX_POINT('',#22205);
#6540=VERTEX_POINT('',#22209);
#6541=VERTEX_POINT('',#22211);
#6542=VERTEX_POINT('',#22216);
#6543=VERTEX_POINT('',#22220);
#6544=VERTEX_POINT('',#22222);
#6545=VERTEX_POINT('',#22227);
#6546=VERTEX_POINT('',#22231);
#6547=VERTEX_POINT('',#22233);
#6548=VERTEX_POINT('',#22238);
#6549=VERTEX_POINT('',#22242);
#6550=VERTEX_POINT('',#22244);
#6551=VERTEX_POINT('',#22249);
#6552=VERTEX_POINT('',#22256);
#6553=VERTEX_POINT('',#22262);
#6554=VERTEX_POINT('',#22266);
#6555=VERTEX_POINT('',#22268);
#6556=VERTEX_POINT('',#22272);
#6557=VERTEX_POINT('',#22276);
#6558=VERTEX_POINT('',#22278);
#6559=VERTEX_POINT('',#22280);
#6560=VERTEX_POINT('',#22282);
#6561=VERTEX_POINT('',#22284);
#6562=VERTEX_POINT('',#22286);
#6563=VERTEX_POINT('',#22290);
#6564=VERTEX_POINT('',#22294);
#6565=VERTEX_POINT('',#22296);
#6566=VERTEX_POINT('',#22298);
#6567=VERTEX_POINT('',#22300);
#6568=VERTEX_POINT('',#22302);
#6569=VERTEX_POINT('',#22304);
#6570=VERTEX_POINT('',#22308);
#6571=VERTEX_POINT('',#22312);
#6572=VERTEX_POINT('',#22314);
#6573=VERTEX_POINT('',#22316);
#6574=VERTEX_POINT('',#22318);
#6575=VERTEX_POINT('',#22320);
#6576=VERTEX_POINT('',#22322);
#6577=VERTEX_POINT('',#22326);
#6578=VERTEX_POINT('',#22330);
#6579=VERTEX_POINT('',#22332);
#6580=VERTEX_POINT('',#22334);
#6581=VERTEX_POINT('',#22336);
#6582=VERTEX_POINT('',#22338);
#6583=VERTEX_POINT('',#22340);
#6584=VERTEX_POINT('',#22344);
#6585=VERTEX_POINT('',#22348);
#6586=VERTEX_POINT('',#22350);
#6587=VERTEX_POINT('',#22352);
#6588=VERTEX_POINT('',#22354);
#6589=VERTEX_POINT('',#22356);
#6590=VERTEX_POINT('',#22358);
#6591=VERTEX_POINT('',#22362);
#6592=VERTEX_POINT('',#22366);
#6593=VERTEX_POINT('',#22368);
#6594=VERTEX_POINT('',#22370);
#6595=VERTEX_POINT('',#22372);
#6596=VERTEX_POINT('',#22374);
#6597=VERTEX_POINT('',#22376);
#6598=VERTEX_POINT('',#22380);
#6599=VERTEX_POINT('',#22384);
#6600=VERTEX_POINT('',#22386);
#6601=VERTEX_POINT('',#22388);
#6602=VERTEX_POINT('',#22390);
#6603=VERTEX_POINT('',#22392);
#6604=VERTEX_POINT('',#22394);
#6605=VERTEX_POINT('',#22398);
#6606=VERTEX_POINT('',#22402);
#6607=VERTEX_POINT('',#22404);
#6608=VERTEX_POINT('',#22406);
#6609=VERTEX_POINT('',#22408);
#6610=VERTEX_POINT('',#22410);
#6611=VERTEX_POINT('',#22412);
#6612=VERTEX_POINT('',#22416);
#6613=VERTEX_POINT('',#22420);
#6614=VERTEX_POINT('',#22422);
#6615=VERTEX_POINT('',#22424);
#6616=VERTEX_POINT('',#22426);
#6617=VERTEX_POINT('',#22428);
#6618=VERTEX_POINT('',#22430);
#6619=VERTEX_POINT('',#22434);
#6620=VERTEX_POINT('',#22438);
#6621=VERTEX_POINT('',#22440);
#6622=VERTEX_POINT('',#22442);
#6623=VERTEX_POINT('',#22444);
#6624=VERTEX_POINT('',#22446);
#6625=VERTEX_POINT('',#22448);
#6626=VERTEX_POINT('',#22452);
#6627=VERTEX_POINT('',#22456);
#6628=VERTEX_POINT('',#22458);
#6629=VERTEX_POINT('',#22460);
#6630=VERTEX_POINT('',#22462);
#6631=VERTEX_POINT('',#22464);
#6632=VERTEX_POINT('',#22466);
#6633=VERTEX_POINT('',#22470);
#6634=VERTEX_POINT('',#22474);
#6635=VERTEX_POINT('',#22476);
#6636=VERTEX_POINT('',#22478);
#6637=VERTEX_POINT('',#22480);
#6638=VERTEX_POINT('',#22482);
#6639=VERTEX_POINT('',#22484);
#6640=VERTEX_POINT('',#22488);
#6641=VERTEX_POINT('',#22492);
#6642=VERTEX_POINT('',#22494);
#6643=VERTEX_POINT('',#22496);
#6644=VERTEX_POINT('',#22498);
#6645=VERTEX_POINT('',#22500);
#6646=VERTEX_POINT('',#22502);
#6647=VERTEX_POINT('',#22506);
#6648=VERTEX_POINT('',#22510);
#6649=VERTEX_POINT('',#22512);
#6650=VERTEX_POINT('',#22514);
#6651=VERTEX_POINT('',#22516);
#6652=VERTEX_POINT('',#22518);
#6653=VERTEX_POINT('',#22520);
#6654=VERTEX_POINT('',#22524);
#6655=VERTEX_POINT('',#22528);
#6656=VERTEX_POINT('',#22530);
#6657=VERTEX_POINT('',#22532);
#6658=VERTEX_POINT('',#22534);
#6659=VERTEX_POINT('',#22536);
#6660=VERTEX_POINT('',#22538);
#6661=VERTEX_POINT('',#22542);
#6662=VERTEX_POINT('',#22546);
#6663=VERTEX_POINT('',#22548);
#6664=VERTEX_POINT('',#22550);
#6665=VERTEX_POINT('',#22552);
#6666=VERTEX_POINT('',#22554);
#6667=VERTEX_POINT('',#22556);
#6668=VERTEX_POINT('',#22560);
#6669=VERTEX_POINT('',#22564);
#6670=VERTEX_POINT('',#22566);
#6671=VERTEX_POINT('',#22568);
#6672=VERTEX_POINT('',#22570);
#6673=VERTEX_POINT('',#22572);
#6674=VERTEX_POINT('',#22574);
#6675=VERTEX_POINT('',#22578);
#6676=VERTEX_POINT('',#22582);
#6677=VERTEX_POINT('',#22584);
#6678=VERTEX_POINT('',#22586);
#6679=VERTEX_POINT('',#22588);
#6680=VERTEX_POINT('',#22590);
#6681=VERTEX_POINT('',#22592);
#6682=VERTEX_POINT('',#22596);
#6683=VERTEX_POINT('',#22600);
#6684=VERTEX_POINT('',#22602);
#6685=VERTEX_POINT('',#22604);
#6686=VERTEX_POINT('',#22606);
#6687=VERTEX_POINT('',#22608);
#6688=VERTEX_POINT('',#22610);
#6689=VERTEX_POINT('',#22614);
#6690=VERTEX_POINT('',#22618);
#6691=VERTEX_POINT('',#22620);
#6692=VERTEX_POINT('',#22622);
#6693=VERTEX_POINT('',#22624);
#6694=VERTEX_POINT('',#22626);
#6695=VERTEX_POINT('',#22628);
#6696=VERTEX_POINT('',#22632);
#6697=VERTEX_POINT('',#22640);
#6698=VERTEX_POINT('',#22641);
#6699=VERTEX_POINT('',#22643);
#6700=VERTEX_POINT('',#22647);
#6701=VERTEX_POINT('',#22648);
#6702=VERTEX_POINT('',#22650);
#6703=VERTEX_POINT('',#22654);
#6704=VERTEX_POINT('',#22655);
#6705=VERTEX_POINT('',#22657);
#6706=VERTEX_POINT('',#22659);
#6707=VERTEX_POINT('',#22666);
#6708=VERTEX_POINT('',#22667);
#6709=VERTEX_POINT('',#22669);
#6710=VERTEX_POINT('',#22673);
#6711=VERTEX_POINT('',#22674);
#6712=VERTEX_POINT('',#22676);
#6713=VERTEX_POINT('',#22678);
#6714=VERTEX_POINT('',#22682);
#6715=VERTEX_POINT('',#22683);
#6716=VERTEX_POINT('',#22685);
#6717=VERTEX_POINT('',#22689);
#6718=VERTEX_POINT('',#22690);
#6719=VERTEX_POINT('',#22692);
#6720=VERTEX_POINT('',#22696);
#6721=VERTEX_POINT('',#22697);
#6722=VERTEX_POINT('',#22699);
#6723=VERTEX_POINT('',#22701);
#6724=VERTEX_POINT('',#22705);
#6725=VERTEX_POINT('',#22706);
#6726=VERTEX_POINT('',#22708);
#6727=VERTEX_POINT('',#22710);
#6728=VERTEX_POINT('',#22714);
#6729=VERTEX_POINT('',#22715);
#6730=VERTEX_POINT('',#22717);
#6731=VERTEX_POINT('',#22719);
#6732=VERTEX_POINT('',#22726);
#6733=VERTEX_POINT('',#22727);
#6734=VERTEX_POINT('',#22729);
#6735=VERTEX_POINT('',#22733);
#6736=VERTEX_POINT('',#22734);
#6737=VERTEX_POINT('',#22736);
#6738=VERTEX_POINT('',#22738);
#6739=VERTEX_POINT('',#22742);
#6740=VERTEX_POINT('',#22743);
#6741=VERTEX_POINT('',#22745);
#6742=VERTEX_POINT('',#22752);
#6743=VERTEX_POINT('',#22753);
#6744=VERTEX_POINT('',#22755);
#6745=VERTEX_POINT('',#22757);
#6746=VERTEX_POINT('',#22767);
#6747=VERTEX_POINT('',#22768);
#6748=VERTEX_POINT('',#22770);
#6749=VERTEX_POINT('',#22772);
#6750=VERTEX_POINT('',#22782);
#6751=VERTEX_POINT('',#22783);
#6752=VERTEX_POINT('',#22785);
#6753=VERTEX_POINT('',#22789);
#6754=VERTEX_POINT('',#22790);
#6755=VERTEX_POINT('',#22792);
#6756=VERTEX_POINT('',#22796);
#6757=VERTEX_POINT('',#22797);
#6758=VERTEX_POINT('',#22799);
#6759=VERTEX_POINT('',#22803);
#6760=VERTEX_POINT('',#22804);
#6761=VERTEX_POINT('',#22806);
#6762=VERTEX_POINT('',#22808);
#6763=VERTEX_POINT('',#22815);
#6764=VERTEX_POINT('',#22816);
#6765=VERTEX_POINT('',#22818);
#6766=VERTEX_POINT('',#22822);
#6767=VERTEX_POINT('',#22823);
#6768=VERTEX_POINT('',#22825);
#6769=VERTEX_POINT('',#22827);
#6770=VERTEX_POINT('',#22831);
#6771=VERTEX_POINT('',#22832);
#6772=VERTEX_POINT('',#22834);
#6773=VERTEX_POINT('',#22836);
#6774=VERTEX_POINT('',#22843);
#6775=VERTEX_POINT('',#22845);
#6776=VERTEX_POINT('',#22849);
#6777=VERTEX_POINT('',#22850);
#6778=VERTEX_POINT('',#22852);
#6779=VERTEX_POINT('',#22854);
#6780=VERTEX_POINT('',#22858);
#6781=VERTEX_POINT('',#22859);
#6782=VERTEX_POINT('',#22861);
#6783=VERTEX_POINT('',#22863);
#6784=VERTEX_POINT('',#22870);
#6785=VERTEX_POINT('',#22871);
#6786=VERTEX_POINT('',#22873);
#6787=VERTEX_POINT('',#22877);
#6788=VERTEX_POINT('',#22878);
#6789=VERTEX_POINT('',#22881);
#6790=VERTEX_POINT('',#22887);
#6791=VERTEX_POINT('',#22888);
#6792=VERTEX_POINT('',#22890);
#6793=VERTEX_POINT('',#22897);
#6794=VERTEX_POINT('',#22898);
#6795=VERTEX_POINT('',#22900);
#6796=VERTEX_POINT('',#22907);
#6797=VERTEX_POINT('',#22908);
#6798=VERTEX_POINT('',#22910);
#6799=VERTEX_POINT('',#22914);
#6800=VERTEX_POINT('',#22915);
#6801=VERTEX_POINT('',#22922);
#6802=VERTEX_POINT('',#22923);
#6803=VERTEX_POINT('',#22925);
#6804=VERTEX_POINT('',#22927);
#6805=VERTEX_POINT('',#22931);
#6806=VERTEX_POINT('',#22932);
#6807=VERTEX_POINT('',#22934);
#6808=VERTEX_POINT('',#22938);
#6809=VERTEX_POINT('',#22939);
#6810=VERTEX_POINT('',#22941);
#6811=VERTEX_POINT('',#22943);
#6812=VERTEX_POINT('',#22947);
#6813=VERTEX_POINT('',#22948);
#6814=VERTEX_POINT('',#22950);
#6815=VERTEX_POINT('',#22984);
#6816=VERTEX_POINT('',#22985);
#6817=VERTEX_POINT('',#22992);
#6818=VERTEX_POINT('',#22993);
#6819=VERTEX_POINT('',#22995);
#6820=VERTEX_POINT('',#22999);
#6821=VERTEX_POINT('',#23000);
#6822=VERTEX_POINT('',#23002);
#6823=VERTEX_POINT('',#23004);
#6824=VERTEX_POINT('',#23014);
#6825=VERTEX_POINT('',#23015);
#6826=VERTEX_POINT('',#23017);
#6827=VERTEX_POINT('',#23024);
#6828=VERTEX_POINT('',#23025);
#6829=VERTEX_POINT('',#23027);
#6830=VERTEX_POINT('',#23037);
#6831=VERTEX_POINT('',#23038);
#6832=VERTEX_POINT('',#23040);
#6833=VERTEX_POINT('',#23047);
#6834=VERTEX_POINT('',#23048);
#6835=VERTEX_POINT('',#23050);
#6836=VERTEX_POINT('',#23052);
#6837=VERTEX_POINT('',#23056);
#6838=VERTEX_POINT('',#23057);
#6839=VERTEX_POINT('',#23059);
#6840=VERTEX_POINT('',#23061);
#6841=VERTEX_POINT('',#23065);
#6842=VERTEX_POINT('',#23066);
#6843=VERTEX_POINT('',#23068);
#6844=VERTEX_POINT('',#23070);
#6845=VERTEX_POINT('',#23074);
#6846=VERTEX_POINT('',#23075);
#6847=VERTEX_POINT('',#23077);
#6848=VERTEX_POINT('',#23084);
#6849=VERTEX_POINT('',#23086);
#6850=VERTEX_POINT('',#23090);
#6851=VERTEX_POINT('',#23091);
#6852=VERTEX_POINT('',#23093);
#6853=VERTEX_POINT('',#23095);
#6854=VERTEX_POINT('',#23099);
#6855=VERTEX_POINT('',#23100);
#6856=VERTEX_POINT('',#23102);
#6857=VERTEX_POINT('',#23109);
#6858=VERTEX_POINT('',#23110);
#6859=VERTEX_POINT('',#23112);
#6860=VERTEX_POINT('',#23124);
#6861=VERTEX_POINT('',#23125);
#6862=VERTEX_POINT('',#23127);
#6863=VERTEX_POINT('',#23131);
#6864=VERTEX_POINT('',#23132);
#6865=VERTEX_POINT('',#23134);
#6866=VERTEX_POINT('',#23140);
#6867=VERTEX_POINT('',#23141);
#6868=VERTEX_POINT('',#23143);
#6869=VERTEX_POINT('',#23153);
#6870=VERTEX_POINT('',#23154);
#6871=VERTEX_POINT('',#23156);
#6872=VERTEX_POINT('',#23160);
#6873=VERTEX_POINT('',#23162);
#6874=VERTEX_POINT('',#23166);
#6875=VERTEX_POINT('',#23167);
#6876=VERTEX_POINT('',#23169);
#6877=VERTEX_POINT('',#23179);
#6878=VERTEX_POINT('',#23181);
#6879=VERTEX_POINT('',#23185);
#6880=VERTEX_POINT('',#23187);
#6881=VERTEX_POINT('',#23234);
#6882=VERTEX_POINT('',#23236);
#6883=VERTEX_POINT('',#23264);
#6884=VERTEX_POINT('',#23266);
#6885=VERTEX_POINT('',#23273);
#6886=VERTEX_POINT('',#23275);
#6887=VERTEX_POINT('',#23369);
#6888=VERTEX_POINT('',#23373);
#6889=VERTEX_POINT('',#23379);
#6890=VERTEX_POINT('',#23382);
#6891=VERTEX_POINT('',#23384);
#6892=VERTEX_POINT('',#23385);
#6893=VERTEX_POINT('',#23387);
#6894=VERTEX_POINT('',#23389);
#6895=VERTEX_POINT('',#23392);
#6896=VERTEX_POINT('',#23393);
#6897=VERTEX_POINT('',#23395);
#6898=VERTEX_POINT('',#23397);
#6899=VERTEX_POINT('',#23400);
#6900=VERTEX_POINT('',#23401);
#6901=VERTEX_POINT('',#23403);
#6902=VERTEX_POINT('',#23405);
#6903=VERTEX_POINT('',#23408);
#6904=VERTEX_POINT('',#23409);
#6905=VERTEX_POINT('',#23411);
#6906=VERTEX_POINT('',#23413);
#6907=VERTEX_POINT('',#23416);
#6908=VERTEX_POINT('',#23417);
#6909=VERTEX_POINT('',#23419);
#6910=VERTEX_POINT('',#23421);
#6911=VERTEX_POINT('',#23424);
#6912=VERTEX_POINT('',#23425);
#6913=VERTEX_POINT('',#23427);
#6914=VERTEX_POINT('',#23429);
#6915=VERTEX_POINT('',#23432);
#6916=VERTEX_POINT('',#23433);
#6917=VERTEX_POINT('',#23435);
#6918=VERTEX_POINT('',#23437);
#6919=VERTEX_POINT('',#23440);
#6920=VERTEX_POINT('',#23441);
#6921=VERTEX_POINT('',#23443);
#6922=VERTEX_POINT('',#23445);
#6923=VERTEX_POINT('',#23448);
#6924=VERTEX_POINT('',#23449);
#6925=VERTEX_POINT('',#23451);
#6926=VERTEX_POINT('',#23453);
#6927=VERTEX_POINT('',#23456);
#6928=VERTEX_POINT('',#23457);
#6929=VERTEX_POINT('',#23459);
#6930=VERTEX_POINT('',#23461);
#6931=VERTEX_POINT('',#23464);
#6932=VERTEX_POINT('',#23465);
#6933=VERTEX_POINT('',#23467);
#6934=VERTEX_POINT('',#23469);
#6935=VERTEX_POINT('',#23472);
#6936=VERTEX_POINT('',#23473);
#6937=VERTEX_POINT('',#23475);
#6938=VERTEX_POINT('',#23477);
#6939=VERTEX_POINT('',#23480);
#6940=VERTEX_POINT('',#23481);
#6941=VERTEX_POINT('',#23483);
#6942=VERTEX_POINT('',#23485);
#6943=VERTEX_POINT('',#23488);
#6944=VERTEX_POINT('',#23489);
#6945=VERTEX_POINT('',#23491);
#6946=VERTEX_POINT('',#23493);
#6947=VERTEX_POINT('',#23496);
#6948=VERTEX_POINT('',#23497);
#6949=VERTEX_POINT('',#23499);
#6950=VERTEX_POINT('',#23501);
#6951=VERTEX_POINT('',#23504);
#6952=VERTEX_POINT('',#23505);
#6953=VERTEX_POINT('',#23507);
#6954=VERTEX_POINT('',#23509);
#6955=VERTEX_POINT('',#23512);
#6956=VERTEX_POINT('',#23513);
#6957=VERTEX_POINT('',#23515);
#6958=VERTEX_POINT('',#23517);
#6959=VERTEX_POINT('',#23520);
#6960=VERTEX_POINT('',#23521);
#6961=VERTEX_POINT('',#23523);
#6962=VERTEX_POINT('',#23525);
#6963=VERTEX_POINT('',#23528);
#6964=VERTEX_POINT('',#23529);
#6965=VERTEX_POINT('',#23531);
#6966=VERTEX_POINT('',#23533);
#6967=VERTEX_POINT('',#23536);
#6968=VERTEX_POINT('',#23537);
#6969=VERTEX_POINT('',#23539);
#6970=VERTEX_POINT('',#23541);
#6971=VERTEX_POINT('',#23544);
#6972=VERTEX_POINT('',#23576);
#6973=VERTEX_POINT('',#23580);
#6974=VERTEX_POINT('',#23584);
#6975=VERTEX_POINT('',#23588);
#6976=VERTEX_POINT('',#23618);
#6977=VERTEX_POINT('',#23649);
#6978=VERTEX_POINT('',#23654);
#6979=VERTEX_POINT('',#23656);
#6980=VERTEX_POINT('',#23660);
#6981=VERTEX_POINT('',#23661);
#6982=VERTEX_POINT('',#23663);
#6983=VERTEX_POINT('',#23665);
#6984=VERTEX_POINT('',#23669);
#6985=VERTEX_POINT('',#23671);
#6986=VERTEX_POINT('',#23675);
#6987=VERTEX_POINT('',#23677);
#6988=VERTEX_POINT('',#23681);
#6989=VERTEX_POINT('',#23683);
#6990=VERTEX_POINT('',#23685);
#6991=VERTEX_POINT('',#23689);
#6992=VERTEX_POINT('',#23693);
#6993=VERTEX_POINT('',#23695);
#6994=VERTEX_POINT('',#23697);
#6995=VERTEX_POINT('',#23710);
#6996=VERTEX_POINT('',#23714);
#6997=VERTEX_POINT('',#23718);
#6998=VERTEX_POINT('',#23724);
#6999=VERTEX_POINT('',#23732);
#7000=VERTEX_POINT('',#23734);
#7001=VERTEX_POINT('',#23738);
#7002=VERTEX_POINT('',#23739);
#7003=VERTEX_POINT('',#23741);
#7004=VERTEX_POINT('',#23743);
#7005=VERTEX_POINT('',#23747);
#7006=VERTEX_POINT('',#23749);
#7007=VERTEX_POINT('',#23753);
#7008=VERTEX_POINT('',#23755);
#7009=VERTEX_POINT('',#23759);
#7010=VERTEX_POINT('',#23761);
#7011=VERTEX_POINT('',#23763);
#7012=VERTEX_POINT('',#23767);
#7013=VERTEX_POINT('',#23771);
#7014=VERTEX_POINT('',#23773);
#7015=VERTEX_POINT('',#23775);
#7016=VERTEX_POINT('',#23788);
#7017=VERTEX_POINT('',#23792);
#7018=VERTEX_POINT('',#23796);
#7019=VERTEX_POINT('',#23802);
#7020=VERTEX_POINT('',#23810);
#7021=VERTEX_POINT('',#23812);
#7022=VERTEX_POINT('',#23816);
#7023=VERTEX_POINT('',#23817);
#7024=VERTEX_POINT('',#23819);
#7025=VERTEX_POINT('',#23821);
#7026=VERTEX_POINT('',#23825);
#7027=VERTEX_POINT('',#23827);
#7028=VERTEX_POINT('',#23831);
#7029=VERTEX_POINT('',#23833);
#7030=VERTEX_POINT('',#23837);
#7031=VERTEX_POINT('',#23839);
#7032=VERTEX_POINT('',#23841);
#7033=VERTEX_POINT('',#23845);
#7034=VERTEX_POINT('',#23849);
#7035=VERTEX_POINT('',#23851);
#7036=VERTEX_POINT('',#23853);
#7037=VERTEX_POINT('',#23866);
#7038=VERTEX_POINT('',#23870);
#7039=VERTEX_POINT('',#23874);
#7040=VERTEX_POINT('',#23880);
#7041=VERTEX_POINT('',#23888);
#7042=VERTEX_POINT('',#23890);
#7043=VERTEX_POINT('',#23894);
#7044=VERTEX_POINT('',#23895);
#7045=VERTEX_POINT('',#23897);
#7046=VERTEX_POINT('',#23899);
#7047=VERTEX_POINT('',#23903);
#7048=VERTEX_POINT('',#23905);
#7049=VERTEX_POINT('',#23909);
#7050=VERTEX_POINT('',#23911);
#7051=VERTEX_POINT('',#23915);
#7052=VERTEX_POINT('',#23917);
#7053=VERTEX_POINT('',#23919);
#7054=VERTEX_POINT('',#23923);
#7055=VERTEX_POINT('',#23927);
#7056=VERTEX_POINT('',#23929);
#7057=VERTEX_POINT('',#23931);
#7058=VERTEX_POINT('',#23944);
#7059=VERTEX_POINT('',#23948);
#7060=VERTEX_POINT('',#23952);
#7061=VERTEX_POINT('',#23958);
#7062=VERTEX_POINT('',#23966);
#7063=VERTEX_POINT('',#23968);
#7064=VERTEX_POINT('',#23972);
#7065=VERTEX_POINT('',#23973);
#7066=VERTEX_POINT('',#23975);
#7067=VERTEX_POINT('',#23977);
#7068=VERTEX_POINT('',#23981);
#7069=VERTEX_POINT('',#23983);
#7070=VERTEX_POINT('',#23987);
#7071=VERTEX_POINT('',#23989);
#7072=VERTEX_POINT('',#23993);
#7073=VERTEX_POINT('',#23995);
#7074=VERTEX_POINT('',#23997);
#7075=VERTEX_POINT('',#24001);
#7076=VERTEX_POINT('',#24005);
#7077=VERTEX_POINT('',#24007);
#7078=VERTEX_POINT('',#24009);
#7079=VERTEX_POINT('',#24022);
#7080=VERTEX_POINT('',#24026);
#7081=VERTEX_POINT('',#24030);
#7082=VERTEX_POINT('',#24036);
#7083=VERTEX_POINT('',#24044);
#7084=VERTEX_POINT('',#24046);
#7085=VERTEX_POINT('',#24050);
#7086=VERTEX_POINT('',#24051);
#7087=VERTEX_POINT('',#24053);
#7088=VERTEX_POINT('',#24055);
#7089=VERTEX_POINT('',#24059);
#7090=VERTEX_POINT('',#24061);
#7091=VERTEX_POINT('',#24065);
#7092=VERTEX_POINT('',#24067);
#7093=VERTEX_POINT('',#24071);
#7094=VERTEX_POINT('',#24073);
#7095=VERTEX_POINT('',#24075);
#7096=VERTEX_POINT('',#24079);
#7097=VERTEX_POINT('',#24083);
#7098=VERTEX_POINT('',#24085);
#7099=VERTEX_POINT('',#24087);
#7100=VERTEX_POINT('',#24100);
#7101=VERTEX_POINT('',#24104);
#7102=VERTEX_POINT('',#24108);
#7103=VERTEX_POINT('',#24114);
#7104=VERTEX_POINT('',#24122);
#7105=VERTEX_POINT('',#24124);
#7106=VERTEX_POINT('',#24128);
#7107=VERTEX_POINT('',#24129);
#7108=VERTEX_POINT('',#24131);
#7109=VERTEX_POINT('',#24133);
#7110=VERTEX_POINT('',#24137);
#7111=VERTEX_POINT('',#24139);
#7112=VERTEX_POINT('',#24143);
#7113=VERTEX_POINT('',#24145);
#7114=VERTEX_POINT('',#24149);
#7115=VERTEX_POINT('',#24151);
#7116=VERTEX_POINT('',#24153);
#7117=VERTEX_POINT('',#24157);
#7118=VERTEX_POINT('',#24161);
#7119=VERTEX_POINT('',#24163);
#7120=VERTEX_POINT('',#24165);
#7121=VERTEX_POINT('',#24178);
#7122=VERTEX_POINT('',#24182);
#7123=VERTEX_POINT('',#24186);
#7124=VERTEX_POINT('',#24192);
#7125=VERTEX_POINT('',#24200);
#7126=VERTEX_POINT('',#24202);
#7127=VERTEX_POINT('',#24206);
#7128=VERTEX_POINT('',#24207);
#7129=VERTEX_POINT('',#24209);
#7130=VERTEX_POINT('',#24211);
#7131=VERTEX_POINT('',#24215);
#7132=VERTEX_POINT('',#24217);
#7133=VERTEX_POINT('',#24221);
#7134=VERTEX_POINT('',#24223);
#7135=VERTEX_POINT('',#24227);
#7136=VERTEX_POINT('',#24229);
#7137=VERTEX_POINT('',#24231);
#7138=VERTEX_POINT('',#24235);
#7139=VERTEX_POINT('',#24239);
#7140=VERTEX_POINT('',#24241);
#7141=VERTEX_POINT('',#24243);
#7142=VERTEX_POINT('',#24256);
#7143=VERTEX_POINT('',#24260);
#7144=VERTEX_POINT('',#24264);
#7145=VERTEX_POINT('',#24270);
#7146=VERTEX_POINT('',#24278);
#7147=VERTEX_POINT('',#24280);
#7148=VERTEX_POINT('',#24284);
#7149=VERTEX_POINT('',#24285);
#7150=VERTEX_POINT('',#24287);
#7151=VERTEX_POINT('',#24289);
#7152=VERTEX_POINT('',#24293);
#7153=VERTEX_POINT('',#24295);
#7154=VERTEX_POINT('',#24299);
#7155=VERTEX_POINT('',#24301);
#7156=VERTEX_POINT('',#24305);
#7157=VERTEX_POINT('',#24307);
#7158=VERTEX_POINT('',#24309);
#7159=VERTEX_POINT('',#24313);
#7160=VERTEX_POINT('',#24317);
#7161=VERTEX_POINT('',#24319);
#7162=VERTEX_POINT('',#24321);
#7163=VERTEX_POINT('',#24334);
#7164=VERTEX_POINT('',#24338);
#7165=VERTEX_POINT('',#24342);
#7166=VERTEX_POINT('',#24348);
#7167=VERTEX_POINT('',#24356);
#7168=VERTEX_POINT('',#24358);
#7169=VERTEX_POINT('',#24362);
#7170=VERTEX_POINT('',#24363);
#7171=VERTEX_POINT('',#24365);
#7172=VERTEX_POINT('',#24367);
#7173=VERTEX_POINT('',#24371);
#7174=VERTEX_POINT('',#24373);
#7175=VERTEX_POINT('',#24377);
#7176=VERTEX_POINT('',#24379);
#7177=VERTEX_POINT('',#24383);
#7178=VERTEX_POINT('',#24385);
#7179=VERTEX_POINT('',#24387);
#7180=VERTEX_POINT('',#24391);
#7181=VERTEX_POINT('',#24395);
#7182=VERTEX_POINT('',#24397);
#7183=VERTEX_POINT('',#24399);
#7184=VERTEX_POINT('',#24412);
#7185=VERTEX_POINT('',#24416);
#7186=VERTEX_POINT('',#24420);
#7187=VERTEX_POINT('',#24426);
#7188=VERTEX_POINT('',#24434);
#7189=VERTEX_POINT('',#24435);
#7190=VERTEX_POINT('',#24437);
#7191=VERTEX_POINT('',#24439);
#7192=VERTEX_POINT('',#24443);
#7193=VERTEX_POINT('',#24445);
#7194=VERTEX_POINT('',#24449);
#7195=VERTEX_POINT('',#24451);
#7196=VERTEX_POINT('',#24453);
#7197=VERTEX_POINT('',#24457);
#7198=VERTEX_POINT('',#24459);
#7199=VERTEX_POINT('',#24461);
#7200=VERTEX_POINT('',#24463);
#7201=VERTEX_POINT('',#24465);
#7202=VERTEX_POINT('',#24467);
#7203=VERTEX_POINT('',#24471);
#7204=VERTEX_POINT('',#24473);
#7205=VERTEX_POINT('',#24475);
#7206=VERTEX_POINT('',#24479);
#7207=VERTEX_POINT('',#24480);
#7208=VERTEX_POINT('',#24482);
#7209=VERTEX_POINT('',#24484);
#7210=VERTEX_POINT('',#24488);
#7211=VERTEX_POINT('',#24490);
#7212=VERTEX_POINT('',#24504);
#7213=VERTEX_POINT('',#24506);
#7214=VERTEX_POINT('',#24510);
#7215=VERTEX_POINT('',#24512);
#7216=VERTEX_POINT('',#24516);
#7217=VERTEX_POINT('',#24524);
#7218=VERTEX_POINT('',#24525);
#7219=VERTEX_POINT('',#24527);
#7220=VERTEX_POINT('',#24529);
#7221=VERTEX_POINT('',#24533);
#7222=VERTEX_POINT('',#24542);
#7223=VERTEX_POINT('',#24546);
#7224=VERTEX_POINT('',#24548);
#7225=VERTEX_POINT('',#24550);
#7226=VERTEX_POINT('',#24552);
#7227=VERTEX_POINT('',#24554);
#7228=VERTEX_POINT('',#24556);
#7229=VERTEX_POINT('',#24558);
#7230=VERTEX_POINT('',#24560);
#7231=VERTEX_POINT('',#24562);
#7232=VERTEX_POINT('',#24564);
#7233=VERTEX_POINT('',#24566);
#7234=VERTEX_POINT('',#24568);
#7235=VERTEX_POINT('',#24570);
#7236=VERTEX_POINT('',#24572);
#7237=VERTEX_POINT('',#24574);
#7238=VERTEX_POINT('',#24576);
#7239=VERTEX_POINT('',#24578);
#7240=VERTEX_POINT('',#24580);
#7241=VERTEX_POINT('',#24582);
#7242=VERTEX_POINT('',#24584);
#7243=VERTEX_POINT('',#24586);
#7244=VERTEX_POINT('',#24588);
#7245=VERTEX_POINT('',#24590);
#7246=VERTEX_POINT('',#24592);
#7247=VERTEX_POINT('',#24594);
#7248=VERTEX_POINT('',#24596);
#7249=VERTEX_POINT('',#24598);
#7250=VERTEX_POINT('',#24600);
#7251=VERTEX_POINT('',#24602);
#7252=VERTEX_POINT('',#24604);
#7253=VERTEX_POINT('',#24606);
#7254=VERTEX_POINT('',#24608);
#7255=VERTEX_POINT('',#24610);
#7256=VERTEX_POINT('',#24612);
#7257=VERTEX_POINT('',#24614);
#7258=VERTEX_POINT('',#24616);
#7259=VERTEX_POINT('',#24618);
#7260=VERTEX_POINT('',#24620);
#7261=VERTEX_POINT('',#24622);
#7262=VERTEX_POINT('',#24624);
#7263=VERTEX_POINT('',#24626);
#7264=VERTEX_POINT('',#24628);
#7265=VERTEX_POINT('',#24630);
#7266=VERTEX_POINT('',#24632);
#7267=VERTEX_POINT('',#24634);
#7268=VERTEX_POINT('',#24636);
#7269=VERTEX_POINT('',#24638);
#7270=VERTEX_POINT('',#24640);
#7271=VERTEX_POINT('',#24642);
#7272=VERTEX_POINT('',#24644);
#7273=VERTEX_POINT('',#24646);
#7274=VERTEX_POINT('',#24648);
#7275=VERTEX_POINT('',#24650);
#7276=VERTEX_POINT('',#24652);
#7277=VERTEX_POINT('',#24654);
#7278=VERTEX_POINT('',#24656);
#7279=VERTEX_POINT('',#24658);
#7280=VERTEX_POINT('',#24660);
#7281=VERTEX_POINT('',#24662);
#7282=VERTEX_POINT('',#24664);
#7283=VERTEX_POINT('',#24666);
#7284=VERTEX_POINT('',#24668);
#7285=VERTEX_POINT('',#24670);
#7286=VERTEX_POINT('',#24672);
#7287=VERTEX_POINT('',#24674);
#7288=VERTEX_POINT('',#24676);
#7289=VERTEX_POINT('',#24678);
#7290=VERTEX_POINT('',#24680);
#7291=VERTEX_POINT('',#24682);
#7292=VERTEX_POINT('',#24684);
#7293=VERTEX_POINT('',#24686);
#7294=VERTEX_POINT('',#24688);
#7295=VERTEX_POINT('',#24691);
#7296=VERTEX_POINT('',#24693);
#7297=VERTEX_POINT('',#24695);
#7298=VERTEX_POINT('',#24698);
#7299=VERTEX_POINT('',#24699);
#7300=VERTEX_POINT('',#24701);
#7301=VERTEX_POINT('',#24703);
#7302=VERTEX_POINT('',#24706);
#7303=VERTEX_POINT('',#24707);
#7304=VERTEX_POINT('',#24709);
#7305=VERTEX_POINT('',#24711);
#7306=VERTEX_POINT('',#24714);
#7307=VERTEX_POINT('',#24715);
#7308=VERTEX_POINT('',#24717);
#7309=VERTEX_POINT('',#24719);
#7310=VERTEX_POINT('',#24722);
#7311=VERTEX_POINT('',#24723);
#7312=VERTEX_POINT('',#24725);
#7313=VERTEX_POINT('',#24727);
#7314=VERTEX_POINT('',#24730);
#7315=VERTEX_POINT('',#24731);
#7316=VERTEX_POINT('',#24733);
#7317=VERTEX_POINT('',#24735);
#7318=VERTEX_POINT('',#24738);
#7319=VERTEX_POINT('',#24739);
#7320=VERTEX_POINT('',#24741);
#7321=VERTEX_POINT('',#24743);
#7322=VERTEX_POINT('',#24746);
#7323=VERTEX_POINT('',#24747);
#7324=VERTEX_POINT('',#24749);
#7325=VERTEX_POINT('',#24751);
#7326=VERTEX_POINT('',#24754);
#7327=VERTEX_POINT('',#24755);
#7328=VERTEX_POINT('',#24757);
#7329=VERTEX_POINT('',#24759);
#7330=VERTEX_POINT('',#24762);
#7331=VERTEX_POINT('',#24763);
#7332=VERTEX_POINT('',#24765);
#7333=VERTEX_POINT('',#24767);
#7334=VERTEX_POINT('',#24773);
#7335=VERTEX_POINT('',#24775);
#7336=VERTEX_POINT('',#24779);
#7337=VERTEX_POINT('',#24781);
#7338=VERTEX_POINT('',#24785);
#7339=VERTEX_POINT('',#24787);
#7340=VERTEX_POINT('',#24789);
#7341=VERTEX_POINT('',#24793);
#7342=VERTEX_POINT('',#24795);
#7343=VERTEX_POINT('',#24798);
#7344=VERTEX_POINT('',#24802);
#7345=VERTEX_POINT('',#24804);
#7346=VERTEX_POINT('',#24806);
#7347=VERTEX_POINT('',#24810);
#7348=VERTEX_POINT('',#24811);
#7349=VERTEX_POINT('',#24813);
#7350=VERTEX_POINT('',#24815);
#7351=VERTEX_POINT('',#24820);
#7352=VERTEX_POINT('',#24834);
#7353=VERTEX_POINT('',#24838);
#7354=VERTEX_POINT('',#24840);
#7355=VERTEX_POINT('',#24849);
#7356=VERTEX_POINT('',#24851);
#7357=VERTEX_POINT('',#24863);
#7358=VERTEX_POINT('',#24865);
#7359=VERTEX_POINT('',#24869);
#7360=VERTEX_POINT('',#24871);
#7361=VERTEX_POINT('',#24875);
#7362=VERTEX_POINT('',#24877);
#7363=VERTEX_POINT('',#24879);
#7364=VERTEX_POINT('',#24883);
#7365=VERTEX_POINT('',#24885);
#7366=VERTEX_POINT('',#24888);
#7367=VERTEX_POINT('',#24892);
#7368=VERTEX_POINT('',#24894);
#7369=VERTEX_POINT('',#24896);
#7370=VERTEX_POINT('',#24900);
#7371=VERTEX_POINT('',#24901);
#7372=VERTEX_POINT('',#24903);
#7373=VERTEX_POINT('',#24905);
#7374=VERTEX_POINT('',#24910);
#7375=VERTEX_POINT('',#24924);
#7376=VERTEX_POINT('',#24928);
#7377=VERTEX_POINT('',#24930);
#7378=VERTEX_POINT('',#24939);
#7379=VERTEX_POINT('',#24941);
#7380=VERTEX_POINT('',#24953);
#7381=VERTEX_POINT('',#24955);
#7382=VERTEX_POINT('',#24959);
#7383=VERTEX_POINT('',#24961);
#7384=VERTEX_POINT('',#24965);
#7385=VERTEX_POINT('',#24967);
#7386=VERTEX_POINT('',#24969);
#7387=VERTEX_POINT('',#24973);
#7388=VERTEX_POINT('',#24975);
#7389=VERTEX_POINT('',#24978);
#7390=VERTEX_POINT('',#24982);
#7391=VERTEX_POINT('',#24984);
#7392=VERTEX_POINT('',#24986);
#7393=VERTEX_POINT('',#24990);
#7394=VERTEX_POINT('',#24991);
#7395=VERTEX_POINT('',#24993);
#7396=VERTEX_POINT('',#24995);
#7397=VERTEX_POINT('',#25000);
#7398=VERTEX_POINT('',#25014);
#7399=VERTEX_POINT('',#25018);
#7400=VERTEX_POINT('',#25020);
#7401=VERTEX_POINT('',#25029);
#7402=VERTEX_POINT('',#25031);
#7403=VERTEX_POINT('',#25043);
#7404=VERTEX_POINT('',#25045);
#7405=VERTEX_POINT('',#25049);
#7406=VERTEX_POINT('',#25051);
#7407=VERTEX_POINT('',#25055);
#7408=VERTEX_POINT('',#25057);
#7409=VERTEX_POINT('',#25059);
#7410=VERTEX_POINT('',#25063);
#7411=VERTEX_POINT('',#25065);
#7412=VERTEX_POINT('',#25068);
#7413=VERTEX_POINT('',#25072);
#7414=VERTEX_POINT('',#25074);
#7415=VERTEX_POINT('',#25076);
#7416=VERTEX_POINT('',#25080);
#7417=VERTEX_POINT('',#25081);
#7418=VERTEX_POINT('',#25083);
#7419=VERTEX_POINT('',#25085);
#7420=VERTEX_POINT('',#25090);
#7421=VERTEX_POINT('',#25104);
#7422=VERTEX_POINT('',#25108);
#7423=VERTEX_POINT('',#25110);
#7424=VERTEX_POINT('',#25119);
#7425=VERTEX_POINT('',#25121);
#7426=VERTEX_POINT('',#25133);
#7427=VERTEX_POINT('',#25135);
#7428=VERTEX_POINT('',#25139);
#7429=VERTEX_POINT('',#25141);
#7430=VERTEX_POINT('',#25145);
#7431=VERTEX_POINT('',#25147);
#7432=VERTEX_POINT('',#25149);
#7433=VERTEX_POINT('',#25153);
#7434=VERTEX_POINT('',#25155);
#7435=VERTEX_POINT('',#25158);
#7436=VERTEX_POINT('',#25162);
#7437=VERTEX_POINT('',#25164);
#7438=VERTEX_POINT('',#25166);
#7439=VERTEX_POINT('',#25170);
#7440=VERTEX_POINT('',#25171);
#7441=VERTEX_POINT('',#25173);
#7442=VERTEX_POINT('',#25175);
#7443=VERTEX_POINT('',#25180);
#7444=VERTEX_POINT('',#25194);
#7445=VERTEX_POINT('',#25198);
#7446=VERTEX_POINT('',#25200);
#7447=VERTEX_POINT('',#25209);
#7448=VERTEX_POINT('',#25211);
#7449=VERTEX_POINT('',#25223);
#7450=VERTEX_POINT('',#25225);
#7451=VERTEX_POINT('',#25229);
#7452=VERTEX_POINT('',#25231);
#7453=VERTEX_POINT('',#25235);
#7454=VERTEX_POINT('',#25237);
#7455=VERTEX_POINT('',#25239);
#7456=VERTEX_POINT('',#25243);
#7457=VERTEX_POINT('',#25245);
#7458=VERTEX_POINT('',#25248);
#7459=VERTEX_POINT('',#25252);
#7460=VERTEX_POINT('',#25254);
#7461=VERTEX_POINT('',#25256);
#7462=VERTEX_POINT('',#25260);
#7463=VERTEX_POINT('',#25261);
#7464=VERTEX_POINT('',#25263);
#7465=VERTEX_POINT('',#25265);
#7466=VERTEX_POINT('',#25270);
#7467=VERTEX_POINT('',#25284);
#7468=VERTEX_POINT('',#25288);
#7469=VERTEX_POINT('',#25290);
#7470=VERTEX_POINT('',#25299);
#7471=VERTEX_POINT('',#25301);
#7472=VERTEX_POINT('',#25313);
#7473=VERTEX_POINT('',#25315);
#7474=VERTEX_POINT('',#25319);
#7475=VERTEX_POINT('',#25321);
#7476=VERTEX_POINT('',#25325);
#7477=VERTEX_POINT('',#25327);
#7478=VERTEX_POINT('',#25329);
#7479=VERTEX_POINT('',#25333);
#7480=VERTEX_POINT('',#25335);
#7481=VERTEX_POINT('',#25338);
#7482=VERTEX_POINT('',#25342);
#7483=VERTEX_POINT('',#25344);
#7484=VERTEX_POINT('',#25346);
#7485=VERTEX_POINT('',#25350);
#7486=VERTEX_POINT('',#25351);
#7487=VERTEX_POINT('',#25353);
#7488=VERTEX_POINT('',#25355);
#7489=VERTEX_POINT('',#25360);
#7490=VERTEX_POINT('',#25374);
#7491=VERTEX_POINT('',#25378);
#7492=VERTEX_POINT('',#25380);
#7493=VERTEX_POINT('',#25389);
#7494=VERTEX_POINT('',#25391);
#7495=VERTEX_POINT('',#25403);
#7496=VERTEX_POINT('',#25405);
#7497=VERTEX_POINT('',#25409);
#7498=VERTEX_POINT('',#25411);
#7499=VERTEX_POINT('',#25415);
#7500=VERTEX_POINT('',#25417);
#7501=VERTEX_POINT('',#25419);
#7502=VERTEX_POINT('',#25423);
#7503=VERTEX_POINT('',#25425);
#7504=VERTEX_POINT('',#25428);
#7505=VERTEX_POINT('',#25432);
#7506=VERTEX_POINT('',#25434);
#7507=VERTEX_POINT('',#25436);
#7508=VERTEX_POINT('',#25440);
#7509=VERTEX_POINT('',#25441);
#7510=VERTEX_POINT('',#25443);
#7511=VERTEX_POINT('',#25445);
#7512=VERTEX_POINT('',#25450);
#7513=VERTEX_POINT('',#25464);
#7514=VERTEX_POINT('',#25468);
#7515=VERTEX_POINT('',#25470);
#7516=VERTEX_POINT('',#25479);
#7517=VERTEX_POINT('',#25481);
#7518=VERTEX_POINT('',#25493);
#7519=VERTEX_POINT('',#25495);
#7520=VERTEX_POINT('',#25499);
#7521=VERTEX_POINT('',#25501);
#7522=VERTEX_POINT('',#25505);
#7523=VERTEX_POINT('',#25507);
#7524=VERTEX_POINT('',#25510);
#7525=VERTEX_POINT('',#25514);
#7526=VERTEX_POINT('',#25516);
#7527=VERTEX_POINT('',#25518);
#7528=VERTEX_POINT('',#25522);
#7529=VERTEX_POINT('',#25525);
#7530=VERTEX_POINT('',#25527);
#7531=VERTEX_POINT('',#25531);
#7532=VERTEX_POINT('',#25532);
#7533=VERTEX_POINT('',#25534);
#7534=VERTEX_POINT('',#25536);
#7535=VERTEX_POINT('',#25545);
#7536=VERTEX_POINT('',#25549);
#7537=VERTEX_POINT('',#25553);
#7538=VERTEX_POINT('',#25561);
#7539=VERTEX_POINT('',#25570);
#7540=VERTEX_POINT('',#25574);
#7541=VERTEX_POINT('',#25589);
#7542=VERTEX_POINT('',#25593);
#7543=EDGE_CURVE('',#6197,#6198,#5727,.F.);
#7544=EDGE_CURVE('',#6197,#6199,#2575,.T.);
#7545=EDGE_CURVE('',#6199,#6200,#2576,.T.);
#7546=EDGE_CURVE('',#6200,#6198,#2577,.T.);
#7547=EDGE_CURVE('',#6201,#6199,#774,.T.);
#7548=EDGE_CURVE('',#6202,#6201,#2578,.T.);
#7549=EDGE_CURVE('',#6200,#6202,#775,.T.);
#7550=EDGE_CURVE('',#6203,#6204,#776,.T.);
#7551=EDGE_CURVE('',#6205,#6203,#2579,.T.);
#7552=EDGE_CURVE('',#6206,#6205,#777,.T.);
#7553=EDGE_CURVE('',#6204,#6206,#2580,.T.);
#7554=EDGE_CURVE('',#6207,#6208,#5728,.F.);
#7555=EDGE_CURVE('',#6207,#6205,#2581,.T.);
#7556=EDGE_CURVE('',#6203,#6208,#2582,.T.);
#7557=EDGE_CURVE('',#6209,#6210,#778,.T.);
#7558=EDGE_CURVE('',#6211,#6209,#2583,.T.);
#7559=EDGE_CURVE('',#6211,#6212,#2584,.T.);
#7560=EDGE_CURVE('',#6212,#6210,#2585,.T.);
#7561=EDGE_CURVE('',#6213,#6214,#779,.T.);
#7562=EDGE_CURVE('',#6213,#6212,#2586,.T.);
#7563=EDGE_CURVE('',#6214,#6211,#2587,.T.);
#7564=EDGE_CURVE('',#6213,#6210,#2588,.T.);
#7565=EDGE_CURVE('',#6214,#6215,#2589,.T.);
#7566=EDGE_CURVE('',#6215,#6216,#780,.T.);
#7567=EDGE_CURVE('',#6216,#6213,#2590,.T.);
#7568=EDGE_CURVE('',#6210,#6217,#2591,.T.);
#7569=EDGE_CURVE('',#6217,#6218,#781,.T.);
#7570=EDGE_CURVE('',#6218,#6209,#2592,.T.);
#7571=EDGE_CURVE('',#6219,#6220,#5729,.F.);
#7572=EDGE_CURVE('',#6219,#6221,#2593,.T.);
#7573=EDGE_CURVE('',#6217,#6221,#2594,.T.);
#7574=EDGE_CURVE('',#6217,#6220,#2595,.T.);
#7575=EDGE_CURVE('',#6222,#6221,#782,.T.);
#7576=EDGE_CURVE('',#6218,#6222,#2596,.T.);
#7577=EDGE_CURVE('',#6223,#6224,#5730,.F.);
#7578=EDGE_CURVE('',#6223,#6216,#2597,.T.);
#7579=EDGE_CURVE('',#6225,#6216,#2598,.T.);
#7580=EDGE_CURVE('',#6225,#6224,#2599,.T.);
#7581=EDGE_CURVE('',#6226,#6215,#2600,.T.);
#7582=EDGE_CURVE('',#6225,#6226,#783,.T.);
#7583=EDGE_CURVE('',#6226,#6227,#2601,.T.);
#7584=EDGE_CURVE('',#6227,#6228,#5731,.T.);
#7585=EDGE_CURVE('',#6228,#6225,#2602,.T.);
#7586=EDGE_CURVE('',#6229,#6230,#5732,.F.);
#7587=EDGE_CURVE('',#6231,#6229,#5733,.F.);
#7588=EDGE_CURVE('',#6230,#6231,#5734,.F.);
#7589=EDGE_CURVE('',#6232,#6233,#5735,.F.);
#7590=EDGE_CURVE('',#6234,#6232,#5736,.F.);
#7591=EDGE_CURVE('',#6233,#6234,#5737,.F.);
#7592=EDGE_CURVE('',#6235,#6236,#5738,.F.);
#7593=EDGE_CURVE('',#6237,#6235,#5739,.F.);
#7594=EDGE_CURVE('',#6236,#6237,#5740,.F.);
#7595=EDGE_CURVE('',#6238,#6239,#5741,.F.);
#7596=EDGE_CURVE('',#6240,#6238,#5742,.F.);
#7597=EDGE_CURVE('',#6239,#6240,#5743,.F.);
#7598=EDGE_CURVE('',#6241,#6242,#5744,.T.);
#7599=EDGE_CURVE('',#6243,#6242,#5745,.T.);
#7600=EDGE_CURVE('',#6244,#6243,#5746,.F.);
#7601=EDGE_CURVE('',#6241,#6244,#5747,.T.);
#7602=EDGE_CURVE('',#6233,#6243,#2603,.T.);
#7603=EDGE_CURVE('',#6242,#6232,#2604,.T.);
#7604=EDGE_CURVE('',#6243,#6229,#2605,.T.);
#7605=EDGE_CURVE('',#6230,#6244,#2606,.T.);
#7606=EDGE_CURVE('',#6245,#6246,#5748,.F.);
#7607=EDGE_CURVE('',#6247,#6245,#5749,.F.);
#7608=EDGE_CURVE('',#6246,#6247,#5750,.F.);
#7609=EDGE_CURVE('',#6248,#6249,#5751,.F.);
#7610=EDGE_CURVE('',#6250,#6248,#5752,.T.);
#7611=EDGE_CURVE('',#6250,#6251,#5753,.T.);
#7612=EDGE_CURVE('',#6249,#6251,#5754,.T.);
#7613=EDGE_CURVE('',#6234,#6250,#2607,.T.);
#7614=EDGE_CURVE('',#6248,#6233,#2608,.T.);
#7615=EDGE_CURVE('',#6252,#6253,#5755,.T.);
#7616=EDGE_CURVE('',#6254,#6252,#5756,.F.);
#7617=EDGE_CURVE('',#6255,#6254,#5757,.T.);
#7618=EDGE_CURVE('',#6255,#6253,#5758,.T.);
#7619=EDGE_CURVE('',#6237,#6254,#2609,.T.);
#7620=EDGE_CURVE('',#6252,#6236,#2610,.T.);
#7621=EDGE_CURVE('',#6254,#6238,#2611,.T.);
#7622=EDGE_CURVE('',#6239,#6255,#2612,.T.);
#7623=EDGE_CURVE('',#6256,#6257,#5759,.F.);
#7624=EDGE_CURVE('',#6258,#6256,#5760,.F.);
#7625=EDGE_CURVE('',#6257,#6258,#5761,.F.);
#7626=EDGE_CURVE('',#6259,#6260,#5762,.F.);
#7627=EDGE_CURVE('',#6261,#6259,#5763,.T.);
#7628=EDGE_CURVE('',#6261,#6262,#5764,.T.);
#7629=EDGE_CURVE('',#6260,#6262,#5765,.T.);
#7630=EDGE_CURVE('',#6263,#6264,#5766,.T.);
#7631=EDGE_CURVE('',#6265,#6263,#5767,.F.);
#7632=EDGE_CURVE('',#6266,#6265,#5768,.T.);
#7633=EDGE_CURVE('',#6266,#6264,#5769,.T.);
#7634=EDGE_CURVE('',#6247,#6265,#2613,.T.);
#7635=EDGE_CURVE('',#6263,#6246,#2614,.T.);
#7636=EDGE_CURVE('',#6267,#6268,#5770,.F.);
#7637=EDGE_CURVE('',#6269,#6267,#5771,.T.);
#7638=EDGE_CURVE('',#6269,#6270,#5772,.T.);
#7639=EDGE_CURVE('',#6268,#6270,#5773,.T.);
#7640=EDGE_CURVE('',#6251,#6269,#2615,.T.);
#7641=EDGE_CURVE('',#6267,#6249,#2616,.T.);
#7642=EDGE_CURVE('',#6271,#6272,#5774,.T.);
#7643=EDGE_CURVE('',#6273,#6272,#5775,.T.);
#7644=EDGE_CURVE('',#6274,#6273,#5776,.F.);
#7645=EDGE_CURVE('',#6271,#6274,#5777,.T.);
#7646=EDGE_CURVE('',#6275,#6276,#5778,.T.);
#7647=EDGE_CURVE('',#6277,#6276,#5779,.T.);
#7648=EDGE_CURVE('',#6278,#6277,#5780,.F.);
#7649=EDGE_CURVE('',#6275,#6278,#5781,.T.);
#7650=EDGE_CURVE('',#6276,#6261,#2617,.T.);
#7651=EDGE_CURVE('',#6259,#6277,#2618,.T.);
#7652=EDGE_CURVE('',#6256,#6278,#2619,.T.);
#7653=EDGE_CURVE('',#6277,#6258,#2620,.T.);
#7654=EDGE_CURVE('',#6279,#6280,#5782,.F.);
#7655=EDGE_CURVE('',#6281,#6279,#5783,.F.);
#7656=EDGE_CURVE('',#6280,#6281,#5784,.F.);
#7657=EDGE_CURVE('',#6270,#6281,#2621,.T.);
#7658=EDGE_CURVE('',#6279,#6268,#2622,.T.);
#7659=EDGE_CURVE('',#6282,#6283,#5785,.F.);
#7660=EDGE_CURVE('',#6284,#6282,#5786,.F.);
#7661=EDGE_CURVE('',#6283,#6284,#5787,.F.);
#7662=EDGE_CURVE('',#6282,#6273,#2623,.T.);
#7663=EDGE_CURVE('',#6272,#6284,#2624,.T.);
#7664=EDGE_CURVE('',#6285,#6286,#5788,.F.);
#7665=EDGE_CURVE('',#6287,#6285,#5789,.F.);
#7666=EDGE_CURVE('',#6286,#6287,#5790,.F.);
#7667=EDGE_CURVE('',#6288,#6289,#5791,.F.);
#7668=EDGE_CURVE('',#6290,#6288,#5792,.F.);
#7669=EDGE_CURVE('',#6289,#6290,#5793,.F.);
#7670=EDGE_CURVE('',#6281,#6290,#2625,.T.);
#7671=EDGE_CURVE('',#6288,#6280,#2626,.T.);
#7672=EDGE_CURVE('',#6291,#6292,#5794,.F.);
#7673=EDGE_CURVE('',#6293,#6291,#5795,.T.);
#7674=EDGE_CURVE('',#6293,#6294,#5796,.T.);
#7675=EDGE_CURVE('',#6292,#6294,#5797,.T.);
#7676=EDGE_CURVE('',#6295,#6296,#5798,.T.);
#7677=EDGE_CURVE('',#6296,#6293,#2627,.T.);
#7678=EDGE_CURVE('',#6291,#6295,#2628,.T.);
#7679=EDGE_CURVE('',#6295,#6297,#5799,.T.);
#7680=EDGE_CURVE('',#6297,#6296,#5800,.T.);
#7681=EDGE_CURVE('',#6294,#6283,#2629,.T.);
#7682=EDGE_CURVE('',#6284,#6292,#2630,.T.);
#7683=EDGE_CURVE('',#6298,#6299,#5801,.T.);
#7684=EDGE_CURVE('',#6300,#6299,#5802,.T.);
#7685=EDGE_CURVE('',#6301,#6300,#5803,.F.);
#7686=EDGE_CURVE('',#6298,#6301,#5804,.T.);
#7687=EDGE_CURVE('',#6289,#6300,#2631,.T.);
#7688=EDGE_CURVE('',#6299,#6288,#2632,.T.);
#7689=EDGE_CURVE('',#6300,#6285,#2633,.T.);
#7690=EDGE_CURVE('',#6286,#6301,#2634,.T.);
#7691=EDGE_CURVE('',#6302,#6303,#5805,.F.);
#7692=EDGE_CURVE('',#6304,#6302,#5806,.F.);
#7693=EDGE_CURVE('',#6303,#6304,#5807,.F.);
#7694=EDGE_CURVE('',#6305,#6306,#5808,.T.);
#7695=EDGE_CURVE('',#6307,#6306,#5809,.T.);
#7696=EDGE_CURVE('',#6308,#6307,#5810,.F.);
#7697=EDGE_CURVE('',#6305,#6308,#5811,.T.);
#7698=EDGE_CURVE('',#6309,#6310,#5812,.F.);
#7699=EDGE_CURVE('',#6311,#6309,#5813,.F.);
#7700=EDGE_CURVE('',#6310,#6311,#5814,.F.);
#7701=EDGE_CURVE('',#6309,#6291,#2635,.T.);
#7702=EDGE_CURVE('',#6292,#6311,#2636,.T.);
#7703=EDGE_CURVE('',#6312,#6313,#5815,.T.);
#7704=EDGE_CURVE('',#6314,#6312,#5816,.F.);
#7705=EDGE_CURVE('',#6315,#6314,#5817,.T.);
#7706=EDGE_CURVE('',#6315,#6313,#5818,.T.);
#7707=EDGE_CURVE('',#6304,#6314,#2637,.T.);
#7708=EDGE_CURVE('',#6312,#6303,#2638,.T.);
#7709=EDGE_CURVE('',#6316,#6317,#5819,.F.);
#7710=EDGE_CURVE('',#6318,#6316,#5820,.T.);
#7711=EDGE_CURVE('',#6318,#6319,#5821,.T.);
#7712=EDGE_CURVE('',#6317,#6319,#5822,.T.);
#7713=EDGE_CURVE('',#6306,#6318,#2639,.T.);
#7714=EDGE_CURVE('',#6316,#6307,#2640,.T.);
#7715=EDGE_CURVE('',#6320,#6321,#5823,.F.);
#7716=EDGE_CURVE('',#6322,#6320,#5824,.F.);
#7717=EDGE_CURVE('',#6321,#6322,#5825,.F.);
#7718=EDGE_CURVE('',#6319,#6322,#2641,.T.);
#7719=EDGE_CURVE('',#6320,#6317,#2642,.T.);
#7720=EDGE_CURVE('',#6323,#6324,#5826,.F.);
#7721=EDGE_CURVE('',#6325,#6323,#5827,.T.);
#7722=EDGE_CURVE('',#6325,#6326,#5828,.T.);
#7723=EDGE_CURVE('',#6324,#6326,#5829,.T.);
#7724=EDGE_CURVE('',#6322,#6325,#2643,.T.);
#7725=EDGE_CURVE('',#6323,#6321,#2644,.T.);
#7726=EDGE_CURVE('',#6327,#6328,#784,.T.);
#7727=EDGE_CURVE('',#6328,#6324,#2645,.T.);
#7728=EDGE_CURVE('',#6326,#6327,#2646,.T.);
#7729=EDGE_CURVE('',#6329,#6323,#2647,.T.);
#7730=EDGE_CURVE('',#6324,#6330,#2648,.T.);
#7731=EDGE_CURVE('',#6330,#6329,#785,.T.);
#7732=EDGE_CURVE('',#6314,#6320,#2649,.T.);
#7733=EDGE_CURVE('',#6321,#6315,#2650,.T.);
#7734=EDGE_CURVE('',#6330,#6310,#2651,.T.);
#7735=EDGE_CURVE('',#6311,#6329,#2652,.T.);
#7736=EDGE_CURVE('',#6302,#6316,#2653,.T.);
#7737=EDGE_CURVE('',#6317,#6304,#2654,.T.);
#7738=EDGE_CURVE('',#6301,#6312,#2655,.T.);
#7739=EDGE_CURVE('',#6313,#6298,#2656,.T.);
#7740=EDGE_CURVE('',#6331,#6332,#5830,.T.);
#7741=EDGE_CURVE('',#6332,#6309,#2657,.T.);
#7742=EDGE_CURVE('',#6310,#6331,#2658,.T.);
#7743=EDGE_CURVE('',#6333,#6334,#381,.T.);
#7744=EDGE_CURVE('',#6334,#6332,#5831,.T.);
#7745=EDGE_CURVE('',#6331,#6333,#5832,.T.);
#7746=EDGE_CURVE('',#6285,#6308,#2659,.T.);
#7747=EDGE_CURVE('',#6307,#6287,#2660,.T.);
#7748=EDGE_CURVE('',#6290,#6305,#2661,.T.);
#7749=EDGE_CURVE('',#6308,#6289,#2662,.T.);
#7750=EDGE_CURVE('',#6287,#6302,#2663,.T.);
#7751=EDGE_CURVE('',#6303,#6286,#2664,.T.);
#7752=EDGE_CURVE('',#6283,#6275,#2665,.T.);
#7753=EDGE_CURVE('',#6278,#6282,#2666,.T.);
#7754=EDGE_CURVE('',#6265,#6279,#2667,.T.);
#7755=EDGE_CURVE('',#6280,#6266,#2668,.T.);
#7756=EDGE_CURVE('',#6273,#6256,#2669,.T.);
#7757=EDGE_CURVE('',#6257,#6274,#2670,.T.);
#7758=EDGE_CURVE('',#6274,#6252,#2671,.T.);
#7759=EDGE_CURVE('',#6253,#6271,#2672,.T.);
#7760=EDGE_CURVE('',#6245,#6267,#2673,.T.);
#7761=EDGE_CURVE('',#6268,#6247,#2674,.T.);
#7762=EDGE_CURVE('',#6244,#6263,#2675,.T.);
#7763=EDGE_CURVE('',#6264,#6241,#2676,.T.);
#7764=EDGE_CURVE('',#6262,#6240,#2677,.T.);
#7765=EDGE_CURVE('',#6238,#6260,#2678,.T.);
#7766=EDGE_CURVE('',#6235,#6259,#2679,.T.);
#7767=EDGE_CURVE('',#6260,#6237,#2680,.T.);
#7768=EDGE_CURVE('',#6258,#6235,#2681,.T.);
#7769=EDGE_CURVE('',#6236,#6257,#2682,.T.);
#7770=EDGE_CURVE('',#6229,#6248,#2683,.T.);
#7771=EDGE_CURVE('',#6249,#6231,#2684,.T.);
#7772=EDGE_CURVE('',#6231,#6245,#2685,.T.);
#7773=EDGE_CURVE('',#6246,#6230,#2686,.T.);
#7774=EDGE_CURVE('',#6240,#6234,#2687,.T.);
#7775=EDGE_CURVE('',#6232,#6239,#2688,.T.);
#7776=EDGE_CURVE('',#6335,#6222,#2689,.T.);
#7777=EDGE_CURVE('',#6336,#6335,#2690,.T.);
#7778=EDGE_CURVE('',#6337,#6336,#2691,.T.);
#7779=EDGE_CURVE('',#6338,#6337,#786,.T.);
#7780=EDGE_CURVE('',#6339,#6338,#2692,.T.);
#7781=EDGE_CURVE('',#6340,#6339,#5833,.T.);
#7782=EDGE_CURVE('',#6341,#6340,#2693,.T.);
#7783=EDGE_CURVE('',#6327,#6341,#2694,.T.);
#7784=EDGE_CURVE('',#6342,#6296,#2695,.T.);
#7785=EDGE_CURVE('',#6343,#6342,#2696,.T.);
#7786=EDGE_CURVE('',#6344,#6343,#5834,.T.);
#7787=EDGE_CURVE('',#6227,#6344,#2697,.T.);
#7788=EDGE_CURVE('',#6297,#6345,#2698,.T.);
#7789=EDGE_CURVE('',#6345,#6342,#5835,.T.);
#7790=EDGE_CURVE('',#6295,#6332,#2699,.T.);
#7791=EDGE_CURVE('',#6334,#6297,#2700,.T.);
#7792=EDGE_CURVE('',#6346,#6347,#2701,.T.);
#7793=EDGE_CURVE('',#6347,#6348,#787,.T.);
#7794=EDGE_CURVE('',#6348,#6349,#2702,.T.);
#7795=EDGE_CURVE('',#6349,#6346,#788,.T.);
#7796=EDGE_CURVE('',#6350,#6351,#2703,.T.);
#7797=EDGE_CURVE('',#6351,#6352,#789,.T.);
#7798=EDGE_CURVE('',#6352,#6353,#2704,.T.);
#7799=EDGE_CURVE('',#6350,#6353,#790,.T.);
#7800=EDGE_CURVE('',#6347,#6354,#2705,.T.);
#7801=EDGE_CURVE('',#6354,#6355,#791,.T.);
#7802=EDGE_CURVE('',#6355,#6348,#2706,.T.);
#7803=EDGE_CURVE('',#6356,#6357,#2707,.T.);
#7804=EDGE_CURVE('',#6357,#6358,#792,.T.);
#7805=EDGE_CURVE('',#6358,#6359,#2708,.T.);
#7806=EDGE_CURVE('',#6359,#6356,#793,.T.);
#7807=EDGE_CURVE('',#6360,#6361,#2709,.T.);
#7808=EDGE_CURVE('',#6361,#6362,#794,.T.);
#7809=EDGE_CURVE('',#6362,#6363,#2710,.T.);
#7810=EDGE_CURVE('',#6363,#6364,#795,.T.);
#7811=EDGE_CURVE('',#6364,#6360,#796,.T.);
#7812=EDGE_CURVE('',#6365,#6366,#2711,.T.);
#7813=EDGE_CURVE('',#6366,#6367,#797,.T.);
#7814=EDGE_CURVE('',#6367,#6358,#2712,.T.);
#7815=EDGE_CURVE('',#6357,#6365,#798,.T.);
#7816=EDGE_CURVE('',#6361,#6368,#2713,.T.);
#7817=EDGE_CURVE('',#6368,#6369,#799,.T.);
#7818=EDGE_CURVE('',#6369,#6362,#2714,.T.);
#7819=EDGE_CURVE('',#6366,#6370,#2715,.T.);
#7820=EDGE_CURVE('',#6370,#6371,#800,.T.);
#7821=EDGE_CURVE('',#6371,#6367,#2716,.T.);
#7822=EDGE_CURVE('',#6370,#6372,#2717,.T.);
#7823=EDGE_CURVE('',#6372,#6373,#801,.T.);
#7824=EDGE_CURVE('',#6373,#6374,#802,.T.);
#7825=EDGE_CURVE('',#6374,#6371,#2718,.T.);
#7826=EDGE_CURVE('',#6373,#6375,#2719,.T.);
#7827=EDGE_CURVE('',#6375,#6376,#803,.T.);
#7828=EDGE_CURVE('',#6376,#6374,#2720,.T.);
#7829=EDGE_CURVE('',#6377,#6378,#2721,.T.);
#7830=EDGE_CURVE('',#6378,#6379,#804,.T.);
#7831=EDGE_CURVE('',#6379,#6380,#2722,.T.);
#7832=EDGE_CURVE('',#6380,#6381,#805,.T.);
#7833=EDGE_CURVE('',#6381,#6377,#806,.T.);
#7834=EDGE_CURVE('',#6382,#6383,#2723,.T.);
#7835=EDGE_CURVE('',#6383,#6384,#807,.T.);
#7836=EDGE_CURVE('',#6384,#6376,#2724,.T.);
#7837=EDGE_CURVE('',#6375,#6382,#808,.T.);
#7838=EDGE_CURVE('',#6378,#6385,#2725,.T.);
#7839=EDGE_CURVE('',#6385,#6386,#809,.T.);
#7840=EDGE_CURVE('',#6386,#6379,#2726,.T.);
#7841=EDGE_CURVE('',#6383,#6387,#2727,.T.);
#7842=EDGE_CURVE('',#6387,#6388,#810,.T.);
#7843=EDGE_CURVE('',#6388,#6384,#2728,.T.);
#7844=EDGE_CURVE('',#6387,#6389,#2729,.T.);
#7845=EDGE_CURVE('',#6389,#6390,#811,.T.);
#7846=EDGE_CURVE('',#6390,#6391,#812,.T.);
#7847=EDGE_CURVE('',#6391,#6388,#2730,.T.);
#7848=EDGE_CURVE('',#6390,#6392,#2731,.T.);
#7849=EDGE_CURVE('',#6392,#6393,#813,.T.);
#7850=EDGE_CURVE('',#6393,#6391,#2732,.T.);
#7851=EDGE_CURVE('',#6394,#6395,#2733,.T.);
#7852=EDGE_CURVE('',#6395,#6396,#814,.T.);
#7853=EDGE_CURVE('',#6396,#6397,#2734,.T.);
#7854=EDGE_CURVE('',#6397,#6398,#815,.T.);
#7855=EDGE_CURVE('',#6398,#6394,#816,.T.);
#7856=EDGE_CURVE('',#6399,#6400,#2735,.T.);
#7857=EDGE_CURVE('',#6400,#6401,#817,.T.);
#7858=EDGE_CURVE('',#6401,#6393,#2736,.T.);
#7859=EDGE_CURVE('',#6392,#6399,#818,.T.);
#7860=EDGE_CURVE('',#6395,#6402,#2737,.T.);
#7861=EDGE_CURVE('',#6402,#6403,#819,.T.);
#7862=EDGE_CURVE('',#6403,#6396,#2738,.T.);
#7863=EDGE_CURVE('',#6400,#6404,#2739,.T.);
#7864=EDGE_CURVE('',#6404,#6405,#820,.T.);
#7865=EDGE_CURVE('',#6405,#6401,#2740,.T.);
#7866=EDGE_CURVE('',#6404,#6406,#2741,.T.);
#7867=EDGE_CURVE('',#6406,#6407,#821,.T.);
#7868=EDGE_CURVE('',#6407,#6408,#822,.T.);
#7869=EDGE_CURVE('',#6408,#6405,#2742,.T.);
#7870=EDGE_CURVE('',#6407,#6409,#2743,.T.);
#7871=EDGE_CURVE('',#6409,#6410,#823,.T.);
#7872=EDGE_CURVE('',#6410,#6408,#2744,.T.);
#7873=EDGE_CURVE('',#6411,#6412,#2745,.T.);
#7874=EDGE_CURVE('',#6412,#6413,#824,.T.);
#7875=EDGE_CURVE('',#6413,#6414,#2746,.T.);
#7876=EDGE_CURVE('',#6414,#6415,#825,.T.);
#7877=EDGE_CURVE('',#6415,#6411,#826,.T.);
#7878=EDGE_CURVE('',#6416,#6417,#2747,.T.);
#7879=EDGE_CURVE('',#6417,#6418,#827,.T.);
#7880=EDGE_CURVE('',#6418,#6410,#2748,.T.);
#7881=EDGE_CURVE('',#6409,#6416,#828,.T.);
#7882=EDGE_CURVE('',#6412,#6419,#2749,.T.);
#7883=EDGE_CURVE('',#6419,#6420,#829,.T.);
#7884=EDGE_CURVE('',#6420,#6413,#2750,.T.);
#7885=EDGE_CURVE('',#6417,#6421,#2751,.T.);
#7886=EDGE_CURVE('',#6421,#6422,#830,.T.);
#7887=EDGE_CURVE('',#6422,#6418,#2752,.T.);
#7888=EDGE_CURVE('',#6421,#6423,#2753,.T.);
#7889=EDGE_CURVE('',#6423,#6424,#831,.T.);
#7890=EDGE_CURVE('',#6424,#6425,#832,.T.);
#7891=EDGE_CURVE('',#6425,#6422,#2754,.T.);
#7892=EDGE_CURVE('',#6424,#6426,#2755,.T.);
#7893=EDGE_CURVE('',#6426,#6427,#833,.T.);
#7894=EDGE_CURVE('',#6427,#6425,#2756,.T.);
#7895=EDGE_CURVE('',#6428,#6429,#2757,.T.);
#7896=EDGE_CURVE('',#6429,#6430,#834,.T.);
#7897=EDGE_CURVE('',#6430,#6431,#2758,.T.);
#7898=EDGE_CURVE('',#6431,#6432,#835,.T.);
#7899=EDGE_CURVE('',#6432,#6428,#836,.T.);
#7900=EDGE_CURVE('',#6433,#6434,#2759,.T.);
#7901=EDGE_CURVE('',#6434,#6435,#837,.T.);
#7902=EDGE_CURVE('',#6435,#6427,#2760,.T.);
#7903=EDGE_CURVE('',#6426,#6433,#838,.T.);
#7904=EDGE_CURVE('',#6429,#6436,#2761,.T.);
#7905=EDGE_CURVE('',#6436,#6437,#839,.T.);
#7906=EDGE_CURVE('',#6437,#6430,#2762,.T.);
#7907=EDGE_CURVE('',#6434,#6438,#2763,.T.);
#7908=EDGE_CURVE('',#6438,#6439,#840,.T.);
#7909=EDGE_CURVE('',#6439,#6435,#2764,.T.);
#7910=EDGE_CURVE('',#6438,#6440,#2765,.T.);
#7911=EDGE_CURVE('',#6440,#6441,#841,.T.);
#7912=EDGE_CURVE('',#6441,#6442,#842,.T.);
#7913=EDGE_CURVE('',#6442,#6439,#2766,.T.);
#7914=EDGE_CURVE('',#6441,#6443,#2767,.T.);
#7915=EDGE_CURVE('',#6443,#6444,#843,.T.);
#7916=EDGE_CURVE('',#6444,#6442,#2768,.T.);
#7917=EDGE_CURVE('',#6445,#6446,#2769,.T.);
#7918=EDGE_CURVE('',#6446,#6447,#844,.T.);
#7919=EDGE_CURVE('',#6447,#6448,#2770,.T.);
#7920=EDGE_CURVE('',#6448,#6449,#845,.T.);
#7921=EDGE_CURVE('',#6449,#6445,#846,.T.);
#7922=EDGE_CURVE('',#6450,#6451,#2771,.T.);
#7923=EDGE_CURVE('',#6451,#6452,#847,.T.);
#7924=EDGE_CURVE('',#6452,#6444,#2772,.T.);
#7925=EDGE_CURVE('',#6443,#6450,#848,.T.);
#7926=EDGE_CURVE('',#6446,#6453,#2773,.T.);
#7927=EDGE_CURVE('',#6453,#6454,#849,.T.);
#7928=EDGE_CURVE('',#6454,#6447,#2774,.T.);
#7929=EDGE_CURVE('',#6451,#6455,#2775,.T.);
#7930=EDGE_CURVE('',#6455,#6456,#850,.T.);
#7931=EDGE_CURVE('',#6456,#6452,#2776,.T.);
#7932=EDGE_CURVE('',#6455,#6457,#2777,.T.);
#7933=EDGE_CURVE('',#6457,#6458,#851,.T.);
#7934=EDGE_CURVE('',#6458,#6459,#852,.T.);
#7935=EDGE_CURVE('',#6459,#6456,#2778,.T.);
#7936=EDGE_CURVE('',#6458,#6460,#2779,.T.);
#7937=EDGE_CURVE('',#6460,#6461,#853,.T.);
#7938=EDGE_CURVE('',#6461,#6459,#2780,.T.);
#7939=EDGE_CURVE('',#6462,#6463,#2781,.T.);
#7940=EDGE_CURVE('',#6463,#6464,#854,.T.);
#7941=EDGE_CURVE('',#6464,#6465,#2782,.T.);
#7942=EDGE_CURVE('',#6465,#6466,#855,.T.);
#7943=EDGE_CURVE('',#6466,#6462,#856,.T.);
#7944=EDGE_CURVE('',#6467,#6468,#2783,.T.);
#7945=EDGE_CURVE('',#6468,#6469,#857,.T.);
#7946=EDGE_CURVE('',#6469,#6461,#2784,.T.);
#7947=EDGE_CURVE('',#6460,#6467,#858,.T.);
#7948=EDGE_CURVE('',#6463,#6470,#2785,.T.);
#7949=EDGE_CURVE('',#6470,#6471,#859,.T.);
#7950=EDGE_CURVE('',#6471,#6464,#2786,.T.);
#7951=EDGE_CURVE('',#6468,#6472,#2787,.T.);
#7952=EDGE_CURVE('',#6472,#6473,#860,.T.);
#7953=EDGE_CURVE('',#6473,#6469,#2788,.T.);
#7954=EDGE_CURVE('',#6472,#6474,#2789,.T.);
#7955=EDGE_CURVE('',#6474,#6475,#861,.T.);
#7956=EDGE_CURVE('',#6475,#6476,#862,.T.);
#7957=EDGE_CURVE('',#6476,#6473,#2790,.T.);
#7958=EDGE_CURVE('',#6475,#6477,#2791,.T.);
#7959=EDGE_CURVE('',#6477,#6478,#863,.T.);
#7960=EDGE_CURVE('',#6478,#6476,#2792,.T.);
#7961=EDGE_CURVE('',#6479,#6480,#2793,.T.);
#7962=EDGE_CURVE('',#6480,#6481,#864,.T.);
#7963=EDGE_CURVE('',#6481,#6482,#2794,.T.);
#7964=EDGE_CURVE('',#6482,#6483,#865,.T.);
#7965=EDGE_CURVE('',#6483,#6479,#866,.T.);
#7966=EDGE_CURVE('',#6484,#6485,#2795,.T.);
#7967=EDGE_CURVE('',#6485,#6486,#867,.T.);
#7968=EDGE_CURVE('',#6486,#6478,#2796,.T.);
#7969=EDGE_CURVE('',#6477,#6484,#868,.T.);
#7970=EDGE_CURVE('',#6480,#6487,#2797,.T.);
#7971=EDGE_CURVE('',#6487,#6488,#869,.T.);
#7972=EDGE_CURVE('',#6488,#6481,#2798,.T.);
#7973=EDGE_CURVE('',#6485,#6489,#2799,.T.);
#7974=EDGE_CURVE('',#6489,#6490,#870,.T.);
#7975=EDGE_CURVE('',#6490,#6486,#2800,.T.);
#7976=EDGE_CURVE('',#6489,#6491,#2801,.T.);
#7977=EDGE_CURVE('',#6491,#6492,#871,.T.);
#7978=EDGE_CURVE('',#6492,#6493,#872,.T.);
#7979=EDGE_CURVE('',#6493,#6490,#2802,.T.);
#7980=EDGE_CURVE('',#6492,#6494,#2803,.T.);
#7981=EDGE_CURVE('',#6494,#6495,#873,.T.);
#7982=EDGE_CURVE('',#6495,#6493,#2804,.T.);
#7983=EDGE_CURVE('',#6496,#6497,#2805,.T.);
#7984=EDGE_CURVE('',#6497,#6498,#874,.T.);
#7985=EDGE_CURVE('',#6498,#6499,#2806,.T.);
#7986=EDGE_CURVE('',#6499,#6500,#875,.T.);
#7987=EDGE_CURVE('',#6500,#6496,#876,.T.);
#7988=EDGE_CURVE('',#6501,#6502,#2807,.T.);
#7989=EDGE_CURVE('',#6502,#6503,#877,.T.);
#7990=EDGE_CURVE('',#6503,#6495,#2808,.T.);
#7991=EDGE_CURVE('',#6494,#6501,#878,.T.);
#7992=EDGE_CURVE('',#6497,#6504,#2809,.T.);
#7993=EDGE_CURVE('',#6504,#6505,#879,.T.);
#7994=EDGE_CURVE('',#6505,#6498,#2810,.T.);
#7995=EDGE_CURVE('',#6502,#6506,#2811,.T.);
#7996=EDGE_CURVE('',#6506,#6507,#880,.T.);
#7997=EDGE_CURVE('',#6507,#6503,#2812,.T.);
#7998=EDGE_CURVE('',#6506,#6508,#2813,.T.);
#7999=EDGE_CURVE('',#6508,#6509,#881,.T.);
#8000=EDGE_CURVE('',#6509,#6510,#882,.T.);
#8001=EDGE_CURVE('',#6510,#6507,#2814,.T.);
#8002=EDGE_CURVE('',#6509,#6511,#2815,.T.);
#8003=EDGE_CURVE('',#6511,#6512,#883,.T.);
#8004=EDGE_CURVE('',#6512,#6510,#2816,.T.);
#8005=EDGE_CURVE('',#6513,#6514,#2817,.T.);
#8006=EDGE_CURVE('',#6514,#6515,#884,.T.);
#8007=EDGE_CURVE('',#6515,#6516,#2818,.T.);
#8008=EDGE_CURVE('',#6516,#6517,#885,.T.);
#8009=EDGE_CURVE('',#6517,#6513,#886,.T.);
#8010=EDGE_CURVE('',#6514,#6518,#2819,.T.);
#8011=EDGE_CURVE('',#6518,#6519,#887,.T.);
#8012=EDGE_CURVE('',#6519,#6515,#2820,.T.);
#8013=EDGE_CURVE('',#6520,#6521,#2821,.T.);
#8014=EDGE_CURVE('',#6521,#6522,#888,.T.);
#8015=EDGE_CURVE('',#6522,#6523,#2822,.T.);
#8016=EDGE_CURVE('',#6523,#6520,#889,.T.);
#8017=EDGE_CURVE('',#6518,#6522,#2823,.T.);
#8018=EDGE_CURVE('',#6521,#6524,#890,.T.);
#8019=EDGE_CURVE('',#6524,#6519,#2824,.T.);
#8020=EDGE_CURVE('',#6511,#6520,#2825,.T.);
#8021=EDGE_CURVE('',#6523,#6512,#2826,.T.);
#8022=EDGE_CURVE('',#6525,#6513,#2827,.T.);
#8023=EDGE_CURVE('',#6517,#6526,#2828,.T.);
#8024=EDGE_CURVE('',#6526,#6525,#891,.T.);
#8025=EDGE_CURVE('',#6504,#6525,#2829,.T.);
#8026=EDGE_CURVE('',#6526,#6527,#892,.T.);
#8027=EDGE_CURVE('',#6527,#6505,#2830,.T.);
#8028=EDGE_CURVE('',#6528,#6496,#2831,.T.);
#8029=EDGE_CURVE('',#6500,#6529,#2832,.T.);
#8030=EDGE_CURVE('',#6529,#6528,#893,.T.);
#8031=EDGE_CURVE('',#6487,#6528,#2833,.T.);
#8032=EDGE_CURVE('',#6529,#6530,#894,.T.);
#8033=EDGE_CURVE('',#6530,#6488,#2834,.T.);
#8034=EDGE_CURVE('',#6531,#6479,#2835,.T.);
#8035=EDGE_CURVE('',#6483,#6532,#2836,.T.);
#8036=EDGE_CURVE('',#6532,#6531,#895,.T.);
#8037=EDGE_CURVE('',#6470,#6531,#2837,.T.);
#8038=EDGE_CURVE('',#6532,#6533,#896,.T.);
#8039=EDGE_CURVE('',#6533,#6471,#2838,.T.);
#8040=EDGE_CURVE('',#6534,#6462,#2839,.T.);
#8041=EDGE_CURVE('',#6466,#6535,#2840,.T.);
#8042=EDGE_CURVE('',#6535,#6534,#897,.T.);
#8043=EDGE_CURVE('',#6453,#6534,#2841,.T.);
#8044=EDGE_CURVE('',#6535,#6536,#898,.T.);
#8045=EDGE_CURVE('',#6536,#6454,#2842,.T.);
#8046=EDGE_CURVE('',#6537,#6445,#2843,.T.);
#8047=EDGE_CURVE('',#6449,#6538,#2844,.T.);
#8048=EDGE_CURVE('',#6538,#6537,#899,.T.);
#8049=EDGE_CURVE('',#6436,#6537,#2845,.T.);
#8050=EDGE_CURVE('',#6538,#6539,#900,.T.);
#8051=EDGE_CURVE('',#6539,#6437,#2846,.T.);
#8052=EDGE_CURVE('',#6540,#6428,#2847,.T.);
#8053=EDGE_CURVE('',#6432,#6541,#2848,.T.);
#8054=EDGE_CURVE('',#6541,#6540,#901,.T.);
#8055=EDGE_CURVE('',#6419,#6540,#2849,.T.);
#8056=EDGE_CURVE('',#6541,#6542,#902,.T.);
#8057=EDGE_CURVE('',#6542,#6420,#2850,.T.);
#8058=EDGE_CURVE('',#6543,#6411,#2851,.T.);
#8059=EDGE_CURVE('',#6415,#6544,#2852,.T.);
#8060=EDGE_CURVE('',#6544,#6543,#903,.T.);
#8061=EDGE_CURVE('',#6402,#6543,#2853,.T.);
#8062=EDGE_CURVE('',#6544,#6545,#904,.T.);
#8063=EDGE_CURVE('',#6545,#6403,#2854,.T.);
#8064=EDGE_CURVE('',#6546,#6394,#2855,.T.);
#8065=EDGE_CURVE('',#6398,#6547,#2856,.T.);
#8066=EDGE_CURVE('',#6547,#6546,#905,.T.);
#8067=EDGE_CURVE('',#6385,#6546,#2857,.T.);
#8068=EDGE_CURVE('',#6547,#6548,#906,.T.);
#8069=EDGE_CURVE('',#6548,#6386,#2858,.T.);
#8070=EDGE_CURVE('',#6549,#6377,#2859,.T.);
#8071=EDGE_CURVE('',#6381,#6550,#2860,.T.);
#8072=EDGE_CURVE('',#6550,#6549,#907,.T.);
#8073=EDGE_CURVE('',#6368,#6549,#2861,.T.);
#8074=EDGE_CURVE('',#6550,#6551,#908,.T.);
#8075=EDGE_CURVE('',#6551,#6369,#2862,.T.);
#8076=EDGE_CURVE('',#6354,#6360,#2863,.T.);
#8077=EDGE_CURVE('',#6364,#6355,#2864,.T.);
#8078=EDGE_CURVE('',#6351,#6552,#2865,.T.);
#8079=EDGE_CURVE('',#6552,#6356,#909,.T.);
#8080=EDGE_CURVE('',#6359,#6352,#2866,.T.);
#8081=EDGE_CURVE('',#6353,#6346,#2867,.T.);
#8082=EDGE_CURVE('',#6349,#6553,#910,.T.);
#8083=EDGE_CURVE('',#6553,#6350,#2868,.T.);
#8084=EDGE_CURVE('',#6355,#6554,#2869,.T.);
#8085=EDGE_CURVE('',#6554,#6555,#2870,.T.);
#8086=EDGE_CURVE('',#6348,#6555,#2871,.T.);
#8087=EDGE_CURVE('',#6363,#6556,#2872,.T.);
#8088=EDGE_CURVE('',#6556,#6554,#2873,.T.);
#8089=EDGE_CURVE('',#6362,#6557,#2874,.T.);
#8090=EDGE_CURVE('',#6557,#6558,#2875,.T.);
#8091=EDGE_CURVE('',#6559,#6558,#2876,.T.);
#8092=EDGE_CURVE('',#6559,#6560,#2877,.T.);
#8093=EDGE_CURVE('',#6560,#6561,#2878,.T.);
#8094=EDGE_CURVE('',#6561,#6562,#2879,.T.);
#8095=EDGE_CURVE('',#6556,#6562,#2880,.T.);
#8096=EDGE_CURVE('',#6563,#6369,#2881,.T.);
#8097=EDGE_CURVE('',#6557,#6563,#2882,.T.);
#8098=EDGE_CURVE('',#6564,#6551,#2883,.T.);
#8099=EDGE_CURVE('',#6565,#6564,#2884,.T.);
#8100=EDGE_CURVE('',#6565,#6566,#2885,.T.);
#8101=EDGE_CURVE('',#6566,#6567,#2886,.T.);
#8102=EDGE_CURVE('',#6567,#6568,#2887,.T.);
#8103=EDGE_CURVE('',#6569,#6568,#2888,.T.);
#8104=EDGE_CURVE('',#6569,#6563,#2889,.T.);
#8105=EDGE_CURVE('',#6380,#6570,#2890,.T.);
#8106=EDGE_CURVE('',#6570,#6564,#2891,.T.);
#8107=EDGE_CURVE('',#6379,#6571,#2892,.T.);
#8108=EDGE_CURVE('',#6571,#6572,#2893,.T.);
#8109=EDGE_CURVE('',#6573,#6572,#2894,.T.);
#8110=EDGE_CURVE('',#6573,#6574,#2895,.T.);
#8111=EDGE_CURVE('',#6574,#6575,#2896,.T.);
#8112=EDGE_CURVE('',#6575,#6576,#2897,.T.);
#8113=EDGE_CURVE('',#6570,#6576,#2898,.T.);
#8114=EDGE_CURVE('',#6577,#6386,#2899,.T.);
#8115=EDGE_CURVE('',#6571,#6577,#2900,.T.);
#8116=EDGE_CURVE('',#6578,#6548,#2901,.T.);
#8117=EDGE_CURVE('',#6579,#6578,#2902,.T.);
#8118=EDGE_CURVE('',#6579,#6580,#2903,.T.);
#8119=EDGE_CURVE('',#6580,#6581,#2904,.T.);
#8120=EDGE_CURVE('',#6581,#6582,#2905,.T.);
#8121=EDGE_CURVE('',#6583,#6582,#2906,.T.);
#8122=EDGE_CURVE('',#6583,#6577,#2907,.T.);
#8123=EDGE_CURVE('',#6397,#6584,#2908,.T.);
#8124=EDGE_CURVE('',#6584,#6578,#2909,.T.);
#8125=EDGE_CURVE('',#6396,#6585,#2910,.T.);
#8126=EDGE_CURVE('',#6585,#6586,#2911,.T.);
#8127=EDGE_CURVE('',#6587,#6586,#2912,.T.);
#8128=EDGE_CURVE('',#6587,#6588,#2913,.T.);
#8129=EDGE_CURVE('',#6588,#6589,#2914,.T.);
#8130=EDGE_CURVE('',#6589,#6590,#2915,.T.);
#8131=EDGE_CURVE('',#6584,#6590,#2916,.T.);
#8132=EDGE_CURVE('',#6591,#6403,#2917,.T.);
#8133=EDGE_CURVE('',#6585,#6591,#2918,.T.);
#8134=EDGE_CURVE('',#6592,#6545,#2919,.T.);
#8135=EDGE_CURVE('',#6593,#6592,#2920,.T.);
#8136=EDGE_CURVE('',#6593,#6594,#2921,.T.);
#8137=EDGE_CURVE('',#6594,#6595,#2922,.T.);
#8138=EDGE_CURVE('',#6595,#6596,#2923,.T.);
#8139=EDGE_CURVE('',#6597,#6596,#2924,.T.);
#8140=EDGE_CURVE('',#6597,#6591,#2925,.T.);
#8141=EDGE_CURVE('',#6414,#6598,#2926,.T.);
#8142=EDGE_CURVE('',#6598,#6592,#2927,.T.);
#8143=EDGE_CURVE('',#6413,#6599,#2928,.T.);
#8144=EDGE_CURVE('',#6599,#6600,#2929,.T.);
#8145=EDGE_CURVE('',#6601,#6600,#2930,.T.);
#8146=EDGE_CURVE('',#6601,#6602,#2931,.T.);
#8147=EDGE_CURVE('',#6602,#6603,#2932,.T.);
#8148=EDGE_CURVE('',#6603,#6604,#2933,.T.);
#8149=EDGE_CURVE('',#6598,#6604,#2934,.T.);
#8150=EDGE_CURVE('',#6605,#6420,#2935,.T.);
#8151=EDGE_CURVE('',#6599,#6605,#2936,.T.);
#8152=EDGE_CURVE('',#6606,#6542,#2937,.T.);
#8153=EDGE_CURVE('',#6607,#6606,#2938,.T.);
#8154=EDGE_CURVE('',#6607,#6608,#2939,.T.);
#8155=EDGE_CURVE('',#6608,#6609,#2940,.T.);
#8156=EDGE_CURVE('',#6609,#6610,#2941,.T.);
#8157=EDGE_CURVE('',#6611,#6610,#2942,.T.);
#8158=EDGE_CURVE('',#6611,#6605,#2943,.T.);
#8159=EDGE_CURVE('',#6431,#6612,#2944,.T.);
#8160=EDGE_CURVE('',#6612,#6606,#2945,.T.);
#8161=EDGE_CURVE('',#6430,#6613,#2946,.T.);
#8162=EDGE_CURVE('',#6613,#6614,#2947,.T.);
#8163=EDGE_CURVE('',#6615,#6614,#2948,.T.);
#8164=EDGE_CURVE('',#6615,#6616,#2949,.T.);
#8165=EDGE_CURVE('',#6616,#6617,#2950,.T.);
#8166=EDGE_CURVE('',#6617,#6618,#2951,.T.);
#8167=EDGE_CURVE('',#6612,#6618,#2952,.T.);
#8168=EDGE_CURVE('',#6619,#6437,#2953,.T.);
#8169=EDGE_CURVE('',#6613,#6619,#2954,.T.);
#8170=EDGE_CURVE('',#6620,#6539,#2955,.T.);
#8171=EDGE_CURVE('',#6621,#6620,#2956,.T.);
#8172=EDGE_CURVE('',#6621,#6622,#2957,.T.);
#8173=EDGE_CURVE('',#6622,#6623,#2958,.T.);
#8174=EDGE_CURVE('',#6623,#6624,#2959,.T.);
#8175=EDGE_CURVE('',#6625,#6624,#2960,.T.);
#8176=EDGE_CURVE('',#6625,#6619,#2961,.T.);
#8177=EDGE_CURVE('',#6448,#6626,#2962,.T.);
#8178=EDGE_CURVE('',#6626,#6620,#2963,.T.);
#8179=EDGE_CURVE('',#6447,#6627,#2964,.T.);
#8180=EDGE_CURVE('',#6627,#6628,#2965,.T.);
#8181=EDGE_CURVE('',#6629,#6628,#2966,.T.);
#8182=EDGE_CURVE('',#6629,#6630,#2967,.T.);
#8183=EDGE_CURVE('',#6630,#6631,#2968,.T.);
#8184=EDGE_CURVE('',#6631,#6632,#2969,.T.);
#8185=EDGE_CURVE('',#6626,#6632,#2970,.T.);
#8186=EDGE_CURVE('',#6633,#6454,#2971,.T.);
#8187=EDGE_CURVE('',#6627,#6633,#2972,.T.);
#8188=EDGE_CURVE('',#6634,#6536,#2973,.T.);
#8189=EDGE_CURVE('',#6635,#6634,#2974,.T.);
#8190=EDGE_CURVE('',#6635,#6636,#2975,.T.);
#8191=EDGE_CURVE('',#6636,#6637,#2976,.T.);
#8192=EDGE_CURVE('',#6637,#6638,#2977,.T.);
#8193=EDGE_CURVE('',#6639,#6638,#2978,.T.);
#8194=EDGE_CURVE('',#6639,#6633,#2979,.T.);
#8195=EDGE_CURVE('',#6465,#6640,#2980,.T.);
#8196=EDGE_CURVE('',#6640,#6634,#2981,.T.);
#8197=EDGE_CURVE('',#6464,#6641,#2982,.T.);
#8198=EDGE_CURVE('',#6641,#6642,#2983,.T.);
#8199=EDGE_CURVE('',#6643,#6642,#2984,.T.);
#8200=EDGE_CURVE('',#6643,#6644,#2985,.T.);
#8201=EDGE_CURVE('',#6644,#6645,#2986,.T.);
#8202=EDGE_CURVE('',#6645,#6646,#2987,.T.);
#8203=EDGE_CURVE('',#6640,#6646,#2988,.T.);
#8204=EDGE_CURVE('',#6647,#6471,#2989,.T.);
#8205=EDGE_CURVE('',#6641,#6647,#2990,.T.);
#8206=EDGE_CURVE('',#6648,#6533,#2991,.T.);
#8207=EDGE_CURVE('',#6649,#6648,#2992,.T.);
#8208=EDGE_CURVE('',#6649,#6650,#2993,.T.);
#8209=EDGE_CURVE('',#6650,#6651,#2994,.T.);
#8210=EDGE_CURVE('',#6651,#6652,#2995,.T.);
#8211=EDGE_CURVE('',#6653,#6652,#2996,.T.);
#8212=EDGE_CURVE('',#6653,#6647,#2997,.T.);
#8213=EDGE_CURVE('',#6482,#6654,#2998,.T.);
#8214=EDGE_CURVE('',#6654,#6648,#2999,.T.);
#8215=EDGE_CURVE('',#6481,#6655,#3000,.T.);
#8216=EDGE_CURVE('',#6655,#6656,#3001,.T.);
#8217=EDGE_CURVE('',#6657,#6656,#3002,.T.);
#8218=EDGE_CURVE('',#6657,#6658,#3003,.T.);
#8219=EDGE_CURVE('',#6658,#6659,#3004,.T.);
#8220=EDGE_CURVE('',#6659,#6660,#3005,.T.);
#8221=EDGE_CURVE('',#6654,#6660,#3006,.T.);
#8222=EDGE_CURVE('',#6661,#6488,#3007,.T.);
#8223=EDGE_CURVE('',#6655,#6661,#3008,.T.);
#8224=EDGE_CURVE('',#6662,#6530,#3009,.T.);
#8225=EDGE_CURVE('',#6663,#6662,#3010,.T.);
#8226=EDGE_CURVE('',#6663,#6664,#3011,.T.);
#8227=EDGE_CURVE('',#6664,#6665,#3012,.T.);
#8228=EDGE_CURVE('',#6665,#6666,#3013,.T.);
#8229=EDGE_CURVE('',#6667,#6666,#3014,.T.);
#8230=EDGE_CURVE('',#6667,#6661,#3015,.T.);
#8231=EDGE_CURVE('',#6499,#6668,#3016,.T.);
#8232=EDGE_CURVE('',#6668,#6662,#3017,.T.);
#8233=EDGE_CURVE('',#6498,#6669,#3018,.T.);
#8234=EDGE_CURVE('',#6669,#6670,#3019,.T.);
#8235=EDGE_CURVE('',#6671,#6670,#3020,.T.);
#8236=EDGE_CURVE('',#6671,#6672,#3021,.T.);
#8237=EDGE_CURVE('',#6672,#6673,#3022,.T.);
#8238=EDGE_CURVE('',#6673,#6674,#3023,.T.);
#8239=EDGE_CURVE('',#6668,#6674,#3024,.T.);
#8240=EDGE_CURVE('',#6675,#6505,#3025,.T.);
#8241=EDGE_CURVE('',#6669,#6675,#3026,.T.);
#8242=EDGE_CURVE('',#6676,#6527,#3027,.T.);
#8243=EDGE_CURVE('',#6677,#6676,#3028,.T.);
#8244=EDGE_CURVE('',#6677,#6678,#3029,.T.);
#8245=EDGE_CURVE('',#6678,#6679,#3030,.T.);
#8246=EDGE_CURVE('',#6679,#6680,#3031,.T.);
#8247=EDGE_CURVE('',#6681,#6680,#3032,.T.);
#8248=EDGE_CURVE('',#6681,#6675,#3033,.T.);
#8249=EDGE_CURVE('',#6516,#6682,#3034,.T.);
#8250=EDGE_CURVE('',#6682,#6676,#3035,.T.);
#8251=EDGE_CURVE('',#6515,#6683,#3036,.T.);
#8252=EDGE_CURVE('',#6683,#6684,#3037,.T.);
#8253=EDGE_CURVE('',#6685,#6684,#3038,.T.);
#8254=EDGE_CURVE('',#6685,#6686,#3039,.T.);
#8255=EDGE_CURVE('',#6686,#6687,#3040,.T.);
#8256=EDGE_CURVE('',#6687,#6688,#3041,.T.);
#8257=EDGE_CURVE('',#6682,#6688,#3042,.T.);
#8258=EDGE_CURVE('',#6689,#6519,#3043,.T.);
#8259=EDGE_CURVE('',#6683,#6689,#3044,.T.);
#8260=EDGE_CURVE('',#6690,#6524,#3045,.T.);
#8261=EDGE_CURVE('',#6691,#6690,#3046,.T.);
#8262=EDGE_CURVE('',#6691,#6692,#3047,.T.);
#8263=EDGE_CURVE('',#6692,#6693,#3048,.T.);
#8264=EDGE_CURVE('',#6693,#6694,#3049,.T.);
#8265=EDGE_CURVE('',#6695,#6694,#3050,.T.);
#8266=EDGE_CURVE('',#6695,#6689,#3051,.T.);
#8267=EDGE_CURVE('',#6520,#6696,#3052,.T.);
#8268=EDGE_CURVE('',#6696,#6690,#3053,.T.);
#8269=EDGE_CURVE('',#6220,#6197,#3054,.T.);
#8270=EDGE_CURVE('',#6208,#6223,#3055,.T.);
#8271=EDGE_CURVE('',#6199,#6203,#3056,.T.);
#8272=EDGE_CURVE('',#6697,#6698,#5836,.F.);
#8273=EDGE_CURVE('',#6699,#6697,#5837,.F.);
#8274=EDGE_CURVE('',#6698,#6699,#5838,.F.);
#8275=EDGE_CURVE('',#6700,#6701,#5839,.F.);
#8276=EDGE_CURVE('',#6702,#6700,#5840,.F.);
#8277=EDGE_CURVE('',#6701,#6702,#5841,.F.);
#8278=EDGE_CURVE('',#6703,#6704,#5842,.T.);
#8279=EDGE_CURVE('',#6705,#6703,#5843,.F.);
#8280=EDGE_CURVE('',#6706,#6705,#5844,.T.);
#8281=EDGE_CURVE('',#6706,#6704,#5845,.T.);
#8282=EDGE_CURVE('',#6698,#6705,#3057,.T.);
#8283=EDGE_CURVE('',#6703,#6697,#3058,.T.);
#8284=EDGE_CURVE('',#6707,#6708,#5846,.F.);
#8285=EDGE_CURVE('',#6709,#6707,#5847,.F.);
#8286=EDGE_CURVE('',#6708,#6709,#5848,.F.);
#8287=EDGE_CURVE('',#6710,#6711,#5849,.T.);
#8288=EDGE_CURVE('',#6712,#6711,#5850,.T.);
#8289=EDGE_CURVE('',#6713,#6712,#5851,.F.);
#8290=EDGE_CURVE('',#6710,#6713,#5852,.T.);
#8291=EDGE_CURVE('',#6714,#6715,#5853,.F.);
#8292=EDGE_CURVE('',#6716,#6714,#5854,.F.);
#8293=EDGE_CURVE('',#6715,#6716,#5855,.F.);
#8294=EDGE_CURVE('',#6717,#6718,#5856,.F.);
#8295=EDGE_CURVE('',#6719,#6717,#5857,.F.);
#8296=EDGE_CURVE('',#6718,#6719,#5858,.F.);
#8297=EDGE_CURVE('',#6720,#6721,#5859,.F.);
#8298=EDGE_CURVE('',#6722,#6720,#5860,.T.);
#8299=EDGE_CURVE('',#6722,#6723,#5861,.T.);
#8300=EDGE_CURVE('',#6721,#6723,#5862,.T.);
#8301=EDGE_CURVE('',#6724,#6725,#5863,.T.);
#8302=EDGE_CURVE('',#6726,#6725,#5864,.T.);
#8303=EDGE_CURVE('',#6727,#6726,#5865,.F.);
#8304=EDGE_CURVE('',#6724,#6727,#5866,.T.);
#8305=EDGE_CURVE('',#6728,#6729,#5867,.F.);
#8306=EDGE_CURVE('',#6730,#6728,#5868,.T.);
#8307=EDGE_CURVE('',#6730,#6731,#5869,.T.);
#8308=EDGE_CURVE('',#6729,#6731,#5870,.T.);
#8309=EDGE_CURVE('',#6728,#6717,#3059,.T.);
#8310=EDGE_CURVE('',#6718,#6730,#3060,.T.);
#8311=EDGE_CURVE('',#6732,#6733,#5871,.F.);
#8312=EDGE_CURVE('',#6734,#6732,#5872,.F.);
#8313=EDGE_CURVE('',#6733,#6734,#5873,.F.);
#8314=EDGE_CURVE('',#6735,#6736,#5874,.F.);
#8315=EDGE_CURVE('',#6737,#6735,#5875,.T.);
#8316=EDGE_CURVE('',#6737,#6738,#5876,.T.);
#8317=EDGE_CURVE('',#6736,#6738,#5877,.T.);
#8318=EDGE_CURVE('',#6739,#6740,#5878,.F.);
#8319=EDGE_CURVE('',#6741,#6739,#5879,.F.);
#8320=EDGE_CURVE('',#6740,#6741,#5880,.F.);
#8321=EDGE_CURVE('',#6725,#6741,#3061,.T.);
#8322=EDGE_CURVE('',#6739,#6726,#3062,.T.);
#8323=EDGE_CURVE('',#6742,#6743,#5881,.T.);
#8324=EDGE_CURVE('',#6744,#6742,#5882,.F.);
#8325=EDGE_CURVE('',#6745,#6744,#5883,.T.);
#8326=EDGE_CURVE('',#6745,#6743,#5884,.T.);
#8327=EDGE_CURVE('',#6733,#6744,#3063,.T.);
#8328=EDGE_CURVE('',#6742,#6732,#3064,.T.);
#8329=EDGE_CURVE('',#6744,#6729,#3065,.T.);
#8330=EDGE_CURVE('',#6731,#6745,#3066,.T.);
#8331=EDGE_CURVE('',#6746,#6747,#5885,.T.);
#8332=EDGE_CURVE('',#6748,#6747,#5886,.T.);
#8333=EDGE_CURVE('',#6749,#6748,#5887,.F.);
#8334=EDGE_CURVE('',#6746,#6749,#5888,.T.);
#8335=EDGE_CURVE('',#6747,#6737,#3067,.T.);
#8336=EDGE_CURVE('',#6735,#6748,#3068,.T.);
#8337=EDGE_CURVE('',#6732,#6749,#3069,.T.);
#8338=EDGE_CURVE('',#6748,#6734,#3070,.T.);
#8339=EDGE_CURVE('',#6750,#6751,#5889,.F.);
#8340=EDGE_CURVE('',#6752,#6750,#5890,.F.);
#8341=EDGE_CURVE('',#6751,#6752,#5891,.F.);
#8342=EDGE_CURVE('',#6753,#6754,#5892,.F.);
#8343=EDGE_CURVE('',#6755,#6753,#5893,.F.);
#8344=EDGE_CURVE('',#6754,#6755,#5894,.F.);
#8345=EDGE_CURVE('',#6756,#6757,#5895,.F.);
#8346=EDGE_CURVE('',#6758,#6756,#5896,.F.);
#8347=EDGE_CURVE('',#6757,#6758,#5897,.F.);
#8348=EDGE_CURVE('',#6759,#6760,#5898,.T.);
#8349=EDGE_CURVE('',#6761,#6759,#5899,.F.);
#8350=EDGE_CURVE('',#6762,#6761,#5900,.T.);
#8351=EDGE_CURVE('',#6762,#6760,#5901,.T.);
#8352=EDGE_CURVE('',#6751,#6761,#3071,.T.);
#8353=EDGE_CURVE('',#6759,#6750,#3072,.T.);
#8354=EDGE_CURVE('',#6763,#6764,#5902,.F.);
#8355=EDGE_CURVE('',#6765,#6763,#5903,.F.);
#8356=EDGE_CURVE('',#6764,#6765,#5904,.F.);
#8357=EDGE_CURVE('',#6766,#6767,#5905,.T.);
#8358=EDGE_CURVE('',#6768,#6767,#5906,.T.);
#8359=EDGE_CURVE('',#6769,#6768,#5907,.F.);
#8360=EDGE_CURVE('',#6766,#6769,#5908,.T.);
#8361=EDGE_CURVE('',#6770,#6771,#5909,.T.);
#8362=EDGE_CURVE('',#6772,#6771,#5910,.T.);
#8363=EDGE_CURVE('',#6773,#6772,#5911,.F.);
#8364=EDGE_CURVE('',#6770,#6773,#5912,.T.);
#8365=EDGE_CURVE('',#6771,#6758,#3073,.T.);
#8366=EDGE_CURVE('',#6756,#6772,#3074,.T.);
#8367=EDGE_CURVE('',#6774,#6773,#3075,.T.);
#8368=EDGE_CURVE('',#6772,#6775,#3076,.T.);
#8369=EDGE_CURVE('',#6775,#6774,#911,.T.);
#8370=EDGE_CURVE('',#6776,#6777,#5913,.F.);
#8371=EDGE_CURVE('',#6778,#6776,#5914,.T.);
#8372=EDGE_CURVE('',#6778,#6779,#5915,.T.);
#8373=EDGE_CURVE('',#6777,#6779,#5916,.T.);
#8374=EDGE_CURVE('',#6780,#6781,#5917,.F.);
#8375=EDGE_CURVE('',#6782,#6780,#5918,.T.);
#8376=EDGE_CURVE('',#6782,#6783,#5919,.T.);
#8377=EDGE_CURVE('',#6781,#6783,#5920,.T.);
#8378=EDGE_CURVE('',#6767,#6782,#3077,.T.);
#8379=EDGE_CURVE('',#6780,#6768,#3078,.T.);
#8380=EDGE_CURVE('',#6784,#6785,#5921,.F.);
#8381=EDGE_CURVE('',#6786,#6784,#5922,.F.);
#8382=EDGE_CURVE('',#6785,#6786,#5923,.F.);
#8383=EDGE_CURVE('',#6787,#6788,#5924,.T.);
#8384=EDGE_CURVE('',#6341,#6788,#5925,.T.);
#8385=EDGE_CURVE('',#6789,#6341,#5926,.F.);
#8386=EDGE_CURVE('',#6787,#6789,#5927,.T.);
#8387=EDGE_CURVE('',#6788,#6328,#3079,.T.);
#8388=EDGE_CURVE('',#6790,#6791,#5928,.F.);
#8389=EDGE_CURVE('',#6792,#6790,#5929,.F.);
#8390=EDGE_CURVE('',#6791,#6792,#5930,.F.);
#8391=EDGE_CURVE('',#6783,#6792,#3080,.T.);
#8392=EDGE_CURVE('',#6790,#6781,#3081,.T.);
#8393=EDGE_CURVE('',#6793,#6794,#5931,.F.);
#8394=EDGE_CURVE('',#6795,#6793,#5932,.F.);
#8395=EDGE_CURVE('',#6794,#6795,#5933,.F.);
#8396=EDGE_CURVE('',#6785,#6795,#3082,.T.);
#8397=EDGE_CURVE('',#6793,#6784,#3083,.T.);
#8398=EDGE_CURVE('',#6796,#6797,#5934,.F.);
#8399=EDGE_CURVE('',#6798,#6796,#5935,.F.);
#8400=EDGE_CURVE('',#6797,#6798,#5936,.F.);
#8401=EDGE_CURVE('',#6799,#6800,#5937,.F.);
#8402=EDGE_CURVE('',#6340,#6799,#5938,.T.);
#8403=EDGE_CURVE('',#6800,#6339,#5939,.T.);
#8404=EDGE_CURVE('',#6799,#6789,#3084,.T.);
#8405=EDGE_CURVE('',#6801,#6802,#5940,.T.);
#8406=EDGE_CURVE('',#6803,#6802,#5941,.T.);
#8407=EDGE_CURVE('',#6804,#6803,#5942,.F.);
#8408=EDGE_CURVE('',#6801,#6804,#5943,.T.);
#8409=EDGE_CURVE('',#6805,#6806,#5944,.F.);
#8410=EDGE_CURVE('',#6807,#6805,#5945,.F.);
#8411=EDGE_CURVE('',#6806,#6807,#5946,.F.);
#8412=EDGE_CURVE('',#6808,#6809,#5947,.T.);
#8413=EDGE_CURVE('',#6810,#6809,#5948,.T.);
#8414=EDGE_CURVE('',#6811,#6810,#5949,.F.);
#8415=EDGE_CURVE('',#6808,#6811,#5950,.T.);
#8416=EDGE_CURVE('',#6812,#6813,#5951,.F.);
#8417=EDGE_CURVE('',#6814,#6812,#5952,.F.);
#8418=EDGE_CURVE('',#6813,#6814,#5953,.F.);
#8419=EDGE_CURVE('',#6795,#6814,#3085,.T.);
#8420=EDGE_CURVE('',#6812,#6794,#3086,.T.);
#8421=EDGE_CURVE('',#6814,#6797,#3087,.T.);
#8422=EDGE_CURVE('',#6798,#6813,#3088,.T.);
#8423=EDGE_CURVE('',#6815,#6816,#912,.F.);
#8424=EDGE_CURVE('',#6338,#6815,#5954,.T.);
#8425=EDGE_CURVE('',#6816,#6337,#5955,.T.);
#8426=EDGE_CURVE('',#6815,#6800,#3089,.T.);
#8427=EDGE_CURVE('',#6817,#6818,#5956,.F.);
#8428=EDGE_CURVE('',#6819,#6817,#5957,.F.);
#8429=EDGE_CURVE('',#6818,#6819,#5958,.F.);
#8430=EDGE_CURVE('',#6820,#6821,#5959,.T.);
#8431=EDGE_CURVE('',#6822,#6821,#5960,.T.);
#8432=EDGE_CURVE('',#6823,#6822,#5961,.F.);
#8433=EDGE_CURVE('',#6820,#6823,#5962,.T.);
#8434=EDGE_CURVE('',#6803,#6822,#3090,.T.);
#8435=EDGE_CURVE('',#6821,#6804,#3091,.T.);
#8436=EDGE_CURVE('',#6822,#6805,#3092,.T.);
#8437=EDGE_CURVE('',#6806,#6823,#3093,.T.);
#8438=EDGE_CURVE('',#6824,#6825,#5963,.F.);
#8439=EDGE_CURVE('',#6826,#6824,#5964,.F.);
#8440=EDGE_CURVE('',#6825,#6826,#5965,.F.);
#8441=EDGE_CURVE('',#6807,#6826,#3094,.T.);
#8442=EDGE_CURVE('',#6824,#6806,#3095,.T.);
#8443=EDGE_CURVE('',#6827,#6828,#5966,.T.);
#8444=EDGE_CURVE('',#6829,#6828,#5967,.T.);
#8445=EDGE_CURVE('',#6336,#6829,#5968,.F.);
#8446=EDGE_CURVE('',#6827,#6336,#5969,.T.);
#8447=EDGE_CURVE('',#6828,#6812,#3096,.T.);
#8448=EDGE_CURVE('',#6813,#6829,#3097,.T.);
#8449=EDGE_CURVE('',#6829,#6816,#3098,.T.);
#8450=EDGE_CURVE('',#6830,#6831,#5970,.F.);
#8451=EDGE_CURVE('',#6832,#6830,#5971,.F.);
#8452=EDGE_CURVE('',#6831,#6832,#5972,.F.);
#8453=EDGE_CURVE('',#6830,#6819,#3099,.T.);
#8454=EDGE_CURVE('',#6817,#6832,#3100,.T.);
#8455=EDGE_CURVE('',#6833,#6834,#5973,.T.);
#8456=EDGE_CURVE('',#6835,#6834,#5974,.T.);
#8457=EDGE_CURVE('',#6836,#6835,#5975,.F.);
#8458=EDGE_CURVE('',#6833,#6836,#5976,.T.);
#8459=EDGE_CURVE('',#6837,#6838,#5977,.F.);
#8460=EDGE_CURVE('',#6839,#6837,#5978,.T.);
#8461=EDGE_CURVE('',#6839,#6840,#5979,.T.);
#8462=EDGE_CURVE('',#6838,#6840,#5980,.T.);
#8463=EDGE_CURVE('',#6841,#6842,#5981,.F.);
#8464=EDGE_CURVE('',#6843,#6841,#5982,.T.);
#8465=EDGE_CURVE('',#6843,#6844,#5983,.T.);
#8466=EDGE_CURVE('',#6842,#6844,#5984,.T.);
#8467=EDGE_CURVE('',#6845,#6846,#5985,.F.);
#8468=EDGE_CURVE('',#6847,#6845,#5986,.F.);
#8469=EDGE_CURVE('',#6846,#6847,#5987,.F.);
#8470=EDGE_CURVE('',#6845,#6837,#3101,.T.);
#8471=EDGE_CURVE('',#6838,#6847,#3102,.T.);
#8472=EDGE_CURVE('',#6335,#6848,#5988,.F.);
#8473=EDGE_CURVE('',#6849,#6335,#5989,.F.);
#8474=EDGE_CURVE('',#6848,#6849,#5990,.F.);
#8475=EDGE_CURVE('',#6850,#6851,#5991,.F.);
#8476=EDGE_CURVE('',#6852,#6850,#5992,.T.);
#8477=EDGE_CURVE('',#6852,#6853,#5993,.T.);
#8478=EDGE_CURVE('',#6851,#6853,#5994,.T.);
#8479=EDGE_CURVE('',#6854,#6855,#5995,.F.);
#8480=EDGE_CURVE('',#6856,#6854,#5996,.F.);
#8481=EDGE_CURVE('',#6855,#6856,#5997,.F.);
#8482=EDGE_CURVE('',#6846,#6856,#3103,.T.);
#8483=EDGE_CURVE('',#6854,#6845,#3104,.T.);
#8484=EDGE_CURVE('',#6857,#6858,#5998,.F.);
#8485=EDGE_CURVE('',#6859,#6857,#5999,.F.);
#8486=EDGE_CURVE('',#6858,#6859,#6000,.F.);
#8487=EDGE_CURVE('',#6857,#6846,#3105,.T.);
#8488=EDGE_CURVE('',#6847,#6859,#3106,.T.);
#8489=EDGE_CURVE('',#6853,#6858,#3107,.T.);
#8490=EDGE_CURVE('',#6859,#6851,#3108,.T.);
#8491=EDGE_CURVE('',#6204,#6201,#3109,.T.);
#8492=EDGE_CURVE('',#6860,#6861,#6001,.F.);
#8493=EDGE_CURVE('',#6862,#6860,#6002,.F.);
#8494=EDGE_CURVE('',#6861,#6862,#6003,.F.);
#8495=EDGE_CURVE('',#6863,#6864,#6004,.F.);
#8496=EDGE_CURVE('',#6865,#6863,#6005,.F.);
#8497=EDGE_CURVE('',#6864,#6865,#6006,.F.);
#8498=EDGE_CURVE('',#6224,#6207,#3110,.T.);
#8499=EDGE_CURVE('',#6866,#6867,#6007,.F.);
#8500=EDGE_CURVE('',#6868,#6866,#6008,.F.);
#8501=EDGE_CURVE('',#6867,#6868,#6009,.F.);
#8502=EDGE_CURVE('',#6860,#6868,#3111,.T.);
#8503=EDGE_CURVE('',#6866,#6862,#3112,.T.);
#8504=EDGE_CURVE('',#6865,#6867,#3113,.T.);
#8505=EDGE_CURVE('',#6868,#6864,#3114,.T.);
#8506=EDGE_CURVE('',#6869,#6870,#6010,.F.);
#8507=EDGE_CURVE('',#6871,#6869,#6011,.F.);
#8508=EDGE_CURVE('',#6870,#6871,#6012,.F.);
#8509=EDGE_CURVE('',#6872,#6228,#6013,.T.);
#8510=EDGE_CURVE('',#6873,#6227,#6014,.F.);
#8511=EDGE_CURVE('',#6872,#6873,#6015,.T.);
#8512=EDGE_CURVE('',#6874,#6875,#6016,.F.);
#8513=EDGE_CURVE('',#6876,#6874,#6017,.F.);
#8514=EDGE_CURVE('',#6875,#6876,#6018,.F.);
#8515=EDGE_CURVE('',#6867,#6876,#3115,.T.);
#8516=EDGE_CURVE('',#6874,#6866,#3116,.T.);
#8517=EDGE_CURVE('',#6876,#6871,#3117,.T.);
#8518=EDGE_CURVE('',#6869,#6875,#3118,.T.);
#8519=EDGE_CURVE('',#6877,#6343,#6019,.T.);
#8520=EDGE_CURVE('',#6878,#6877,#6020,.F.);
#8521=EDGE_CURVE('',#6344,#6878,#6021,.T.);
#8522=EDGE_CURVE('',#6342,#6879,#6022,.F.);
#8523=EDGE_CURVE('',#6345,#6880,#6023,.T.);
#8524=EDGE_CURVE('',#6879,#6880,#6024,.T.);
#8525=EDGE_CURVE('',#6880,#6874,#3119,.T.);
#8526=EDGE_CURVE('',#6875,#6879,#3120,.T.);
#8527=EDGE_CURVE('',#6879,#6877,#3121,.T.);
#8528=EDGE_CURVE('',#6877,#6869,#3122,.T.);
#8529=EDGE_CURVE('',#6870,#6878,#3123,.T.);
#8530=EDGE_CURVE('',#6878,#6873,#3124,.T.);
#8531=EDGE_CURVE('',#6864,#6872,#3125,.T.);
#8532=EDGE_CURVE('',#6873,#6863,#3126,.T.);
#8533=EDGE_CURVE('',#6871,#6865,#3127,.T.);
#8534=EDGE_CURVE('',#6863,#6870,#3128,.T.);
#8535=EDGE_CURVE('',#6856,#6861,#3129,.T.);
#8536=EDGE_CURVE('',#6862,#6855,#3130,.T.);
#8537=EDGE_CURVE('',#6858,#6860,#3131,.T.);
#8538=EDGE_CURVE('',#6861,#6857,#3132,.T.);
#8539=EDGE_CURVE('',#6205,#6852,#3133,.T.);
#8540=EDGE_CURVE('',#6850,#6206,#3134,.T.);
#8541=EDGE_CURVE('',#6198,#6219,#3135,.T.);
#8542=EDGE_CURVE('',#6221,#6849,#3136,.T.);
#8543=EDGE_CURVE('',#6855,#6843,#3137,.T.);
#8544=EDGE_CURVE('',#6841,#6854,#3138,.T.);
#8545=EDGE_CURVE('',#6851,#6838,#3139,.T.);
#8546=EDGE_CURVE('',#6840,#6850,#3140,.T.);
#8547=EDGE_CURVE('',#6202,#6835,#3141,.T.);
#8548=EDGE_CURVE('',#6834,#6200,#3142,.T.);
#8549=EDGE_CURVE('',#6849,#6831,#3143,.T.);
#8550=EDGE_CURVE('',#6832,#6848,#3144,.T.);
#8551=EDGE_CURVE('',#6848,#6827,#3145,.T.);
#8552=EDGE_CURVE('',#6881,#6842,#3146,.T.);
#8553=EDGE_CURVE('',#6844,#6882,#3147,.T.);
#8554=EDGE_CURVE('',#6882,#6881,#913,.T.);
#8555=EDGE_CURVE('',#6842,#6839,#3148,.T.);
#8556=EDGE_CURVE('',#6837,#6841,#3149,.T.);
#8557=EDGE_CURVE('',#6823,#6836,#3150,.T.);
#8558=EDGE_CURVE('',#6835,#6820,#3151,.T.);
#8559=EDGE_CURVE('',#6825,#6833,#3152,.T.);
#8560=EDGE_CURVE('',#6836,#6824,#3153,.T.);
#8561=EDGE_CURVE('',#6826,#6830,#3154,.T.);
#8562=EDGE_CURVE('',#6831,#6825,#3155,.T.);
#8563=EDGE_CURVE('',#6882,#6810,#3156,.T.);
#8564=EDGE_CURVE('',#6809,#6881,#3157,.T.);
#8565=EDGE_CURVE('',#6819,#6807,#3158,.T.);
#8566=EDGE_CURVE('',#6805,#6818,#3159,.T.);
#8567=EDGE_CURVE('',#6802,#6817,#3160,.T.);
#8568=EDGE_CURVE('',#6818,#6803,#3161,.T.);
#8569=EDGE_CURVE('',#6796,#6815,#3162,.T.);
#8570=EDGE_CURVE('',#6816,#6798,#3163,.T.);
#8571=EDGE_CURVE('',#6883,#6811,#3164,.T.);
#8572=EDGE_CURVE('',#6810,#6884,#3165,.T.);
#8573=EDGE_CURVE('',#6884,#6883,#914,.T.);
#8574=EDGE_CURVE('',#6792,#6808,#3166,.T.);
#8575=EDGE_CURVE('',#6811,#6791,#3167,.T.);
#8576=EDGE_CURVE('',#6885,#6801,#3168,.T.);
#8577=EDGE_CURVE('',#6804,#6886,#3169,.T.);
#8578=EDGE_CURVE('',#6886,#6885,#915,.T.);
#8579=EDGE_CURVE('',#6784,#6799,#3170,.T.);
#8580=EDGE_CURVE('',#6800,#6786,#3171,.T.);
#8581=EDGE_CURVE('',#6797,#6785,#3172,.T.);
#8582=EDGE_CURVE('',#6786,#6796,#3173,.T.);
#8583=EDGE_CURVE('',#6794,#6787,#3174,.T.);
#8584=EDGE_CURVE('',#6789,#6793,#3175,.T.);
#8585=EDGE_CURVE('',#6776,#6790,#3176,.T.);
#8586=EDGE_CURVE('',#6791,#6778,#3177,.T.);
#8587=EDGE_CURVE('',#6775,#6883,#3178,.T.);
#8588=EDGE_CURVE('',#6884,#6774,#3179,.T.);
#8589=EDGE_CURVE('',#6886,#6770,#3180,.T.);
#8590=EDGE_CURVE('',#6773,#6885,#3181,.T.);
#8591=EDGE_CURVE('',#6763,#6780,#3182,.T.);
#8592=EDGE_CURVE('',#6781,#6765,#3183,.T.);
#8593=EDGE_CURVE('',#6761,#6777,#3184,.T.);
#8594=EDGE_CURVE('',#6779,#6762,#3185,.T.);
#8595=EDGE_CURVE('',#6777,#6764,#3186,.T.);
#8596=EDGE_CURVE('',#6765,#6776,#3187,.T.);
#8597=EDGE_CURVE('',#6750,#6769,#3188,.T.);
#8598=EDGE_CURVE('',#6768,#6752,#3189,.T.);
#8599=EDGE_CURVE('',#6754,#6766,#3190,.T.);
#8600=EDGE_CURVE('',#6769,#6753,#3191,.T.);
#8601=EDGE_CURVE('',#6764,#6751,#3192,.T.);
#8602=EDGE_CURVE('',#6752,#6763,#3193,.T.);
#8603=EDGE_CURVE('',#6753,#6759,#3194,.T.);
#8604=EDGE_CURVE('',#6760,#6755,#3195,.T.);
#8605=EDGE_CURVE('',#6758,#6746,#3196,.T.);
#8606=EDGE_CURVE('',#6749,#6757,#3197,.T.);
#8607=EDGE_CURVE('',#6757,#6742,#3198,.T.);
#8608=EDGE_CURVE('',#6743,#6756,#3199,.T.);
#8609=EDGE_CURVE('',#6741,#6754,#3200,.T.);
#8610=EDGE_CURVE('',#6755,#6740,#3201,.T.);
#8611=EDGE_CURVE('',#6720,#6739,#3202,.T.);
#8612=EDGE_CURVE('',#6740,#6722,#3203,.T.);
#8613=EDGE_CURVE('',#6738,#6719,#3204,.T.);
#8614=EDGE_CURVE('',#6717,#6736,#3205,.T.);
#8615=EDGE_CURVE('',#6714,#6735,#3206,.T.);
#8616=EDGE_CURVE('',#6736,#6716,#3207,.T.);
#8617=EDGE_CURVE('',#6715,#6733,#3208,.T.);
#8618=EDGE_CURVE('',#6734,#6714,#3209,.T.);
#8619=EDGE_CURVE('',#6729,#6715,#3210,.T.);
#8620=EDGE_CURVE('',#6716,#6728,#3211,.T.);
#8621=EDGE_CURVE('',#6707,#6727,#3212,.T.);
#8622=EDGE_CURVE('',#6726,#6709,#3213,.T.);
#8623=EDGE_CURVE('',#6711,#6724,#3214,.T.);
#8624=EDGE_CURVE('',#6727,#6712,#3215,.T.);
#8625=EDGE_CURVE('',#6705,#6721,#3216,.T.);
#8626=EDGE_CURVE('',#6723,#6706,#3217,.T.);
#8627=EDGE_CURVE('',#6721,#6708,#3218,.T.);
#8628=EDGE_CURVE('',#6709,#6720,#3219,.T.);
#8629=EDGE_CURVE('',#6719,#6701,#3220,.T.);
#8630=EDGE_CURVE('',#6702,#6718,#3221,.T.);
#8631=EDGE_CURVE('',#6697,#6713,#3222,.T.);
#8632=EDGE_CURVE('',#6712,#6699,#3223,.T.);
#8633=EDGE_CURVE('',#6701,#6710,#3224,.T.);
#8634=EDGE_CURVE('',#6713,#6700,#3225,.T.);
#8635=EDGE_CURVE('',#6708,#6698,#3226,.T.);
#8636=EDGE_CURVE('',#6699,#6707,#3227,.T.);
#8637=EDGE_CURVE('',#6700,#6703,#3228,.T.);
#8638=EDGE_CURVE('',#6704,#6702,#3229,.T.);
#8639=EDGE_CURVE('',#6887,#6774,#3230,.T.);
#8640=EDGE_CURVE('',#6885,#6887,#3231,.T.);
#8641=EDGE_CURVE('',#6884,#6888,#3232,.T.);
#8642=EDGE_CURVE('',#6887,#6888,#3233,.T.);
#8643=EDGE_CURVE('',#6888,#6882,#3234,.T.);
#8644=EDGE_CURVE('',#6333,#6889,#3235,.T.);
#8645=EDGE_CURVE('',#6889,#6328,#3236,.T.);
#8646=EDGE_CURVE('',#6890,#6890,#6025,.T.);
#8647=EDGE_CURVE('',#6891,#6892,#3237,.T.);
#8648=EDGE_CURVE('',#6892,#6893,#3238,.T.);
#8649=EDGE_CURVE('',#6893,#6894,#3239,.T.);
#8650=EDGE_CURVE('',#6894,#6891,#3240,.T.);
#8651=EDGE_CURVE('',#6895,#6896,#3241,.T.);
#8652=EDGE_CURVE('',#6896,#6897,#3242,.T.);
#8653=EDGE_CURVE('',#6897,#6898,#3243,.T.);
#8654=EDGE_CURVE('',#6898,#6895,#3244,.T.);
#8655=EDGE_CURVE('',#6899,#6900,#3245,.T.);
#8656=EDGE_CURVE('',#6900,#6901,#3246,.T.);
#8657=EDGE_CURVE('',#6901,#6902,#3247,.T.);
#8658=EDGE_CURVE('',#6902,#6899,#3248,.T.);
#8659=EDGE_CURVE('',#6903,#6904,#3249,.T.);
#8660=EDGE_CURVE('',#6904,#6905,#3250,.T.);
#8661=EDGE_CURVE('',#6905,#6906,#3251,.T.);
#8662=EDGE_CURVE('',#6906,#6903,#3252,.T.);
#8663=EDGE_CURVE('',#6907,#6908,#3253,.T.);
#8664=EDGE_CURVE('',#6908,#6909,#3254,.T.);
#8665=EDGE_CURVE('',#6909,#6910,#3255,.T.);
#8666=EDGE_CURVE('',#6910,#6907,#3256,.T.);
#8667=EDGE_CURVE('',#6911,#6912,#3257,.T.);
#8668=EDGE_CURVE('',#6912,#6913,#3258,.T.);
#8669=EDGE_CURVE('',#6913,#6914,#3259,.T.);
#8670=EDGE_CURVE('',#6914,#6911,#3260,.T.);
#8671=EDGE_CURVE('',#6915,#6916,#3261,.T.);
#8672=EDGE_CURVE('',#6916,#6917,#3262,.T.);
#8673=EDGE_CURVE('',#6917,#6918,#3263,.T.);
#8674=EDGE_CURVE('',#6918,#6915,#3264,.T.);
#8675=EDGE_CURVE('',#6919,#6920,#3265,.T.);
#8676=EDGE_CURVE('',#6920,#6921,#3266,.T.);
#8677=EDGE_CURVE('',#6921,#6922,#3267,.T.);
#8678=EDGE_CURVE('',#6922,#6919,#3268,.T.);
#8679=EDGE_CURVE('',#6923,#6924,#3269,.T.);
#8680=EDGE_CURVE('',#6924,#6925,#3270,.T.);
#8681=EDGE_CURVE('',#6925,#6926,#3271,.T.);
#8682=EDGE_CURVE('',#6926,#6923,#3272,.T.);
#8683=EDGE_CURVE('',#6927,#6928,#3273,.T.);
#8684=EDGE_CURVE('',#6928,#6929,#3274,.T.);
#8685=EDGE_CURVE('',#6929,#6930,#3275,.T.);
#8686=EDGE_CURVE('',#6930,#6927,#3276,.T.);
#8687=EDGE_CURVE('',#6931,#6932,#3277,.T.);
#8688=EDGE_CURVE('',#6932,#6933,#3278,.T.);
#8689=EDGE_CURVE('',#6933,#6934,#3279,.T.);
#8690=EDGE_CURVE('',#6934,#6931,#3280,.T.);
#8691=EDGE_CURVE('',#6935,#6936,#3281,.T.);
#8692=EDGE_CURVE('',#6936,#6937,#3282,.T.);
#8693=EDGE_CURVE('',#6937,#6938,#3283,.T.);
#8694=EDGE_CURVE('',#6938,#6935,#3284,.T.);
#8695=EDGE_CURVE('',#6939,#6940,#3285,.T.);
#8696=EDGE_CURVE('',#6940,#6941,#3286,.T.);
#8697=EDGE_CURVE('',#6941,#6942,#3287,.T.);
#8698=EDGE_CURVE('',#6942,#6939,#3288,.T.);
#8699=EDGE_CURVE('',#6943,#6944,#3289,.T.);
#8700=EDGE_CURVE('',#6944,#6945,#3290,.T.);
#8701=EDGE_CURVE('',#6945,#6946,#3291,.T.);
#8702=EDGE_CURVE('',#6946,#6943,#3292,.T.);
#8703=EDGE_CURVE('',#6947,#6948,#3293,.T.);
#8704=EDGE_CURVE('',#6948,#6949,#3294,.T.);
#8705=EDGE_CURVE('',#6949,#6950,#3295,.T.);
#8706=EDGE_CURVE('',#6950,#6947,#3296,.T.);
#8707=EDGE_CURVE('',#6951,#6952,#3297,.T.);
#8708=EDGE_CURVE('',#6952,#6953,#3298,.T.);
#8709=EDGE_CURVE('',#6953,#6954,#3299,.T.);
#8710=EDGE_CURVE('',#6954,#6951,#3300,.T.);
#8711=EDGE_CURVE('',#6955,#6956,#3301,.T.);
#8712=EDGE_CURVE('',#6956,#6957,#3302,.T.);
#8713=EDGE_CURVE('',#6957,#6958,#3303,.T.);
#8714=EDGE_CURVE('',#6958,#6955,#3304,.T.);
#8715=EDGE_CURVE('',#6959,#6960,#3305,.T.);
#8716=EDGE_CURVE('',#6960,#6961,#3306,.T.);
#8717=EDGE_CURVE('',#6961,#6962,#3307,.T.);
#8718=EDGE_CURVE('',#6962,#6959,#3308,.T.);
#8719=EDGE_CURVE('',#6963,#6964,#3309,.T.);
#8720=EDGE_CURVE('',#6964,#6965,#3310,.T.);
#8721=EDGE_CURVE('',#6965,#6966,#3311,.T.);
#8722=EDGE_CURVE('',#6966,#6963,#3312,.T.);
#8723=EDGE_CURVE('',#6967,#6968,#3313,.T.);
#8724=EDGE_CURVE('',#6968,#6969,#3314,.T.);
#8725=EDGE_CURVE('',#6969,#6970,#3315,.T.);
#8726=EDGE_CURVE('',#6970,#6967,#3316,.T.);
#8727=EDGE_CURVE('',#6971,#6971,#6026,.T.);
#8728=EDGE_CURVE('',#6972,#6972,#6027,.T.);
#8729=EDGE_CURVE('',#6972,#6890,#6028,.T.);
#8730=EDGE_CURVE('',#6973,#6973,#6029,.T.);
#8731=EDGE_CURVE('',#6973,#6972,#3317,.T.);
#8732=EDGE_CURVE('',#6974,#6974,#6030,.T.);
#8733=EDGE_CURVE('',#6974,#6971,#6031,.T.);
#8734=EDGE_CURVE('',#6975,#6975,#6032,.T.);
#8735=EDGE_CURVE('',#6975,#6974,#3318,.T.);
#8736=EDGE_CURVE('',#6975,#6976,#6033,.T.);
#8737=EDGE_CURVE('',#6976,#6976,#6034,.T.);
#8738=EDGE_CURVE('',#6973,#6977,#6035,.T.);
#8739=EDGE_CURVE('',#6977,#6977,#6036,.T.);
#8740=EDGE_CURVE('',#6968,#6978,#6037,.T.);
#8741=EDGE_CURVE('',#6978,#6979,#3319,.T.);
#8742=EDGE_CURVE('',#6979,#6969,#6038,.T.);
#8743=EDGE_CURVE('',#6980,#6981,#3320,.T.);
#8744=EDGE_CURVE('',#6980,#6982,#3321,.T.);
#8745=EDGE_CURVE('',#6982,#6983,#3322,.T.);
#8746=EDGE_CURVE('',#6983,#6981,#3323,.T.);
#8747=EDGE_CURVE('',#6981,#6984,#3324,.T.);
#8748=EDGE_CURVE('',#6985,#6983,#6039,.T.);
#8749=EDGE_CURVE('',#6691,#6985,#3325,.T.);
#8750=EDGE_CURVE('',#6694,#6691,#3326,.T.);
#8751=EDGE_CURVE('',#6986,#6694,#3327,.T.);
#8752=EDGE_CURVE('',#6987,#6986,#6040,.T.);
#8753=EDGE_CURVE('',#6984,#6987,#3328,.T.);
#8754=EDGE_CURVE('',#6988,#6980,#3329,.T.);
#8755=EDGE_CURVE('',#6989,#6988,#3330,.T.);
#8756=EDGE_CURVE('',#6990,#6989,#6041,.T.);
#8757=EDGE_CURVE('',#6685,#6990,#3331,.T.);
#8758=EDGE_CURVE('',#6688,#6685,#3332,.T.);
#8759=EDGE_CURVE('',#6991,#6688,#3333,.T.);
#8760=EDGE_CURVE('',#6982,#6991,#6042,.T.);
#8761=EDGE_CURVE('',#6992,#6967,#6043,.T.);
#8762=EDGE_CURVE('',#6993,#6992,#3334,.T.);
#8763=EDGE_CURVE('',#6994,#6993,#3335,.T.);
#8764=EDGE_CURVE('',#6978,#6994,#3336,.T.);
#8765=EDGE_CURVE('',#6692,#6687,#3337,.T.);
#8766=EDGE_CURVE('',#6686,#6693,#3338,.T.);
#8767=EDGE_CURVE('',#6990,#6986,#3339,.T.);
#8768=EDGE_CURVE('',#6989,#6987,#3340,.T.);
#8769=EDGE_CURVE('',#6984,#6988,#3341,.T.);
#8770=EDGE_CURVE('',#6994,#6995,#3342,.T.);
#8771=EDGE_CURVE('',#6995,#6979,#3343,.T.);
#8772=EDGE_CURVE('',#6993,#6996,#3344,.T.);
#8773=EDGE_CURVE('',#6996,#6995,#3345,.T.);
#8774=EDGE_CURVE('',#6992,#6997,#3346,.T.);
#8775=EDGE_CURVE('',#6997,#6996,#3347,.T.);
#8776=EDGE_CURVE('',#6970,#6997,#6044,.T.);
#8777=EDGE_CURVE('',#6998,#6991,#3348,.T.);
#8778=EDGE_CURVE('',#6998,#6985,#3349,.T.);
#8779=EDGE_CURVE('',#6684,#6695,#3350,.T.);
#8780=EDGE_CURVE('',#6964,#6999,#6045,.T.);
#8781=EDGE_CURVE('',#6999,#7000,#3351,.T.);
#8782=EDGE_CURVE('',#7000,#6965,#6046,.T.);
#8783=EDGE_CURVE('',#7001,#7002,#3352,.T.);
#8784=EDGE_CURVE('',#7001,#7003,#3353,.T.);
#8785=EDGE_CURVE('',#7003,#7004,#3354,.T.);
#8786=EDGE_CURVE('',#7004,#7002,#3355,.T.);
#8787=EDGE_CURVE('',#7002,#7005,#3356,.T.);
#8788=EDGE_CURVE('',#7006,#7004,#6047,.T.);
#8789=EDGE_CURVE('',#6677,#7006,#3357,.T.);
#8790=EDGE_CURVE('',#6680,#6677,#3358,.T.);
#8791=EDGE_CURVE('',#7007,#6680,#3359,.T.);
#8792=EDGE_CURVE('',#7008,#7007,#6048,.T.);
#8793=EDGE_CURVE('',#7005,#7008,#3360,.T.);
#8794=EDGE_CURVE('',#7009,#7001,#3361,.T.);
#8795=EDGE_CURVE('',#7010,#7009,#3362,.T.);
#8796=EDGE_CURVE('',#7011,#7010,#6049,.T.);
#8797=EDGE_CURVE('',#6671,#7011,#3363,.T.);
#8798=EDGE_CURVE('',#6674,#6671,#3364,.T.);
#8799=EDGE_CURVE('',#7012,#6674,#3365,.T.);
#8800=EDGE_CURVE('',#7003,#7012,#6050,.T.);
#8801=EDGE_CURVE('',#7013,#6963,#6051,.T.);
#8802=EDGE_CURVE('',#7014,#7013,#3366,.T.);
#8803=EDGE_CURVE('',#7015,#7014,#3367,.T.);
#8804=EDGE_CURVE('',#6999,#7015,#3368,.T.);
#8805=EDGE_CURVE('',#6678,#6673,#3369,.T.);
#8806=EDGE_CURVE('',#6672,#6679,#3370,.T.);
#8807=EDGE_CURVE('',#7011,#7007,#3371,.T.);
#8808=EDGE_CURVE('',#7010,#7008,#3372,.T.);
#8809=EDGE_CURVE('',#7005,#7009,#3373,.T.);
#8810=EDGE_CURVE('',#7015,#7016,#3374,.T.);
#8811=EDGE_CURVE('',#7016,#7000,#3375,.T.);
#8812=EDGE_CURVE('',#7014,#7017,#3376,.T.);
#8813=EDGE_CURVE('',#7017,#7016,#3377,.T.);
#8814=EDGE_CURVE('',#7013,#7018,#3378,.T.);
#8815=EDGE_CURVE('',#7018,#7017,#3379,.T.);
#8816=EDGE_CURVE('',#6966,#7018,#6052,.T.);
#8817=EDGE_CURVE('',#7019,#7012,#3380,.T.);
#8818=EDGE_CURVE('',#7019,#7006,#3381,.T.);
#8819=EDGE_CURVE('',#6670,#6681,#3382,.T.);
#8820=EDGE_CURVE('',#6960,#7020,#6053,.T.);
#8821=EDGE_CURVE('',#7020,#7021,#3383,.T.);
#8822=EDGE_CURVE('',#7021,#6961,#6054,.T.);
#8823=EDGE_CURVE('',#7022,#7023,#3384,.T.);
#8824=EDGE_CURVE('',#7022,#7024,#3385,.T.);
#8825=EDGE_CURVE('',#7024,#7025,#3386,.T.);
#8826=EDGE_CURVE('',#7025,#7023,#3387,.T.);
#8827=EDGE_CURVE('',#7023,#7026,#3388,.T.);
#8828=EDGE_CURVE('',#7027,#7025,#6055,.T.);
#8829=EDGE_CURVE('',#6663,#7027,#3389,.T.);
#8830=EDGE_CURVE('',#6666,#6663,#3390,.T.);
#8831=EDGE_CURVE('',#7028,#6666,#3391,.T.);
#8832=EDGE_CURVE('',#7029,#7028,#6056,.T.);
#8833=EDGE_CURVE('',#7026,#7029,#3392,.T.);
#8834=EDGE_CURVE('',#7030,#7022,#3393,.T.);
#8835=EDGE_CURVE('',#7031,#7030,#3394,.T.);
#8836=EDGE_CURVE('',#7032,#7031,#6057,.T.);
#8837=EDGE_CURVE('',#6657,#7032,#3395,.T.);
#8838=EDGE_CURVE('',#6660,#6657,#3396,.T.);
#8839=EDGE_CURVE('',#7033,#6660,#3397,.T.);
#8840=EDGE_CURVE('',#7024,#7033,#6058,.T.);
#8841=EDGE_CURVE('',#7034,#6959,#6059,.T.);
#8842=EDGE_CURVE('',#7035,#7034,#3398,.T.);
#8843=EDGE_CURVE('',#7036,#7035,#3399,.T.);
#8844=EDGE_CURVE('',#7020,#7036,#3400,.T.);
#8845=EDGE_CURVE('',#6664,#6659,#3401,.T.);
#8846=EDGE_CURVE('',#6658,#6665,#3402,.T.);
#8847=EDGE_CURVE('',#7032,#7028,#3403,.T.);
#8848=EDGE_CURVE('',#7031,#7029,#3404,.T.);
#8849=EDGE_CURVE('',#7026,#7030,#3405,.T.);
#8850=EDGE_CURVE('',#7036,#7037,#3406,.T.);
#8851=EDGE_CURVE('',#7037,#7021,#3407,.T.);
#8852=EDGE_CURVE('',#7035,#7038,#3408,.T.);
#8853=EDGE_CURVE('',#7038,#7037,#3409,.T.);
#8854=EDGE_CURVE('',#7034,#7039,#3410,.T.);
#8855=EDGE_CURVE('',#7039,#7038,#3411,.T.);
#8856=EDGE_CURVE('',#6962,#7039,#6060,.T.);
#8857=EDGE_CURVE('',#7040,#7033,#3412,.T.);
#8858=EDGE_CURVE('',#7040,#7027,#3413,.T.);
#8859=EDGE_CURVE('',#6656,#6667,#3414,.T.);
#8860=EDGE_CURVE('',#6956,#7041,#6061,.T.);
#8861=EDGE_CURVE('',#7041,#7042,#3415,.T.);
#8862=EDGE_CURVE('',#7042,#6957,#6062,.T.);
#8863=EDGE_CURVE('',#7043,#7044,#3416,.T.);
#8864=EDGE_CURVE('',#7043,#7045,#3417,.T.);
#8865=EDGE_CURVE('',#7045,#7046,#3418,.T.);
#8866=EDGE_CURVE('',#7046,#7044,#3419,.T.);
#8867=EDGE_CURVE('',#7044,#7047,#3420,.T.);
#8868=EDGE_CURVE('',#7048,#7046,#6063,.T.);
#8869=EDGE_CURVE('',#6649,#7048,#3421,.T.);
#8870=EDGE_CURVE('',#6652,#6649,#3422,.T.);
#8871=EDGE_CURVE('',#7049,#6652,#3423,.T.);
#8872=EDGE_CURVE('',#7050,#7049,#6064,.T.);
#8873=EDGE_CURVE('',#7047,#7050,#3424,.T.);
#8874=EDGE_CURVE('',#7051,#7043,#3425,.T.);
#8875=EDGE_CURVE('',#7052,#7051,#3426,.T.);
#8876=EDGE_CURVE('',#7053,#7052,#6065,.T.);
#8877=EDGE_CURVE('',#6643,#7053,#3427,.T.);
#8878=EDGE_CURVE('',#6646,#6643,#3428,.T.);
#8879=EDGE_CURVE('',#7054,#6646,#3429,.T.);
#8880=EDGE_CURVE('',#7045,#7054,#6066,.T.);
#8881=EDGE_CURVE('',#7055,#6955,#6067,.T.);
#8882=EDGE_CURVE('',#7056,#7055,#3430,.T.);
#8883=EDGE_CURVE('',#7057,#7056,#3431,.T.);
#8884=EDGE_CURVE('',#7041,#7057,#3432,.T.);
#8885=EDGE_CURVE('',#6650,#6645,#3433,.T.);
#8886=EDGE_CURVE('',#6644,#6651,#3434,.T.);
#8887=EDGE_CURVE('',#7053,#7049,#3435,.T.);
#8888=EDGE_CURVE('',#7052,#7050,#3436,.T.);
#8889=EDGE_CURVE('',#7047,#7051,#3437,.T.);
#8890=EDGE_CURVE('',#7057,#7058,#3438,.T.);
#8891=EDGE_CURVE('',#7058,#7042,#3439,.T.);
#8892=EDGE_CURVE('',#7056,#7059,#3440,.T.);
#8893=EDGE_CURVE('',#7059,#7058,#3441,.T.);
#8894=EDGE_CURVE('',#7055,#7060,#3442,.T.);
#8895=EDGE_CURVE('',#7060,#7059,#3443,.T.);
#8896=EDGE_CURVE('',#6958,#7060,#6068,.T.);
#8897=EDGE_CURVE('',#7061,#7054,#3444,.T.);
#8898=EDGE_CURVE('',#7061,#7048,#3445,.T.);
#8899=EDGE_CURVE('',#6642,#6653,#3446,.T.);
#8900=EDGE_CURVE('',#6952,#7062,#6069,.T.);
#8901=EDGE_CURVE('',#7062,#7063,#3447,.T.);
#8902=EDGE_CURVE('',#7063,#6953,#6070,.T.);
#8903=EDGE_CURVE('',#7064,#7065,#3448,.T.);
#8904=EDGE_CURVE('',#7064,#7066,#3449,.T.);
#8905=EDGE_CURVE('',#7066,#7067,#3450,.T.);
#8906=EDGE_CURVE('',#7067,#7065,#3451,.T.);
#8907=EDGE_CURVE('',#7065,#7068,#3452,.T.);
#8908=EDGE_CURVE('',#7069,#7067,#6071,.T.);
#8909=EDGE_CURVE('',#6635,#7069,#3453,.T.);
#8910=EDGE_CURVE('',#6638,#6635,#3454,.T.);
#8911=EDGE_CURVE('',#7070,#6638,#3455,.T.);
#8912=EDGE_CURVE('',#7071,#7070,#6072,.T.);
#8913=EDGE_CURVE('',#7068,#7071,#3456,.T.);
#8914=EDGE_CURVE('',#7072,#7064,#3457,.T.);
#8915=EDGE_CURVE('',#7073,#7072,#3458,.T.);
#8916=EDGE_CURVE('',#7074,#7073,#6073,.T.);
#8917=EDGE_CURVE('',#6629,#7074,#3459,.T.);
#8918=EDGE_CURVE('',#6632,#6629,#3460,.T.);
#8919=EDGE_CURVE('',#7075,#6632,#3461,.T.);
#8920=EDGE_CURVE('',#7066,#7075,#6074,.T.);
#8921=EDGE_CURVE('',#7076,#6951,#6075,.T.);
#8922=EDGE_CURVE('',#7077,#7076,#3462,.T.);
#8923=EDGE_CURVE('',#7078,#7077,#3463,.T.);
#8924=EDGE_CURVE('',#7062,#7078,#3464,.T.);
#8925=EDGE_CURVE('',#6636,#6631,#3465,.T.);
#8926=EDGE_CURVE('',#6630,#6637,#3466,.T.);
#8927=EDGE_CURVE('',#7074,#7070,#3467,.T.);
#8928=EDGE_CURVE('',#7073,#7071,#3468,.T.);
#8929=EDGE_CURVE('',#7068,#7072,#3469,.T.);
#8930=EDGE_CURVE('',#7078,#7079,#3470,.T.);
#8931=EDGE_CURVE('',#7079,#7063,#3471,.T.);
#8932=EDGE_CURVE('',#7077,#7080,#3472,.T.);
#8933=EDGE_CURVE('',#7080,#7079,#3473,.T.);
#8934=EDGE_CURVE('',#7076,#7081,#3474,.T.);
#8935=EDGE_CURVE('',#7081,#7080,#3475,.T.);
#8936=EDGE_CURVE('',#6954,#7081,#6076,.T.);
#8937=EDGE_CURVE('',#7082,#7075,#3476,.T.);
#8938=EDGE_CURVE('',#7082,#7069,#3477,.T.);
#8939=EDGE_CURVE('',#6628,#6639,#3478,.T.);
#8940=EDGE_CURVE('',#6948,#7083,#6077,.T.);
#8941=EDGE_CURVE('',#7083,#7084,#3479,.T.);
#8942=EDGE_CURVE('',#7084,#6949,#6078,.T.);
#8943=EDGE_CURVE('',#7085,#7086,#3480,.T.);
#8944=EDGE_CURVE('',#7085,#7087,#3481,.T.);
#8945=EDGE_CURVE('',#7087,#7088,#3482,.T.);
#8946=EDGE_CURVE('',#7088,#7086,#3483,.T.);
#8947=EDGE_CURVE('',#7086,#7089,#3484,.T.);
#8948=EDGE_CURVE('',#7090,#7088,#6079,.T.);
#8949=EDGE_CURVE('',#6621,#7090,#3485,.T.);
#8950=EDGE_CURVE('',#6624,#6621,#3486,.T.);
#8951=EDGE_CURVE('',#7091,#6624,#3487,.T.);
#8952=EDGE_CURVE('',#7092,#7091,#6080,.T.);
#8953=EDGE_CURVE('',#7089,#7092,#3488,.T.);
#8954=EDGE_CURVE('',#7093,#7085,#3489,.T.);
#8955=EDGE_CURVE('',#7094,#7093,#3490,.T.);
#8956=EDGE_CURVE('',#7095,#7094,#6081,.T.);
#8957=EDGE_CURVE('',#6615,#7095,#3491,.T.);
#8958=EDGE_CURVE('',#6618,#6615,#3492,.T.);
#8959=EDGE_CURVE('',#7096,#6618,#3493,.T.);
#8960=EDGE_CURVE('',#7087,#7096,#6082,.T.);
#8961=EDGE_CURVE('',#7097,#6947,#6083,.T.);
#8962=EDGE_CURVE('',#7098,#7097,#3494,.T.);
#8963=EDGE_CURVE('',#7099,#7098,#3495,.T.);
#8964=EDGE_CURVE('',#7083,#7099,#3496,.T.);
#8965=EDGE_CURVE('',#6622,#6617,#3497,.T.);
#8966=EDGE_CURVE('',#6616,#6623,#3498,.T.);
#8967=EDGE_CURVE('',#7095,#7091,#3499,.T.);
#8968=EDGE_CURVE('',#7094,#7092,#3500,.T.);
#8969=EDGE_CURVE('',#7089,#7093,#3501,.T.);
#8970=EDGE_CURVE('',#7099,#7100,#3502,.T.);
#8971=EDGE_CURVE('',#7100,#7084,#3503,.T.);
#8972=EDGE_CURVE('',#7098,#7101,#3504,.T.);
#8973=EDGE_CURVE('',#7101,#7100,#3505,.T.);
#8974=EDGE_CURVE('',#7097,#7102,#3506,.T.);
#8975=EDGE_CURVE('',#7102,#7101,#3507,.T.);
#8976=EDGE_CURVE('',#6950,#7102,#6084,.T.);
#8977=EDGE_CURVE('',#7103,#7096,#3508,.T.);
#8978=EDGE_CURVE('',#7103,#7090,#3509,.T.);
#8979=EDGE_CURVE('',#6614,#6625,#3510,.T.);
#8980=EDGE_CURVE('',#6944,#7104,#6085,.T.);
#8981=EDGE_CURVE('',#7104,#7105,#3511,.T.);
#8982=EDGE_CURVE('',#7105,#6945,#6086,.T.);
#8983=EDGE_CURVE('',#7106,#7107,#3512,.T.);
#8984=EDGE_CURVE('',#7106,#7108,#3513,.T.);
#8985=EDGE_CURVE('',#7108,#7109,#3514,.T.);
#8986=EDGE_CURVE('',#7109,#7107,#3515,.T.);
#8987=EDGE_CURVE('',#7107,#7110,#3516,.T.);
#8988=EDGE_CURVE('',#7111,#7109,#6087,.T.);
#8989=EDGE_CURVE('',#6607,#7111,#3517,.T.);
#8990=EDGE_CURVE('',#6610,#6607,#3518,.T.);
#8991=EDGE_CURVE('',#7112,#6610,#3519,.T.);
#8992=EDGE_CURVE('',#7113,#7112,#6088,.T.);
#8993=EDGE_CURVE('',#7110,#7113,#3520,.T.);
#8994=EDGE_CURVE('',#7114,#7106,#3521,.T.);
#8995=EDGE_CURVE('',#7115,#7114,#3522,.T.);
#8996=EDGE_CURVE('',#7116,#7115,#6089,.T.);
#8997=EDGE_CURVE('',#6601,#7116,#3523,.T.);
#8998=EDGE_CURVE('',#6604,#6601,#3524,.T.);
#8999=EDGE_CURVE('',#7117,#6604,#3525,.T.);
#9000=EDGE_CURVE('',#7108,#7117,#6090,.T.);
#9001=EDGE_CURVE('',#7118,#6943,#6091,.T.);
#9002=EDGE_CURVE('',#7119,#7118,#3526,.T.);
#9003=EDGE_CURVE('',#7120,#7119,#3527,.T.);
#9004=EDGE_CURVE('',#7104,#7120,#3528,.T.);
#9005=EDGE_CURVE('',#6608,#6603,#3529,.T.);
#9006=EDGE_CURVE('',#6602,#6609,#3530,.T.);
#9007=EDGE_CURVE('',#7116,#7112,#3531,.T.);
#9008=EDGE_CURVE('',#7115,#7113,#3532,.T.);
#9009=EDGE_CURVE('',#7110,#7114,#3533,.T.);
#9010=EDGE_CURVE('',#7120,#7121,#3534,.T.);
#9011=EDGE_CURVE('',#7121,#7105,#3535,.T.);
#9012=EDGE_CURVE('',#7119,#7122,#3536,.T.);
#9013=EDGE_CURVE('',#7122,#7121,#3537,.T.);
#9014=EDGE_CURVE('',#7118,#7123,#3538,.T.);
#9015=EDGE_CURVE('',#7123,#7122,#3539,.T.);
#9016=EDGE_CURVE('',#6946,#7123,#6092,.T.);
#9017=EDGE_CURVE('',#7124,#7117,#3540,.T.);
#9018=EDGE_CURVE('',#7124,#7111,#3541,.T.);
#9019=EDGE_CURVE('',#6600,#6611,#3542,.T.);
#9020=EDGE_CURVE('',#6940,#7125,#6093,.T.);
#9021=EDGE_CURVE('',#7125,#7126,#3543,.T.);
#9022=EDGE_CURVE('',#7126,#6941,#6094,.T.);
#9023=EDGE_CURVE('',#7127,#7128,#3544,.T.);
#9024=EDGE_CURVE('',#7127,#7129,#3545,.T.);
#9025=EDGE_CURVE('',#7129,#7130,#3546,.T.);
#9026=EDGE_CURVE('',#7130,#7128,#3547,.T.);
#9027=EDGE_CURVE('',#7128,#7131,#3548,.T.);
#9028=EDGE_CURVE('',#7132,#7130,#6095,.T.);
#9029=EDGE_CURVE('',#6593,#7132,#3549,.T.);
#9030=EDGE_CURVE('',#6596,#6593,#3550,.T.);
#9031=EDGE_CURVE('',#7133,#6596,#3551,.T.);
#9032=EDGE_CURVE('',#7134,#7133,#6096,.T.);
#9033=EDGE_CURVE('',#7131,#7134,#3552,.T.);
#9034=EDGE_CURVE('',#7135,#7127,#3553,.T.);
#9035=EDGE_CURVE('',#7136,#7135,#3554,.T.);
#9036=EDGE_CURVE('',#7137,#7136,#6097,.T.);
#9037=EDGE_CURVE('',#6587,#7137,#3555,.T.);
#9038=EDGE_CURVE('',#6590,#6587,#3556,.T.);
#9039=EDGE_CURVE('',#7138,#6590,#3557,.T.);
#9040=EDGE_CURVE('',#7129,#7138,#6098,.T.);
#9041=EDGE_CURVE('',#7139,#6939,#6099,.T.);
#9042=EDGE_CURVE('',#7140,#7139,#3558,.T.);
#9043=EDGE_CURVE('',#7141,#7140,#3559,.T.);
#9044=EDGE_CURVE('',#7125,#7141,#3560,.T.);
#9045=EDGE_CURVE('',#6594,#6589,#3561,.T.);
#9046=EDGE_CURVE('',#6588,#6595,#3562,.T.);
#9047=EDGE_CURVE('',#7137,#7133,#3563,.T.);
#9048=EDGE_CURVE('',#7136,#7134,#3564,.T.);
#9049=EDGE_CURVE('',#7131,#7135,#3565,.T.);
#9050=EDGE_CURVE('',#7141,#7142,#3566,.T.);
#9051=EDGE_CURVE('',#7142,#7126,#3567,.T.);
#9052=EDGE_CURVE('',#7140,#7143,#3568,.T.);
#9053=EDGE_CURVE('',#7143,#7142,#3569,.T.);
#9054=EDGE_CURVE('',#7139,#7144,#3570,.T.);
#9055=EDGE_CURVE('',#7144,#7143,#3571,.T.);
#9056=EDGE_CURVE('',#6942,#7144,#6100,.T.);
#9057=EDGE_CURVE('',#7145,#7138,#3572,.T.);
#9058=EDGE_CURVE('',#7145,#7132,#3573,.T.);
#9059=EDGE_CURVE('',#6586,#6597,#3574,.T.);
#9060=EDGE_CURVE('',#6936,#7146,#6101,.T.);
#9061=EDGE_CURVE('',#7146,#7147,#3575,.T.);
#9062=EDGE_CURVE('',#7147,#6937,#6102,.T.);
#9063=EDGE_CURVE('',#7148,#7149,#3576,.T.);
#9064=EDGE_CURVE('',#7148,#7150,#3577,.T.);
#9065=EDGE_CURVE('',#7150,#7151,#3578,.T.);
#9066=EDGE_CURVE('',#7151,#7149,#3579,.T.);
#9067=EDGE_CURVE('',#7149,#7152,#3580,.T.);
#9068=EDGE_CURVE('',#7153,#7151,#6103,.T.);
#9069=EDGE_CURVE('',#6579,#7153,#3581,.T.);
#9070=EDGE_CURVE('',#6582,#6579,#3582,.T.);
#9071=EDGE_CURVE('',#7154,#6582,#3583,.T.);
#9072=EDGE_CURVE('',#7155,#7154,#6104,.T.);
#9073=EDGE_CURVE('',#7152,#7155,#3584,.T.);
#9074=EDGE_CURVE('',#7156,#7148,#3585,.T.);
#9075=EDGE_CURVE('',#7157,#7156,#3586,.T.);
#9076=EDGE_CURVE('',#7158,#7157,#6105,.T.);
#9077=EDGE_CURVE('',#6573,#7158,#3587,.T.);
#9078=EDGE_CURVE('',#6576,#6573,#3588,.T.);
#9079=EDGE_CURVE('',#7159,#6576,#3589,.T.);
#9080=EDGE_CURVE('',#7150,#7159,#6106,.T.);
#9081=EDGE_CURVE('',#7160,#6935,#6107,.T.);
#9082=EDGE_CURVE('',#7161,#7160,#3590,.T.);
#9083=EDGE_CURVE('',#7162,#7161,#3591,.T.);
#9084=EDGE_CURVE('',#7146,#7162,#3592,.T.);
#9085=EDGE_CURVE('',#6580,#6575,#3593,.T.);
#9086=EDGE_CURVE('',#6574,#6581,#3594,.T.);
#9087=EDGE_CURVE('',#7158,#7154,#3595,.T.);
#9088=EDGE_CURVE('',#7157,#7155,#3596,.T.);
#9089=EDGE_CURVE('',#7152,#7156,#3597,.T.);
#9090=EDGE_CURVE('',#7162,#7163,#3598,.T.);
#9091=EDGE_CURVE('',#7163,#7147,#3599,.T.);
#9092=EDGE_CURVE('',#7161,#7164,#3600,.T.);
#9093=EDGE_CURVE('',#7164,#7163,#3601,.T.);
#9094=EDGE_CURVE('',#7160,#7165,#3602,.T.);
#9095=EDGE_CURVE('',#7165,#7164,#3603,.T.);
#9096=EDGE_CURVE('',#6938,#7165,#6108,.T.);
#9097=EDGE_CURVE('',#7166,#7159,#3604,.T.);
#9098=EDGE_CURVE('',#7166,#7153,#3605,.T.);
#9099=EDGE_CURVE('',#6572,#6583,#3606,.T.);
#9100=EDGE_CURVE('',#6932,#7167,#6109,.T.);
#9101=EDGE_CURVE('',#7167,#7168,#3607,.T.);
#9102=EDGE_CURVE('',#7168,#6933,#6110,.T.);
#9103=EDGE_CURVE('',#7169,#7170,#3608,.T.);
#9104=EDGE_CURVE('',#7169,#7171,#3609,.T.);
#9105=EDGE_CURVE('',#7171,#7172,#3610,.T.);
#9106=EDGE_CURVE('',#7172,#7170,#3611,.T.);
#9107=EDGE_CURVE('',#7170,#7173,#3612,.T.);
#9108=EDGE_CURVE('',#7174,#7172,#6111,.T.);
#9109=EDGE_CURVE('',#6565,#7174,#3613,.T.);
#9110=EDGE_CURVE('',#6568,#6565,#3614,.T.);
#9111=EDGE_CURVE('',#7175,#6568,#3615,.T.);
#9112=EDGE_CURVE('',#7176,#7175,#6112,.T.);
#9113=EDGE_CURVE('',#7173,#7176,#3616,.T.);
#9114=EDGE_CURVE('',#7177,#7169,#3617,.T.);
#9115=EDGE_CURVE('',#7178,#7177,#3618,.T.);
#9116=EDGE_CURVE('',#7179,#7178,#6113,.T.);
#9117=EDGE_CURVE('',#6559,#7179,#3619,.T.);
#9118=EDGE_CURVE('',#6562,#6559,#3620,.T.);
#9119=EDGE_CURVE('',#7180,#6562,#3621,.T.);
#9120=EDGE_CURVE('',#7171,#7180,#6114,.T.);
#9121=EDGE_CURVE('',#7181,#6931,#6115,.T.);
#9122=EDGE_CURVE('',#7182,#7181,#3622,.T.);
#9123=EDGE_CURVE('',#7183,#7182,#3623,.T.);
#9124=EDGE_CURVE('',#7167,#7183,#3624,.T.);
#9125=EDGE_CURVE('',#6566,#6561,#3625,.T.);
#9126=EDGE_CURVE('',#6560,#6567,#3626,.T.);
#9127=EDGE_CURVE('',#7179,#7175,#3627,.T.);
#9128=EDGE_CURVE('',#7178,#7176,#3628,.T.);
#9129=EDGE_CURVE('',#7173,#7177,#3629,.T.);
#9130=EDGE_CURVE('',#7183,#7184,#3630,.T.);
#9131=EDGE_CURVE('',#7184,#7168,#3631,.T.);
#9132=EDGE_CURVE('',#7182,#7185,#3632,.T.);
#9133=EDGE_CURVE('',#7185,#7184,#3633,.T.);
#9134=EDGE_CURVE('',#7181,#7186,#3634,.T.);
#9135=EDGE_CURVE('',#7186,#7185,#3635,.T.);
#9136=EDGE_CURVE('',#6934,#7186,#6116,.T.);
#9137=EDGE_CURVE('',#7187,#7180,#3636,.T.);
#9138=EDGE_CURVE('',#7187,#7174,#3637,.T.);
#9139=EDGE_CURVE('',#6558,#6569,#3638,.T.);
#9140=EDGE_CURVE('',#7188,#7189,#3639,.T.);
#9141=EDGE_CURVE('',#7190,#7188,#3640,.T.);
#9142=EDGE_CURVE('',#7190,#7191,#3641,.T.);
#9143=EDGE_CURVE('',#7189,#7191,#3642,.T.);
#9144=EDGE_CURVE('',#7192,#6928,#6117,.T.);
#9145=EDGE_CURVE('',#7192,#7193,#3643,.T.);
#9146=EDGE_CURVE('',#6929,#7193,#6118,.T.);
#9147=EDGE_CURVE('',#6927,#7194,#6119,.T.);
#9148=EDGE_CURVE('',#7194,#7195,#3644,.T.);
#9149=EDGE_CURVE('',#7195,#7196,#3645,.T.);
#9150=EDGE_CURVE('',#7196,#7192,#3646,.T.);
#9151=EDGE_CURVE('',#7197,#7188,#3647,.T.);
#9152=EDGE_CURVE('',#7197,#7198,#3648,.T.);
#9153=EDGE_CURVE('',#7198,#7199,#6120,.T.);
#9154=EDGE_CURVE('',#7199,#7200,#3649,.T.);
#9155=EDGE_CURVE('',#7201,#7200,#3650,.T.);
#9156=EDGE_CURVE('',#7201,#7202,#3651,.T.);
#9157=EDGE_CURVE('',#7202,#7190,#6121,.T.);
#9158=EDGE_CURVE('',#7193,#7203,#3652,.T.);
#9159=EDGE_CURVE('',#7203,#7204,#3653,.T.);
#9160=EDGE_CURVE('',#7204,#7205,#3654,.T.);
#9161=EDGE_CURVE('',#7205,#6930,#6122,.T.);
#9162=EDGE_CURVE('',#7206,#7207,#3655,.T.);
#9163=EDGE_CURVE('',#7208,#7206,#3656,.T.);
#9164=EDGE_CURVE('',#7209,#7208,#3657,.T.);
#9165=EDGE_CURVE('',#7207,#7209,#3658,.T.);
#9166=EDGE_CURVE('',#7210,#7208,#3659,.T.);
#9167=EDGE_CURVE('',#7211,#7210,#3660,.T.);
#9168=EDGE_CURVE('',#7202,#7211,#3661,.T.);
#9169=EDGE_CURVE('',#7209,#7201,#3662,.T.);
#9170=EDGE_CURVE('',#7191,#7211,#6123,.T.);
#9171=EDGE_CURVE('',#7194,#7205,#3663,.T.);
#9172=EDGE_CURVE('',#7195,#7204,#3664,.T.);
#9173=EDGE_CURVE('',#7196,#7203,#3665,.T.);
#9174=EDGE_CURVE('',#7212,#7197,#3666,.T.);
#9175=EDGE_CURVE('',#7213,#7212,#3667,.T.);
#9176=EDGE_CURVE('',#7198,#7213,#3668,.T.);
#9177=EDGE_CURVE('',#7214,#7213,#6124,.T.);
#9178=EDGE_CURVE('',#7215,#7214,#3669,.T.);
#9179=EDGE_CURVE('',#7215,#7199,#3670,.T.);
#9180=EDGE_CURVE('',#7216,#7214,#3671,.T.);
#9181=EDGE_CURVE('',#7206,#7216,#3672,.T.);
#9182=EDGE_CURVE('',#7200,#7207,#3673,.T.);
#9183=EDGE_CURVE('',#7189,#7212,#3674,.T.);
#9184=EDGE_CURVE('',#7216,#7210,#3675,.T.);
#9185=EDGE_CURVE('',#7217,#7218,#3676,.T.);
#9186=EDGE_CURVE('',#7217,#7219,#3677,.T.);
#9187=EDGE_CURVE('',#7220,#7219,#3678,.T.);
#9188=EDGE_CURVE('',#7220,#7218,#3679,.T.);
#9189=EDGE_CURVE('',#7221,#6501,#3680,.T.);
#9190=EDGE_CURVE('',#7200,#7221,#3681,.T.);
#9191=EDGE_CURVE('',#7218,#7201,#3682,.T.);
#9192=EDGE_CURVE('',#6502,#7220,#3683,.T.);
#9193=EDGE_CURVE('',#7219,#6506,#3684,.T.);
#9194=EDGE_CURVE('',#7210,#7217,#3685,.T.);
#9195=EDGE_CURVE('',#7222,#7216,#3686,.T.);
#9196=EDGE_CURVE('',#6508,#7222,#3687,.T.);
#9197=EDGE_CURVE('',#7223,#7221,#3688,.T.);
#9198=EDGE_CURVE('',#7223,#7224,#3689,.T.);
#9199=EDGE_CURVE('',#7224,#7225,#3690,.T.);
#9200=EDGE_CURVE('',#7225,#7226,#3691,.T.);
#9201=EDGE_CURVE('',#7226,#7227,#3692,.T.);
#9202=EDGE_CURVE('',#7227,#7228,#3693,.T.);
#9203=EDGE_CURVE('',#7228,#7229,#3694,.T.);
#9204=EDGE_CURVE('',#7229,#7230,#3695,.T.);
#9205=EDGE_CURVE('',#7231,#7230,#3696,.T.);
#9206=EDGE_CURVE('',#7231,#7232,#3697,.T.);
#9207=EDGE_CURVE('',#7232,#7233,#3698,.T.);
#9208=EDGE_CURVE('',#7233,#7234,#3699,.T.);
#9209=EDGE_CURVE('',#7234,#7235,#3700,.T.);
#9210=EDGE_CURVE('',#7235,#7236,#3701,.T.);
#9211=EDGE_CURVE('',#7236,#7237,#3702,.T.);
#9212=EDGE_CURVE('',#7237,#7238,#3703,.T.);
#9213=EDGE_CURVE('',#7239,#7238,#3704,.T.);
#9214=EDGE_CURVE('',#7239,#7240,#3705,.T.);
#9215=EDGE_CURVE('',#7240,#7241,#3706,.T.);
#9216=EDGE_CURVE('',#7241,#7242,#3707,.T.);
#9217=EDGE_CURVE('',#7242,#7243,#3708,.T.);
#9218=EDGE_CURVE('',#7243,#7244,#3709,.T.);
#9219=EDGE_CURVE('',#7244,#7245,#3710,.T.);
#9220=EDGE_CURVE('',#7245,#7246,#3711,.T.);
#9221=EDGE_CURVE('',#7247,#7246,#3712,.T.);
#9222=EDGE_CURVE('',#7247,#7248,#3713,.T.);
#9223=EDGE_CURVE('',#7248,#7249,#3714,.T.);
#9224=EDGE_CURVE('',#7249,#7250,#3715,.T.);
#9225=EDGE_CURVE('',#7250,#7251,#3716,.T.);
#9226=EDGE_CURVE('',#7251,#7252,#3717,.T.);
#9227=EDGE_CURVE('',#7252,#7253,#3718,.T.);
#9228=EDGE_CURVE('',#7253,#7254,#3719,.T.);
#9229=EDGE_CURVE('',#7255,#7254,#3720,.T.);
#9230=EDGE_CURVE('',#7255,#7256,#3721,.T.);
#9231=EDGE_CURVE('',#7256,#7257,#3722,.T.);
#9232=EDGE_CURVE('',#7257,#7258,#3723,.T.);
#9233=EDGE_CURVE('',#7258,#7259,#3724,.T.);
#9234=EDGE_CURVE('',#7259,#7260,#3725,.T.);
#9235=EDGE_CURVE('',#7260,#7261,#3726,.T.);
#9236=EDGE_CURVE('',#7261,#7262,#3727,.T.);
#9237=EDGE_CURVE('',#7263,#7262,#3728,.T.);
#9238=EDGE_CURVE('',#7263,#7264,#3729,.T.);
#9239=EDGE_CURVE('',#7264,#7265,#3730,.T.);
#9240=EDGE_CURVE('',#7265,#7266,#3731,.T.);
#9241=EDGE_CURVE('',#7266,#7267,#3732,.T.);
#9242=EDGE_CURVE('',#7267,#7268,#3733,.T.);
#9243=EDGE_CURVE('',#7268,#7269,#3734,.T.);
#9244=EDGE_CURVE('',#7269,#7270,#3735,.T.);
#9245=EDGE_CURVE('',#7271,#7270,#3736,.T.);
#9246=EDGE_CURVE('',#7271,#7272,#3737,.T.);
#9247=EDGE_CURVE('',#7272,#7273,#3738,.T.);
#9248=EDGE_CURVE('',#7273,#7274,#3739,.T.);
#9249=EDGE_CURVE('',#7274,#7275,#3740,.T.);
#9250=EDGE_CURVE('',#7275,#7276,#3741,.T.);
#9251=EDGE_CURVE('',#7276,#7277,#3742,.T.);
#9252=EDGE_CURVE('',#7277,#7278,#3743,.T.);
#9253=EDGE_CURVE('',#7279,#7278,#3744,.T.);
#9254=EDGE_CURVE('',#7279,#7280,#3745,.T.);
#9255=EDGE_CURVE('',#7280,#7281,#3746,.T.);
#9256=EDGE_CURVE('',#7281,#7282,#3747,.T.);
#9257=EDGE_CURVE('',#7282,#7283,#3748,.T.);
#9258=EDGE_CURVE('',#7283,#7284,#3749,.T.);
#9259=EDGE_CURVE('',#7284,#7285,#3750,.T.);
#9260=EDGE_CURVE('',#7285,#7286,#3751,.T.);
#9261=EDGE_CURVE('',#7287,#7286,#3752,.T.);
#9262=EDGE_CURVE('',#7287,#7288,#3753,.T.);
#9263=EDGE_CURVE('',#7288,#7289,#3754,.T.);
#9264=EDGE_CURVE('',#7289,#7290,#3755,.T.);
#9265=EDGE_CURVE('',#7290,#7291,#3756,.T.);
#9266=EDGE_CURVE('',#7291,#7292,#3757,.T.);
#9267=EDGE_CURVE('',#7292,#7293,#3758,.T.);
#9268=EDGE_CURVE('',#7293,#7294,#3759,.T.);
#9269=EDGE_CURVE('',#6555,#7294,#3760,.T.);
#9270=EDGE_CURVE('',#6555,#7295,#3761,.T.);
#9271=EDGE_CURVE('',#7295,#7296,#3762,.T.);
#9272=EDGE_CURVE('',#7297,#7296,#3763,.T.);
#9273=EDGE_CURVE('',#7222,#7297,#3764,.T.);
#9274=EDGE_CURVE('',#7298,#7299,#3765,.T.);
#9275=EDGE_CURVE('',#7299,#7300,#3766,.T.);
#9276=EDGE_CURVE('',#7300,#7301,#3767,.T.);
#9277=EDGE_CURVE('',#7301,#7298,#3768,.T.);
#9278=EDGE_CURVE('',#7302,#7303,#3769,.T.);
#9279=EDGE_CURVE('',#7303,#7304,#3770,.T.);
#9280=EDGE_CURVE('',#7304,#7305,#3771,.T.);
#9281=EDGE_CURVE('',#7305,#7302,#3772,.T.);
#9282=EDGE_CURVE('',#7306,#7307,#3773,.T.);
#9283=EDGE_CURVE('',#7307,#7308,#3774,.T.);
#9284=EDGE_CURVE('',#7308,#7309,#3775,.T.);
#9285=EDGE_CURVE('',#7309,#7306,#3776,.T.);
#9286=EDGE_CURVE('',#7310,#7311,#3777,.T.);
#9287=EDGE_CURVE('',#7311,#7312,#3778,.T.);
#9288=EDGE_CURVE('',#7312,#7313,#3779,.T.);
#9289=EDGE_CURVE('',#7313,#7310,#3780,.T.);
#9290=EDGE_CURVE('',#7314,#7315,#3781,.T.);
#9291=EDGE_CURVE('',#7315,#7316,#3782,.T.);
#9292=EDGE_CURVE('',#7316,#7317,#3783,.T.);
#9293=EDGE_CURVE('',#7317,#7314,#3784,.T.);
#9294=EDGE_CURVE('',#7318,#7319,#3785,.T.);
#9295=EDGE_CURVE('',#7319,#7320,#3786,.T.);
#9296=EDGE_CURVE('',#7320,#7321,#3787,.T.);
#9297=EDGE_CURVE('',#7321,#7318,#3788,.T.);
#9298=EDGE_CURVE('',#7322,#7323,#3789,.T.);
#9299=EDGE_CURVE('',#7323,#7324,#3790,.T.);
#9300=EDGE_CURVE('',#7324,#7325,#3791,.T.);
#9301=EDGE_CURVE('',#7325,#7322,#3792,.T.);
#9302=EDGE_CURVE('',#7326,#7327,#3793,.T.);
#9303=EDGE_CURVE('',#7327,#7328,#3794,.T.);
#9304=EDGE_CURVE('',#7328,#7329,#3795,.T.);
#9305=EDGE_CURVE('',#7329,#7326,#3796,.T.);
#9306=EDGE_CURVE('',#7330,#7331,#3797,.T.);
#9307=EDGE_CURVE('',#7331,#7332,#3798,.T.);
#9308=EDGE_CURVE('',#7332,#7333,#3799,.T.);
#9309=EDGE_CURVE('',#7333,#7330,#3800,.T.);
#9310=EDGE_CURVE('',#6491,#7223,#3801,.T.);
#9311=EDGE_CURVE('',#7334,#7331,#3802,.T.);
#9312=EDGE_CURVE('',#7334,#7335,#3803,.T.);
#9313=EDGE_CURVE('',#7332,#7335,#3804,.T.);
#9314=EDGE_CURVE('',#7336,#6924,#6125,.T.);
#9315=EDGE_CURVE('',#7336,#7337,#3805,.T.);
#9316=EDGE_CURVE('',#6925,#7337,#6126,.T.);
#9317=EDGE_CURVE('',#6923,#7338,#6127,.T.);
#9318=EDGE_CURVE('',#7338,#7339,#3806,.T.);
#9319=EDGE_CURVE('',#7339,#7340,#3807,.T.);
#9320=EDGE_CURVE('',#7340,#7336,#3808,.T.);
#9321=EDGE_CURVE('',#7330,#7341,#3809,.T.);
#9322=EDGE_CURVE('',#7341,#7342,#6128,.T.);
#9323=EDGE_CURVE('',#7342,#7229,#3810,.T.);
#9324=EDGE_CURVE('',#7228,#7343,#3811,.T.);
#9325=EDGE_CURVE('',#7343,#7334,#6129,.T.);
#9326=EDGE_CURVE('',#7337,#7344,#3812,.T.);
#9327=EDGE_CURVE('',#7344,#7345,#3813,.T.);
#9328=EDGE_CURVE('',#7345,#7346,#3814,.T.);
#9329=EDGE_CURVE('',#7346,#6926,#6130,.T.);
#9330=EDGE_CURVE('',#7347,#7348,#3815,.T.);
#9331=EDGE_CURVE('',#7349,#7347,#3816,.T.);
#9332=EDGE_CURVE('',#7350,#7349,#3817,.T.);
#9333=EDGE_CURVE('',#7348,#7350,#3818,.T.);
#9334=EDGE_CURVE('',#7225,#7349,#3819,.T.);
#9335=EDGE_CURVE('',#7351,#7225,#3820,.T.);
#9336=EDGE_CURVE('',#7343,#7351,#3821,.T.);
#9337=EDGE_CURVE('',#7350,#7228,#3822,.T.);
#9338=EDGE_CURVE('',#7335,#7351,#6131,.T.);
#9339=EDGE_CURVE('',#7338,#7346,#3823,.T.);
#9340=EDGE_CURVE('',#7339,#7345,#3824,.T.);
#9341=EDGE_CURVE('',#7340,#7344,#3825,.T.);
#9342=EDGE_CURVE('',#7352,#7333,#3826,.T.);
#9343=EDGE_CURVE('',#7341,#7352,#3827,.T.);
#9344=EDGE_CURVE('',#7353,#7352,#6132,.T.);
#9345=EDGE_CURVE('',#7354,#7353,#3828,.T.);
#9346=EDGE_CURVE('',#7354,#7342,#3829,.T.);
#9347=EDGE_CURVE('',#7224,#7353,#3830,.T.);
#9348=EDGE_CURVE('',#7347,#7224,#3831,.T.);
#9349=EDGE_CURVE('',#7229,#7348,#3832,.T.);
#9350=EDGE_CURVE('',#7226,#7355,#3833,.T.);
#9351=EDGE_CURVE('',#7356,#7355,#3834,.T.);
#9352=EDGE_CURVE('',#7356,#7227,#3835,.T.);
#9353=EDGE_CURVE('',#7230,#6484,#3836,.T.);
#9354=EDGE_CURVE('',#6485,#7356,#3837,.T.);
#9355=EDGE_CURVE('',#7355,#6489,#3838,.T.);
#9356=EDGE_CURVE('',#6474,#7231,#3839,.T.);
#9357=EDGE_CURVE('',#7357,#7299,#3840,.T.);
#9358=EDGE_CURVE('',#7357,#7358,#3841,.T.);
#9359=EDGE_CURVE('',#7300,#7358,#3842,.T.);
#9360=EDGE_CURVE('',#7359,#6920,#6133,.T.);
#9361=EDGE_CURVE('',#7359,#7360,#3843,.T.);
#9362=EDGE_CURVE('',#6921,#7360,#6134,.T.);
#9363=EDGE_CURVE('',#6919,#7361,#6135,.T.);
#9364=EDGE_CURVE('',#7361,#7362,#3844,.T.);
#9365=EDGE_CURVE('',#7362,#7363,#3845,.T.);
#9366=EDGE_CURVE('',#7363,#7359,#3846,.T.);
#9367=EDGE_CURVE('',#7298,#7364,#3847,.T.);
#9368=EDGE_CURVE('',#7364,#7365,#6136,.T.);
#9369=EDGE_CURVE('',#7365,#7237,#3848,.T.);
#9370=EDGE_CURVE('',#7236,#7366,#3849,.T.);
#9371=EDGE_CURVE('',#7366,#7357,#6137,.T.);
#9372=EDGE_CURVE('',#7360,#7367,#3850,.T.);
#9373=EDGE_CURVE('',#7367,#7368,#3851,.T.);
#9374=EDGE_CURVE('',#7368,#7369,#3852,.T.);
#9375=EDGE_CURVE('',#7369,#6922,#6138,.T.);
#9376=EDGE_CURVE('',#7370,#7371,#3853,.T.);
#9377=EDGE_CURVE('',#7372,#7370,#3854,.T.);
#9378=EDGE_CURVE('',#7373,#7372,#3855,.T.);
#9379=EDGE_CURVE('',#7371,#7373,#3856,.T.);
#9380=EDGE_CURVE('',#7233,#7372,#3857,.T.);
#9381=EDGE_CURVE('',#7374,#7233,#3858,.T.);
#9382=EDGE_CURVE('',#7366,#7374,#3859,.T.);
#9383=EDGE_CURVE('',#7373,#7236,#3860,.T.);
#9384=EDGE_CURVE('',#7358,#7374,#6139,.T.);
#9385=EDGE_CURVE('',#7361,#7369,#3861,.T.);
#9386=EDGE_CURVE('',#7362,#7368,#3862,.T.);
#9387=EDGE_CURVE('',#7363,#7367,#3863,.T.);
#9388=EDGE_CURVE('',#7375,#7301,#3864,.T.);
#9389=EDGE_CURVE('',#7364,#7375,#3865,.T.);
#9390=EDGE_CURVE('',#7376,#7375,#6140,.T.);
#9391=EDGE_CURVE('',#7377,#7376,#3866,.T.);
#9392=EDGE_CURVE('',#7377,#7365,#3867,.T.);
#9393=EDGE_CURVE('',#7232,#7376,#3868,.T.);
#9394=EDGE_CURVE('',#7370,#7232,#3869,.T.);
#9395=EDGE_CURVE('',#7237,#7371,#3870,.T.);
#9396=EDGE_CURVE('',#7234,#7378,#3871,.T.);
#9397=EDGE_CURVE('',#7379,#7378,#3872,.T.);
#9398=EDGE_CURVE('',#7379,#7235,#3873,.T.);
#9399=EDGE_CURVE('',#7238,#6467,#3874,.T.);
#9400=EDGE_CURVE('',#6468,#7379,#3875,.T.);
#9401=EDGE_CURVE('',#7378,#6472,#3876,.T.);
#9402=EDGE_CURVE('',#6457,#7239,#3877,.T.);
#9403=EDGE_CURVE('',#7380,#7327,#3878,.T.);
#9404=EDGE_CURVE('',#7380,#7381,#3879,.T.);
#9405=EDGE_CURVE('',#7328,#7381,#3880,.T.);
#9406=EDGE_CURVE('',#7382,#6916,#6141,.T.);
#9407=EDGE_CURVE('',#7382,#7383,#3881,.T.);
#9408=EDGE_CURVE('',#6917,#7383,#6142,.T.);
#9409=EDGE_CURVE('',#6915,#7384,#6143,.T.);
#9410=EDGE_CURVE('',#7384,#7385,#3882,.T.);
#9411=EDGE_CURVE('',#7385,#7386,#3883,.T.);
#9412=EDGE_CURVE('',#7386,#7382,#3884,.T.);
#9413=EDGE_CURVE('',#7326,#7387,#3885,.T.);
#9414=EDGE_CURVE('',#7387,#7388,#6144,.T.);
#9415=EDGE_CURVE('',#7388,#7245,#3886,.T.);
#9416=EDGE_CURVE('',#7244,#7389,#3887,.T.);
#9417=EDGE_CURVE('',#7389,#7380,#6145,.T.);
#9418=EDGE_CURVE('',#7383,#7390,#3888,.T.);
#9419=EDGE_CURVE('',#7390,#7391,#3889,.T.);
#9420=EDGE_CURVE('',#7391,#7392,#3890,.T.);
#9421=EDGE_CURVE('',#7392,#6918,#6146,.T.);
#9422=EDGE_CURVE('',#7393,#7394,#3891,.T.);
#9423=EDGE_CURVE('',#7395,#7393,#3892,.T.);
#9424=EDGE_CURVE('',#7396,#7395,#3893,.T.);
#9425=EDGE_CURVE('',#7394,#7396,#3894,.T.);
#9426=EDGE_CURVE('',#7241,#7395,#3895,.T.);
#9427=EDGE_CURVE('',#7397,#7241,#3896,.T.);
#9428=EDGE_CURVE('',#7389,#7397,#3897,.T.);
#9429=EDGE_CURVE('',#7396,#7244,#3898,.T.);
#9430=EDGE_CURVE('',#7381,#7397,#6147,.T.);
#9431=EDGE_CURVE('',#7384,#7392,#3899,.T.);
#9432=EDGE_CURVE('',#7385,#7391,#3900,.T.);
#9433=EDGE_CURVE('',#7386,#7390,#3901,.T.);
#9434=EDGE_CURVE('',#7398,#7329,#3902,.T.);
#9435=EDGE_CURVE('',#7387,#7398,#3903,.T.);
#9436=EDGE_CURVE('',#7399,#7398,#6148,.T.);
#9437=EDGE_CURVE('',#7400,#7399,#3904,.T.);
#9438=EDGE_CURVE('',#7400,#7388,#3905,.T.);
#9439=EDGE_CURVE('',#7240,#7399,#3906,.T.);
#9440=EDGE_CURVE('',#7393,#7240,#3907,.T.);
#9441=EDGE_CURVE('',#7245,#7394,#3908,.T.);
#9442=EDGE_CURVE('',#7242,#7401,#3909,.T.);
#9443=EDGE_CURVE('',#7402,#7401,#3910,.T.);
#9444=EDGE_CURVE('',#7402,#7243,#3911,.T.);
#9445=EDGE_CURVE('',#7246,#6450,#3912,.T.);
#9446=EDGE_CURVE('',#6451,#7402,#3913,.T.);
#9447=EDGE_CURVE('',#7401,#6455,#3914,.T.);
#9448=EDGE_CURVE('',#6440,#7247,#3915,.T.);
#9449=EDGE_CURVE('',#7403,#7303,#3916,.T.);
#9450=EDGE_CURVE('',#7403,#7404,#3917,.T.);
#9451=EDGE_CURVE('',#7304,#7404,#3918,.T.);
#9452=EDGE_CURVE('',#7405,#6912,#6149,.T.);
#9453=EDGE_CURVE('',#7405,#7406,#3919,.T.);
#9454=EDGE_CURVE('',#6913,#7406,#6150,.T.);
#9455=EDGE_CURVE('',#6911,#7407,#6151,.T.);
#9456=EDGE_CURVE('',#7407,#7408,#3920,.T.);
#9457=EDGE_CURVE('',#7408,#7409,#3921,.T.);
#9458=EDGE_CURVE('',#7409,#7405,#3922,.T.);
#9459=EDGE_CURVE('',#7302,#7410,#3923,.T.);
#9460=EDGE_CURVE('',#7410,#7411,#6152,.T.);
#9461=EDGE_CURVE('',#7411,#7253,#3924,.T.);
#9462=EDGE_CURVE('',#7252,#7412,#3925,.T.);
#9463=EDGE_CURVE('',#7412,#7403,#6153,.T.);
#9464=EDGE_CURVE('',#7406,#7413,#3926,.T.);
#9465=EDGE_CURVE('',#7413,#7414,#3927,.T.);
#9466=EDGE_CURVE('',#7414,#7415,#3928,.T.);
#9467=EDGE_CURVE('',#7415,#6914,#6154,.T.);
#9468=EDGE_CURVE('',#7416,#7417,#3929,.T.);
#9469=EDGE_CURVE('',#7418,#7416,#3930,.T.);
#9470=EDGE_CURVE('',#7419,#7418,#3931,.T.);
#9471=EDGE_CURVE('',#7417,#7419,#3932,.T.);
#9472=EDGE_CURVE('',#7249,#7418,#3933,.T.);
#9473=EDGE_CURVE('',#7420,#7249,#3934,.T.);
#9474=EDGE_CURVE('',#7412,#7420,#3935,.T.);
#9475=EDGE_CURVE('',#7419,#7252,#3936,.T.);
#9476=EDGE_CURVE('',#7404,#7420,#6155,.T.);
#9477=EDGE_CURVE('',#7407,#7415,#3937,.T.);
#9478=EDGE_CURVE('',#7408,#7414,#3938,.T.);
#9479=EDGE_CURVE('',#7409,#7413,#3939,.T.);
#9480=EDGE_CURVE('',#7421,#7305,#3940,.T.);
#9481=EDGE_CURVE('',#7410,#7421,#3941,.T.);
#9482=EDGE_CURVE('',#7422,#7421,#6156,.T.);
#9483=EDGE_CURVE('',#7423,#7422,#3942,.T.);
#9484=EDGE_CURVE('',#7423,#7411,#3943,.T.);
#9485=EDGE_CURVE('',#7248,#7422,#3944,.T.);
#9486=EDGE_CURVE('',#7416,#7248,#3945,.T.);
#9487=EDGE_CURVE('',#7253,#7417,#3946,.T.);
#9488=EDGE_CURVE('',#7250,#7424,#3947,.T.);
#9489=EDGE_CURVE('',#7425,#7424,#3948,.T.);
#9490=EDGE_CURVE('',#7425,#7251,#3949,.T.);
#9491=EDGE_CURVE('',#7254,#6433,#3950,.T.);
#9492=EDGE_CURVE('',#6434,#7425,#3951,.T.);
#9493=EDGE_CURVE('',#7424,#6438,#3952,.T.);
#9494=EDGE_CURVE('',#6423,#7255,#3953,.T.);
#9495=EDGE_CURVE('',#7426,#7323,#3954,.T.);
#9496=EDGE_CURVE('',#7426,#7427,#3955,.T.);
#9497=EDGE_CURVE('',#7324,#7427,#3956,.T.);
#9498=EDGE_CURVE('',#7428,#6908,#6157,.T.);
#9499=EDGE_CURVE('',#7428,#7429,#3957,.T.);
#9500=EDGE_CURVE('',#6909,#7429,#6158,.T.);
#9501=EDGE_CURVE('',#6907,#7430,#6159,.T.);
#9502=EDGE_CURVE('',#7430,#7431,#3958,.T.);
#9503=EDGE_CURVE('',#7431,#7432,#3959,.T.);
#9504=EDGE_CURVE('',#7432,#7428,#3960,.T.);
#9505=EDGE_CURVE('',#7322,#7433,#3961,.T.);
#9506=EDGE_CURVE('',#7433,#7434,#6160,.T.);
#9507=EDGE_CURVE('',#7434,#7261,#3962,.T.);
#9508=EDGE_CURVE('',#7260,#7435,#3963,.T.);
#9509=EDGE_CURVE('',#7435,#7426,#6161,.T.);
#9510=EDGE_CURVE('',#7429,#7436,#3964,.T.);
#9511=EDGE_CURVE('',#7436,#7437,#3965,.T.);
#9512=EDGE_CURVE('',#7437,#7438,#3966,.T.);
#9513=EDGE_CURVE('',#7438,#6910,#6162,.T.);
#9514=EDGE_CURVE('',#7439,#7440,#3967,.T.);
#9515=EDGE_CURVE('',#7441,#7439,#3968,.T.);
#9516=EDGE_CURVE('',#7442,#7441,#3969,.T.);
#9517=EDGE_CURVE('',#7440,#7442,#3970,.T.);
#9518=EDGE_CURVE('',#7257,#7441,#3971,.T.);
#9519=EDGE_CURVE('',#7443,#7257,#3972,.T.);
#9520=EDGE_CURVE('',#7435,#7443,#3973,.T.);
#9521=EDGE_CURVE('',#7442,#7260,#3974,.T.);
#9522=EDGE_CURVE('',#7427,#7443,#6163,.T.);
#9523=EDGE_CURVE('',#7430,#7438,#3975,.T.);
#9524=EDGE_CURVE('',#7431,#7437,#3976,.T.);
#9525=EDGE_CURVE('',#7432,#7436,#3977,.T.);
#9526=EDGE_CURVE('',#7444,#7325,#3978,.T.);
#9527=EDGE_CURVE('',#7433,#7444,#3979,.T.);
#9528=EDGE_CURVE('',#7445,#7444,#6164,.T.);
#9529=EDGE_CURVE('',#7446,#7445,#3980,.T.);
#9530=EDGE_CURVE('',#7446,#7434,#3981,.T.);
#9531=EDGE_CURVE('',#7256,#7445,#3982,.T.);
#9532=EDGE_CURVE('',#7439,#7256,#3983,.T.);
#9533=EDGE_CURVE('',#7261,#7440,#3984,.T.);
#9534=EDGE_CURVE('',#7258,#7447,#3985,.T.);
#9535=EDGE_CURVE('',#7448,#7447,#3986,.T.);
#9536=EDGE_CURVE('',#7448,#7259,#3987,.T.);
#9537=EDGE_CURVE('',#7262,#6416,#3988,.T.);
#9538=EDGE_CURVE('',#6417,#7448,#3989,.T.);
#9539=EDGE_CURVE('',#7447,#6421,#3990,.T.);
#9540=EDGE_CURVE('',#6406,#7263,#3991,.T.);
#9541=EDGE_CURVE('',#7449,#7307,#3992,.T.);
#9542=EDGE_CURVE('',#7449,#7450,#3993,.T.);
#9543=EDGE_CURVE('',#7308,#7450,#3994,.T.);
#9544=EDGE_CURVE('',#7451,#6904,#6165,.T.);
#9545=EDGE_CURVE('',#7451,#7452,#3995,.T.);
#9546=EDGE_CURVE('',#6905,#7452,#6166,.T.);
#9547=EDGE_CURVE('',#6903,#7453,#6167,.T.);
#9548=EDGE_CURVE('',#7453,#7454,#3996,.T.);
#9549=EDGE_CURVE('',#7454,#7455,#3997,.T.);
#9550=EDGE_CURVE('',#7455,#7451,#3998,.T.);
#9551=EDGE_CURVE('',#7306,#7456,#3999,.T.);
#9552=EDGE_CURVE('',#7456,#7457,#6168,.T.);
#9553=EDGE_CURVE('',#7457,#7269,#4000,.T.);
#9554=EDGE_CURVE('',#7268,#7458,#4001,.T.);
#9555=EDGE_CURVE('',#7458,#7449,#6169,.T.);
#9556=EDGE_CURVE('',#7452,#7459,#4002,.T.);
#9557=EDGE_CURVE('',#7459,#7460,#4003,.T.);
#9558=EDGE_CURVE('',#7460,#7461,#4004,.T.);
#9559=EDGE_CURVE('',#7461,#6906,#6170,.T.);
#9560=EDGE_CURVE('',#7462,#7463,#4005,.T.);
#9561=EDGE_CURVE('',#7464,#7462,#4006,.T.);
#9562=EDGE_CURVE('',#7465,#7464,#4007,.T.);
#9563=EDGE_CURVE('',#7463,#7465,#4008,.T.);
#9564=EDGE_CURVE('',#7265,#7464,#4009,.T.);
#9565=EDGE_CURVE('',#7466,#7265,#4010,.T.);
#9566=EDGE_CURVE('',#7458,#7466,#4011,.T.);
#9567=EDGE_CURVE('',#7465,#7268,#4012,.T.);
#9568=EDGE_CURVE('',#7450,#7466,#6171,.T.);
#9569=EDGE_CURVE('',#7453,#7461,#4013,.T.);
#9570=EDGE_CURVE('',#7454,#7460,#4014,.T.);
#9571=EDGE_CURVE('',#7455,#7459,#4015,.T.);
#9572=EDGE_CURVE('',#7467,#7309,#4016,.T.);
#9573=EDGE_CURVE('',#7456,#7467,#4017,.T.);
#9574=EDGE_CURVE('',#7468,#7467,#6172,.T.);
#9575=EDGE_CURVE('',#7469,#7468,#4018,.T.);
#9576=EDGE_CURVE('',#7469,#7457,#4019,.T.);
#9577=EDGE_CURVE('',#7264,#7468,#4020,.T.);
#9578=EDGE_CURVE('',#7462,#7264,#4021,.T.);
#9579=EDGE_CURVE('',#7269,#7463,#4022,.T.);
#9580=EDGE_CURVE('',#7266,#7470,#4023,.T.);
#9581=EDGE_CURVE('',#7471,#7470,#4024,.T.);
#9582=EDGE_CURVE('',#7471,#7267,#4025,.T.);
#9583=EDGE_CURVE('',#7270,#6399,#4026,.T.);
#9584=EDGE_CURVE('',#6400,#7471,#4027,.T.);
#9585=EDGE_CURVE('',#7470,#6404,#4028,.T.);
#9586=EDGE_CURVE('',#6389,#7271,#4029,.T.);
#9587=EDGE_CURVE('',#7472,#7319,#4030,.T.);
#9588=EDGE_CURVE('',#7472,#7473,#4031,.T.);
#9589=EDGE_CURVE('',#7320,#7473,#4032,.T.);
#9590=EDGE_CURVE('',#7474,#6900,#6173,.T.);
#9591=EDGE_CURVE('',#7474,#7475,#4033,.T.);
#9592=EDGE_CURVE('',#6901,#7475,#6174,.T.);
#9593=EDGE_CURVE('',#6899,#7476,#6175,.T.);
#9594=EDGE_CURVE('',#7476,#7477,#4034,.T.);
#9595=EDGE_CURVE('',#7477,#7478,#4035,.T.);
#9596=EDGE_CURVE('',#7478,#7474,#4036,.T.);
#9597=EDGE_CURVE('',#7318,#7479,#4037,.T.);
#9598=EDGE_CURVE('',#7479,#7480,#6176,.T.);
#9599=EDGE_CURVE('',#7480,#7277,#4038,.T.);
#9600=EDGE_CURVE('',#7276,#7481,#4039,.T.);
#9601=EDGE_CURVE('',#7481,#7472,#6177,.T.);
#9602=EDGE_CURVE('',#7475,#7482,#4040,.T.);
#9603=EDGE_CURVE('',#7482,#7483,#4041,.T.);
#9604=EDGE_CURVE('',#7483,#7484,#4042,.T.);
#9605=EDGE_CURVE('',#7484,#6902,#6178,.T.);
#9606=EDGE_CURVE('',#7485,#7486,#4043,.T.);
#9607=EDGE_CURVE('',#7487,#7485,#4044,.T.);
#9608=EDGE_CURVE('',#7488,#7487,#4045,.T.);
#9609=EDGE_CURVE('',#7486,#7488,#4046,.T.);
#9610=EDGE_CURVE('',#7273,#7487,#4047,.T.);
#9611=EDGE_CURVE('',#7489,#7273,#4048,.T.);
#9612=EDGE_CURVE('',#7481,#7489,#4049,.T.);
#9613=EDGE_CURVE('',#7488,#7276,#4050,.T.);
#9614=EDGE_CURVE('',#7473,#7489,#6179,.T.);
#9615=EDGE_CURVE('',#7476,#7484,#4051,.T.);
#9616=EDGE_CURVE('',#7477,#7483,#4052,.T.);
#9617=EDGE_CURVE('',#7478,#7482,#4053,.T.);
#9618=EDGE_CURVE('',#7490,#7321,#4054,.T.);
#9619=EDGE_CURVE('',#7479,#7490,#4055,.T.);
#9620=EDGE_CURVE('',#7491,#7490,#6180,.T.);
#9621=EDGE_CURVE('',#7492,#7491,#4056,.T.);
#9622=EDGE_CURVE('',#7492,#7480,#4057,.T.);
#9623=EDGE_CURVE('',#7272,#7491,#4058,.T.);
#9624=EDGE_CURVE('',#7485,#7272,#4059,.T.);
#9625=EDGE_CURVE('',#7277,#7486,#4060,.T.);
#9626=EDGE_CURVE('',#7274,#7493,#4061,.T.);
#9627=EDGE_CURVE('',#7494,#7493,#4062,.T.);
#9628=EDGE_CURVE('',#7494,#7275,#4063,.T.);
#9629=EDGE_CURVE('',#7278,#6382,#4064,.T.);
#9630=EDGE_CURVE('',#6383,#7494,#4065,.T.);
#9631=EDGE_CURVE('',#7493,#6387,#4066,.T.);
#9632=EDGE_CURVE('',#6372,#7279,#4067,.T.);
#9633=EDGE_CURVE('',#7495,#7311,#4068,.T.);
#9634=EDGE_CURVE('',#7495,#7496,#4069,.T.);
#9635=EDGE_CURVE('',#7312,#7496,#4070,.T.);
#9636=EDGE_CURVE('',#7497,#6896,#6181,.T.);
#9637=EDGE_CURVE('',#7497,#7498,#4071,.T.);
#9638=EDGE_CURVE('',#6897,#7498,#6182,.T.);
#9639=EDGE_CURVE('',#6895,#7499,#6183,.T.);
#9640=EDGE_CURVE('',#7499,#7500,#4072,.T.);
#9641=EDGE_CURVE('',#7500,#7501,#4073,.T.);
#9642=EDGE_CURVE('',#7501,#7497,#4074,.T.);
#9643=EDGE_CURVE('',#7310,#7502,#4075,.T.);
#9644=EDGE_CURVE('',#7502,#7503,#6184,.T.);
#9645=EDGE_CURVE('',#7503,#7285,#4076,.T.);
#9646=EDGE_CURVE('',#7284,#7504,#4077,.T.);
#9647=EDGE_CURVE('',#7504,#7495,#6185,.T.);
#9648=EDGE_CURVE('',#7498,#7505,#4078,.T.);
#9649=EDGE_CURVE('',#7505,#7506,#4079,.T.);
#9650=EDGE_CURVE('',#7506,#7507,#4080,.T.);
#9651=EDGE_CURVE('',#7507,#6898,#6186,.T.);
#9652=EDGE_CURVE('',#7508,#7509,#4081,.T.);
#9653=EDGE_CURVE('',#7510,#7508,#4082,.T.);
#9654=EDGE_CURVE('',#7511,#7510,#4083,.T.);
#9655=EDGE_CURVE('',#7509,#7511,#4084,.T.);
#9656=EDGE_CURVE('',#7281,#7510,#4085,.T.);
#9657=EDGE_CURVE('',#7512,#7281,#4086,.T.);
#9658=EDGE_CURVE('',#7504,#7512,#4087,.T.);
#9659=EDGE_CURVE('',#7511,#7284,#4088,.T.);
#9660=EDGE_CURVE('',#7496,#7512,#6187,.T.);
#9661=EDGE_CURVE('',#7499,#7507,#4089,.T.);
#9662=EDGE_CURVE('',#7500,#7506,#4090,.T.);
#9663=EDGE_CURVE('',#7501,#7505,#4091,.T.);
#9664=EDGE_CURVE('',#7513,#7313,#4092,.T.);
#9665=EDGE_CURVE('',#7502,#7513,#4093,.T.);
#9666=EDGE_CURVE('',#7514,#7513,#6188,.T.);
#9667=EDGE_CURVE('',#7515,#7514,#4094,.T.);
#9668=EDGE_CURVE('',#7515,#7503,#4095,.T.);
#9669=EDGE_CURVE('',#7280,#7514,#4096,.T.);
#9670=EDGE_CURVE('',#7508,#7280,#4097,.T.);
#9671=EDGE_CURVE('',#7285,#7509,#4098,.T.);
#9672=EDGE_CURVE('',#7282,#7516,#4099,.T.);
#9673=EDGE_CURVE('',#7517,#7516,#4100,.T.);
#9674=EDGE_CURVE('',#7517,#7283,#4101,.T.);
#9675=EDGE_CURVE('',#7286,#6365,#4102,.T.);
#9676=EDGE_CURVE('',#6366,#7517,#4103,.T.);
#9677=EDGE_CURVE('',#7516,#6370,#4104,.T.);
#9678=EDGE_CURVE('',#6552,#7287,#4105,.T.);
#9679=EDGE_CURVE('',#7518,#6892,#6189,.T.);
#9680=EDGE_CURVE('',#7518,#7519,#4106,.T.);
#9681=EDGE_CURVE('',#6893,#7519,#6190,.T.);
#9682=EDGE_CURVE('',#7520,#7315,#4107,.T.);
#9683=EDGE_CURVE('',#7520,#7521,#4108,.T.);
#9684=EDGE_CURVE('',#7316,#7521,#4109,.T.);
#9685=EDGE_CURVE('',#7314,#7522,#4110,.T.);
#9686=EDGE_CURVE('',#7522,#7523,#6191,.T.);
#9687=EDGE_CURVE('',#7523,#7293,#4111,.T.);
#9688=EDGE_CURVE('',#7292,#7524,#4112,.T.);
#9689=EDGE_CURVE('',#7524,#7520,#6192,.T.);
#9690=EDGE_CURVE('',#6891,#7525,#6193,.T.);
#9691=EDGE_CURVE('',#7525,#7526,#4113,.T.);
#9692=EDGE_CURVE('',#7526,#7527,#4114,.T.);
#9693=EDGE_CURVE('',#7527,#7518,#4115,.T.);
#9694=EDGE_CURVE('',#7521,#7528,#6194,.T.);
#9695=EDGE_CURVE('',#7528,#7289,#4116,.T.);
#9696=EDGE_CURVE('',#7288,#7529,#4117,.T.);
#9697=EDGE_CURVE('',#7529,#7530,#6195,.T.);
#9698=EDGE_CURVE('',#7530,#7317,#4118,.T.);
#9699=EDGE_CURVE('',#7531,#7532,#4119,.T.);
#9700=EDGE_CURVE('',#7533,#7531,#4120,.T.);
#9701=EDGE_CURVE('',#7534,#7533,#4121,.T.);
#9702=EDGE_CURVE('',#7532,#7534,#4122,.T.);
#9703=EDGE_CURVE('',#7289,#7533,#4123,.T.);
#9704=EDGE_CURVE('',#7524,#7528,#4124,.T.);
#9705=EDGE_CURVE('',#7534,#7292,#4125,.T.);
#9706=EDGE_CURVE('',#7535,#6894,#6196,.T.);
#9707=EDGE_CURVE('',#7525,#7535,#4126,.T.);
#9708=EDGE_CURVE('',#7536,#7535,#4127,.T.);
#9709=EDGE_CURVE('',#7526,#7536,#4128,.T.);
#9710=EDGE_CURVE('',#7537,#7536,#4129,.T.);
#9711=EDGE_CURVE('',#7527,#7537,#4130,.T.);
#9712=EDGE_CURVE('',#7519,#7537,#4131,.T.);
#9713=EDGE_CURVE('',#7522,#7530,#4132,.T.);
#9714=EDGE_CURVE('',#7538,#7529,#4133,.T.);
#9715=EDGE_CURVE('',#7538,#7523,#4134,.T.);
#9716=EDGE_CURVE('',#7531,#7288,#4135,.T.);
#9717=EDGE_CURVE('',#7293,#7532,#4136,.T.);
#9718=EDGE_CURVE('',#7294,#6553,#4137,.T.);
#9719=EDGE_CURVE('',#7539,#7291,#4138,.T.);
#9720=EDGE_CURVE('',#6350,#7539,#4139,.T.);
#9721=EDGE_CURVE('',#7539,#7540,#4140,.T.);
#9722=EDGE_CURVE('',#7540,#6351,#4141,.T.);
#9723=EDGE_CURVE('',#7290,#7540,#4142,.T.);
#9724=EDGE_CURVE('',#7297,#6511,#4143,.T.);
#9725=EDGE_CURVE('',#6889,#6330,#4144,.T.);
#9726=EDGE_CURVE('',#7297,#6696,#4145,.T.);
#9727=EDGE_CURVE('',#7296,#7541,#4146,.T.);
#9728=EDGE_CURVE('',#6696,#7541,#4147,.T.);
#9729=EDGE_CURVE('',#6554,#7542,#4148,.T.);
#9730=EDGE_CURVE('',#7542,#7295,#4149,.T.);
#9731=EDGE_CURVE('',#7541,#7542,#4150,.T.);
#9732=ORIENTED_EDGE('',*,*,#7543,.F.);
#9733=ORIENTED_EDGE('',*,*,#7544,.T.);
#9734=ORIENTED_EDGE('',*,*,#7545,.T.);
#9735=ORIENTED_EDGE('',*,*,#7546,.T.);
#9736=ORIENTED_EDGE('',*,*,#7547,.F.);
#9737=ORIENTED_EDGE('',*,*,#7548,.F.);
#9738=ORIENTED_EDGE('',*,*,#7549,.F.);
#9739=ORIENTED_EDGE('',*,*,#7545,.F.);
#9740=ORIENTED_EDGE('',*,*,#7550,.F.);
#9741=ORIENTED_EDGE('',*,*,#7551,.F.);
#9742=ORIENTED_EDGE('',*,*,#7552,.F.);
#9743=ORIENTED_EDGE('',*,*,#7553,.F.);
#9744=ORIENTED_EDGE('',*,*,#7554,.F.);
#9745=ORIENTED_EDGE('',*,*,#7555,.T.);
#9746=ORIENTED_EDGE('',*,*,#7551,.T.);
#9747=ORIENTED_EDGE('',*,*,#7556,.T.);
#9748=ORIENTED_EDGE('',*,*,#7557,.F.);
#9749=ORIENTED_EDGE('',*,*,#7558,.F.);
#9750=ORIENTED_EDGE('',*,*,#7559,.T.);
#9751=ORIENTED_EDGE('',*,*,#7560,.T.);
#9752=ORIENTED_EDGE('',*,*,#7561,.F.);
#9753=ORIENTED_EDGE('',*,*,#7562,.T.);
#9754=ORIENTED_EDGE('',*,*,#7559,.F.);
#9755=ORIENTED_EDGE('',*,*,#7563,.F.);
#9756=ORIENTED_EDGE('',*,*,#7564,.T.);
#9757=ORIENTED_EDGE('',*,*,#7560,.F.);
#9758=ORIENTED_EDGE('',*,*,#7562,.F.);
#9759=ORIENTED_EDGE('',*,*,#7561,.T.);
#9760=ORIENTED_EDGE('',*,*,#7565,.T.);
#9761=ORIENTED_EDGE('',*,*,#7566,.T.);
#9762=ORIENTED_EDGE('',*,*,#7567,.T.);
#9763=ORIENTED_EDGE('',*,*,#7557,.T.);
#9764=ORIENTED_EDGE('',*,*,#7568,.T.);
#9765=ORIENTED_EDGE('',*,*,#7569,.T.);
#9766=ORIENTED_EDGE('',*,*,#7570,.T.);
#9767=ORIENTED_EDGE('',*,*,#7571,.F.);
#9768=ORIENTED_EDGE('',*,*,#7572,.T.);
#9769=ORIENTED_EDGE('',*,*,#7573,.F.);
#9770=ORIENTED_EDGE('',*,*,#7574,.T.);
#9771=ORIENTED_EDGE('',*,*,#7575,.F.);
#9772=ORIENTED_EDGE('',*,*,#7576,.F.);
#9773=ORIENTED_EDGE('',*,*,#7569,.F.);
#9774=ORIENTED_EDGE('',*,*,#7573,.T.);
#9775=ORIENTED_EDGE('',*,*,#7577,.F.);
#9776=ORIENTED_EDGE('',*,*,#7578,.T.);
#9777=ORIENTED_EDGE('',*,*,#7579,.F.);
#9778=ORIENTED_EDGE('',*,*,#7580,.T.);
#9779=ORIENTED_EDGE('',*,*,#7566,.F.);
#9780=ORIENTED_EDGE('',*,*,#7581,.F.);
#9781=ORIENTED_EDGE('',*,*,#7582,.F.);
#9782=ORIENTED_EDGE('',*,*,#7579,.T.);
#9783=ORIENTED_EDGE('',*,*,#7582,.T.);
#9784=ORIENTED_EDGE('',*,*,#7583,.T.);
#9785=ORIENTED_EDGE('',*,*,#7584,.T.);
#9786=ORIENTED_EDGE('',*,*,#7585,.T.);
#9787=ORIENTED_EDGE('',*,*,#7586,.F.);
#9788=ORIENTED_EDGE('',*,*,#7587,.F.);
#9789=ORIENTED_EDGE('',*,*,#7588,.F.);
#9790=ORIENTED_EDGE('',*,*,#7589,.F.);
#9791=ORIENTED_EDGE('',*,*,#7590,.F.);
#9792=ORIENTED_EDGE('',*,*,#7591,.F.);
#9793=ORIENTED_EDGE('',*,*,#7592,.F.);
#9794=ORIENTED_EDGE('',*,*,#7593,.F.);
#9795=ORIENTED_EDGE('',*,*,#7594,.F.);
#9796=ORIENTED_EDGE('',*,*,#7595,.F.);
#9797=ORIENTED_EDGE('',*,*,#7596,.F.);
#9798=ORIENTED_EDGE('',*,*,#7597,.F.);
#9799=ORIENTED_EDGE('',*,*,#7598,.T.);
#9800=ORIENTED_EDGE('',*,*,#7599,.F.);
#9801=ORIENTED_EDGE('',*,*,#7600,.F.);
#9802=ORIENTED_EDGE('',*,*,#7601,.F.);
#9803=ORIENTED_EDGE('',*,*,#7589,.T.);
#9804=ORIENTED_EDGE('',*,*,#7602,.T.);
#9805=ORIENTED_EDGE('',*,*,#7599,.T.);
#9806=ORIENTED_EDGE('',*,*,#7603,.T.);
#9807=ORIENTED_EDGE('',*,*,#7600,.T.);
#9808=ORIENTED_EDGE('',*,*,#7604,.T.);
#9809=ORIENTED_EDGE('',*,*,#7586,.T.);
#9810=ORIENTED_EDGE('',*,*,#7605,.T.);
#9811=ORIENTED_EDGE('',*,*,#7606,.F.);
#9812=ORIENTED_EDGE('',*,*,#7607,.F.);
#9813=ORIENTED_EDGE('',*,*,#7608,.F.);
#9814=ORIENTED_EDGE('',*,*,#7609,.F.);
#9815=ORIENTED_EDGE('',*,*,#7610,.F.);
#9816=ORIENTED_EDGE('',*,*,#7611,.T.);
#9817=ORIENTED_EDGE('',*,*,#7612,.F.);
#9818=ORIENTED_EDGE('',*,*,#7591,.T.);
#9819=ORIENTED_EDGE('',*,*,#7613,.T.);
#9820=ORIENTED_EDGE('',*,*,#7610,.T.);
#9821=ORIENTED_EDGE('',*,*,#7614,.T.);
#9822=ORIENTED_EDGE('',*,*,#7615,.F.);
#9823=ORIENTED_EDGE('',*,*,#7616,.F.);
#9824=ORIENTED_EDGE('',*,*,#7617,.F.);
#9825=ORIENTED_EDGE('',*,*,#7618,.T.);
#9826=ORIENTED_EDGE('',*,*,#7594,.T.);
#9827=ORIENTED_EDGE('',*,*,#7619,.T.);
#9828=ORIENTED_EDGE('',*,*,#7616,.T.);
#9829=ORIENTED_EDGE('',*,*,#7620,.T.);
#9830=ORIENTED_EDGE('',*,*,#7617,.T.);
#9831=ORIENTED_EDGE('',*,*,#7621,.T.);
#9832=ORIENTED_EDGE('',*,*,#7595,.T.);
#9833=ORIENTED_EDGE('',*,*,#7622,.T.);
#9834=ORIENTED_EDGE('',*,*,#7623,.F.);
#9835=ORIENTED_EDGE('',*,*,#7624,.F.);
#9836=ORIENTED_EDGE('',*,*,#7625,.F.);
#9837=ORIENTED_EDGE('',*,*,#7626,.F.);
#9838=ORIENTED_EDGE('',*,*,#7627,.F.);
#9839=ORIENTED_EDGE('',*,*,#7628,.T.);
#9840=ORIENTED_EDGE('',*,*,#7629,.F.);
#9841=ORIENTED_EDGE('',*,*,#7630,.F.);
#9842=ORIENTED_EDGE('',*,*,#7631,.F.);
#9843=ORIENTED_EDGE('',*,*,#7632,.F.);
#9844=ORIENTED_EDGE('',*,*,#7633,.T.);
#9845=ORIENTED_EDGE('',*,*,#7608,.T.);
#9846=ORIENTED_EDGE('',*,*,#7634,.T.);
#9847=ORIENTED_EDGE('',*,*,#7631,.T.);
#9848=ORIENTED_EDGE('',*,*,#7635,.T.);
#9849=ORIENTED_EDGE('',*,*,#7636,.F.);
#9850=ORIENTED_EDGE('',*,*,#7637,.F.);
#9851=ORIENTED_EDGE('',*,*,#7638,.T.);
#9852=ORIENTED_EDGE('',*,*,#7639,.F.);
#9853=ORIENTED_EDGE('',*,*,#7612,.T.);
#9854=ORIENTED_EDGE('',*,*,#7640,.T.);
#9855=ORIENTED_EDGE('',*,*,#7637,.T.);
#9856=ORIENTED_EDGE('',*,*,#7641,.T.);
#9857=ORIENTED_EDGE('',*,*,#7642,.T.);
#9858=ORIENTED_EDGE('',*,*,#7643,.F.);
#9859=ORIENTED_EDGE('',*,*,#7644,.F.);
#9860=ORIENTED_EDGE('',*,*,#7645,.F.);
#9861=ORIENTED_EDGE('',*,*,#7646,.T.);
#9862=ORIENTED_EDGE('',*,*,#7647,.F.);
#9863=ORIENTED_EDGE('',*,*,#7648,.F.);
#9864=ORIENTED_EDGE('',*,*,#7649,.F.);
#9865=ORIENTED_EDGE('',*,*,#7647,.T.);
#9866=ORIENTED_EDGE('',*,*,#7650,.T.);
#9867=ORIENTED_EDGE('',*,*,#7627,.T.);
#9868=ORIENTED_EDGE('',*,*,#7651,.T.);
#9869=ORIENTED_EDGE('',*,*,#7624,.T.);
#9870=ORIENTED_EDGE('',*,*,#7652,.T.);
#9871=ORIENTED_EDGE('',*,*,#7648,.T.);
#9872=ORIENTED_EDGE('',*,*,#7653,.T.);
#9873=ORIENTED_EDGE('',*,*,#7654,.F.);
#9874=ORIENTED_EDGE('',*,*,#7655,.F.);
#9875=ORIENTED_EDGE('',*,*,#7656,.F.);
#9876=ORIENTED_EDGE('',*,*,#7639,.T.);
#9877=ORIENTED_EDGE('',*,*,#7657,.T.);
#9878=ORIENTED_EDGE('',*,*,#7655,.T.);
#9879=ORIENTED_EDGE('',*,*,#7658,.T.);
#9880=ORIENTED_EDGE('',*,*,#7659,.F.);
#9881=ORIENTED_EDGE('',*,*,#7660,.F.);
#9882=ORIENTED_EDGE('',*,*,#7661,.F.);
#9883=ORIENTED_EDGE('',*,*,#7660,.T.);
#9884=ORIENTED_EDGE('',*,*,#7662,.T.);
#9885=ORIENTED_EDGE('',*,*,#7643,.T.);
#9886=ORIENTED_EDGE('',*,*,#7663,.T.);
#9887=ORIENTED_EDGE('',*,*,#7664,.F.);
#9888=ORIENTED_EDGE('',*,*,#7665,.F.);
#9889=ORIENTED_EDGE('',*,*,#7666,.F.);
#9890=ORIENTED_EDGE('',*,*,#7667,.F.);
#9891=ORIENTED_EDGE('',*,*,#7668,.F.);
#9892=ORIENTED_EDGE('',*,*,#7669,.F.);
#9893=ORIENTED_EDGE('',*,*,#7656,.T.);
#9894=ORIENTED_EDGE('',*,*,#7670,.T.);
#9895=ORIENTED_EDGE('',*,*,#7668,.T.);
#9896=ORIENTED_EDGE('',*,*,#7671,.T.);
#9897=ORIENTED_EDGE('',*,*,#7672,.F.);
#9898=ORIENTED_EDGE('',*,*,#7673,.F.);
#9899=ORIENTED_EDGE('',*,*,#7674,.T.);
#9900=ORIENTED_EDGE('',*,*,#7675,.F.);
#9901=ORIENTED_EDGE('',*,*,#7676,.T.);
#9902=ORIENTED_EDGE('',*,*,#7677,.T.);
#9903=ORIENTED_EDGE('',*,*,#7673,.T.);
#9904=ORIENTED_EDGE('',*,*,#7678,.T.);
#9905=ORIENTED_EDGE('',*,*,#7676,.F.);
#9906=ORIENTED_EDGE('',*,*,#7679,.T.);
#9907=ORIENTED_EDGE('',*,*,#7680,.T.);
#9908=ORIENTED_EDGE('',*,*,#7675,.T.);
#9909=ORIENTED_EDGE('',*,*,#7681,.T.);
#9910=ORIENTED_EDGE('',*,*,#7661,.T.);
#9911=ORIENTED_EDGE('',*,*,#7682,.T.);
#9912=ORIENTED_EDGE('',*,*,#7683,.T.);
#9913=ORIENTED_EDGE('',*,*,#7684,.F.);
#9914=ORIENTED_EDGE('',*,*,#7685,.F.);
#9915=ORIENTED_EDGE('',*,*,#7686,.F.);
#9916=ORIENTED_EDGE('',*,*,#7667,.T.);
#9917=ORIENTED_EDGE('',*,*,#7687,.T.);
#9918=ORIENTED_EDGE('',*,*,#7684,.T.);
#9919=ORIENTED_EDGE('',*,*,#7688,.T.);
#9920=ORIENTED_EDGE('',*,*,#7685,.T.);
#9921=ORIENTED_EDGE('',*,*,#7689,.T.);
#9922=ORIENTED_EDGE('',*,*,#7664,.T.);
#9923=ORIENTED_EDGE('',*,*,#7690,.T.);
#9924=ORIENTED_EDGE('',*,*,#7691,.F.);
#9925=ORIENTED_EDGE('',*,*,#7692,.F.);
#9926=ORIENTED_EDGE('',*,*,#7693,.F.);
#9927=ORIENTED_EDGE('',*,*,#7694,.T.);
#9928=ORIENTED_EDGE('',*,*,#7695,.F.);
#9929=ORIENTED_EDGE('',*,*,#7696,.F.);
#9930=ORIENTED_EDGE('',*,*,#7697,.F.);
#9931=ORIENTED_EDGE('',*,*,#7698,.F.);
#9932=ORIENTED_EDGE('',*,*,#7699,.F.);
#9933=ORIENTED_EDGE('',*,*,#7700,.F.);
#9934=ORIENTED_EDGE('',*,*,#7699,.T.);
#9935=ORIENTED_EDGE('',*,*,#7701,.T.);
#9936=ORIENTED_EDGE('',*,*,#7672,.T.);
#9937=ORIENTED_EDGE('',*,*,#7702,.T.);
#9938=ORIENTED_EDGE('',*,*,#7703,.F.);
#9939=ORIENTED_EDGE('',*,*,#7704,.F.);
#9940=ORIENTED_EDGE('',*,*,#7705,.F.);
#9941=ORIENTED_EDGE('',*,*,#7706,.T.);
#9942=ORIENTED_EDGE('',*,*,#7693,.T.);
#9943=ORIENTED_EDGE('',*,*,#7707,.T.);
#9944=ORIENTED_EDGE('',*,*,#7704,.T.);
#9945=ORIENTED_EDGE('',*,*,#7708,.T.);
#9946=ORIENTED_EDGE('',*,*,#7709,.F.);
#9947=ORIENTED_EDGE('',*,*,#7710,.F.);
#9948=ORIENTED_EDGE('',*,*,#7711,.T.);
#9949=ORIENTED_EDGE('',*,*,#7712,.F.);
#9950=ORIENTED_EDGE('',*,*,#7695,.T.);
#9951=ORIENTED_EDGE('',*,*,#7713,.T.);
#9952=ORIENTED_EDGE('',*,*,#7710,.T.);
#9953=ORIENTED_EDGE('',*,*,#7714,.T.);
#9954=ORIENTED_EDGE('',*,*,#7715,.F.);
#9955=ORIENTED_EDGE('',*,*,#7716,.F.);
#9956=ORIENTED_EDGE('',*,*,#7717,.F.);
#9957=ORIENTED_EDGE('',*,*,#7712,.T.);
#9958=ORIENTED_EDGE('',*,*,#7718,.T.);
#9959=ORIENTED_EDGE('',*,*,#7716,.T.);
#9960=ORIENTED_EDGE('',*,*,#7719,.T.);
#9961=ORIENTED_EDGE('',*,*,#7720,.F.);
#9962=ORIENTED_EDGE('',*,*,#7721,.F.);
#9963=ORIENTED_EDGE('',*,*,#7722,.T.);
#9964=ORIENTED_EDGE('',*,*,#7723,.F.);
#9965=ORIENTED_EDGE('',*,*,#7717,.T.);
#9966=ORIENTED_EDGE('',*,*,#7724,.T.);
#9967=ORIENTED_EDGE('',*,*,#7721,.T.);
#9968=ORIENTED_EDGE('',*,*,#7725,.T.);
#9969=ORIENTED_EDGE('',*,*,#7726,.T.);
#9970=ORIENTED_EDGE('',*,*,#7727,.T.);
#9971=ORIENTED_EDGE('',*,*,#7723,.T.);
#9972=ORIENTED_EDGE('',*,*,#7728,.T.);
#9973=ORIENTED_EDGE('',*,*,#7729,.T.);
#9974=ORIENTED_EDGE('',*,*,#7720,.T.);
#9975=ORIENTED_EDGE('',*,*,#7730,.T.);
#9976=ORIENTED_EDGE('',*,*,#7731,.T.);
#9977=ORIENTED_EDGE('',*,*,#7705,.T.);
#9978=ORIENTED_EDGE('',*,*,#7732,.T.);
#9979=ORIENTED_EDGE('',*,*,#7715,.T.);
#9980=ORIENTED_EDGE('',*,*,#7733,.T.);
#9981=ORIENTED_EDGE('',*,*,#7734,.T.);
#9982=ORIENTED_EDGE('',*,*,#7700,.T.);
#9983=ORIENTED_EDGE('',*,*,#7735,.T.);
#9984=ORIENTED_EDGE('',*,*,#7731,.F.);
#9985=ORIENTED_EDGE('',*,*,#7692,.T.);
#9986=ORIENTED_EDGE('',*,*,#7736,.T.);
#9987=ORIENTED_EDGE('',*,*,#7709,.T.);
#9988=ORIENTED_EDGE('',*,*,#7737,.T.);
#9989=ORIENTED_EDGE('',*,*,#7686,.T.);
#9990=ORIENTED_EDGE('',*,*,#7738,.T.);
#9991=ORIENTED_EDGE('',*,*,#7703,.T.);
#9992=ORIENTED_EDGE('',*,*,#7739,.T.);
#9993=ORIENTED_EDGE('',*,*,#7740,.T.);
#9994=ORIENTED_EDGE('',*,*,#7741,.T.);
#9995=ORIENTED_EDGE('',*,*,#7698,.T.);
#9996=ORIENTED_EDGE('',*,*,#7742,.T.);
#9997=ORIENTED_EDGE('',*,*,#7743,.T.);
#9998=ORIENTED_EDGE('',*,*,#7744,.T.);
#9999=ORIENTED_EDGE('',*,*,#7740,.F.);
#10000=ORIENTED_EDGE('',*,*,#7745,.T.);
#10001=ORIENTED_EDGE('',*,*,#7665,.T.);
#10002=ORIENTED_EDGE('',*,*,#7746,.T.);
#10003=ORIENTED_EDGE('',*,*,#7696,.T.);
#10004=ORIENTED_EDGE('',*,*,#7747,.T.);
#10005=ORIENTED_EDGE('',*,*,#7669,.T.);
#10006=ORIENTED_EDGE('',*,*,#7748,.T.);
#10007=ORIENTED_EDGE('',*,*,#7697,.T.);
#10008=ORIENTED_EDGE('',*,*,#7749,.T.);
#10009=ORIENTED_EDGE('',*,*,#7666,.T.);
#10010=ORIENTED_EDGE('',*,*,#7750,.T.);
#10011=ORIENTED_EDGE('',*,*,#7691,.T.);
#10012=ORIENTED_EDGE('',*,*,#7751,.T.);
#10013=ORIENTED_EDGE('',*,*,#7659,.T.);
#10014=ORIENTED_EDGE('',*,*,#7752,.T.);
#10015=ORIENTED_EDGE('',*,*,#7649,.T.);
#10016=ORIENTED_EDGE('',*,*,#7753,.T.);
#10017=ORIENTED_EDGE('',*,*,#7632,.T.);
#10018=ORIENTED_EDGE('',*,*,#7754,.T.);
#10019=ORIENTED_EDGE('',*,*,#7654,.T.);
#10020=ORIENTED_EDGE('',*,*,#7755,.T.);
#10021=ORIENTED_EDGE('',*,*,#7644,.T.);
#10022=ORIENTED_EDGE('',*,*,#7756,.T.);
#10023=ORIENTED_EDGE('',*,*,#7623,.T.);
#10024=ORIENTED_EDGE('',*,*,#7757,.T.);
#10025=ORIENTED_EDGE('',*,*,#7645,.T.);
#10026=ORIENTED_EDGE('',*,*,#7758,.T.);
#10027=ORIENTED_EDGE('',*,*,#7615,.T.);
#10028=ORIENTED_EDGE('',*,*,#7759,.T.);
#10029=ORIENTED_EDGE('',*,*,#7607,.T.);
#10030=ORIENTED_EDGE('',*,*,#7760,.T.);
#10031=ORIENTED_EDGE('',*,*,#7636,.T.);
#10032=ORIENTED_EDGE('',*,*,#7761,.T.);
#10033=ORIENTED_EDGE('',*,*,#7601,.T.);
#10034=ORIENTED_EDGE('',*,*,#7762,.T.);
#10035=ORIENTED_EDGE('',*,*,#7630,.T.);
#10036=ORIENTED_EDGE('',*,*,#7763,.T.);
#10037=ORIENTED_EDGE('',*,*,#7629,.T.);
#10038=ORIENTED_EDGE('',*,*,#7764,.T.);
#10039=ORIENTED_EDGE('',*,*,#7596,.T.);
#10040=ORIENTED_EDGE('',*,*,#7765,.T.);
#10041=ORIENTED_EDGE('',*,*,#7593,.T.);
#10042=ORIENTED_EDGE('',*,*,#7766,.T.);
#10043=ORIENTED_EDGE('',*,*,#7626,.T.);
#10044=ORIENTED_EDGE('',*,*,#7767,.T.);
#10045=ORIENTED_EDGE('',*,*,#7625,.T.);
#10046=ORIENTED_EDGE('',*,*,#7768,.T.);
#10047=ORIENTED_EDGE('',*,*,#7592,.T.);
#10048=ORIENTED_EDGE('',*,*,#7769,.T.);
#10049=ORIENTED_EDGE('',*,*,#7587,.T.);
#10050=ORIENTED_EDGE('',*,*,#7770,.T.);
#10051=ORIENTED_EDGE('',*,*,#7609,.T.);
#10052=ORIENTED_EDGE('',*,*,#7771,.T.);
#10053=ORIENTED_EDGE('',*,*,#7588,.T.);
#10054=ORIENTED_EDGE('',*,*,#7772,.T.);
#10055=ORIENTED_EDGE('',*,*,#7606,.T.);
#10056=ORIENTED_EDGE('',*,*,#7773,.T.);
#10057=ORIENTED_EDGE('',*,*,#7597,.T.);
#10058=ORIENTED_EDGE('',*,*,#7774,.T.);
#10059=ORIENTED_EDGE('',*,*,#7590,.T.);
#10060=ORIENTED_EDGE('',*,*,#7775,.T.);
#10061=ORIENTED_EDGE('',*,*,#7558,.T.);
#10062=ORIENTED_EDGE('',*,*,#7570,.F.);
#10063=ORIENTED_EDGE('',*,*,#7576,.T.);
#10064=ORIENTED_EDGE('',*,*,#7776,.F.);
#10065=ORIENTED_EDGE('',*,*,#7777,.F.);
#10066=ORIENTED_EDGE('',*,*,#7778,.F.);
#10067=ORIENTED_EDGE('',*,*,#7779,.F.);
#10068=ORIENTED_EDGE('',*,*,#7780,.F.);
#10069=ORIENTED_EDGE('',*,*,#7781,.F.);
#10070=ORIENTED_EDGE('',*,*,#7782,.F.);
#10071=ORIENTED_EDGE('',*,*,#7783,.F.);
#10072=ORIENTED_EDGE('',*,*,#7728,.F.);
#10073=ORIENTED_EDGE('',*,*,#7722,.F.);
#10074=ORIENTED_EDGE('',*,*,#7724,.F.);
#10075=ORIENTED_EDGE('',*,*,#7718,.F.);
#10076=ORIENTED_EDGE('',*,*,#7711,.F.);
#10077=ORIENTED_EDGE('',*,*,#7713,.F.);
#10078=ORIENTED_EDGE('',*,*,#7694,.F.);
#10079=ORIENTED_EDGE('',*,*,#7748,.F.);
#10080=ORIENTED_EDGE('',*,*,#7670,.F.);
#10081=ORIENTED_EDGE('',*,*,#7657,.F.);
#10082=ORIENTED_EDGE('',*,*,#7638,.F.);
#10083=ORIENTED_EDGE('',*,*,#7640,.F.);
#10084=ORIENTED_EDGE('',*,*,#7611,.F.);
#10085=ORIENTED_EDGE('',*,*,#7613,.F.);
#10086=ORIENTED_EDGE('',*,*,#7774,.F.);
#10087=ORIENTED_EDGE('',*,*,#7764,.F.);
#10088=ORIENTED_EDGE('',*,*,#7628,.F.);
#10089=ORIENTED_EDGE('',*,*,#7650,.F.);
#10090=ORIENTED_EDGE('',*,*,#7646,.F.);
#10091=ORIENTED_EDGE('',*,*,#7752,.F.);
#10092=ORIENTED_EDGE('',*,*,#7681,.F.);
#10093=ORIENTED_EDGE('',*,*,#7674,.F.);
#10094=ORIENTED_EDGE('',*,*,#7677,.F.);
#10095=ORIENTED_EDGE('',*,*,#7784,.F.);
#10096=ORIENTED_EDGE('',*,*,#7785,.F.);
#10097=ORIENTED_EDGE('',*,*,#7786,.F.);
#10098=ORIENTED_EDGE('',*,*,#7787,.F.);
#10099=ORIENTED_EDGE('',*,*,#7583,.F.);
#10100=ORIENTED_EDGE('',*,*,#7581,.T.);
#10101=ORIENTED_EDGE('',*,*,#7565,.F.);
#10102=ORIENTED_EDGE('',*,*,#7563,.T.);
#10103=ORIENTED_EDGE('',*,*,#7680,.F.);
#10104=ORIENTED_EDGE('',*,*,#7788,.T.);
#10105=ORIENTED_EDGE('',*,*,#7789,.T.);
#10106=ORIENTED_EDGE('',*,*,#7784,.T.);
#10107=ORIENTED_EDGE('',*,*,#7678,.F.);
#10108=ORIENTED_EDGE('',*,*,#7701,.F.);
#10109=ORIENTED_EDGE('',*,*,#7741,.F.);
#10110=ORIENTED_EDGE('',*,*,#7790,.F.);
#10111=ORIENTED_EDGE('',*,*,#7679,.F.);
#10112=ORIENTED_EDGE('',*,*,#7790,.T.);
#10113=ORIENTED_EDGE('',*,*,#7744,.F.);
#10114=ORIENTED_EDGE('',*,*,#7791,.T.);
#10115=ORIENTED_EDGE('',*,*,#7602,.F.);
#10116=ORIENTED_EDGE('',*,*,#7614,.F.);
#10117=ORIENTED_EDGE('',*,*,#7770,.F.);
#10118=ORIENTED_EDGE('',*,*,#7604,.F.);
#10119=ORIENTED_EDGE('',*,*,#7619,.F.);
#10120=ORIENTED_EDGE('',*,*,#7767,.F.);
#10121=ORIENTED_EDGE('',*,*,#7765,.F.);
#10122=ORIENTED_EDGE('',*,*,#7621,.F.);
#10123=ORIENTED_EDGE('',*,*,#7635,.F.);
#10124=ORIENTED_EDGE('',*,*,#7762,.F.);
#10125=ORIENTED_EDGE('',*,*,#7605,.F.);
#10126=ORIENTED_EDGE('',*,*,#7773,.F.);
#10127=ORIENTED_EDGE('',*,*,#7641,.F.);
#10128=ORIENTED_EDGE('',*,*,#7760,.F.);
#10129=ORIENTED_EDGE('',*,*,#7772,.F.);
#10130=ORIENTED_EDGE('',*,*,#7771,.F.);
#10131=ORIENTED_EDGE('',*,*,#7651,.F.);
#10132=ORIENTED_EDGE('',*,*,#7766,.F.);
#10133=ORIENTED_EDGE('',*,*,#7768,.F.);
#10134=ORIENTED_EDGE('',*,*,#7653,.F.);
#10135=ORIENTED_EDGE('',*,*,#7658,.F.);
#10136=ORIENTED_EDGE('',*,*,#7754,.F.);
#10137=ORIENTED_EDGE('',*,*,#7634,.F.);
#10138=ORIENTED_EDGE('',*,*,#7761,.F.);
#10139=ORIENTED_EDGE('',*,*,#7662,.F.);
#10140=ORIENTED_EDGE('',*,*,#7753,.F.);
#10141=ORIENTED_EDGE('',*,*,#7652,.F.);
#10142=ORIENTED_EDGE('',*,*,#7756,.F.);
#10143=ORIENTED_EDGE('',*,*,#7687,.F.);
#10144=ORIENTED_EDGE('',*,*,#7749,.F.);
#10145=ORIENTED_EDGE('',*,*,#7746,.F.);
#10146=ORIENTED_EDGE('',*,*,#7689,.F.);
#10147=ORIENTED_EDGE('',*,*,#7708,.F.);
#10148=ORIENTED_EDGE('',*,*,#7738,.F.);
#10149=ORIENTED_EDGE('',*,*,#7690,.F.);
#10150=ORIENTED_EDGE('',*,*,#7751,.F.);
#10151=ORIENTED_EDGE('',*,*,#7714,.F.);
#10152=ORIENTED_EDGE('',*,*,#7736,.F.);
#10153=ORIENTED_EDGE('',*,*,#7750,.F.);
#10154=ORIENTED_EDGE('',*,*,#7747,.F.);
#10155=ORIENTED_EDGE('',*,*,#7719,.F.);
#10156=ORIENTED_EDGE('',*,*,#7732,.F.);
#10157=ORIENTED_EDGE('',*,*,#7707,.F.);
#10158=ORIENTED_EDGE('',*,*,#7737,.F.);
#10159=ORIENTED_EDGE('',*,*,#7725,.F.);
#10160=ORIENTED_EDGE('',*,*,#7729,.F.);
#10161=ORIENTED_EDGE('',*,*,#7735,.F.);
#10162=ORIENTED_EDGE('',*,*,#7702,.F.);
#10163=ORIENTED_EDGE('',*,*,#7682,.F.);
#10164=ORIENTED_EDGE('',*,*,#7663,.F.);
#10165=ORIENTED_EDGE('',*,*,#7642,.F.);
#10166=ORIENTED_EDGE('',*,*,#7759,.F.);
#10167=ORIENTED_EDGE('',*,*,#7618,.F.);
#10168=ORIENTED_EDGE('',*,*,#7622,.F.);
#10169=ORIENTED_EDGE('',*,*,#7775,.F.);
#10170=ORIENTED_EDGE('',*,*,#7603,.F.);
#10171=ORIENTED_EDGE('',*,*,#7598,.F.);
#10172=ORIENTED_EDGE('',*,*,#7763,.F.);
#10173=ORIENTED_EDGE('',*,*,#7633,.F.);
#10174=ORIENTED_EDGE('',*,*,#7755,.F.);
#10175=ORIENTED_EDGE('',*,*,#7671,.F.);
#10176=ORIENTED_EDGE('',*,*,#7688,.F.);
#10177=ORIENTED_EDGE('',*,*,#7683,.F.);
#10178=ORIENTED_EDGE('',*,*,#7739,.F.);
#10179=ORIENTED_EDGE('',*,*,#7706,.F.);
#10180=ORIENTED_EDGE('',*,*,#7733,.F.);
#10181=ORIENTED_EDGE('',*,*,#7757,.F.);
#10182=ORIENTED_EDGE('',*,*,#7769,.F.);
#10183=ORIENTED_EDGE('',*,*,#7620,.F.);
#10184=ORIENTED_EDGE('',*,*,#7758,.F.);
#10185=ORIENTED_EDGE('',*,*,#7792,.T.);
#10186=ORIENTED_EDGE('',*,*,#7793,.T.);
#10187=ORIENTED_EDGE('',*,*,#7794,.T.);
#10188=ORIENTED_EDGE('',*,*,#7795,.T.);
#10189=ORIENTED_EDGE('',*,*,#7796,.T.);
#10190=ORIENTED_EDGE('',*,*,#7797,.T.);
#10191=ORIENTED_EDGE('',*,*,#7798,.T.);
#10192=ORIENTED_EDGE('',*,*,#7799,.F.);
#10193=ORIENTED_EDGE('',*,*,#7800,.T.);
#10194=ORIENTED_EDGE('',*,*,#7801,.T.);
#10195=ORIENTED_EDGE('',*,*,#7802,.T.);
#10196=ORIENTED_EDGE('',*,*,#7793,.F.);
#10197=ORIENTED_EDGE('',*,*,#7803,.T.);
#10198=ORIENTED_EDGE('',*,*,#7804,.T.);
#10199=ORIENTED_EDGE('',*,*,#7805,.T.);
#10200=ORIENTED_EDGE('',*,*,#7806,.T.);
#10201=ORIENTED_EDGE('',*,*,#7807,.T.);
#10202=ORIENTED_EDGE('',*,*,#7808,.T.);
#10203=ORIENTED_EDGE('',*,*,#7809,.T.);
#10204=ORIENTED_EDGE('',*,*,#7810,.T.);
#10205=ORIENTED_EDGE('',*,*,#7811,.T.);
#10206=ORIENTED_EDGE('',*,*,#7812,.T.);
#10207=ORIENTED_EDGE('',*,*,#7813,.T.);
#10208=ORIENTED_EDGE('',*,*,#7814,.T.);
#10209=ORIENTED_EDGE('',*,*,#7804,.F.);
#10210=ORIENTED_EDGE('',*,*,#7815,.T.);
#10211=ORIENTED_EDGE('',*,*,#7816,.T.);
#10212=ORIENTED_EDGE('',*,*,#7817,.T.);
#10213=ORIENTED_EDGE('',*,*,#7818,.T.);
#10214=ORIENTED_EDGE('',*,*,#7808,.F.);
#10215=ORIENTED_EDGE('',*,*,#7819,.T.);
#10216=ORIENTED_EDGE('',*,*,#7820,.T.);
#10217=ORIENTED_EDGE('',*,*,#7821,.T.);
#10218=ORIENTED_EDGE('',*,*,#7813,.F.);
#10219=ORIENTED_EDGE('',*,*,#7822,.T.);
#10220=ORIENTED_EDGE('',*,*,#7823,.T.);
#10221=ORIENTED_EDGE('',*,*,#7824,.T.);
#10222=ORIENTED_EDGE('',*,*,#7825,.T.);
#10223=ORIENTED_EDGE('',*,*,#7820,.F.);
#10224=ORIENTED_EDGE('',*,*,#7826,.T.);
#10225=ORIENTED_EDGE('',*,*,#7827,.T.);
#10226=ORIENTED_EDGE('',*,*,#7828,.T.);
#10227=ORIENTED_EDGE('',*,*,#7824,.F.);
#10228=ORIENTED_EDGE('',*,*,#7829,.T.);
#10229=ORIENTED_EDGE('',*,*,#7830,.T.);
#10230=ORIENTED_EDGE('',*,*,#7831,.T.);
#10231=ORIENTED_EDGE('',*,*,#7832,.T.);
#10232=ORIENTED_EDGE('',*,*,#7833,.T.);
#10233=ORIENTED_EDGE('',*,*,#7834,.T.);
#10234=ORIENTED_EDGE('',*,*,#7835,.T.);
#10235=ORIENTED_EDGE('',*,*,#7836,.T.);
#10236=ORIENTED_EDGE('',*,*,#7827,.F.);
#10237=ORIENTED_EDGE('',*,*,#7837,.T.);
#10238=ORIENTED_EDGE('',*,*,#7838,.T.);
#10239=ORIENTED_EDGE('',*,*,#7839,.T.);
#10240=ORIENTED_EDGE('',*,*,#7840,.T.);
#10241=ORIENTED_EDGE('',*,*,#7830,.F.);
#10242=ORIENTED_EDGE('',*,*,#7841,.T.);
#10243=ORIENTED_EDGE('',*,*,#7842,.T.);
#10244=ORIENTED_EDGE('',*,*,#7843,.T.);
#10245=ORIENTED_EDGE('',*,*,#7835,.F.);
#10246=ORIENTED_EDGE('',*,*,#7844,.T.);
#10247=ORIENTED_EDGE('',*,*,#7845,.T.);
#10248=ORIENTED_EDGE('',*,*,#7846,.T.);
#10249=ORIENTED_EDGE('',*,*,#7847,.T.);
#10250=ORIENTED_EDGE('',*,*,#7842,.F.);
#10251=ORIENTED_EDGE('',*,*,#7848,.T.);
#10252=ORIENTED_EDGE('',*,*,#7849,.T.);
#10253=ORIENTED_EDGE('',*,*,#7850,.T.);
#10254=ORIENTED_EDGE('',*,*,#7846,.F.);
#10255=ORIENTED_EDGE('',*,*,#7851,.T.);
#10256=ORIENTED_EDGE('',*,*,#7852,.T.);
#10257=ORIENTED_EDGE('',*,*,#7853,.T.);
#10258=ORIENTED_EDGE('',*,*,#7854,.T.);
#10259=ORIENTED_EDGE('',*,*,#7855,.T.);
#10260=ORIENTED_EDGE('',*,*,#7856,.T.);
#10261=ORIENTED_EDGE('',*,*,#7857,.T.);
#10262=ORIENTED_EDGE('',*,*,#7858,.T.);
#10263=ORIENTED_EDGE('',*,*,#7849,.F.);
#10264=ORIENTED_EDGE('',*,*,#7859,.T.);
#10265=ORIENTED_EDGE('',*,*,#7860,.T.);
#10266=ORIENTED_EDGE('',*,*,#7861,.T.);
#10267=ORIENTED_EDGE('',*,*,#7862,.T.);
#10268=ORIENTED_EDGE('',*,*,#7852,.F.);
#10269=ORIENTED_EDGE('',*,*,#7863,.T.);
#10270=ORIENTED_EDGE('',*,*,#7864,.T.);
#10271=ORIENTED_EDGE('',*,*,#7865,.T.);
#10272=ORIENTED_EDGE('',*,*,#7857,.F.);
#10273=ORIENTED_EDGE('',*,*,#7866,.T.);
#10274=ORIENTED_EDGE('',*,*,#7867,.T.);
#10275=ORIENTED_EDGE('',*,*,#7868,.T.);
#10276=ORIENTED_EDGE('',*,*,#7869,.T.);
#10277=ORIENTED_EDGE('',*,*,#7864,.F.);
#10278=ORIENTED_EDGE('',*,*,#7870,.T.);
#10279=ORIENTED_EDGE('',*,*,#7871,.T.);
#10280=ORIENTED_EDGE('',*,*,#7872,.T.);
#10281=ORIENTED_EDGE('',*,*,#7868,.F.);
#10282=ORIENTED_EDGE('',*,*,#7873,.T.);
#10283=ORIENTED_EDGE('',*,*,#7874,.T.);
#10284=ORIENTED_EDGE('',*,*,#7875,.T.);
#10285=ORIENTED_EDGE('',*,*,#7876,.T.);
#10286=ORIENTED_EDGE('',*,*,#7877,.T.);
#10287=ORIENTED_EDGE('',*,*,#7878,.T.);
#10288=ORIENTED_EDGE('',*,*,#7879,.T.);
#10289=ORIENTED_EDGE('',*,*,#7880,.T.);
#10290=ORIENTED_EDGE('',*,*,#7871,.F.);
#10291=ORIENTED_EDGE('',*,*,#7881,.T.);
#10292=ORIENTED_EDGE('',*,*,#7882,.T.);
#10293=ORIENTED_EDGE('',*,*,#7883,.T.);
#10294=ORIENTED_EDGE('',*,*,#7884,.T.);
#10295=ORIENTED_EDGE('',*,*,#7874,.F.);
#10296=ORIENTED_EDGE('',*,*,#7885,.T.);
#10297=ORIENTED_EDGE('',*,*,#7886,.T.);
#10298=ORIENTED_EDGE('',*,*,#7887,.T.);
#10299=ORIENTED_EDGE('',*,*,#7879,.F.);
#10300=ORIENTED_EDGE('',*,*,#7888,.T.);
#10301=ORIENTED_EDGE('',*,*,#7889,.T.);
#10302=ORIENTED_EDGE('',*,*,#7890,.T.);
#10303=ORIENTED_EDGE('',*,*,#7891,.T.);
#10304=ORIENTED_EDGE('',*,*,#7886,.F.);
#10305=ORIENTED_EDGE('',*,*,#7892,.T.);
#10306=ORIENTED_EDGE('',*,*,#7893,.T.);
#10307=ORIENTED_EDGE('',*,*,#7894,.T.);
#10308=ORIENTED_EDGE('',*,*,#7890,.F.);
#10309=ORIENTED_EDGE('',*,*,#7895,.T.);
#10310=ORIENTED_EDGE('',*,*,#7896,.T.);
#10311=ORIENTED_EDGE('',*,*,#7897,.T.);
#10312=ORIENTED_EDGE('',*,*,#7898,.T.);
#10313=ORIENTED_EDGE('',*,*,#7899,.T.);
#10314=ORIENTED_EDGE('',*,*,#7900,.T.);
#10315=ORIENTED_EDGE('',*,*,#7901,.T.);
#10316=ORIENTED_EDGE('',*,*,#7902,.T.);
#10317=ORIENTED_EDGE('',*,*,#7893,.F.);
#10318=ORIENTED_EDGE('',*,*,#7903,.T.);
#10319=ORIENTED_EDGE('',*,*,#7904,.T.);
#10320=ORIENTED_EDGE('',*,*,#7905,.T.);
#10321=ORIENTED_EDGE('',*,*,#7906,.T.);
#10322=ORIENTED_EDGE('',*,*,#7896,.F.);
#10323=ORIENTED_EDGE('',*,*,#7907,.T.);
#10324=ORIENTED_EDGE('',*,*,#7908,.T.);
#10325=ORIENTED_EDGE('',*,*,#7909,.T.);
#10326=ORIENTED_EDGE('',*,*,#7901,.F.);
#10327=ORIENTED_EDGE('',*,*,#7910,.T.);
#10328=ORIENTED_EDGE('',*,*,#7911,.T.);
#10329=ORIENTED_EDGE('',*,*,#7912,.T.);
#10330=ORIENTED_EDGE('',*,*,#7913,.T.);
#10331=ORIENTED_EDGE('',*,*,#7908,.F.);
#10332=ORIENTED_EDGE('',*,*,#7914,.T.);
#10333=ORIENTED_EDGE('',*,*,#7915,.T.);
#10334=ORIENTED_EDGE('',*,*,#7916,.T.);
#10335=ORIENTED_EDGE('',*,*,#7912,.F.);
#10336=ORIENTED_EDGE('',*,*,#7917,.T.);
#10337=ORIENTED_EDGE('',*,*,#7918,.T.);
#10338=ORIENTED_EDGE('',*,*,#7919,.T.);
#10339=ORIENTED_EDGE('',*,*,#7920,.T.);
#10340=ORIENTED_EDGE('',*,*,#7921,.T.);
#10341=ORIENTED_EDGE('',*,*,#7922,.T.);
#10342=ORIENTED_EDGE('',*,*,#7923,.T.);
#10343=ORIENTED_EDGE('',*,*,#7924,.T.);
#10344=ORIENTED_EDGE('',*,*,#7915,.F.);
#10345=ORIENTED_EDGE('',*,*,#7925,.T.);
#10346=ORIENTED_EDGE('',*,*,#7926,.T.);
#10347=ORIENTED_EDGE('',*,*,#7927,.T.);
#10348=ORIENTED_EDGE('',*,*,#7928,.T.);
#10349=ORIENTED_EDGE('',*,*,#7918,.F.);
#10350=ORIENTED_EDGE('',*,*,#7929,.T.);
#10351=ORIENTED_EDGE('',*,*,#7930,.T.);
#10352=ORIENTED_EDGE('',*,*,#7931,.T.);
#10353=ORIENTED_EDGE('',*,*,#7923,.F.);
#10354=ORIENTED_EDGE('',*,*,#7932,.T.);
#10355=ORIENTED_EDGE('',*,*,#7933,.T.);
#10356=ORIENTED_EDGE('',*,*,#7934,.T.);
#10357=ORIENTED_EDGE('',*,*,#7935,.T.);
#10358=ORIENTED_EDGE('',*,*,#7930,.F.);
#10359=ORIENTED_EDGE('',*,*,#7936,.T.);
#10360=ORIENTED_EDGE('',*,*,#7937,.T.);
#10361=ORIENTED_EDGE('',*,*,#7938,.T.);
#10362=ORIENTED_EDGE('',*,*,#7934,.F.);
#10363=ORIENTED_EDGE('',*,*,#7939,.T.);
#10364=ORIENTED_EDGE('',*,*,#7940,.T.);
#10365=ORIENTED_EDGE('',*,*,#7941,.T.);
#10366=ORIENTED_EDGE('',*,*,#7942,.T.);
#10367=ORIENTED_EDGE('',*,*,#7943,.T.);
#10368=ORIENTED_EDGE('',*,*,#7944,.T.);
#10369=ORIENTED_EDGE('',*,*,#7945,.T.);
#10370=ORIENTED_EDGE('',*,*,#7946,.T.);
#10371=ORIENTED_EDGE('',*,*,#7937,.F.);
#10372=ORIENTED_EDGE('',*,*,#7947,.T.);
#10373=ORIENTED_EDGE('',*,*,#7948,.T.);
#10374=ORIENTED_EDGE('',*,*,#7949,.T.);
#10375=ORIENTED_EDGE('',*,*,#7950,.T.);
#10376=ORIENTED_EDGE('',*,*,#7940,.F.);
#10377=ORIENTED_EDGE('',*,*,#7951,.T.);
#10378=ORIENTED_EDGE('',*,*,#7952,.T.);
#10379=ORIENTED_EDGE('',*,*,#7953,.T.);
#10380=ORIENTED_EDGE('',*,*,#7945,.F.);
#10381=ORIENTED_EDGE('',*,*,#7954,.T.);
#10382=ORIENTED_EDGE('',*,*,#7955,.T.);
#10383=ORIENTED_EDGE('',*,*,#7956,.T.);
#10384=ORIENTED_EDGE('',*,*,#7957,.T.);
#10385=ORIENTED_EDGE('',*,*,#7952,.F.);
#10386=ORIENTED_EDGE('',*,*,#7958,.T.);
#10387=ORIENTED_EDGE('',*,*,#7959,.T.);
#10388=ORIENTED_EDGE('',*,*,#7960,.T.);
#10389=ORIENTED_EDGE('',*,*,#7956,.F.);
#10390=ORIENTED_EDGE('',*,*,#7961,.T.);
#10391=ORIENTED_EDGE('',*,*,#7962,.T.);
#10392=ORIENTED_EDGE('',*,*,#7963,.T.);
#10393=ORIENTED_EDGE('',*,*,#7964,.T.);
#10394=ORIENTED_EDGE('',*,*,#7965,.T.);
#10395=ORIENTED_EDGE('',*,*,#7966,.T.);
#10396=ORIENTED_EDGE('',*,*,#7967,.T.);
#10397=ORIENTED_EDGE('',*,*,#7968,.T.);
#10398=ORIENTED_EDGE('',*,*,#7959,.F.);
#10399=ORIENTED_EDGE('',*,*,#7969,.T.);
#10400=ORIENTED_EDGE('',*,*,#7970,.T.);
#10401=ORIENTED_EDGE('',*,*,#7971,.T.);
#10402=ORIENTED_EDGE('',*,*,#7972,.T.);
#10403=ORIENTED_EDGE('',*,*,#7962,.F.);
#10404=ORIENTED_EDGE('',*,*,#7973,.T.);
#10405=ORIENTED_EDGE('',*,*,#7974,.T.);
#10406=ORIENTED_EDGE('',*,*,#7975,.T.);
#10407=ORIENTED_EDGE('',*,*,#7967,.F.);
#10408=ORIENTED_EDGE('',*,*,#7976,.T.);
#10409=ORIENTED_EDGE('',*,*,#7977,.T.);
#10410=ORIENTED_EDGE('',*,*,#7978,.T.);
#10411=ORIENTED_EDGE('',*,*,#7979,.T.);
#10412=ORIENTED_EDGE('',*,*,#7974,.F.);
#10413=ORIENTED_EDGE('',*,*,#7980,.T.);
#10414=ORIENTED_EDGE('',*,*,#7981,.T.);
#10415=ORIENTED_EDGE('',*,*,#7982,.T.);
#10416=ORIENTED_EDGE('',*,*,#7978,.F.);
#10417=ORIENTED_EDGE('',*,*,#7983,.T.);
#10418=ORIENTED_EDGE('',*,*,#7984,.T.);
#10419=ORIENTED_EDGE('',*,*,#7985,.T.);
#10420=ORIENTED_EDGE('',*,*,#7986,.T.);
#10421=ORIENTED_EDGE('',*,*,#7987,.T.);
#10422=ORIENTED_EDGE('',*,*,#7988,.T.);
#10423=ORIENTED_EDGE('',*,*,#7989,.T.);
#10424=ORIENTED_EDGE('',*,*,#7990,.T.);
#10425=ORIENTED_EDGE('',*,*,#7981,.F.);
#10426=ORIENTED_EDGE('',*,*,#7991,.T.);
#10427=ORIENTED_EDGE('',*,*,#7992,.T.);
#10428=ORIENTED_EDGE('',*,*,#7993,.T.);
#10429=ORIENTED_EDGE('',*,*,#7994,.T.);
#10430=ORIENTED_EDGE('',*,*,#7984,.F.);
#10431=ORIENTED_EDGE('',*,*,#7995,.T.);
#10432=ORIENTED_EDGE('',*,*,#7996,.T.);
#10433=ORIENTED_EDGE('',*,*,#7997,.T.);
#10434=ORIENTED_EDGE('',*,*,#7989,.F.);
#10435=ORIENTED_EDGE('',*,*,#7998,.T.);
#10436=ORIENTED_EDGE('',*,*,#7999,.T.);
#10437=ORIENTED_EDGE('',*,*,#8000,.T.);
#10438=ORIENTED_EDGE('',*,*,#8001,.T.);
#10439=ORIENTED_EDGE('',*,*,#7996,.F.);
#10440=ORIENTED_EDGE('',*,*,#8002,.T.);
#10441=ORIENTED_EDGE('',*,*,#8003,.T.);
#10442=ORIENTED_EDGE('',*,*,#8004,.T.);
#10443=ORIENTED_EDGE('',*,*,#8000,.F.);
#10444=ORIENTED_EDGE('',*,*,#8005,.T.);
#10445=ORIENTED_EDGE('',*,*,#8006,.T.);
#10446=ORIENTED_EDGE('',*,*,#8007,.T.);
#10447=ORIENTED_EDGE('',*,*,#8008,.T.);
#10448=ORIENTED_EDGE('',*,*,#8009,.T.);
#10449=ORIENTED_EDGE('',*,*,#8010,.T.);
#10450=ORIENTED_EDGE('',*,*,#8011,.T.);
#10451=ORIENTED_EDGE('',*,*,#8012,.T.);
#10452=ORIENTED_EDGE('',*,*,#8006,.F.);
#10453=ORIENTED_EDGE('',*,*,#8013,.T.);
#10454=ORIENTED_EDGE('',*,*,#8014,.T.);
#10455=ORIENTED_EDGE('',*,*,#8015,.T.);
#10456=ORIENTED_EDGE('',*,*,#8016,.T.);
#10457=ORIENTED_EDGE('',*,*,#8017,.T.);
#10458=ORIENTED_EDGE('',*,*,#8014,.F.);
#10459=ORIENTED_EDGE('',*,*,#8018,.T.);
#10460=ORIENTED_EDGE('',*,*,#8019,.T.);
#10461=ORIENTED_EDGE('',*,*,#8011,.F.);
#10462=ORIENTED_EDGE('',*,*,#8020,.T.);
#10463=ORIENTED_EDGE('',*,*,#8016,.F.);
#10464=ORIENTED_EDGE('',*,*,#8021,.T.);
#10465=ORIENTED_EDGE('',*,*,#8003,.F.);
#10466=ORIENTED_EDGE('',*,*,#8022,.T.);
#10467=ORIENTED_EDGE('',*,*,#8009,.F.);
#10468=ORIENTED_EDGE('',*,*,#8023,.T.);
#10469=ORIENTED_EDGE('',*,*,#8024,.T.);
#10470=ORIENTED_EDGE('',*,*,#8025,.T.);
#10471=ORIENTED_EDGE('',*,*,#8024,.F.);
#10472=ORIENTED_EDGE('',*,*,#8026,.T.);
#10473=ORIENTED_EDGE('',*,*,#8027,.T.);
#10474=ORIENTED_EDGE('',*,*,#7993,.F.);
#10475=ORIENTED_EDGE('',*,*,#8028,.T.);
#10476=ORIENTED_EDGE('',*,*,#7987,.F.);
#10477=ORIENTED_EDGE('',*,*,#8029,.T.);
#10478=ORIENTED_EDGE('',*,*,#8030,.T.);
#10479=ORIENTED_EDGE('',*,*,#8031,.T.);
#10480=ORIENTED_EDGE('',*,*,#8030,.F.);
#10481=ORIENTED_EDGE('',*,*,#8032,.T.);
#10482=ORIENTED_EDGE('',*,*,#8033,.T.);
#10483=ORIENTED_EDGE('',*,*,#7971,.F.);
#10484=ORIENTED_EDGE('',*,*,#8034,.T.);
#10485=ORIENTED_EDGE('',*,*,#7965,.F.);
#10486=ORIENTED_EDGE('',*,*,#8035,.T.);
#10487=ORIENTED_EDGE('',*,*,#8036,.T.);
#10488=ORIENTED_EDGE('',*,*,#8037,.T.);
#10489=ORIENTED_EDGE('',*,*,#8036,.F.);
#10490=ORIENTED_EDGE('',*,*,#8038,.T.);
#10491=ORIENTED_EDGE('',*,*,#8039,.T.);
#10492=ORIENTED_EDGE('',*,*,#7949,.F.);
#10493=ORIENTED_EDGE('',*,*,#8040,.T.);
#10494=ORIENTED_EDGE('',*,*,#7943,.F.);
#10495=ORIENTED_EDGE('',*,*,#8041,.T.);
#10496=ORIENTED_EDGE('',*,*,#8042,.T.);
#10497=ORIENTED_EDGE('',*,*,#8043,.T.);
#10498=ORIENTED_EDGE('',*,*,#8042,.F.);
#10499=ORIENTED_EDGE('',*,*,#8044,.T.);
#10500=ORIENTED_EDGE('',*,*,#8045,.T.);
#10501=ORIENTED_EDGE('',*,*,#7927,.F.);
#10502=ORIENTED_EDGE('',*,*,#8046,.T.);
#10503=ORIENTED_EDGE('',*,*,#7921,.F.);
#10504=ORIENTED_EDGE('',*,*,#8047,.T.);
#10505=ORIENTED_EDGE('',*,*,#8048,.T.);
#10506=ORIENTED_EDGE('',*,*,#8049,.T.);
#10507=ORIENTED_EDGE('',*,*,#8048,.F.);
#10508=ORIENTED_EDGE('',*,*,#8050,.T.);
#10509=ORIENTED_EDGE('',*,*,#8051,.T.);
#10510=ORIENTED_EDGE('',*,*,#7905,.F.);
#10511=ORIENTED_EDGE('',*,*,#8052,.T.);
#10512=ORIENTED_EDGE('',*,*,#7899,.F.);
#10513=ORIENTED_EDGE('',*,*,#8053,.T.);
#10514=ORIENTED_EDGE('',*,*,#8054,.T.);
#10515=ORIENTED_EDGE('',*,*,#8055,.T.);
#10516=ORIENTED_EDGE('',*,*,#8054,.F.);
#10517=ORIENTED_EDGE('',*,*,#8056,.T.);
#10518=ORIENTED_EDGE('',*,*,#8057,.T.);
#10519=ORIENTED_EDGE('',*,*,#7883,.F.);
#10520=ORIENTED_EDGE('',*,*,#8058,.T.);
#10521=ORIENTED_EDGE('',*,*,#7877,.F.);
#10522=ORIENTED_EDGE('',*,*,#8059,.T.);
#10523=ORIENTED_EDGE('',*,*,#8060,.T.);
#10524=ORIENTED_EDGE('',*,*,#8061,.T.);
#10525=ORIENTED_EDGE('',*,*,#8060,.F.);
#10526=ORIENTED_EDGE('',*,*,#8062,.T.);
#10527=ORIENTED_EDGE('',*,*,#8063,.T.);
#10528=ORIENTED_EDGE('',*,*,#7861,.F.);
#10529=ORIENTED_EDGE('',*,*,#8064,.T.);
#10530=ORIENTED_EDGE('',*,*,#7855,.F.);
#10531=ORIENTED_EDGE('',*,*,#8065,.T.);
#10532=ORIENTED_EDGE('',*,*,#8066,.T.);
#10533=ORIENTED_EDGE('',*,*,#8067,.T.);
#10534=ORIENTED_EDGE('',*,*,#8066,.F.);
#10535=ORIENTED_EDGE('',*,*,#8068,.T.);
#10536=ORIENTED_EDGE('',*,*,#8069,.T.);
#10537=ORIENTED_EDGE('',*,*,#7839,.F.);
#10538=ORIENTED_EDGE('',*,*,#8070,.T.);
#10539=ORIENTED_EDGE('',*,*,#7833,.F.);
#10540=ORIENTED_EDGE('',*,*,#8071,.T.);
#10541=ORIENTED_EDGE('',*,*,#8072,.T.);
#10542=ORIENTED_EDGE('',*,*,#8073,.T.);
#10543=ORIENTED_EDGE('',*,*,#8072,.F.);
#10544=ORIENTED_EDGE('',*,*,#8074,.T.);
#10545=ORIENTED_EDGE('',*,*,#8075,.T.);
#10546=ORIENTED_EDGE('',*,*,#7817,.F.);
#10547=ORIENTED_EDGE('',*,*,#8076,.T.);
#10548=ORIENTED_EDGE('',*,*,#7811,.F.);
#10549=ORIENTED_EDGE('',*,*,#8077,.T.);
#10550=ORIENTED_EDGE('',*,*,#7801,.F.);
#10551=ORIENTED_EDGE('',*,*,#8078,.T.);
#10552=ORIENTED_EDGE('',*,*,#8079,.T.);
#10553=ORIENTED_EDGE('',*,*,#7806,.F.);
#10554=ORIENTED_EDGE('',*,*,#8080,.T.);
#10555=ORIENTED_EDGE('',*,*,#7797,.F.);
#10556=ORIENTED_EDGE('',*,*,#8081,.T.);
#10557=ORIENTED_EDGE('',*,*,#7795,.F.);
#10558=ORIENTED_EDGE('',*,*,#8082,.T.);
#10559=ORIENTED_EDGE('',*,*,#8083,.T.);
#10560=ORIENTED_EDGE('',*,*,#7799,.T.);
#10561=ORIENTED_EDGE('',*,*,#7802,.F.);
#10562=ORIENTED_EDGE('',*,*,#8084,.T.);
#10563=ORIENTED_EDGE('',*,*,#8085,.T.);
#10564=ORIENTED_EDGE('',*,*,#8086,.F.);
#10565=ORIENTED_EDGE('',*,*,#8077,.F.);
#10566=ORIENTED_EDGE('',*,*,#7810,.F.);
#10567=ORIENTED_EDGE('',*,*,#8087,.T.);
#10568=ORIENTED_EDGE('',*,*,#8088,.T.);
#10569=ORIENTED_EDGE('',*,*,#8084,.F.);
#10570=ORIENTED_EDGE('',*,*,#7809,.F.);
#10571=ORIENTED_EDGE('',*,*,#8089,.T.);
#10572=ORIENTED_EDGE('',*,*,#8090,.T.);
#10573=ORIENTED_EDGE('',*,*,#8091,.F.);
#10574=ORIENTED_EDGE('',*,*,#8092,.T.);
#10575=ORIENTED_EDGE('',*,*,#8093,.T.);
#10576=ORIENTED_EDGE('',*,*,#8094,.T.);
#10577=ORIENTED_EDGE('',*,*,#8095,.F.);
#10578=ORIENTED_EDGE('',*,*,#8087,.F.);
#10579=ORIENTED_EDGE('',*,*,#7818,.F.);
#10580=ORIENTED_EDGE('',*,*,#8096,.F.);
#10581=ORIENTED_EDGE('',*,*,#8097,.F.);
#10582=ORIENTED_EDGE('',*,*,#8089,.F.);
#10583=ORIENTED_EDGE('',*,*,#8075,.F.);
#10584=ORIENTED_EDGE('',*,*,#8098,.F.);
#10585=ORIENTED_EDGE('',*,*,#8099,.F.);
#10586=ORIENTED_EDGE('',*,*,#8100,.T.);
#10587=ORIENTED_EDGE('',*,*,#8101,.T.);
#10588=ORIENTED_EDGE('',*,*,#8102,.T.);
#10589=ORIENTED_EDGE('',*,*,#8103,.F.);
#10590=ORIENTED_EDGE('',*,*,#8104,.T.);
#10591=ORIENTED_EDGE('',*,*,#8096,.T.);
#10592=ORIENTED_EDGE('',*,*,#8074,.F.);
#10593=ORIENTED_EDGE('',*,*,#8071,.F.);
#10594=ORIENTED_EDGE('',*,*,#7832,.F.);
#10595=ORIENTED_EDGE('',*,*,#8105,.T.);
#10596=ORIENTED_EDGE('',*,*,#8106,.T.);
#10597=ORIENTED_EDGE('',*,*,#8098,.T.);
#10598=ORIENTED_EDGE('',*,*,#7831,.F.);
#10599=ORIENTED_EDGE('',*,*,#8107,.T.);
#10600=ORIENTED_EDGE('',*,*,#8108,.T.);
#10601=ORIENTED_EDGE('',*,*,#8109,.F.);
#10602=ORIENTED_EDGE('',*,*,#8110,.T.);
#10603=ORIENTED_EDGE('',*,*,#8111,.T.);
#10604=ORIENTED_EDGE('',*,*,#8112,.T.);
#10605=ORIENTED_EDGE('',*,*,#8113,.F.);
#10606=ORIENTED_EDGE('',*,*,#8105,.F.);
#10607=ORIENTED_EDGE('',*,*,#7840,.F.);
#10608=ORIENTED_EDGE('',*,*,#8114,.F.);
#10609=ORIENTED_EDGE('',*,*,#8115,.F.);
#10610=ORIENTED_EDGE('',*,*,#8107,.F.);
#10611=ORIENTED_EDGE('',*,*,#8069,.F.);
#10612=ORIENTED_EDGE('',*,*,#8116,.F.);
#10613=ORIENTED_EDGE('',*,*,#8117,.F.);
#10614=ORIENTED_EDGE('',*,*,#8118,.T.);
#10615=ORIENTED_EDGE('',*,*,#8119,.T.);
#10616=ORIENTED_EDGE('',*,*,#8120,.T.);
#10617=ORIENTED_EDGE('',*,*,#8121,.F.);
#10618=ORIENTED_EDGE('',*,*,#8122,.T.);
#10619=ORIENTED_EDGE('',*,*,#8114,.T.);
#10620=ORIENTED_EDGE('',*,*,#8068,.F.);
#10621=ORIENTED_EDGE('',*,*,#8065,.F.);
#10622=ORIENTED_EDGE('',*,*,#7854,.F.);
#10623=ORIENTED_EDGE('',*,*,#8123,.T.);
#10624=ORIENTED_EDGE('',*,*,#8124,.T.);
#10625=ORIENTED_EDGE('',*,*,#8116,.T.);
#10626=ORIENTED_EDGE('',*,*,#7853,.F.);
#10627=ORIENTED_EDGE('',*,*,#8125,.T.);
#10628=ORIENTED_EDGE('',*,*,#8126,.T.);
#10629=ORIENTED_EDGE('',*,*,#8127,.F.);
#10630=ORIENTED_EDGE('',*,*,#8128,.T.);
#10631=ORIENTED_EDGE('',*,*,#8129,.T.);
#10632=ORIENTED_EDGE('',*,*,#8130,.T.);
#10633=ORIENTED_EDGE('',*,*,#8131,.F.);
#10634=ORIENTED_EDGE('',*,*,#8123,.F.);
#10635=ORIENTED_EDGE('',*,*,#7862,.F.);
#10636=ORIENTED_EDGE('',*,*,#8132,.F.);
#10637=ORIENTED_EDGE('',*,*,#8133,.F.);
#10638=ORIENTED_EDGE('',*,*,#8125,.F.);
#10639=ORIENTED_EDGE('',*,*,#8063,.F.);
#10640=ORIENTED_EDGE('',*,*,#8134,.F.);
#10641=ORIENTED_EDGE('',*,*,#8135,.F.);
#10642=ORIENTED_EDGE('',*,*,#8136,.T.);
#10643=ORIENTED_EDGE('',*,*,#8137,.T.);
#10644=ORIENTED_EDGE('',*,*,#8138,.T.);
#10645=ORIENTED_EDGE('',*,*,#8139,.F.);
#10646=ORIENTED_EDGE('',*,*,#8140,.T.);
#10647=ORIENTED_EDGE('',*,*,#8132,.T.);
#10648=ORIENTED_EDGE('',*,*,#8062,.F.);
#10649=ORIENTED_EDGE('',*,*,#8059,.F.);
#10650=ORIENTED_EDGE('',*,*,#7876,.F.);
#10651=ORIENTED_EDGE('',*,*,#8141,.T.);
#10652=ORIENTED_EDGE('',*,*,#8142,.T.);
#10653=ORIENTED_EDGE('',*,*,#8134,.T.);
#10654=ORIENTED_EDGE('',*,*,#7875,.F.);
#10655=ORIENTED_EDGE('',*,*,#8143,.T.);
#10656=ORIENTED_EDGE('',*,*,#8144,.T.);
#10657=ORIENTED_EDGE('',*,*,#8145,.F.);
#10658=ORIENTED_EDGE('',*,*,#8146,.T.);
#10659=ORIENTED_EDGE('',*,*,#8147,.T.);
#10660=ORIENTED_EDGE('',*,*,#8148,.T.);
#10661=ORIENTED_EDGE('',*,*,#8149,.F.);
#10662=ORIENTED_EDGE('',*,*,#8141,.F.);
#10663=ORIENTED_EDGE('',*,*,#7884,.F.);
#10664=ORIENTED_EDGE('',*,*,#8150,.F.);
#10665=ORIENTED_EDGE('',*,*,#8151,.F.);
#10666=ORIENTED_EDGE('',*,*,#8143,.F.);
#10667=ORIENTED_EDGE('',*,*,#8057,.F.);
#10668=ORIENTED_EDGE('',*,*,#8152,.F.);
#10669=ORIENTED_EDGE('',*,*,#8153,.F.);
#10670=ORIENTED_EDGE('',*,*,#8154,.T.);
#10671=ORIENTED_EDGE('',*,*,#8155,.T.);
#10672=ORIENTED_EDGE('',*,*,#8156,.T.);
#10673=ORIENTED_EDGE('',*,*,#8157,.F.);
#10674=ORIENTED_EDGE('',*,*,#8158,.T.);
#10675=ORIENTED_EDGE('',*,*,#8150,.T.);
#10676=ORIENTED_EDGE('',*,*,#8056,.F.);
#10677=ORIENTED_EDGE('',*,*,#8053,.F.);
#10678=ORIENTED_EDGE('',*,*,#7898,.F.);
#10679=ORIENTED_EDGE('',*,*,#8159,.T.);
#10680=ORIENTED_EDGE('',*,*,#8160,.T.);
#10681=ORIENTED_EDGE('',*,*,#8152,.T.);
#10682=ORIENTED_EDGE('',*,*,#7897,.F.);
#10683=ORIENTED_EDGE('',*,*,#8161,.T.);
#10684=ORIENTED_EDGE('',*,*,#8162,.T.);
#10685=ORIENTED_EDGE('',*,*,#8163,.F.);
#10686=ORIENTED_EDGE('',*,*,#8164,.T.);
#10687=ORIENTED_EDGE('',*,*,#8165,.T.);
#10688=ORIENTED_EDGE('',*,*,#8166,.T.);
#10689=ORIENTED_EDGE('',*,*,#8167,.F.);
#10690=ORIENTED_EDGE('',*,*,#8159,.F.);
#10691=ORIENTED_EDGE('',*,*,#7906,.F.);
#10692=ORIENTED_EDGE('',*,*,#8168,.F.);
#10693=ORIENTED_EDGE('',*,*,#8169,.F.);
#10694=ORIENTED_EDGE('',*,*,#8161,.F.);
#10695=ORIENTED_EDGE('',*,*,#8051,.F.);
#10696=ORIENTED_EDGE('',*,*,#8170,.F.);
#10697=ORIENTED_EDGE('',*,*,#8171,.F.);
#10698=ORIENTED_EDGE('',*,*,#8172,.T.);
#10699=ORIENTED_EDGE('',*,*,#8173,.T.);
#10700=ORIENTED_EDGE('',*,*,#8174,.T.);
#10701=ORIENTED_EDGE('',*,*,#8175,.F.);
#10702=ORIENTED_EDGE('',*,*,#8176,.T.);
#10703=ORIENTED_EDGE('',*,*,#8168,.T.);
#10704=ORIENTED_EDGE('',*,*,#8050,.F.);
#10705=ORIENTED_EDGE('',*,*,#8047,.F.);
#10706=ORIENTED_EDGE('',*,*,#7920,.F.);
#10707=ORIENTED_EDGE('',*,*,#8177,.T.);
#10708=ORIENTED_EDGE('',*,*,#8178,.T.);
#10709=ORIENTED_EDGE('',*,*,#8170,.T.);
#10710=ORIENTED_EDGE('',*,*,#7919,.F.);
#10711=ORIENTED_EDGE('',*,*,#8179,.T.);
#10712=ORIENTED_EDGE('',*,*,#8180,.T.);
#10713=ORIENTED_EDGE('',*,*,#8181,.F.);
#10714=ORIENTED_EDGE('',*,*,#8182,.T.);
#10715=ORIENTED_EDGE('',*,*,#8183,.T.);
#10716=ORIENTED_EDGE('',*,*,#8184,.T.);
#10717=ORIENTED_EDGE('',*,*,#8185,.F.);
#10718=ORIENTED_EDGE('',*,*,#8177,.F.);
#10719=ORIENTED_EDGE('',*,*,#7928,.F.);
#10720=ORIENTED_EDGE('',*,*,#8186,.F.);
#10721=ORIENTED_EDGE('',*,*,#8187,.F.);
#10722=ORIENTED_EDGE('',*,*,#8179,.F.);
#10723=ORIENTED_EDGE('',*,*,#8045,.F.);
#10724=ORIENTED_EDGE('',*,*,#8188,.F.);
#10725=ORIENTED_EDGE('',*,*,#8189,.F.);
#10726=ORIENTED_EDGE('',*,*,#8190,.T.);
#10727=ORIENTED_EDGE('',*,*,#8191,.T.);
#10728=ORIENTED_EDGE('',*,*,#8192,.T.);
#10729=ORIENTED_EDGE('',*,*,#8193,.F.);
#10730=ORIENTED_EDGE('',*,*,#8194,.T.);
#10731=ORIENTED_EDGE('',*,*,#8186,.T.);
#10732=ORIENTED_EDGE('',*,*,#8044,.F.);
#10733=ORIENTED_EDGE('',*,*,#8041,.F.);
#10734=ORIENTED_EDGE('',*,*,#7942,.F.);
#10735=ORIENTED_EDGE('',*,*,#8195,.T.);
#10736=ORIENTED_EDGE('',*,*,#8196,.T.);
#10737=ORIENTED_EDGE('',*,*,#8188,.T.);
#10738=ORIENTED_EDGE('',*,*,#7941,.F.);
#10739=ORIENTED_EDGE('',*,*,#8197,.T.);
#10740=ORIENTED_EDGE('',*,*,#8198,.T.);
#10741=ORIENTED_EDGE('',*,*,#8199,.F.);
#10742=ORIENTED_EDGE('',*,*,#8200,.T.);
#10743=ORIENTED_EDGE('',*,*,#8201,.T.);
#10744=ORIENTED_EDGE('',*,*,#8202,.T.);
#10745=ORIENTED_EDGE('',*,*,#8203,.F.);
#10746=ORIENTED_EDGE('',*,*,#8195,.F.);
#10747=ORIENTED_EDGE('',*,*,#7950,.F.);
#10748=ORIENTED_EDGE('',*,*,#8204,.F.);
#10749=ORIENTED_EDGE('',*,*,#8205,.F.);
#10750=ORIENTED_EDGE('',*,*,#8197,.F.);
#10751=ORIENTED_EDGE('',*,*,#8039,.F.);
#10752=ORIENTED_EDGE('',*,*,#8206,.F.);
#10753=ORIENTED_EDGE('',*,*,#8207,.F.);
#10754=ORIENTED_EDGE('',*,*,#8208,.T.);
#10755=ORIENTED_EDGE('',*,*,#8209,.T.);
#10756=ORIENTED_EDGE('',*,*,#8210,.T.);
#10757=ORIENTED_EDGE('',*,*,#8211,.F.);
#10758=ORIENTED_EDGE('',*,*,#8212,.T.);
#10759=ORIENTED_EDGE('',*,*,#8204,.T.);
#10760=ORIENTED_EDGE('',*,*,#8038,.F.);
#10761=ORIENTED_EDGE('',*,*,#8035,.F.);
#10762=ORIENTED_EDGE('',*,*,#7964,.F.);
#10763=ORIENTED_EDGE('',*,*,#8213,.T.);
#10764=ORIENTED_EDGE('',*,*,#8214,.T.);
#10765=ORIENTED_EDGE('',*,*,#8206,.T.);
#10766=ORIENTED_EDGE('',*,*,#7963,.F.);
#10767=ORIENTED_EDGE('',*,*,#8215,.T.);
#10768=ORIENTED_EDGE('',*,*,#8216,.T.);
#10769=ORIENTED_EDGE('',*,*,#8217,.F.);
#10770=ORIENTED_EDGE('',*,*,#8218,.T.);
#10771=ORIENTED_EDGE('',*,*,#8219,.T.);
#10772=ORIENTED_EDGE('',*,*,#8220,.T.);
#10773=ORIENTED_EDGE('',*,*,#8221,.F.);
#10774=ORIENTED_EDGE('',*,*,#8213,.F.);
#10775=ORIENTED_EDGE('',*,*,#7972,.F.);
#10776=ORIENTED_EDGE('',*,*,#8222,.F.);
#10777=ORIENTED_EDGE('',*,*,#8223,.F.);
#10778=ORIENTED_EDGE('',*,*,#8215,.F.);
#10779=ORIENTED_EDGE('',*,*,#8033,.F.);
#10780=ORIENTED_EDGE('',*,*,#8224,.F.);
#10781=ORIENTED_EDGE('',*,*,#8225,.F.);
#10782=ORIENTED_EDGE('',*,*,#8226,.T.);
#10783=ORIENTED_EDGE('',*,*,#8227,.T.);
#10784=ORIENTED_EDGE('',*,*,#8228,.T.);
#10785=ORIENTED_EDGE('',*,*,#8229,.F.);
#10786=ORIENTED_EDGE('',*,*,#8230,.T.);
#10787=ORIENTED_EDGE('',*,*,#8222,.T.);
#10788=ORIENTED_EDGE('',*,*,#8032,.F.);
#10789=ORIENTED_EDGE('',*,*,#8029,.F.);
#10790=ORIENTED_EDGE('',*,*,#7986,.F.);
#10791=ORIENTED_EDGE('',*,*,#8231,.T.);
#10792=ORIENTED_EDGE('',*,*,#8232,.T.);
#10793=ORIENTED_EDGE('',*,*,#8224,.T.);
#10794=ORIENTED_EDGE('',*,*,#7985,.F.);
#10795=ORIENTED_EDGE('',*,*,#8233,.T.);
#10796=ORIENTED_EDGE('',*,*,#8234,.T.);
#10797=ORIENTED_EDGE('',*,*,#8235,.F.);
#10798=ORIENTED_EDGE('',*,*,#8236,.T.);
#10799=ORIENTED_EDGE('',*,*,#8237,.T.);
#10800=ORIENTED_EDGE('',*,*,#8238,.T.);
#10801=ORIENTED_EDGE('',*,*,#8239,.F.);
#10802=ORIENTED_EDGE('',*,*,#8231,.F.);
#10803=ORIENTED_EDGE('',*,*,#7994,.F.);
#10804=ORIENTED_EDGE('',*,*,#8240,.F.);
#10805=ORIENTED_EDGE('',*,*,#8241,.F.);
#10806=ORIENTED_EDGE('',*,*,#8233,.F.);
#10807=ORIENTED_EDGE('',*,*,#8027,.F.);
#10808=ORIENTED_EDGE('',*,*,#8242,.F.);
#10809=ORIENTED_EDGE('',*,*,#8243,.F.);
#10810=ORIENTED_EDGE('',*,*,#8244,.T.);
#10811=ORIENTED_EDGE('',*,*,#8245,.T.);
#10812=ORIENTED_EDGE('',*,*,#8246,.T.);
#10813=ORIENTED_EDGE('',*,*,#8247,.F.);
#10814=ORIENTED_EDGE('',*,*,#8248,.T.);
#10815=ORIENTED_EDGE('',*,*,#8240,.T.);
#10816=ORIENTED_EDGE('',*,*,#8026,.F.);
#10817=ORIENTED_EDGE('',*,*,#8023,.F.);
#10818=ORIENTED_EDGE('',*,*,#8008,.F.);
#10819=ORIENTED_EDGE('',*,*,#8249,.T.);
#10820=ORIENTED_EDGE('',*,*,#8250,.T.);
#10821=ORIENTED_EDGE('',*,*,#8242,.T.);
#10822=ORIENTED_EDGE('',*,*,#8007,.F.);
#10823=ORIENTED_EDGE('',*,*,#8251,.T.);
#10824=ORIENTED_EDGE('',*,*,#8252,.T.);
#10825=ORIENTED_EDGE('',*,*,#8253,.F.);
#10826=ORIENTED_EDGE('',*,*,#8254,.T.);
#10827=ORIENTED_EDGE('',*,*,#8255,.T.);
#10828=ORIENTED_EDGE('',*,*,#8256,.T.);
#10829=ORIENTED_EDGE('',*,*,#8257,.F.);
#10830=ORIENTED_EDGE('',*,*,#8249,.F.);
#10831=ORIENTED_EDGE('',*,*,#8012,.F.);
#10832=ORIENTED_EDGE('',*,*,#8258,.F.);
#10833=ORIENTED_EDGE('',*,*,#8259,.F.);
#10834=ORIENTED_EDGE('',*,*,#8251,.F.);
#10835=ORIENTED_EDGE('',*,*,#8019,.F.);
#10836=ORIENTED_EDGE('',*,*,#8260,.F.);
#10837=ORIENTED_EDGE('',*,*,#8261,.F.);
#10838=ORIENTED_EDGE('',*,*,#8262,.T.);
#10839=ORIENTED_EDGE('',*,*,#8263,.T.);
#10840=ORIENTED_EDGE('',*,*,#8264,.T.);
#10841=ORIENTED_EDGE('',*,*,#8265,.F.);
#10842=ORIENTED_EDGE('',*,*,#8266,.T.);
#10843=ORIENTED_EDGE('',*,*,#8258,.T.);
#10844=ORIENTED_EDGE('',*,*,#8018,.F.);
#10845=ORIENTED_EDGE('',*,*,#8013,.F.);
#10846=ORIENTED_EDGE('',*,*,#8267,.T.);
#10847=ORIENTED_EDGE('',*,*,#8268,.T.);
#10848=ORIENTED_EDGE('',*,*,#8260,.T.);
#10849=ORIENTED_EDGE('',*,*,#7544,.F.);
#10850=ORIENTED_EDGE('',*,*,#8269,.F.);
#10851=ORIENTED_EDGE('',*,*,#7574,.F.);
#10852=ORIENTED_EDGE('',*,*,#7568,.F.);
#10853=ORIENTED_EDGE('',*,*,#7564,.F.);
#10854=ORIENTED_EDGE('',*,*,#7567,.F.);
#10855=ORIENTED_EDGE('',*,*,#7578,.F.);
#10856=ORIENTED_EDGE('',*,*,#8270,.F.);
#10857=ORIENTED_EDGE('',*,*,#7556,.F.);
#10858=ORIENTED_EDGE('',*,*,#8271,.F.);
#10859=ORIENTED_EDGE('',*,*,#8015,.F.);
#10860=ORIENTED_EDGE('',*,*,#8017,.F.);
#10861=ORIENTED_EDGE('',*,*,#8010,.F.);
#10862=ORIENTED_EDGE('',*,*,#8005,.F.);
#10863=ORIENTED_EDGE('',*,*,#8022,.F.);
#10864=ORIENTED_EDGE('',*,*,#8025,.F.);
#10865=ORIENTED_EDGE('',*,*,#7992,.F.);
#10866=ORIENTED_EDGE('',*,*,#7983,.F.);
#10867=ORIENTED_EDGE('',*,*,#8028,.F.);
#10868=ORIENTED_EDGE('',*,*,#8031,.F.);
#10869=ORIENTED_EDGE('',*,*,#7970,.F.);
#10870=ORIENTED_EDGE('',*,*,#7961,.F.);
#10871=ORIENTED_EDGE('',*,*,#8034,.F.);
#10872=ORIENTED_EDGE('',*,*,#8037,.F.);
#10873=ORIENTED_EDGE('',*,*,#7948,.F.);
#10874=ORIENTED_EDGE('',*,*,#7939,.F.);
#10875=ORIENTED_EDGE('',*,*,#8040,.F.);
#10876=ORIENTED_EDGE('',*,*,#8043,.F.);
#10877=ORIENTED_EDGE('',*,*,#7926,.F.);
#10878=ORIENTED_EDGE('',*,*,#7917,.F.);
#10879=ORIENTED_EDGE('',*,*,#8046,.F.);
#10880=ORIENTED_EDGE('',*,*,#8049,.F.);
#10881=ORIENTED_EDGE('',*,*,#7904,.F.);
#10882=ORIENTED_EDGE('',*,*,#7895,.F.);
#10883=ORIENTED_EDGE('',*,*,#8052,.F.);
#10884=ORIENTED_EDGE('',*,*,#8055,.F.);
#10885=ORIENTED_EDGE('',*,*,#7882,.F.);
#10886=ORIENTED_EDGE('',*,*,#7873,.F.);
#10887=ORIENTED_EDGE('',*,*,#8058,.F.);
#10888=ORIENTED_EDGE('',*,*,#8061,.F.);
#10889=ORIENTED_EDGE('',*,*,#7860,.F.);
#10890=ORIENTED_EDGE('',*,*,#7851,.F.);
#10891=ORIENTED_EDGE('',*,*,#8064,.F.);
#10892=ORIENTED_EDGE('',*,*,#8067,.F.);
#10893=ORIENTED_EDGE('',*,*,#7838,.F.);
#10894=ORIENTED_EDGE('',*,*,#7829,.F.);
#10895=ORIENTED_EDGE('',*,*,#8070,.F.);
#10896=ORIENTED_EDGE('',*,*,#8073,.F.);
#10897=ORIENTED_EDGE('',*,*,#7816,.F.);
#10898=ORIENTED_EDGE('',*,*,#7807,.F.);
#10899=ORIENTED_EDGE('',*,*,#8076,.F.);
#10900=ORIENTED_EDGE('',*,*,#7800,.F.);
#10901=ORIENTED_EDGE('',*,*,#7792,.F.);
#10902=ORIENTED_EDGE('',*,*,#8081,.F.);
#10903=ORIENTED_EDGE('',*,*,#7798,.F.);
#10904=ORIENTED_EDGE('',*,*,#8080,.F.);
#10905=ORIENTED_EDGE('',*,*,#7805,.F.);
#10906=ORIENTED_EDGE('',*,*,#7814,.F.);
#10907=ORIENTED_EDGE('',*,*,#7821,.F.);
#10908=ORIENTED_EDGE('',*,*,#7825,.F.);
#10909=ORIENTED_EDGE('',*,*,#7828,.F.);
#10910=ORIENTED_EDGE('',*,*,#7836,.F.);
#10911=ORIENTED_EDGE('',*,*,#7843,.F.);
#10912=ORIENTED_EDGE('',*,*,#7847,.F.);
#10913=ORIENTED_EDGE('',*,*,#7850,.F.);
#10914=ORIENTED_EDGE('',*,*,#7858,.F.);
#10915=ORIENTED_EDGE('',*,*,#7865,.F.);
#10916=ORIENTED_EDGE('',*,*,#7869,.F.);
#10917=ORIENTED_EDGE('',*,*,#7872,.F.);
#10918=ORIENTED_EDGE('',*,*,#7880,.F.);
#10919=ORIENTED_EDGE('',*,*,#7887,.F.);
#10920=ORIENTED_EDGE('',*,*,#7891,.F.);
#10921=ORIENTED_EDGE('',*,*,#7894,.F.);
#10922=ORIENTED_EDGE('',*,*,#7902,.F.);
#10923=ORIENTED_EDGE('',*,*,#7909,.F.);
#10924=ORIENTED_EDGE('',*,*,#7913,.F.);
#10925=ORIENTED_EDGE('',*,*,#7916,.F.);
#10926=ORIENTED_EDGE('',*,*,#7924,.F.);
#10927=ORIENTED_EDGE('',*,*,#7931,.F.);
#10928=ORIENTED_EDGE('',*,*,#7935,.F.);
#10929=ORIENTED_EDGE('',*,*,#7938,.F.);
#10930=ORIENTED_EDGE('',*,*,#7946,.F.);
#10931=ORIENTED_EDGE('',*,*,#7953,.F.);
#10932=ORIENTED_EDGE('',*,*,#7957,.F.);
#10933=ORIENTED_EDGE('',*,*,#7960,.F.);
#10934=ORIENTED_EDGE('',*,*,#7968,.F.);
#10935=ORIENTED_EDGE('',*,*,#7975,.F.);
#10936=ORIENTED_EDGE('',*,*,#7979,.F.);
#10937=ORIENTED_EDGE('',*,*,#7982,.F.);
#10938=ORIENTED_EDGE('',*,*,#7990,.F.);
#10939=ORIENTED_EDGE('',*,*,#7997,.F.);
#10940=ORIENTED_EDGE('',*,*,#8001,.F.);
#10941=ORIENTED_EDGE('',*,*,#8004,.F.);
#10942=ORIENTED_EDGE('',*,*,#8021,.F.);
#10943=ORIENTED_EDGE('',*,*,#8272,.F.);
#10944=ORIENTED_EDGE('',*,*,#8273,.F.);
#10945=ORIENTED_EDGE('',*,*,#8274,.F.);
#10946=ORIENTED_EDGE('',*,*,#8275,.F.);
#10947=ORIENTED_EDGE('',*,*,#8276,.F.);
#10948=ORIENTED_EDGE('',*,*,#8277,.F.);
#10949=ORIENTED_EDGE('',*,*,#8278,.F.);
#10950=ORIENTED_EDGE('',*,*,#8279,.F.);
#10951=ORIENTED_EDGE('',*,*,#8280,.F.);
#10952=ORIENTED_EDGE('',*,*,#8281,.T.);
#10953=ORIENTED_EDGE('',*,*,#8272,.T.);
#10954=ORIENTED_EDGE('',*,*,#8282,.T.);
#10955=ORIENTED_EDGE('',*,*,#8279,.T.);
#10956=ORIENTED_EDGE('',*,*,#8283,.T.);
#10957=ORIENTED_EDGE('',*,*,#8284,.F.);
#10958=ORIENTED_EDGE('',*,*,#8285,.F.);
#10959=ORIENTED_EDGE('',*,*,#8286,.F.);
#10960=ORIENTED_EDGE('',*,*,#8287,.T.);
#10961=ORIENTED_EDGE('',*,*,#8288,.F.);
#10962=ORIENTED_EDGE('',*,*,#8289,.F.);
#10963=ORIENTED_EDGE('',*,*,#8290,.F.);
#10964=ORIENTED_EDGE('',*,*,#8291,.F.);
#10965=ORIENTED_EDGE('',*,*,#8292,.F.);
#10966=ORIENTED_EDGE('',*,*,#8293,.F.);
#10967=ORIENTED_EDGE('',*,*,#8294,.F.);
#10968=ORIENTED_EDGE('',*,*,#8295,.F.);
#10969=ORIENTED_EDGE('',*,*,#8296,.F.);
#10970=ORIENTED_EDGE('',*,*,#8297,.F.);
#10971=ORIENTED_EDGE('',*,*,#8298,.F.);
#10972=ORIENTED_EDGE('',*,*,#8299,.T.);
#10973=ORIENTED_EDGE('',*,*,#8300,.F.);
#10974=ORIENTED_EDGE('',*,*,#8301,.T.);
#10975=ORIENTED_EDGE('',*,*,#8302,.F.);
#10976=ORIENTED_EDGE('',*,*,#8303,.F.);
#10977=ORIENTED_EDGE('',*,*,#8304,.F.);
#10978=ORIENTED_EDGE('',*,*,#8305,.F.);
#10979=ORIENTED_EDGE('',*,*,#8306,.F.);
#10980=ORIENTED_EDGE('',*,*,#8307,.T.);
#10981=ORIENTED_EDGE('',*,*,#8308,.F.);
#10982=ORIENTED_EDGE('',*,*,#8306,.T.);
#10983=ORIENTED_EDGE('',*,*,#8309,.T.);
#10984=ORIENTED_EDGE('',*,*,#8294,.T.);
#10985=ORIENTED_EDGE('',*,*,#8310,.T.);
#10986=ORIENTED_EDGE('',*,*,#8311,.F.);
#10987=ORIENTED_EDGE('',*,*,#8312,.F.);
#10988=ORIENTED_EDGE('',*,*,#8313,.F.);
#10989=ORIENTED_EDGE('',*,*,#8314,.F.);
#10990=ORIENTED_EDGE('',*,*,#8315,.F.);
#10991=ORIENTED_EDGE('',*,*,#8316,.T.);
#10992=ORIENTED_EDGE('',*,*,#8317,.F.);
#10993=ORIENTED_EDGE('',*,*,#8318,.F.);
#10994=ORIENTED_EDGE('',*,*,#8319,.F.);
#10995=ORIENTED_EDGE('',*,*,#8320,.F.);
#10996=ORIENTED_EDGE('',*,*,#8302,.T.);
#10997=ORIENTED_EDGE('',*,*,#8321,.T.);
#10998=ORIENTED_EDGE('',*,*,#8319,.T.);
#10999=ORIENTED_EDGE('',*,*,#8322,.T.);
#11000=ORIENTED_EDGE('',*,*,#8323,.F.);
#11001=ORIENTED_EDGE('',*,*,#8324,.F.);
#11002=ORIENTED_EDGE('',*,*,#8325,.F.);
#11003=ORIENTED_EDGE('',*,*,#8326,.T.);
#11004=ORIENTED_EDGE('',*,*,#8311,.T.);
#11005=ORIENTED_EDGE('',*,*,#8327,.T.);
#11006=ORIENTED_EDGE('',*,*,#8324,.T.);
#11007=ORIENTED_EDGE('',*,*,#8328,.T.);
#11008=ORIENTED_EDGE('',*,*,#8325,.T.);
#11009=ORIENTED_EDGE('',*,*,#8329,.T.);
#11010=ORIENTED_EDGE('',*,*,#8308,.T.);
#11011=ORIENTED_EDGE('',*,*,#8330,.T.);
#11012=ORIENTED_EDGE('',*,*,#8331,.T.);
#11013=ORIENTED_EDGE('',*,*,#8332,.F.);
#11014=ORIENTED_EDGE('',*,*,#8333,.F.);
#11015=ORIENTED_EDGE('',*,*,#8334,.F.);
#11016=ORIENTED_EDGE('',*,*,#8332,.T.);
#11017=ORIENTED_EDGE('',*,*,#8335,.T.);
#11018=ORIENTED_EDGE('',*,*,#8315,.T.);
#11019=ORIENTED_EDGE('',*,*,#8336,.T.);
#11020=ORIENTED_EDGE('',*,*,#8312,.T.);
#11021=ORIENTED_EDGE('',*,*,#8337,.T.);
#11022=ORIENTED_EDGE('',*,*,#8333,.T.);
#11023=ORIENTED_EDGE('',*,*,#8338,.T.);
#11024=ORIENTED_EDGE('',*,*,#8339,.F.);
#11025=ORIENTED_EDGE('',*,*,#8340,.F.);
#11026=ORIENTED_EDGE('',*,*,#8341,.F.);
#11027=ORIENTED_EDGE('',*,*,#8342,.F.);
#11028=ORIENTED_EDGE('',*,*,#8343,.F.);
#11029=ORIENTED_EDGE('',*,*,#8344,.F.);
#11030=ORIENTED_EDGE('',*,*,#8345,.F.);
#11031=ORIENTED_EDGE('',*,*,#8346,.F.);
#11032=ORIENTED_EDGE('',*,*,#8347,.F.);
#11033=ORIENTED_EDGE('',*,*,#8348,.F.);
#11034=ORIENTED_EDGE('',*,*,#8349,.F.);
#11035=ORIENTED_EDGE('',*,*,#8350,.F.);
#11036=ORIENTED_EDGE('',*,*,#8351,.T.);
#11037=ORIENTED_EDGE('',*,*,#8339,.T.);
#11038=ORIENTED_EDGE('',*,*,#8352,.T.);
#11039=ORIENTED_EDGE('',*,*,#8349,.T.);
#11040=ORIENTED_EDGE('',*,*,#8353,.T.);
#11041=ORIENTED_EDGE('',*,*,#8354,.F.);
#11042=ORIENTED_EDGE('',*,*,#8355,.F.);
#11043=ORIENTED_EDGE('',*,*,#8356,.F.);
#11044=ORIENTED_EDGE('',*,*,#8357,.T.);
#11045=ORIENTED_EDGE('',*,*,#8358,.F.);
#11046=ORIENTED_EDGE('',*,*,#8359,.F.);
#11047=ORIENTED_EDGE('',*,*,#8360,.F.);
#11048=ORIENTED_EDGE('',*,*,#8361,.T.);
#11049=ORIENTED_EDGE('',*,*,#8362,.F.);
#11050=ORIENTED_EDGE('',*,*,#8363,.F.);
#11051=ORIENTED_EDGE('',*,*,#8364,.F.);
#11052=ORIENTED_EDGE('',*,*,#8362,.T.);
#11053=ORIENTED_EDGE('',*,*,#8365,.T.);
#11054=ORIENTED_EDGE('',*,*,#8346,.T.);
#11055=ORIENTED_EDGE('',*,*,#8366,.T.);
#11056=ORIENTED_EDGE('',*,*,#8367,.T.);
#11057=ORIENTED_EDGE('',*,*,#8363,.T.);
#11058=ORIENTED_EDGE('',*,*,#8368,.T.);
#11059=ORIENTED_EDGE('',*,*,#8369,.T.);
#11060=ORIENTED_EDGE('',*,*,#8370,.F.);
#11061=ORIENTED_EDGE('',*,*,#8371,.F.);
#11062=ORIENTED_EDGE('',*,*,#8372,.T.);
#11063=ORIENTED_EDGE('',*,*,#8373,.F.);
#11064=ORIENTED_EDGE('',*,*,#8374,.F.);
#11065=ORIENTED_EDGE('',*,*,#8375,.F.);
#11066=ORIENTED_EDGE('',*,*,#8376,.T.);
#11067=ORIENTED_EDGE('',*,*,#8377,.F.);
#11068=ORIENTED_EDGE('',*,*,#8358,.T.);
#11069=ORIENTED_EDGE('',*,*,#8378,.T.);
#11070=ORIENTED_EDGE('',*,*,#8375,.T.);
#11071=ORIENTED_EDGE('',*,*,#8379,.T.);
#11072=ORIENTED_EDGE('',*,*,#8380,.F.);
#11073=ORIENTED_EDGE('',*,*,#8381,.F.);
#11074=ORIENTED_EDGE('',*,*,#8382,.F.);
#11075=ORIENTED_EDGE('',*,*,#8383,.T.);
#11076=ORIENTED_EDGE('',*,*,#8384,.F.);
#11077=ORIENTED_EDGE('',*,*,#8385,.F.);
#11078=ORIENTED_EDGE('',*,*,#8386,.F.);
#11079=ORIENTED_EDGE('',*,*,#7726,.F.);
#11080=ORIENTED_EDGE('',*,*,#7783,.T.);
#11081=ORIENTED_EDGE('',*,*,#8384,.T.);
#11082=ORIENTED_EDGE('',*,*,#8387,.T.);
#11083=ORIENTED_EDGE('',*,*,#8388,.F.);
#11084=ORIENTED_EDGE('',*,*,#8389,.F.);
#11085=ORIENTED_EDGE('',*,*,#8390,.F.);
#11086=ORIENTED_EDGE('',*,*,#8377,.T.);
#11087=ORIENTED_EDGE('',*,*,#8391,.T.);
#11088=ORIENTED_EDGE('',*,*,#8389,.T.);
#11089=ORIENTED_EDGE('',*,*,#8392,.T.);
#11090=ORIENTED_EDGE('',*,*,#8393,.F.);
#11091=ORIENTED_EDGE('',*,*,#8394,.F.);
#11092=ORIENTED_EDGE('',*,*,#8395,.F.);
#11093=ORIENTED_EDGE('',*,*,#8380,.T.);
#11094=ORIENTED_EDGE('',*,*,#8396,.T.);
#11095=ORIENTED_EDGE('',*,*,#8394,.T.);
#11096=ORIENTED_EDGE('',*,*,#8397,.T.);
#11097=ORIENTED_EDGE('',*,*,#8398,.F.);
#11098=ORIENTED_EDGE('',*,*,#8399,.F.);
#11099=ORIENTED_EDGE('',*,*,#8400,.F.);
#11100=ORIENTED_EDGE('',*,*,#8401,.F.);
#11101=ORIENTED_EDGE('',*,*,#8402,.F.);
#11102=ORIENTED_EDGE('',*,*,#7781,.T.);
#11103=ORIENTED_EDGE('',*,*,#8403,.F.);
#11104=ORIENTED_EDGE('',*,*,#8385,.T.);
#11105=ORIENTED_EDGE('',*,*,#7782,.T.);
#11106=ORIENTED_EDGE('',*,*,#8402,.T.);
#11107=ORIENTED_EDGE('',*,*,#8404,.T.);
#11108=ORIENTED_EDGE('',*,*,#8405,.T.);
#11109=ORIENTED_EDGE('',*,*,#8406,.F.);
#11110=ORIENTED_EDGE('',*,*,#8407,.F.);
#11111=ORIENTED_EDGE('',*,*,#8408,.F.);
#11112=ORIENTED_EDGE('',*,*,#8409,.F.);
#11113=ORIENTED_EDGE('',*,*,#8410,.F.);
#11114=ORIENTED_EDGE('',*,*,#8411,.F.);
#11115=ORIENTED_EDGE('',*,*,#8412,.T.);
#11116=ORIENTED_EDGE('',*,*,#8413,.F.);
#11117=ORIENTED_EDGE('',*,*,#8414,.F.);
#11118=ORIENTED_EDGE('',*,*,#8415,.F.);
#11119=ORIENTED_EDGE('',*,*,#8416,.F.);
#11120=ORIENTED_EDGE('',*,*,#8417,.F.);
#11121=ORIENTED_EDGE('',*,*,#8418,.F.);
#11122=ORIENTED_EDGE('',*,*,#8395,.T.);
#11123=ORIENTED_EDGE('',*,*,#8419,.T.);
#11124=ORIENTED_EDGE('',*,*,#8417,.T.);
#11125=ORIENTED_EDGE('',*,*,#8420,.T.);
#11126=ORIENTED_EDGE('',*,*,#8418,.T.);
#11127=ORIENTED_EDGE('',*,*,#8421,.T.);
#11128=ORIENTED_EDGE('',*,*,#8400,.T.);
#11129=ORIENTED_EDGE('',*,*,#8422,.T.);
#11130=ORIENTED_EDGE('',*,*,#8423,.F.);
#11131=ORIENTED_EDGE('',*,*,#8424,.F.);
#11132=ORIENTED_EDGE('',*,*,#7779,.T.);
#11133=ORIENTED_EDGE('',*,*,#8425,.F.);
#11134=ORIENTED_EDGE('',*,*,#8424,.T.);
#11135=ORIENTED_EDGE('',*,*,#8426,.T.);
#11136=ORIENTED_EDGE('',*,*,#8403,.T.);
#11137=ORIENTED_EDGE('',*,*,#7780,.T.);
#11138=ORIENTED_EDGE('',*,*,#8427,.F.);
#11139=ORIENTED_EDGE('',*,*,#8428,.F.);
#11140=ORIENTED_EDGE('',*,*,#8429,.F.);
#11141=ORIENTED_EDGE('',*,*,#8430,.T.);
#11142=ORIENTED_EDGE('',*,*,#8431,.F.);
#11143=ORIENTED_EDGE('',*,*,#8432,.F.);
#11144=ORIENTED_EDGE('',*,*,#8433,.F.);
#11145=ORIENTED_EDGE('',*,*,#8407,.T.);
#11146=ORIENTED_EDGE('',*,*,#8434,.T.);
#11147=ORIENTED_EDGE('',*,*,#8431,.T.);
#11148=ORIENTED_EDGE('',*,*,#8435,.T.);
#11149=ORIENTED_EDGE('',*,*,#8432,.T.);
#11150=ORIENTED_EDGE('',*,*,#8436,.T.);
#11151=ORIENTED_EDGE('',*,*,#8409,.T.);
#11152=ORIENTED_EDGE('',*,*,#8437,.T.);
#11153=ORIENTED_EDGE('',*,*,#8438,.F.);
#11154=ORIENTED_EDGE('',*,*,#8439,.F.);
#11155=ORIENTED_EDGE('',*,*,#8440,.F.);
#11156=ORIENTED_EDGE('',*,*,#8411,.T.);
#11157=ORIENTED_EDGE('',*,*,#8441,.T.);
#11158=ORIENTED_EDGE('',*,*,#8439,.T.);
#11159=ORIENTED_EDGE('',*,*,#8442,.T.);
#11160=ORIENTED_EDGE('',*,*,#8443,.T.);
#11161=ORIENTED_EDGE('',*,*,#8444,.F.);
#11162=ORIENTED_EDGE('',*,*,#8445,.F.);
#11163=ORIENTED_EDGE('',*,*,#8446,.F.);
#11164=ORIENTED_EDGE('',*,*,#8444,.T.);
#11165=ORIENTED_EDGE('',*,*,#8447,.T.);
#11166=ORIENTED_EDGE('',*,*,#8416,.T.);
#11167=ORIENTED_EDGE('',*,*,#8448,.T.);
#11168=ORIENTED_EDGE('',*,*,#8445,.T.);
#11169=ORIENTED_EDGE('',*,*,#8449,.T.);
#11170=ORIENTED_EDGE('',*,*,#8425,.T.);
#11171=ORIENTED_EDGE('',*,*,#7778,.T.);
#11172=ORIENTED_EDGE('',*,*,#8450,.F.);
#11173=ORIENTED_EDGE('',*,*,#8451,.F.);
#11174=ORIENTED_EDGE('',*,*,#8452,.F.);
#11175=ORIENTED_EDGE('',*,*,#8451,.T.);
#11176=ORIENTED_EDGE('',*,*,#8453,.T.);
#11177=ORIENTED_EDGE('',*,*,#8428,.T.);
#11178=ORIENTED_EDGE('',*,*,#8454,.T.);
#11179=ORIENTED_EDGE('',*,*,#8455,.T.);
#11180=ORIENTED_EDGE('',*,*,#8456,.F.);
#11181=ORIENTED_EDGE('',*,*,#8457,.F.);
#11182=ORIENTED_EDGE('',*,*,#8458,.F.);
#11183=ORIENTED_EDGE('',*,*,#8459,.F.);
#11184=ORIENTED_EDGE('',*,*,#8460,.F.);
#11185=ORIENTED_EDGE('',*,*,#8461,.T.);
#11186=ORIENTED_EDGE('',*,*,#8462,.F.);
#11187=ORIENTED_EDGE('',*,*,#8463,.F.);
#11188=ORIENTED_EDGE('',*,*,#8464,.F.);
#11189=ORIENTED_EDGE('',*,*,#8465,.T.);
#11190=ORIENTED_EDGE('',*,*,#8466,.F.);
#11191=ORIENTED_EDGE('',*,*,#8467,.F.);
#11192=ORIENTED_EDGE('',*,*,#8468,.F.);
#11193=ORIENTED_EDGE('',*,*,#8469,.F.);
#11194=ORIENTED_EDGE('',*,*,#8468,.T.);
#11195=ORIENTED_EDGE('',*,*,#8470,.T.);
#11196=ORIENTED_EDGE('',*,*,#8459,.T.);
#11197=ORIENTED_EDGE('',*,*,#8471,.T.);
#11198=ORIENTED_EDGE('',*,*,#8472,.F.);
#11199=ORIENTED_EDGE('',*,*,#8473,.F.);
#11200=ORIENTED_EDGE('',*,*,#8474,.F.);
#11201=ORIENTED_EDGE('',*,*,#8475,.F.);
#11202=ORIENTED_EDGE('',*,*,#8476,.F.);
#11203=ORIENTED_EDGE('',*,*,#8477,.T.);
#11204=ORIENTED_EDGE('',*,*,#8478,.F.);
#11205=ORIENTED_EDGE('',*,*,#8479,.F.);
#11206=ORIENTED_EDGE('',*,*,#8480,.F.);
#11207=ORIENTED_EDGE('',*,*,#8481,.F.);
#11208=ORIENTED_EDGE('',*,*,#8467,.T.);
#11209=ORIENTED_EDGE('',*,*,#8482,.T.);
#11210=ORIENTED_EDGE('',*,*,#8480,.T.);
#11211=ORIENTED_EDGE('',*,*,#8483,.T.);
#11212=ORIENTED_EDGE('',*,*,#8484,.F.);
#11213=ORIENTED_EDGE('',*,*,#8485,.F.);
#11214=ORIENTED_EDGE('',*,*,#8486,.F.);
#11215=ORIENTED_EDGE('',*,*,#8485,.T.);
#11216=ORIENTED_EDGE('',*,*,#8487,.T.);
#11217=ORIENTED_EDGE('',*,*,#8469,.T.);
#11218=ORIENTED_EDGE('',*,*,#8488,.T.);
#11219=ORIENTED_EDGE('',*,*,#8478,.T.);
#11220=ORIENTED_EDGE('',*,*,#8489,.T.);
#11221=ORIENTED_EDGE('',*,*,#8486,.T.);
#11222=ORIENTED_EDGE('',*,*,#8490,.T.);
#11223=ORIENTED_EDGE('',*,*,#7547,.T.);
#11224=ORIENTED_EDGE('',*,*,#8271,.T.);
#11225=ORIENTED_EDGE('',*,*,#7550,.T.);
#11226=ORIENTED_EDGE('',*,*,#8491,.T.);
#11227=ORIENTED_EDGE('',*,*,#8492,.F.);
#11228=ORIENTED_EDGE('',*,*,#8493,.F.);
#11229=ORIENTED_EDGE('',*,*,#8494,.F.);
#11230=ORIENTED_EDGE('',*,*,#8495,.F.);
#11231=ORIENTED_EDGE('',*,*,#8496,.F.);
#11232=ORIENTED_EDGE('',*,*,#8497,.F.);
#11233=ORIENTED_EDGE('',*,*,#7554,.T.);
#11234=ORIENTED_EDGE('',*,*,#8270,.T.);
#11235=ORIENTED_EDGE('',*,*,#7577,.T.);
#11236=ORIENTED_EDGE('',*,*,#8498,.T.);
#11237=ORIENTED_EDGE('',*,*,#8499,.F.);
#11238=ORIENTED_EDGE('',*,*,#8500,.F.);
#11239=ORIENTED_EDGE('',*,*,#8501,.F.);
#11240=ORIENTED_EDGE('',*,*,#8493,.T.);
#11241=ORIENTED_EDGE('',*,*,#8502,.T.);
#11242=ORIENTED_EDGE('',*,*,#8500,.T.);
#11243=ORIENTED_EDGE('',*,*,#8503,.T.);
#11244=ORIENTED_EDGE('',*,*,#8497,.T.);
#11245=ORIENTED_EDGE('',*,*,#8504,.T.);
#11246=ORIENTED_EDGE('',*,*,#8501,.T.);
#11247=ORIENTED_EDGE('',*,*,#8505,.T.);
#11248=ORIENTED_EDGE('',*,*,#8506,.F.);
#11249=ORIENTED_EDGE('',*,*,#8507,.F.);
#11250=ORIENTED_EDGE('',*,*,#8508,.F.);
#11251=ORIENTED_EDGE('',*,*,#8509,.T.);
#11252=ORIENTED_EDGE('',*,*,#7584,.F.);
#11253=ORIENTED_EDGE('',*,*,#8510,.F.);
#11254=ORIENTED_EDGE('',*,*,#8511,.F.);
#11255=ORIENTED_EDGE('',*,*,#8512,.F.);
#11256=ORIENTED_EDGE('',*,*,#8513,.F.);
#11257=ORIENTED_EDGE('',*,*,#8514,.F.);
#11258=ORIENTED_EDGE('',*,*,#8499,.T.);
#11259=ORIENTED_EDGE('',*,*,#8515,.T.);
#11260=ORIENTED_EDGE('',*,*,#8513,.T.);
#11261=ORIENTED_EDGE('',*,*,#8516,.T.);
#11262=ORIENTED_EDGE('',*,*,#8514,.T.);
#11263=ORIENTED_EDGE('',*,*,#8517,.T.);
#11264=ORIENTED_EDGE('',*,*,#8507,.T.);
#11265=ORIENTED_EDGE('',*,*,#8518,.T.);
#11266=ORIENTED_EDGE('',*,*,#7786,.T.);
#11267=ORIENTED_EDGE('',*,*,#8519,.F.);
#11268=ORIENTED_EDGE('',*,*,#8520,.F.);
#11269=ORIENTED_EDGE('',*,*,#8521,.F.);
#11270=ORIENTED_EDGE('',*,*,#8522,.F.);
#11271=ORIENTED_EDGE('',*,*,#7789,.F.);
#11272=ORIENTED_EDGE('',*,*,#8523,.T.);
#11273=ORIENTED_EDGE('',*,*,#8524,.F.);
#11274=ORIENTED_EDGE('',*,*,#8524,.T.);
#11275=ORIENTED_EDGE('',*,*,#8525,.T.);
#11276=ORIENTED_EDGE('',*,*,#8512,.T.);
#11277=ORIENTED_EDGE('',*,*,#8526,.T.);
#11278=ORIENTED_EDGE('',*,*,#8522,.T.);
#11279=ORIENTED_EDGE('',*,*,#8527,.T.);
#11280=ORIENTED_EDGE('',*,*,#8519,.T.);
#11281=ORIENTED_EDGE('',*,*,#7785,.T.);
#11282=ORIENTED_EDGE('',*,*,#8520,.T.);
#11283=ORIENTED_EDGE('',*,*,#8528,.T.);
#11284=ORIENTED_EDGE('',*,*,#8506,.T.);
#11285=ORIENTED_EDGE('',*,*,#8529,.T.);
#11286=ORIENTED_EDGE('',*,*,#8521,.T.);
#11287=ORIENTED_EDGE('',*,*,#8530,.T.);
#11288=ORIENTED_EDGE('',*,*,#8510,.T.);
#11289=ORIENTED_EDGE('',*,*,#7787,.T.);
#11290=ORIENTED_EDGE('',*,*,#8495,.T.);
#11291=ORIENTED_EDGE('',*,*,#8531,.T.);
#11292=ORIENTED_EDGE('',*,*,#8511,.T.);
#11293=ORIENTED_EDGE('',*,*,#8532,.T.);
#11294=ORIENTED_EDGE('',*,*,#8508,.T.);
#11295=ORIENTED_EDGE('',*,*,#8533,.T.);
#11296=ORIENTED_EDGE('',*,*,#8496,.T.);
#11297=ORIENTED_EDGE('',*,*,#8534,.T.);
#11298=ORIENTED_EDGE('',*,*,#8481,.T.);
#11299=ORIENTED_EDGE('',*,*,#8535,.T.);
#11300=ORIENTED_EDGE('',*,*,#8494,.T.);
#11301=ORIENTED_EDGE('',*,*,#8536,.T.);
#11302=ORIENTED_EDGE('',*,*,#8484,.T.);
#11303=ORIENTED_EDGE('',*,*,#8537,.T.);
#11304=ORIENTED_EDGE('',*,*,#8492,.T.);
#11305=ORIENTED_EDGE('',*,*,#8538,.T.);
#11306=ORIENTED_EDGE('',*,*,#7552,.T.);
#11307=ORIENTED_EDGE('',*,*,#8539,.T.);
#11308=ORIENTED_EDGE('',*,*,#8476,.T.);
#11309=ORIENTED_EDGE('',*,*,#8540,.T.);
#11310=ORIENTED_EDGE('',*,*,#7571,.T.);
#11311=ORIENTED_EDGE('',*,*,#8269,.T.);
#11312=ORIENTED_EDGE('',*,*,#7543,.T.);
#11313=ORIENTED_EDGE('',*,*,#8541,.T.);
#11314=ORIENTED_EDGE('',*,*,#7575,.T.);
#11315=ORIENTED_EDGE('',*,*,#8542,.T.);
#11316=ORIENTED_EDGE('',*,*,#8473,.T.);
#11317=ORIENTED_EDGE('',*,*,#7776,.T.);
#11318=ORIENTED_EDGE('',*,*,#8479,.T.);
#11319=ORIENTED_EDGE('',*,*,#8543,.T.);
#11320=ORIENTED_EDGE('',*,*,#8464,.T.);
#11321=ORIENTED_EDGE('',*,*,#8544,.T.);
#11322=ORIENTED_EDGE('',*,*,#8475,.T.);
#11323=ORIENTED_EDGE('',*,*,#8545,.T.);
#11324=ORIENTED_EDGE('',*,*,#8462,.T.);
#11325=ORIENTED_EDGE('',*,*,#8546,.T.);
#11326=ORIENTED_EDGE('',*,*,#7549,.T.);
#11327=ORIENTED_EDGE('',*,*,#8547,.T.);
#11328=ORIENTED_EDGE('',*,*,#8456,.T.);
#11329=ORIENTED_EDGE('',*,*,#8548,.T.);
#11330=ORIENTED_EDGE('',*,*,#8474,.T.);
#11331=ORIENTED_EDGE('',*,*,#8549,.T.);
#11332=ORIENTED_EDGE('',*,*,#8452,.T.);
#11333=ORIENTED_EDGE('',*,*,#8550,.T.);
#11334=ORIENTED_EDGE('',*,*,#8472,.T.);
#11335=ORIENTED_EDGE('',*,*,#8551,.T.);
#11336=ORIENTED_EDGE('',*,*,#8446,.T.);
#11337=ORIENTED_EDGE('',*,*,#7777,.T.);
#11338=ORIENTED_EDGE('',*,*,#8552,.T.);
#11339=ORIENTED_EDGE('',*,*,#8466,.T.);
#11340=ORIENTED_EDGE('',*,*,#8553,.T.);
#11341=ORIENTED_EDGE('',*,*,#8554,.T.);
#11342=ORIENTED_EDGE('',*,*,#8463,.T.);
#11343=ORIENTED_EDGE('',*,*,#8555,.T.);
#11344=ORIENTED_EDGE('',*,*,#8460,.T.);
#11345=ORIENTED_EDGE('',*,*,#8556,.T.);
#11346=ORIENTED_EDGE('',*,*,#8433,.T.);
#11347=ORIENTED_EDGE('',*,*,#8557,.T.);
#11348=ORIENTED_EDGE('',*,*,#8457,.T.);
#11349=ORIENTED_EDGE('',*,*,#8558,.T.);
#11350=ORIENTED_EDGE('',*,*,#8438,.T.);
#11351=ORIENTED_EDGE('',*,*,#8559,.T.);
#11352=ORIENTED_EDGE('',*,*,#8458,.T.);
#11353=ORIENTED_EDGE('',*,*,#8560,.T.);
#11354=ORIENTED_EDGE('',*,*,#8440,.T.);
#11355=ORIENTED_EDGE('',*,*,#8561,.T.);
#11356=ORIENTED_EDGE('',*,*,#8450,.T.);
#11357=ORIENTED_EDGE('',*,*,#8562,.T.);
#11358=ORIENTED_EDGE('',*,*,#8563,.T.);
#11359=ORIENTED_EDGE('',*,*,#8413,.T.);
#11360=ORIENTED_EDGE('',*,*,#8564,.T.);
#11361=ORIENTED_EDGE('',*,*,#8554,.F.);
#11362=ORIENTED_EDGE('',*,*,#8429,.T.);
#11363=ORIENTED_EDGE('',*,*,#8565,.T.);
#11364=ORIENTED_EDGE('',*,*,#8410,.T.);
#11365=ORIENTED_EDGE('',*,*,#8566,.T.);
#11366=ORIENTED_EDGE('',*,*,#8406,.T.);
#11367=ORIENTED_EDGE('',*,*,#8567,.T.);
#11368=ORIENTED_EDGE('',*,*,#8427,.T.);
#11369=ORIENTED_EDGE('',*,*,#8568,.T.);
#11370=ORIENTED_EDGE('',*,*,#8399,.T.);
#11371=ORIENTED_EDGE('',*,*,#8569,.T.);
#11372=ORIENTED_EDGE('',*,*,#8423,.T.);
#11373=ORIENTED_EDGE('',*,*,#8570,.T.);
#11374=ORIENTED_EDGE('',*,*,#8571,.T.);
#11375=ORIENTED_EDGE('',*,*,#8414,.T.);
#11376=ORIENTED_EDGE('',*,*,#8572,.T.);
#11377=ORIENTED_EDGE('',*,*,#8573,.T.);
#11378=ORIENTED_EDGE('',*,*,#8390,.T.);
#11379=ORIENTED_EDGE('',*,*,#8574,.T.);
#11380=ORIENTED_EDGE('',*,*,#8415,.T.);
#11381=ORIENTED_EDGE('',*,*,#8575,.T.);
#11382=ORIENTED_EDGE('',*,*,#8576,.T.);
#11383=ORIENTED_EDGE('',*,*,#8408,.T.);
#11384=ORIENTED_EDGE('',*,*,#8577,.T.);
#11385=ORIENTED_EDGE('',*,*,#8578,.T.);
#11386=ORIENTED_EDGE('',*,*,#8381,.T.);
#11387=ORIENTED_EDGE('',*,*,#8579,.T.);
#11388=ORIENTED_EDGE('',*,*,#8401,.T.);
#11389=ORIENTED_EDGE('',*,*,#8580,.T.);
#11390=ORIENTED_EDGE('',*,*,#8398,.T.);
#11391=ORIENTED_EDGE('',*,*,#8581,.T.);
#11392=ORIENTED_EDGE('',*,*,#8382,.T.);
#11393=ORIENTED_EDGE('',*,*,#8582,.T.);
#11394=ORIENTED_EDGE('',*,*,#8393,.T.);
#11395=ORIENTED_EDGE('',*,*,#8583,.T.);
#11396=ORIENTED_EDGE('',*,*,#8386,.T.);
#11397=ORIENTED_EDGE('',*,*,#8584,.T.);
#11398=ORIENTED_EDGE('',*,*,#8371,.T.);
#11399=ORIENTED_EDGE('',*,*,#8585,.T.);
#11400=ORIENTED_EDGE('',*,*,#8388,.T.);
#11401=ORIENTED_EDGE('',*,*,#8586,.T.);
#11402=ORIENTED_EDGE('',*,*,#8587,.T.);
#11403=ORIENTED_EDGE('',*,*,#8573,.F.);
#11404=ORIENTED_EDGE('',*,*,#8588,.T.);
#11405=ORIENTED_EDGE('',*,*,#8369,.F.);
#11406=ORIENTED_EDGE('',*,*,#8589,.T.);
#11407=ORIENTED_EDGE('',*,*,#8364,.T.);
#11408=ORIENTED_EDGE('',*,*,#8590,.T.);
#11409=ORIENTED_EDGE('',*,*,#8578,.F.);
#11410=ORIENTED_EDGE('',*,*,#8355,.T.);
#11411=ORIENTED_EDGE('',*,*,#8591,.T.);
#11412=ORIENTED_EDGE('',*,*,#8374,.T.);
#11413=ORIENTED_EDGE('',*,*,#8592,.T.);
#11414=ORIENTED_EDGE('',*,*,#8350,.T.);
#11415=ORIENTED_EDGE('',*,*,#8593,.T.);
#11416=ORIENTED_EDGE('',*,*,#8373,.T.);
#11417=ORIENTED_EDGE('',*,*,#8594,.T.);
#11418=ORIENTED_EDGE('',*,*,#8370,.T.);
#11419=ORIENTED_EDGE('',*,*,#8595,.T.);
#11420=ORIENTED_EDGE('',*,*,#8356,.T.);
#11421=ORIENTED_EDGE('',*,*,#8596,.T.);
#11422=ORIENTED_EDGE('',*,*,#8340,.T.);
#11423=ORIENTED_EDGE('',*,*,#8597,.T.);
#11424=ORIENTED_EDGE('',*,*,#8359,.T.);
#11425=ORIENTED_EDGE('',*,*,#8598,.T.);
#11426=ORIENTED_EDGE('',*,*,#8342,.T.);
#11427=ORIENTED_EDGE('',*,*,#8599,.T.);
#11428=ORIENTED_EDGE('',*,*,#8360,.T.);
#11429=ORIENTED_EDGE('',*,*,#8600,.T.);
#11430=ORIENTED_EDGE('',*,*,#8354,.T.);
#11431=ORIENTED_EDGE('',*,*,#8601,.T.);
#11432=ORIENTED_EDGE('',*,*,#8341,.T.);
#11433=ORIENTED_EDGE('',*,*,#8602,.T.);
#11434=ORIENTED_EDGE('',*,*,#8343,.T.);
#11435=ORIENTED_EDGE('',*,*,#8603,.T.);
#11436=ORIENTED_EDGE('',*,*,#8348,.T.);
#11437=ORIENTED_EDGE('',*,*,#8604,.T.);
#11438=ORIENTED_EDGE('',*,*,#8347,.T.);
#11439=ORIENTED_EDGE('',*,*,#8605,.T.);
#11440=ORIENTED_EDGE('',*,*,#8334,.T.);
#11441=ORIENTED_EDGE('',*,*,#8606,.T.);
#11442=ORIENTED_EDGE('',*,*,#8345,.T.);
#11443=ORIENTED_EDGE('',*,*,#8607,.T.);
#11444=ORIENTED_EDGE('',*,*,#8323,.T.);
#11445=ORIENTED_EDGE('',*,*,#8608,.T.);
#11446=ORIENTED_EDGE('',*,*,#8320,.T.);
#11447=ORIENTED_EDGE('',*,*,#8609,.T.);
#11448=ORIENTED_EDGE('',*,*,#8344,.T.);
#11449=ORIENTED_EDGE('',*,*,#8610,.T.);
#11450=ORIENTED_EDGE('',*,*,#8298,.T.);
#11451=ORIENTED_EDGE('',*,*,#8611,.T.);
#11452=ORIENTED_EDGE('',*,*,#8318,.T.);
#11453=ORIENTED_EDGE('',*,*,#8612,.T.);
#11454=ORIENTED_EDGE('',*,*,#8317,.T.);
#11455=ORIENTED_EDGE('',*,*,#8613,.T.);
#11456=ORIENTED_EDGE('',*,*,#8295,.T.);
#11457=ORIENTED_EDGE('',*,*,#8614,.T.);
#11458=ORIENTED_EDGE('',*,*,#8292,.T.);
#11459=ORIENTED_EDGE('',*,*,#8615,.T.);
#11460=ORIENTED_EDGE('',*,*,#8314,.T.);
#11461=ORIENTED_EDGE('',*,*,#8616,.T.);
#11462=ORIENTED_EDGE('',*,*,#8291,.T.);
#11463=ORIENTED_EDGE('',*,*,#8617,.T.);
#11464=ORIENTED_EDGE('',*,*,#8313,.T.);
#11465=ORIENTED_EDGE('',*,*,#8618,.T.);
#11466=ORIENTED_EDGE('',*,*,#8305,.T.);
#11467=ORIENTED_EDGE('',*,*,#8619,.T.);
#11468=ORIENTED_EDGE('',*,*,#8293,.T.);
#11469=ORIENTED_EDGE('',*,*,#8620,.T.);
#11470=ORIENTED_EDGE('',*,*,#8285,.T.);
#11471=ORIENTED_EDGE('',*,*,#8621,.T.);
#11472=ORIENTED_EDGE('',*,*,#8303,.T.);
#11473=ORIENTED_EDGE('',*,*,#8622,.T.);
#11474=ORIENTED_EDGE('',*,*,#8288,.T.);
#11475=ORIENTED_EDGE('',*,*,#8623,.T.);
#11476=ORIENTED_EDGE('',*,*,#8304,.T.);
#11477=ORIENTED_EDGE('',*,*,#8624,.T.);
#11478=ORIENTED_EDGE('',*,*,#8280,.T.);
#11479=ORIENTED_EDGE('',*,*,#8625,.T.);
#11480=ORIENTED_EDGE('',*,*,#8300,.T.);
#11481=ORIENTED_EDGE('',*,*,#8626,.T.);
#11482=ORIENTED_EDGE('',*,*,#8297,.T.);
#11483=ORIENTED_EDGE('',*,*,#8627,.T.);
#11484=ORIENTED_EDGE('',*,*,#8286,.T.);
#11485=ORIENTED_EDGE('',*,*,#8628,.T.);
#11486=ORIENTED_EDGE('',*,*,#8296,.T.);
#11487=ORIENTED_EDGE('',*,*,#8629,.T.);
#11488=ORIENTED_EDGE('',*,*,#8277,.T.);
#11489=ORIENTED_EDGE('',*,*,#8630,.T.);
#11490=ORIENTED_EDGE('',*,*,#8273,.T.);
#11491=ORIENTED_EDGE('',*,*,#8631,.T.);
#11492=ORIENTED_EDGE('',*,*,#8289,.T.);
#11493=ORIENTED_EDGE('',*,*,#8632,.T.);
#11494=ORIENTED_EDGE('',*,*,#8275,.T.);
#11495=ORIENTED_EDGE('',*,*,#8633,.T.);
#11496=ORIENTED_EDGE('',*,*,#8290,.T.);
#11497=ORIENTED_EDGE('',*,*,#8634,.T.);
#11498=ORIENTED_EDGE('',*,*,#8284,.T.);
#11499=ORIENTED_EDGE('',*,*,#8635,.T.);
#11500=ORIENTED_EDGE('',*,*,#8274,.T.);
#11501=ORIENTED_EDGE('',*,*,#8636,.T.);
#11502=ORIENTED_EDGE('',*,*,#8276,.T.);
#11503=ORIENTED_EDGE('',*,*,#8637,.T.);
#11504=ORIENTED_EDGE('',*,*,#8278,.T.);
#11505=ORIENTED_EDGE('',*,*,#8638,.T.);
#11506=ORIENTED_EDGE('',*,*,#8590,.F.);
#11507=ORIENTED_EDGE('',*,*,#8367,.F.);
#11508=ORIENTED_EDGE('',*,*,#8639,.F.);
#11509=ORIENTED_EDGE('',*,*,#8640,.F.);
#11510=ORIENTED_EDGE('',*,*,#8588,.F.);
#11511=ORIENTED_EDGE('',*,*,#8641,.T.);
#11512=ORIENTED_EDGE('',*,*,#8642,.F.);
#11513=ORIENTED_EDGE('',*,*,#8639,.T.);
#11514=ORIENTED_EDGE('',*,*,#8572,.F.);
#11515=ORIENTED_EDGE('',*,*,#8563,.F.);
#11516=ORIENTED_EDGE('',*,*,#8643,.F.);
#11517=ORIENTED_EDGE('',*,*,#8641,.F.);
#11518=ORIENTED_EDGE('',*,*,#8387,.F.);
#11519=ORIENTED_EDGE('',*,*,#8383,.F.);
#11520=ORIENTED_EDGE('',*,*,#8583,.F.);
#11521=ORIENTED_EDGE('',*,*,#8420,.F.);
#11522=ORIENTED_EDGE('',*,*,#8447,.F.);
#11523=ORIENTED_EDGE('',*,*,#8443,.F.);
#11524=ORIENTED_EDGE('',*,*,#8551,.F.);
#11525=ORIENTED_EDGE('',*,*,#8550,.F.);
#11526=ORIENTED_EDGE('',*,*,#8454,.F.);
#11527=ORIENTED_EDGE('',*,*,#8567,.F.);
#11528=ORIENTED_EDGE('',*,*,#8405,.F.);
#11529=ORIENTED_EDGE('',*,*,#8576,.F.);
#11530=ORIENTED_EDGE('',*,*,#8640,.T.);
#11531=ORIENTED_EDGE('',*,*,#8642,.T.);
#11532=ORIENTED_EDGE('',*,*,#8643,.T.);
#11533=ORIENTED_EDGE('',*,*,#8553,.F.);
#11534=ORIENTED_EDGE('',*,*,#8465,.F.);
#11535=ORIENTED_EDGE('',*,*,#8543,.F.);
#11536=ORIENTED_EDGE('',*,*,#8536,.F.);
#11537=ORIENTED_EDGE('',*,*,#8503,.F.);
#11538=ORIENTED_EDGE('',*,*,#8516,.F.);
#11539=ORIENTED_EDGE('',*,*,#8525,.F.);
#11540=ORIENTED_EDGE('',*,*,#8523,.F.);
#11541=ORIENTED_EDGE('',*,*,#7788,.F.);
#11542=ORIENTED_EDGE('',*,*,#7791,.F.);
#11543=ORIENTED_EDGE('',*,*,#7743,.F.);
#11544=ORIENTED_EDGE('',*,*,#8644,.T.);
#11545=ORIENTED_EDGE('',*,*,#8645,.T.);
#11546=ORIENTED_EDGE('',*,*,#8646,.F.);
#11547=ORIENTED_EDGE('',*,*,#8647,.T.);
#11548=ORIENTED_EDGE('',*,*,#8648,.T.);
#11549=ORIENTED_EDGE('',*,*,#8649,.T.);
#11550=ORIENTED_EDGE('',*,*,#8650,.T.);
#11551=ORIENTED_EDGE('',*,*,#8651,.T.);
#11552=ORIENTED_EDGE('',*,*,#8652,.T.);
#11553=ORIENTED_EDGE('',*,*,#8653,.T.);
#11554=ORIENTED_EDGE('',*,*,#8654,.T.);
#11555=ORIENTED_EDGE('',*,*,#8655,.T.);
#11556=ORIENTED_EDGE('',*,*,#8656,.T.);
#11557=ORIENTED_EDGE('',*,*,#8657,.T.);
#11558=ORIENTED_EDGE('',*,*,#8658,.T.);
#11559=ORIENTED_EDGE('',*,*,#8659,.T.);
#11560=ORIENTED_EDGE('',*,*,#8660,.T.);
#11561=ORIENTED_EDGE('',*,*,#8661,.T.);
#11562=ORIENTED_EDGE('',*,*,#8662,.T.);
#11563=ORIENTED_EDGE('',*,*,#8663,.T.);
#11564=ORIENTED_EDGE('',*,*,#8664,.T.);
#11565=ORIENTED_EDGE('',*,*,#8665,.T.);
#11566=ORIENTED_EDGE('',*,*,#8666,.T.);
#11567=ORIENTED_EDGE('',*,*,#8667,.T.);
#11568=ORIENTED_EDGE('',*,*,#8668,.T.);
#11569=ORIENTED_EDGE('',*,*,#8669,.T.);
#11570=ORIENTED_EDGE('',*,*,#8670,.T.);
#11571=ORIENTED_EDGE('',*,*,#8671,.T.);
#11572=ORIENTED_EDGE('',*,*,#8672,.T.);
#11573=ORIENTED_EDGE('',*,*,#8673,.T.);
#11574=ORIENTED_EDGE('',*,*,#8674,.T.);
#11575=ORIENTED_EDGE('',*,*,#8675,.T.);
#11576=ORIENTED_EDGE('',*,*,#8676,.T.);
#11577=ORIENTED_EDGE('',*,*,#8677,.T.);
#11578=ORIENTED_EDGE('',*,*,#8678,.T.);
#11579=ORIENTED_EDGE('',*,*,#8679,.T.);
#11580=ORIENTED_EDGE('',*,*,#8680,.T.);
#11581=ORIENTED_EDGE('',*,*,#8681,.T.);
#11582=ORIENTED_EDGE('',*,*,#8682,.T.);
#11583=ORIENTED_EDGE('',*,*,#8683,.T.);
#11584=ORIENTED_EDGE('',*,*,#8684,.T.);
#11585=ORIENTED_EDGE('',*,*,#8685,.T.);
#11586=ORIENTED_EDGE('',*,*,#8686,.T.);
#11587=ORIENTED_EDGE('',*,*,#8687,.T.);
#11588=ORIENTED_EDGE('',*,*,#8688,.T.);
#11589=ORIENTED_EDGE('',*,*,#8689,.T.);
#11590=ORIENTED_EDGE('',*,*,#8690,.T.);
#11591=ORIENTED_EDGE('',*,*,#8691,.T.);
#11592=ORIENTED_EDGE('',*,*,#8692,.T.);
#11593=ORIENTED_EDGE('',*,*,#8693,.T.);
#11594=ORIENTED_EDGE('',*,*,#8694,.T.);
#11595=ORIENTED_EDGE('',*,*,#8695,.T.);
#11596=ORIENTED_EDGE('',*,*,#8696,.T.);
#11597=ORIENTED_EDGE('',*,*,#8697,.T.);
#11598=ORIENTED_EDGE('',*,*,#8698,.T.);
#11599=ORIENTED_EDGE('',*,*,#8699,.T.);
#11600=ORIENTED_EDGE('',*,*,#8700,.T.);
#11601=ORIENTED_EDGE('',*,*,#8701,.T.);
#11602=ORIENTED_EDGE('',*,*,#8702,.T.);
#11603=ORIENTED_EDGE('',*,*,#8703,.T.);
#11604=ORIENTED_EDGE('',*,*,#8704,.T.);
#11605=ORIENTED_EDGE('',*,*,#8705,.T.);
#11606=ORIENTED_EDGE('',*,*,#8706,.T.);
#11607=ORIENTED_EDGE('',*,*,#8707,.T.);
#11608=ORIENTED_EDGE('',*,*,#8708,.T.);
#11609=ORIENTED_EDGE('',*,*,#8709,.T.);
#11610=ORIENTED_EDGE('',*,*,#8710,.T.);
#11611=ORIENTED_EDGE('',*,*,#8711,.T.);
#11612=ORIENTED_EDGE('',*,*,#8712,.T.);
#11613=ORIENTED_EDGE('',*,*,#8713,.T.);
#11614=ORIENTED_EDGE('',*,*,#8714,.T.);
#11615=ORIENTED_EDGE('',*,*,#8715,.T.);
#11616=ORIENTED_EDGE('',*,*,#8716,.T.);
#11617=ORIENTED_EDGE('',*,*,#8717,.T.);
#11618=ORIENTED_EDGE('',*,*,#8718,.T.);
#11619=ORIENTED_EDGE('',*,*,#8719,.T.);
#11620=ORIENTED_EDGE('',*,*,#8720,.T.);
#11621=ORIENTED_EDGE('',*,*,#8721,.T.);
#11622=ORIENTED_EDGE('',*,*,#8722,.T.);
#11623=ORIENTED_EDGE('',*,*,#8723,.T.);
#11624=ORIENTED_EDGE('',*,*,#8724,.T.);
#11625=ORIENTED_EDGE('',*,*,#8725,.T.);
#11626=ORIENTED_EDGE('',*,*,#8726,.T.);
#11627=ORIENTED_EDGE('',*,*,#8727,.F.);
#11628=ORIENTED_EDGE('',*,*,#8283,.F.);
#11629=ORIENTED_EDGE('',*,*,#8637,.F.);
#11630=ORIENTED_EDGE('',*,*,#8634,.F.);
#11631=ORIENTED_EDGE('',*,*,#8631,.F.);
#11632=ORIENTED_EDGE('',*,*,#8282,.F.);
#11633=ORIENTED_EDGE('',*,*,#8635,.F.);
#11634=ORIENTED_EDGE('',*,*,#8627,.F.);
#11635=ORIENTED_EDGE('',*,*,#8625,.F.);
#11636=ORIENTED_EDGE('',*,*,#8309,.F.);
#11637=ORIENTED_EDGE('',*,*,#8620,.F.);
#11638=ORIENTED_EDGE('',*,*,#8616,.F.);
#11639=ORIENTED_EDGE('',*,*,#8614,.F.);
#11640=ORIENTED_EDGE('',*,*,#8322,.F.);
#11641=ORIENTED_EDGE('',*,*,#8611,.F.);
#11642=ORIENTED_EDGE('',*,*,#8628,.F.);
#11643=ORIENTED_EDGE('',*,*,#8622,.F.);
#11644=ORIENTED_EDGE('',*,*,#8327,.F.);
#11645=ORIENTED_EDGE('',*,*,#8617,.F.);
#11646=ORIENTED_EDGE('',*,*,#8619,.F.);
#11647=ORIENTED_EDGE('',*,*,#8329,.F.);
#11648=ORIENTED_EDGE('',*,*,#8328,.F.);
#11649=ORIENTED_EDGE('',*,*,#8607,.F.);
#11650=ORIENTED_EDGE('',*,*,#8606,.F.);
#11651=ORIENTED_EDGE('',*,*,#8337,.F.);
#11652=ORIENTED_EDGE('',*,*,#8336,.F.);
#11653=ORIENTED_EDGE('',*,*,#8615,.F.);
#11654=ORIENTED_EDGE('',*,*,#8618,.F.);
#11655=ORIENTED_EDGE('',*,*,#8338,.F.);
#11656=ORIENTED_EDGE('',*,*,#8353,.F.);
#11657=ORIENTED_EDGE('',*,*,#8603,.F.);
#11658=ORIENTED_EDGE('',*,*,#8600,.F.);
#11659=ORIENTED_EDGE('',*,*,#8597,.F.);
#11660=ORIENTED_EDGE('',*,*,#8352,.F.);
#11661=ORIENTED_EDGE('',*,*,#8601,.F.);
#11662=ORIENTED_EDGE('',*,*,#8595,.F.);
#11663=ORIENTED_EDGE('',*,*,#8593,.F.);
#11664=ORIENTED_EDGE('',*,*,#8379,.F.);
#11665=ORIENTED_EDGE('',*,*,#8591,.F.);
#11666=ORIENTED_EDGE('',*,*,#8602,.F.);
#11667=ORIENTED_EDGE('',*,*,#8598,.F.);
#11668=ORIENTED_EDGE('',*,*,#8392,.F.);
#11669=ORIENTED_EDGE('',*,*,#8585,.F.);
#11670=ORIENTED_EDGE('',*,*,#8596,.F.);
#11671=ORIENTED_EDGE('',*,*,#8592,.F.);
#11672=ORIENTED_EDGE('',*,*,#8396,.F.);
#11673=ORIENTED_EDGE('',*,*,#8581,.F.);
#11674=ORIENTED_EDGE('',*,*,#8421,.F.);
#11675=ORIENTED_EDGE('',*,*,#8419,.F.);
#11676=ORIENTED_EDGE('',*,*,#8404,.F.);
#11677=ORIENTED_EDGE('',*,*,#8579,.F.);
#11678=ORIENTED_EDGE('',*,*,#8397,.F.);
#11679=ORIENTED_EDGE('',*,*,#8584,.F.);
#11680=ORIENTED_EDGE('',*,*,#8422,.F.);
#11681=ORIENTED_EDGE('',*,*,#8570,.F.);
#11682=ORIENTED_EDGE('',*,*,#8449,.F.);
#11683=ORIENTED_EDGE('',*,*,#8448,.F.);
#11684=ORIENTED_EDGE('',*,*,#8426,.F.);
#11685=ORIENTED_EDGE('',*,*,#8569,.F.);
#11686=ORIENTED_EDGE('',*,*,#8582,.F.);
#11687=ORIENTED_EDGE('',*,*,#8580,.F.);
#11688=ORIENTED_EDGE('',*,*,#8434,.F.);
#11689=ORIENTED_EDGE('',*,*,#8568,.F.);
#11690=ORIENTED_EDGE('',*,*,#8566,.F.);
#11691=ORIENTED_EDGE('',*,*,#8436,.F.);
#11692=ORIENTED_EDGE('',*,*,#8437,.F.);
#11693=ORIENTED_EDGE('',*,*,#8442,.F.);
#11694=ORIENTED_EDGE('',*,*,#8560,.F.);
#11695=ORIENTED_EDGE('',*,*,#8557,.F.);
#11696=ORIENTED_EDGE('',*,*,#8453,.F.);
#11697=ORIENTED_EDGE('',*,*,#8561,.F.);
#11698=ORIENTED_EDGE('',*,*,#8441,.F.);
#11699=ORIENTED_EDGE('',*,*,#8565,.F.);
#11700=ORIENTED_EDGE('',*,*,#8471,.F.);
#11701=ORIENTED_EDGE('',*,*,#8545,.F.);
#11702=ORIENTED_EDGE('',*,*,#8490,.F.);
#11703=ORIENTED_EDGE('',*,*,#8488,.F.);
#11704=ORIENTED_EDGE('',*,*,#8470,.F.);
#11705=ORIENTED_EDGE('',*,*,#8483,.F.);
#11706=ORIENTED_EDGE('',*,*,#8544,.F.);
#11707=ORIENTED_EDGE('',*,*,#8556,.F.);
#11708=ORIENTED_EDGE('',*,*,#8482,.F.);
#11709=ORIENTED_EDGE('',*,*,#8487,.F.);
#11710=ORIENTED_EDGE('',*,*,#8538,.F.);
#11711=ORIENTED_EDGE('',*,*,#8535,.F.);
#11712=ORIENTED_EDGE('',*,*,#8504,.F.);
#11713=ORIENTED_EDGE('',*,*,#8533,.F.);
#11714=ORIENTED_EDGE('',*,*,#8517,.F.);
#11715=ORIENTED_EDGE('',*,*,#8515,.F.);
#11716=ORIENTED_EDGE('',*,*,#8509,.F.);
#11717=ORIENTED_EDGE('',*,*,#8531,.F.);
#11718=ORIENTED_EDGE('',*,*,#8505,.F.);
#11719=ORIENTED_EDGE('',*,*,#8502,.F.);
#11720=ORIENTED_EDGE('',*,*,#8537,.F.);
#11721=ORIENTED_EDGE('',*,*,#8489,.F.);
#11722=ORIENTED_EDGE('',*,*,#8477,.F.);
#11723=ORIENTED_EDGE('',*,*,#8539,.F.);
#11724=ORIENTED_EDGE('',*,*,#7555,.F.);
#11725=ORIENTED_EDGE('',*,*,#8498,.F.);
#11726=ORIENTED_EDGE('',*,*,#7580,.F.);
#11727=ORIENTED_EDGE('',*,*,#7585,.F.);
#11728=ORIENTED_EDGE('',*,*,#8518,.F.);
#11729=ORIENTED_EDGE('',*,*,#8528,.F.);
#11730=ORIENTED_EDGE('',*,*,#8527,.F.);
#11731=ORIENTED_EDGE('',*,*,#8526,.F.);
#11732=ORIENTED_EDGE('',*,*,#8529,.F.);
#11733=ORIENTED_EDGE('',*,*,#8534,.F.);
#11734=ORIENTED_EDGE('',*,*,#8532,.F.);
#11735=ORIENTED_EDGE('',*,*,#8530,.F.);
#11736=ORIENTED_EDGE('',*,*,#8541,.F.);
#11737=ORIENTED_EDGE('',*,*,#7546,.F.);
#11738=ORIENTED_EDGE('',*,*,#8548,.F.);
#11739=ORIENTED_EDGE('',*,*,#8455,.F.);
#11740=ORIENTED_EDGE('',*,*,#8559,.F.);
#11741=ORIENTED_EDGE('',*,*,#8562,.F.);
#11742=ORIENTED_EDGE('',*,*,#8549,.F.);
#11743=ORIENTED_EDGE('',*,*,#8542,.F.);
#11744=ORIENTED_EDGE('',*,*,#7572,.F.);
#11745=ORIENTED_EDGE('',*,*,#7548,.T.);
#11746=ORIENTED_EDGE('',*,*,#8491,.F.);
#11747=ORIENTED_EDGE('',*,*,#7553,.T.);
#11748=ORIENTED_EDGE('',*,*,#8540,.F.);
#11749=ORIENTED_EDGE('',*,*,#8546,.F.);
#11750=ORIENTED_EDGE('',*,*,#8461,.F.);
#11751=ORIENTED_EDGE('',*,*,#8555,.F.);
#11752=ORIENTED_EDGE('',*,*,#8552,.F.);
#11753=ORIENTED_EDGE('',*,*,#8564,.F.);
#11754=ORIENTED_EDGE('',*,*,#8412,.F.);
#11755=ORIENTED_EDGE('',*,*,#8574,.F.);
#11756=ORIENTED_EDGE('',*,*,#8391,.F.);
#11757=ORIENTED_EDGE('',*,*,#8376,.F.);
#11758=ORIENTED_EDGE('',*,*,#8378,.F.);
#11759=ORIENTED_EDGE('',*,*,#8357,.F.);
#11760=ORIENTED_EDGE('',*,*,#8599,.F.);
#11761=ORIENTED_EDGE('',*,*,#8609,.F.);
#11762=ORIENTED_EDGE('',*,*,#8321,.F.);
#11763=ORIENTED_EDGE('',*,*,#8301,.F.);
#11764=ORIENTED_EDGE('',*,*,#8623,.F.);
#11765=ORIENTED_EDGE('',*,*,#8287,.F.);
#11766=ORIENTED_EDGE('',*,*,#8633,.F.);
#11767=ORIENTED_EDGE('',*,*,#8629,.F.);
#11768=ORIENTED_EDGE('',*,*,#8613,.F.);
#11769=ORIENTED_EDGE('',*,*,#8316,.F.);
#11770=ORIENTED_EDGE('',*,*,#8335,.F.);
#11771=ORIENTED_EDGE('',*,*,#8331,.F.);
#11772=ORIENTED_EDGE('',*,*,#8605,.F.);
#11773=ORIENTED_EDGE('',*,*,#8365,.F.);
#11774=ORIENTED_EDGE('',*,*,#8361,.F.);
#11775=ORIENTED_EDGE('',*,*,#8589,.F.);
#11776=ORIENTED_EDGE('',*,*,#8577,.F.);
#11777=ORIENTED_EDGE('',*,*,#8435,.F.);
#11778=ORIENTED_EDGE('',*,*,#8430,.F.);
#11779=ORIENTED_EDGE('',*,*,#8558,.F.);
#11780=ORIENTED_EDGE('',*,*,#8547,.F.);
#11781=ORIENTED_EDGE('',*,*,#8571,.F.);
#11782=ORIENTED_EDGE('',*,*,#8587,.F.);
#11783=ORIENTED_EDGE('',*,*,#8368,.F.);
#11784=ORIENTED_EDGE('',*,*,#8366,.F.);
#11785=ORIENTED_EDGE('',*,*,#8608,.F.);
#11786=ORIENTED_EDGE('',*,*,#8326,.F.);
#11787=ORIENTED_EDGE('',*,*,#8330,.F.);
#11788=ORIENTED_EDGE('',*,*,#8307,.F.);
#11789=ORIENTED_EDGE('',*,*,#8310,.F.);
#11790=ORIENTED_EDGE('',*,*,#8630,.F.);
#11791=ORIENTED_EDGE('',*,*,#8638,.F.);
#11792=ORIENTED_EDGE('',*,*,#8281,.F.);
#11793=ORIENTED_EDGE('',*,*,#8626,.F.);
#11794=ORIENTED_EDGE('',*,*,#8299,.F.);
#11795=ORIENTED_EDGE('',*,*,#8612,.F.);
#11796=ORIENTED_EDGE('',*,*,#8610,.F.);
#11797=ORIENTED_EDGE('',*,*,#8604,.F.);
#11798=ORIENTED_EDGE('',*,*,#8351,.F.);
#11799=ORIENTED_EDGE('',*,*,#8594,.F.);
#11800=ORIENTED_EDGE('',*,*,#8372,.F.);
#11801=ORIENTED_EDGE('',*,*,#8586,.F.);
#11802=ORIENTED_EDGE('',*,*,#8575,.F.);
#11803=ORIENTED_EDGE('',*,*,#8621,.F.);
#11804=ORIENTED_EDGE('',*,*,#8636,.F.);
#11805=ORIENTED_EDGE('',*,*,#8632,.F.);
#11806=ORIENTED_EDGE('',*,*,#8624,.F.);
#11807=ORIENTED_EDGE('',*,*,#8728,.T.);
#11808=ORIENTED_EDGE('',*,*,#8729,.T.);
#11809=ORIENTED_EDGE('',*,*,#8646,.T.);
#11810=ORIENTED_EDGE('',*,*,#8729,.F.);
#11811=ORIENTED_EDGE('',*,*,#8730,.F.);
#11812=ORIENTED_EDGE('',*,*,#8731,.T.);
#11813=ORIENTED_EDGE('',*,*,#8728,.F.);
#11814=ORIENTED_EDGE('',*,*,#8731,.F.);
#11815=ORIENTED_EDGE('',*,*,#8732,.T.);
#11816=ORIENTED_EDGE('',*,*,#8733,.T.);
#11817=ORIENTED_EDGE('',*,*,#8727,.T.);
#11818=ORIENTED_EDGE('',*,*,#8733,.F.);
#11819=ORIENTED_EDGE('',*,*,#8734,.F.);
#11820=ORIENTED_EDGE('',*,*,#8735,.T.);
#11821=ORIENTED_EDGE('',*,*,#8732,.F.);
#11822=ORIENTED_EDGE('',*,*,#8735,.F.);
#11823=ORIENTED_EDGE('',*,*,#8734,.T.);
#11824=ORIENTED_EDGE('',*,*,#8736,.T.);
#11825=ORIENTED_EDGE('',*,*,#8737,.T.);
#11826=ORIENTED_EDGE('',*,*,#8736,.F.);
#11827=ORIENTED_EDGE('',*,*,#8737,.F.);
#11828=ORIENTED_EDGE('',*,*,#8730,.T.);
#11829=ORIENTED_EDGE('',*,*,#8738,.T.);
#11830=ORIENTED_EDGE('',*,*,#8739,.T.);
#11831=ORIENTED_EDGE('',*,*,#8738,.F.);
#11832=ORIENTED_EDGE('',*,*,#8739,.F.);
#11833=ORIENTED_EDGE('',*,*,#8724,.F.);
#11834=ORIENTED_EDGE('',*,*,#8740,.T.);
#11835=ORIENTED_EDGE('',*,*,#8741,.T.);
#11836=ORIENTED_EDGE('',*,*,#8742,.T.);
#11837=ORIENTED_EDGE('',*,*,#8743,.F.);
#11838=ORIENTED_EDGE('',*,*,#8744,.T.);
#11839=ORIENTED_EDGE('',*,*,#8745,.T.);
#11840=ORIENTED_EDGE('',*,*,#8746,.T.);
#11841=ORIENTED_EDGE('',*,*,#8747,.F.);
#11842=ORIENTED_EDGE('',*,*,#8746,.F.);
#11843=ORIENTED_EDGE('',*,*,#8748,.F.);
#11844=ORIENTED_EDGE('',*,*,#8749,.F.);
#11845=ORIENTED_EDGE('',*,*,#8750,.F.);
#11846=ORIENTED_EDGE('',*,*,#8751,.F.);
#11847=ORIENTED_EDGE('',*,*,#8752,.F.);
#11848=ORIENTED_EDGE('',*,*,#8753,.F.);
#11849=ORIENTED_EDGE('',*,*,#8754,.F.);
#11850=ORIENTED_EDGE('',*,*,#8755,.F.);
#11851=ORIENTED_EDGE('',*,*,#8756,.F.);
#11852=ORIENTED_EDGE('',*,*,#8757,.F.);
#11853=ORIENTED_EDGE('',*,*,#8758,.F.);
#11854=ORIENTED_EDGE('',*,*,#8759,.F.);
#11855=ORIENTED_EDGE('',*,*,#8760,.F.);
#11856=ORIENTED_EDGE('',*,*,#8744,.F.);
#11857=ORIENTED_EDGE('',*,*,#8723,.F.);
#11858=ORIENTED_EDGE('',*,*,#8761,.F.);
#11859=ORIENTED_EDGE('',*,*,#8762,.F.);
#11860=ORIENTED_EDGE('',*,*,#8763,.F.);
#11861=ORIENTED_EDGE('',*,*,#8764,.F.);
#11862=ORIENTED_EDGE('',*,*,#8740,.F.);
#11863=ORIENTED_EDGE('',*,*,#8765,.T.);
#11864=ORIENTED_EDGE('',*,*,#8255,.F.);
#11865=ORIENTED_EDGE('',*,*,#8766,.T.);
#11866=ORIENTED_EDGE('',*,*,#8263,.F.);
#11867=ORIENTED_EDGE('',*,*,#8766,.F.);
#11868=ORIENTED_EDGE('',*,*,#8254,.F.);
#11869=ORIENTED_EDGE('',*,*,#8757,.T.);
#11870=ORIENTED_EDGE('',*,*,#8767,.T.);
#11871=ORIENTED_EDGE('',*,*,#8751,.T.);
#11872=ORIENTED_EDGE('',*,*,#8264,.F.);
#11873=ORIENTED_EDGE('',*,*,#8767,.F.);
#11874=ORIENTED_EDGE('',*,*,#8756,.T.);
#11875=ORIENTED_EDGE('',*,*,#8768,.T.);
#11876=ORIENTED_EDGE('',*,*,#8752,.T.);
#11877=ORIENTED_EDGE('',*,*,#8769,.F.);
#11878=ORIENTED_EDGE('',*,*,#8753,.T.);
#11879=ORIENTED_EDGE('',*,*,#8768,.F.);
#11880=ORIENTED_EDGE('',*,*,#8755,.T.);
#11881=ORIENTED_EDGE('',*,*,#8741,.F.);
#11882=ORIENTED_EDGE('',*,*,#8764,.T.);
#11883=ORIENTED_EDGE('',*,*,#8770,.T.);
#11884=ORIENTED_EDGE('',*,*,#8771,.T.);
#11885=ORIENTED_EDGE('',*,*,#8770,.F.);
#11886=ORIENTED_EDGE('',*,*,#8763,.T.);
#11887=ORIENTED_EDGE('',*,*,#8772,.T.);
#11888=ORIENTED_EDGE('',*,*,#8773,.T.);
#11889=ORIENTED_EDGE('',*,*,#8772,.F.);
#11890=ORIENTED_EDGE('',*,*,#8762,.T.);
#11891=ORIENTED_EDGE('',*,*,#8774,.T.);
#11892=ORIENTED_EDGE('',*,*,#8775,.T.);
#11893=ORIENTED_EDGE('',*,*,#8726,.F.);
#11894=ORIENTED_EDGE('',*,*,#8776,.T.);
#11895=ORIENTED_EDGE('',*,*,#8774,.F.);
#11896=ORIENTED_EDGE('',*,*,#8761,.T.);
#11897=ORIENTED_EDGE('',*,*,#8745,.F.);
#11898=ORIENTED_EDGE('',*,*,#8760,.T.);
#11899=ORIENTED_EDGE('',*,*,#8777,.F.);
#11900=ORIENTED_EDGE('',*,*,#8778,.T.);
#11901=ORIENTED_EDGE('',*,*,#8748,.T.);
#11902=ORIENTED_EDGE('',*,*,#8778,.F.);
#11903=ORIENTED_EDGE('',*,*,#8777,.T.);
#11904=ORIENTED_EDGE('',*,*,#8759,.T.);
#11905=ORIENTED_EDGE('',*,*,#8256,.F.);
#11906=ORIENTED_EDGE('',*,*,#8765,.F.);
#11907=ORIENTED_EDGE('',*,*,#8262,.F.);
#11908=ORIENTED_EDGE('',*,*,#8749,.T.);
#11909=ORIENTED_EDGE('',*,*,#8725,.F.);
#11910=ORIENTED_EDGE('',*,*,#8742,.F.);
#11911=ORIENTED_EDGE('',*,*,#8771,.F.);
#11912=ORIENTED_EDGE('',*,*,#8773,.F.);
#11913=ORIENTED_EDGE('',*,*,#8775,.F.);
#11914=ORIENTED_EDGE('',*,*,#8776,.F.);
#11915=ORIENTED_EDGE('',*,*,#8779,.F.);
#11916=ORIENTED_EDGE('',*,*,#8252,.F.);
#11917=ORIENTED_EDGE('',*,*,#8259,.T.);
#11918=ORIENTED_EDGE('',*,*,#8266,.F.);
#11919=ORIENTED_EDGE('',*,*,#8720,.F.);
#11920=ORIENTED_EDGE('',*,*,#8780,.T.);
#11921=ORIENTED_EDGE('',*,*,#8781,.T.);
#11922=ORIENTED_EDGE('',*,*,#8782,.T.);
#11923=ORIENTED_EDGE('',*,*,#8783,.F.);
#11924=ORIENTED_EDGE('',*,*,#8784,.T.);
#11925=ORIENTED_EDGE('',*,*,#8785,.T.);
#11926=ORIENTED_EDGE('',*,*,#8786,.T.);
#11927=ORIENTED_EDGE('',*,*,#8787,.F.);
#11928=ORIENTED_EDGE('',*,*,#8786,.F.);
#11929=ORIENTED_EDGE('',*,*,#8788,.F.);
#11930=ORIENTED_EDGE('',*,*,#8789,.F.);
#11931=ORIENTED_EDGE('',*,*,#8790,.F.);
#11932=ORIENTED_EDGE('',*,*,#8791,.F.);
#11933=ORIENTED_EDGE('',*,*,#8792,.F.);
#11934=ORIENTED_EDGE('',*,*,#8793,.F.);
#11935=ORIENTED_EDGE('',*,*,#8794,.F.);
#11936=ORIENTED_EDGE('',*,*,#8795,.F.);
#11937=ORIENTED_EDGE('',*,*,#8796,.F.);
#11938=ORIENTED_EDGE('',*,*,#8797,.F.);
#11939=ORIENTED_EDGE('',*,*,#8798,.F.);
#11940=ORIENTED_EDGE('',*,*,#8799,.F.);
#11941=ORIENTED_EDGE('',*,*,#8800,.F.);
#11942=ORIENTED_EDGE('',*,*,#8784,.F.);
#11943=ORIENTED_EDGE('',*,*,#8719,.F.);
#11944=ORIENTED_EDGE('',*,*,#8801,.F.);
#11945=ORIENTED_EDGE('',*,*,#8802,.F.);
#11946=ORIENTED_EDGE('',*,*,#8803,.F.);
#11947=ORIENTED_EDGE('',*,*,#8804,.F.);
#11948=ORIENTED_EDGE('',*,*,#8780,.F.);
#11949=ORIENTED_EDGE('',*,*,#8805,.T.);
#11950=ORIENTED_EDGE('',*,*,#8237,.F.);
#11951=ORIENTED_EDGE('',*,*,#8806,.T.);
#11952=ORIENTED_EDGE('',*,*,#8245,.F.);
#11953=ORIENTED_EDGE('',*,*,#8806,.F.);
#11954=ORIENTED_EDGE('',*,*,#8236,.F.);
#11955=ORIENTED_EDGE('',*,*,#8797,.T.);
#11956=ORIENTED_EDGE('',*,*,#8807,.T.);
#11957=ORIENTED_EDGE('',*,*,#8791,.T.);
#11958=ORIENTED_EDGE('',*,*,#8246,.F.);
#11959=ORIENTED_EDGE('',*,*,#8807,.F.);
#11960=ORIENTED_EDGE('',*,*,#8796,.T.);
#11961=ORIENTED_EDGE('',*,*,#8808,.T.);
#11962=ORIENTED_EDGE('',*,*,#8792,.T.);
#11963=ORIENTED_EDGE('',*,*,#8809,.F.);
#11964=ORIENTED_EDGE('',*,*,#8793,.T.);
#11965=ORIENTED_EDGE('',*,*,#8808,.F.);
#11966=ORIENTED_EDGE('',*,*,#8795,.T.);
#11967=ORIENTED_EDGE('',*,*,#8781,.F.);
#11968=ORIENTED_EDGE('',*,*,#8804,.T.);
#11969=ORIENTED_EDGE('',*,*,#8810,.T.);
#11970=ORIENTED_EDGE('',*,*,#8811,.T.);
#11971=ORIENTED_EDGE('',*,*,#8810,.F.);
#11972=ORIENTED_EDGE('',*,*,#8803,.T.);
#11973=ORIENTED_EDGE('',*,*,#8812,.T.);
#11974=ORIENTED_EDGE('',*,*,#8813,.T.);
#11975=ORIENTED_EDGE('',*,*,#8812,.F.);
#11976=ORIENTED_EDGE('',*,*,#8802,.T.);
#11977=ORIENTED_EDGE('',*,*,#8814,.T.);
#11978=ORIENTED_EDGE('',*,*,#8815,.T.);
#11979=ORIENTED_EDGE('',*,*,#8722,.F.);
#11980=ORIENTED_EDGE('',*,*,#8816,.T.);
#11981=ORIENTED_EDGE('',*,*,#8814,.F.);
#11982=ORIENTED_EDGE('',*,*,#8801,.T.);
#11983=ORIENTED_EDGE('',*,*,#8785,.F.);
#11984=ORIENTED_EDGE('',*,*,#8800,.T.);
#11985=ORIENTED_EDGE('',*,*,#8817,.F.);
#11986=ORIENTED_EDGE('',*,*,#8818,.T.);
#11987=ORIENTED_EDGE('',*,*,#8788,.T.);
#11988=ORIENTED_EDGE('',*,*,#8818,.F.);
#11989=ORIENTED_EDGE('',*,*,#8817,.T.);
#11990=ORIENTED_EDGE('',*,*,#8799,.T.);
#11991=ORIENTED_EDGE('',*,*,#8238,.F.);
#11992=ORIENTED_EDGE('',*,*,#8805,.F.);
#11993=ORIENTED_EDGE('',*,*,#8244,.F.);
#11994=ORIENTED_EDGE('',*,*,#8789,.T.);
#11995=ORIENTED_EDGE('',*,*,#8721,.F.);
#11996=ORIENTED_EDGE('',*,*,#8782,.F.);
#11997=ORIENTED_EDGE('',*,*,#8811,.F.);
#11998=ORIENTED_EDGE('',*,*,#8813,.F.);
#11999=ORIENTED_EDGE('',*,*,#8815,.F.);
#12000=ORIENTED_EDGE('',*,*,#8816,.F.);
#12001=ORIENTED_EDGE('',*,*,#8819,.F.);
#12002=ORIENTED_EDGE('',*,*,#8234,.F.);
#12003=ORIENTED_EDGE('',*,*,#8241,.T.);
#12004=ORIENTED_EDGE('',*,*,#8248,.F.);
#12005=ORIENTED_EDGE('',*,*,#8716,.F.);
#12006=ORIENTED_EDGE('',*,*,#8820,.T.);
#12007=ORIENTED_EDGE('',*,*,#8821,.T.);
#12008=ORIENTED_EDGE('',*,*,#8822,.T.);
#12009=ORIENTED_EDGE('',*,*,#8823,.F.);
#12010=ORIENTED_EDGE('',*,*,#8824,.T.);
#12011=ORIENTED_EDGE('',*,*,#8825,.T.);
#12012=ORIENTED_EDGE('',*,*,#8826,.T.);
#12013=ORIENTED_EDGE('',*,*,#8827,.F.);
#12014=ORIENTED_EDGE('',*,*,#8826,.F.);
#12015=ORIENTED_EDGE('',*,*,#8828,.F.);
#12016=ORIENTED_EDGE('',*,*,#8829,.F.);
#12017=ORIENTED_EDGE('',*,*,#8830,.F.);
#12018=ORIENTED_EDGE('',*,*,#8831,.F.);
#12019=ORIENTED_EDGE('',*,*,#8832,.F.);
#12020=ORIENTED_EDGE('',*,*,#8833,.F.);
#12021=ORIENTED_EDGE('',*,*,#8834,.F.);
#12022=ORIENTED_EDGE('',*,*,#8835,.F.);
#12023=ORIENTED_EDGE('',*,*,#8836,.F.);
#12024=ORIENTED_EDGE('',*,*,#8837,.F.);
#12025=ORIENTED_EDGE('',*,*,#8838,.F.);
#12026=ORIENTED_EDGE('',*,*,#8839,.F.);
#12027=ORIENTED_EDGE('',*,*,#8840,.F.);
#12028=ORIENTED_EDGE('',*,*,#8824,.F.);
#12029=ORIENTED_EDGE('',*,*,#8715,.F.);
#12030=ORIENTED_EDGE('',*,*,#8841,.F.);
#12031=ORIENTED_EDGE('',*,*,#8842,.F.);
#12032=ORIENTED_EDGE('',*,*,#8843,.F.);
#12033=ORIENTED_EDGE('',*,*,#8844,.F.);
#12034=ORIENTED_EDGE('',*,*,#8820,.F.);
#12035=ORIENTED_EDGE('',*,*,#8845,.T.);
#12036=ORIENTED_EDGE('',*,*,#8219,.F.);
#12037=ORIENTED_EDGE('',*,*,#8846,.T.);
#12038=ORIENTED_EDGE('',*,*,#8227,.F.);
#12039=ORIENTED_EDGE('',*,*,#8846,.F.);
#12040=ORIENTED_EDGE('',*,*,#8218,.F.);
#12041=ORIENTED_EDGE('',*,*,#8837,.T.);
#12042=ORIENTED_EDGE('',*,*,#8847,.T.);
#12043=ORIENTED_EDGE('',*,*,#8831,.T.);
#12044=ORIENTED_EDGE('',*,*,#8228,.F.);
#12045=ORIENTED_EDGE('',*,*,#8847,.F.);
#12046=ORIENTED_EDGE('',*,*,#8836,.T.);
#12047=ORIENTED_EDGE('',*,*,#8848,.T.);
#12048=ORIENTED_EDGE('',*,*,#8832,.T.);
#12049=ORIENTED_EDGE('',*,*,#8849,.F.);
#12050=ORIENTED_EDGE('',*,*,#8833,.T.);
#12051=ORIENTED_EDGE('',*,*,#8848,.F.);
#12052=ORIENTED_EDGE('',*,*,#8835,.T.);
#12053=ORIENTED_EDGE('',*,*,#8821,.F.);
#12054=ORIENTED_EDGE('',*,*,#8844,.T.);
#12055=ORIENTED_EDGE('',*,*,#8850,.T.);
#12056=ORIENTED_EDGE('',*,*,#8851,.T.);
#12057=ORIENTED_EDGE('',*,*,#8850,.F.);
#12058=ORIENTED_EDGE('',*,*,#8843,.T.);
#12059=ORIENTED_EDGE('',*,*,#8852,.T.);
#12060=ORIENTED_EDGE('',*,*,#8853,.T.);
#12061=ORIENTED_EDGE('',*,*,#8852,.F.);
#12062=ORIENTED_EDGE('',*,*,#8842,.T.);
#12063=ORIENTED_EDGE('',*,*,#8854,.T.);
#12064=ORIENTED_EDGE('',*,*,#8855,.T.);
#12065=ORIENTED_EDGE('',*,*,#8718,.F.);
#12066=ORIENTED_EDGE('',*,*,#8856,.T.);
#12067=ORIENTED_EDGE('',*,*,#8854,.F.);
#12068=ORIENTED_EDGE('',*,*,#8841,.T.);
#12069=ORIENTED_EDGE('',*,*,#8825,.F.);
#12070=ORIENTED_EDGE('',*,*,#8840,.T.);
#12071=ORIENTED_EDGE('',*,*,#8857,.F.);
#12072=ORIENTED_EDGE('',*,*,#8858,.T.);
#12073=ORIENTED_EDGE('',*,*,#8828,.T.);
#12074=ORIENTED_EDGE('',*,*,#8858,.F.);
#12075=ORIENTED_EDGE('',*,*,#8857,.T.);
#12076=ORIENTED_EDGE('',*,*,#8839,.T.);
#12077=ORIENTED_EDGE('',*,*,#8220,.F.);
#12078=ORIENTED_EDGE('',*,*,#8845,.F.);
#12079=ORIENTED_EDGE('',*,*,#8226,.F.);
#12080=ORIENTED_EDGE('',*,*,#8829,.T.);
#12081=ORIENTED_EDGE('',*,*,#8717,.F.);
#12082=ORIENTED_EDGE('',*,*,#8822,.F.);
#12083=ORIENTED_EDGE('',*,*,#8851,.F.);
#12084=ORIENTED_EDGE('',*,*,#8853,.F.);
#12085=ORIENTED_EDGE('',*,*,#8855,.F.);
#12086=ORIENTED_EDGE('',*,*,#8856,.F.);
#12087=ORIENTED_EDGE('',*,*,#8859,.F.);
#12088=ORIENTED_EDGE('',*,*,#8216,.F.);
#12089=ORIENTED_EDGE('',*,*,#8223,.T.);
#12090=ORIENTED_EDGE('',*,*,#8230,.F.);
#12091=ORIENTED_EDGE('',*,*,#8712,.F.);
#12092=ORIENTED_EDGE('',*,*,#8860,.T.);
#12093=ORIENTED_EDGE('',*,*,#8861,.T.);
#12094=ORIENTED_EDGE('',*,*,#8862,.T.);
#12095=ORIENTED_EDGE('',*,*,#8863,.F.);
#12096=ORIENTED_EDGE('',*,*,#8864,.T.);
#12097=ORIENTED_EDGE('',*,*,#8865,.T.);
#12098=ORIENTED_EDGE('',*,*,#8866,.T.);
#12099=ORIENTED_EDGE('',*,*,#8867,.F.);
#12100=ORIENTED_EDGE('',*,*,#8866,.F.);
#12101=ORIENTED_EDGE('',*,*,#8868,.F.);
#12102=ORIENTED_EDGE('',*,*,#8869,.F.);
#12103=ORIENTED_EDGE('',*,*,#8870,.F.);
#12104=ORIENTED_EDGE('',*,*,#8871,.F.);
#12105=ORIENTED_EDGE('',*,*,#8872,.F.);
#12106=ORIENTED_EDGE('',*,*,#8873,.F.);
#12107=ORIENTED_EDGE('',*,*,#8874,.F.);
#12108=ORIENTED_EDGE('',*,*,#8875,.F.);
#12109=ORIENTED_EDGE('',*,*,#8876,.F.);
#12110=ORIENTED_EDGE('',*,*,#8877,.F.);
#12111=ORIENTED_EDGE('',*,*,#8878,.F.);
#12112=ORIENTED_EDGE('',*,*,#8879,.F.);
#12113=ORIENTED_EDGE('',*,*,#8880,.F.);
#12114=ORIENTED_EDGE('',*,*,#8864,.F.);
#12115=ORIENTED_EDGE('',*,*,#8711,.F.);
#12116=ORIENTED_EDGE('',*,*,#8881,.F.);
#12117=ORIENTED_EDGE('',*,*,#8882,.F.);
#12118=ORIENTED_EDGE('',*,*,#8883,.F.);
#12119=ORIENTED_EDGE('',*,*,#8884,.F.);
#12120=ORIENTED_EDGE('',*,*,#8860,.F.);
#12121=ORIENTED_EDGE('',*,*,#8885,.T.);
#12122=ORIENTED_EDGE('',*,*,#8201,.F.);
#12123=ORIENTED_EDGE('',*,*,#8886,.T.);
#12124=ORIENTED_EDGE('',*,*,#8209,.F.);
#12125=ORIENTED_EDGE('',*,*,#8886,.F.);
#12126=ORIENTED_EDGE('',*,*,#8200,.F.);
#12127=ORIENTED_EDGE('',*,*,#8877,.T.);
#12128=ORIENTED_EDGE('',*,*,#8887,.T.);
#12129=ORIENTED_EDGE('',*,*,#8871,.T.);
#12130=ORIENTED_EDGE('',*,*,#8210,.F.);
#12131=ORIENTED_EDGE('',*,*,#8887,.F.);
#12132=ORIENTED_EDGE('',*,*,#8876,.T.);
#12133=ORIENTED_EDGE('',*,*,#8888,.T.);
#12134=ORIENTED_EDGE('',*,*,#8872,.T.);
#12135=ORIENTED_EDGE('',*,*,#8889,.F.);
#12136=ORIENTED_EDGE('',*,*,#8873,.T.);
#12137=ORIENTED_EDGE('',*,*,#8888,.F.);
#12138=ORIENTED_EDGE('',*,*,#8875,.T.);
#12139=ORIENTED_EDGE('',*,*,#8861,.F.);
#12140=ORIENTED_EDGE('',*,*,#8884,.T.);
#12141=ORIENTED_EDGE('',*,*,#8890,.T.);
#12142=ORIENTED_EDGE('',*,*,#8891,.T.);
#12143=ORIENTED_EDGE('',*,*,#8890,.F.);
#12144=ORIENTED_EDGE('',*,*,#8883,.T.);
#12145=ORIENTED_EDGE('',*,*,#8892,.T.);
#12146=ORIENTED_EDGE('',*,*,#8893,.T.);
#12147=ORIENTED_EDGE('',*,*,#8892,.F.);
#12148=ORIENTED_EDGE('',*,*,#8882,.T.);
#12149=ORIENTED_EDGE('',*,*,#8894,.T.);
#12150=ORIENTED_EDGE('',*,*,#8895,.T.);
#12151=ORIENTED_EDGE('',*,*,#8714,.F.);
#12152=ORIENTED_EDGE('',*,*,#8896,.T.);
#12153=ORIENTED_EDGE('',*,*,#8894,.F.);
#12154=ORIENTED_EDGE('',*,*,#8881,.T.);
#12155=ORIENTED_EDGE('',*,*,#8865,.F.);
#12156=ORIENTED_EDGE('',*,*,#8880,.T.);
#12157=ORIENTED_EDGE('',*,*,#8897,.F.);
#12158=ORIENTED_EDGE('',*,*,#8898,.T.);
#12159=ORIENTED_EDGE('',*,*,#8868,.T.);
#12160=ORIENTED_EDGE('',*,*,#8898,.F.);
#12161=ORIENTED_EDGE('',*,*,#8897,.T.);
#12162=ORIENTED_EDGE('',*,*,#8879,.T.);
#12163=ORIENTED_EDGE('',*,*,#8202,.F.);
#12164=ORIENTED_EDGE('',*,*,#8885,.F.);
#12165=ORIENTED_EDGE('',*,*,#8208,.F.);
#12166=ORIENTED_EDGE('',*,*,#8869,.T.);
#12167=ORIENTED_EDGE('',*,*,#8713,.F.);
#12168=ORIENTED_EDGE('',*,*,#8862,.F.);
#12169=ORIENTED_EDGE('',*,*,#8891,.F.);
#12170=ORIENTED_EDGE('',*,*,#8893,.F.);
#12171=ORIENTED_EDGE('',*,*,#8895,.F.);
#12172=ORIENTED_EDGE('',*,*,#8896,.F.);
#12173=ORIENTED_EDGE('',*,*,#8899,.F.);
#12174=ORIENTED_EDGE('',*,*,#8198,.F.);
#12175=ORIENTED_EDGE('',*,*,#8205,.T.);
#12176=ORIENTED_EDGE('',*,*,#8212,.F.);
#12177=ORIENTED_EDGE('',*,*,#8708,.F.);
#12178=ORIENTED_EDGE('',*,*,#8900,.T.);
#12179=ORIENTED_EDGE('',*,*,#8901,.T.);
#12180=ORIENTED_EDGE('',*,*,#8902,.T.);
#12181=ORIENTED_EDGE('',*,*,#8903,.F.);
#12182=ORIENTED_EDGE('',*,*,#8904,.T.);
#12183=ORIENTED_EDGE('',*,*,#8905,.T.);
#12184=ORIENTED_EDGE('',*,*,#8906,.T.);
#12185=ORIENTED_EDGE('',*,*,#8907,.F.);
#12186=ORIENTED_EDGE('',*,*,#8906,.F.);
#12187=ORIENTED_EDGE('',*,*,#8908,.F.);
#12188=ORIENTED_EDGE('',*,*,#8909,.F.);
#12189=ORIENTED_EDGE('',*,*,#8910,.F.);
#12190=ORIENTED_EDGE('',*,*,#8911,.F.);
#12191=ORIENTED_EDGE('',*,*,#8912,.F.);
#12192=ORIENTED_EDGE('',*,*,#8913,.F.);
#12193=ORIENTED_EDGE('',*,*,#8914,.F.);
#12194=ORIENTED_EDGE('',*,*,#8915,.F.);
#12195=ORIENTED_EDGE('',*,*,#8916,.F.);
#12196=ORIENTED_EDGE('',*,*,#8917,.F.);
#12197=ORIENTED_EDGE('',*,*,#8918,.F.);
#12198=ORIENTED_EDGE('',*,*,#8919,.F.);
#12199=ORIENTED_EDGE('',*,*,#8920,.F.);
#12200=ORIENTED_EDGE('',*,*,#8904,.F.);
#12201=ORIENTED_EDGE('',*,*,#8707,.F.);
#12202=ORIENTED_EDGE('',*,*,#8921,.F.);
#12203=ORIENTED_EDGE('',*,*,#8922,.F.);
#12204=ORIENTED_EDGE('',*,*,#8923,.F.);
#12205=ORIENTED_EDGE('',*,*,#8924,.F.);
#12206=ORIENTED_EDGE('',*,*,#8900,.F.);
#12207=ORIENTED_EDGE('',*,*,#8925,.T.);
#12208=ORIENTED_EDGE('',*,*,#8183,.F.);
#12209=ORIENTED_EDGE('',*,*,#8926,.T.);
#12210=ORIENTED_EDGE('',*,*,#8191,.F.);
#12211=ORIENTED_EDGE('',*,*,#8926,.F.);
#12212=ORIENTED_EDGE('',*,*,#8182,.F.);
#12213=ORIENTED_EDGE('',*,*,#8917,.T.);
#12214=ORIENTED_EDGE('',*,*,#8927,.T.);
#12215=ORIENTED_EDGE('',*,*,#8911,.T.);
#12216=ORIENTED_EDGE('',*,*,#8192,.F.);
#12217=ORIENTED_EDGE('',*,*,#8927,.F.);
#12218=ORIENTED_EDGE('',*,*,#8916,.T.);
#12219=ORIENTED_EDGE('',*,*,#8928,.T.);
#12220=ORIENTED_EDGE('',*,*,#8912,.T.);
#12221=ORIENTED_EDGE('',*,*,#8929,.F.);
#12222=ORIENTED_EDGE('',*,*,#8913,.T.);
#12223=ORIENTED_EDGE('',*,*,#8928,.F.);
#12224=ORIENTED_EDGE('',*,*,#8915,.T.);
#12225=ORIENTED_EDGE('',*,*,#8901,.F.);
#12226=ORIENTED_EDGE('',*,*,#8924,.T.);
#12227=ORIENTED_EDGE('',*,*,#8930,.T.);
#12228=ORIENTED_EDGE('',*,*,#8931,.T.);
#12229=ORIENTED_EDGE('',*,*,#8930,.F.);
#12230=ORIENTED_EDGE('',*,*,#8923,.T.);
#12231=ORIENTED_EDGE('',*,*,#8932,.T.);
#12232=ORIENTED_EDGE('',*,*,#8933,.T.);
#12233=ORIENTED_EDGE('',*,*,#8932,.F.);
#12234=ORIENTED_EDGE('',*,*,#8922,.T.);
#12235=ORIENTED_EDGE('',*,*,#8934,.T.);
#12236=ORIENTED_EDGE('',*,*,#8935,.T.);
#12237=ORIENTED_EDGE('',*,*,#8710,.F.);
#12238=ORIENTED_EDGE('',*,*,#8936,.T.);
#12239=ORIENTED_EDGE('',*,*,#8934,.F.);
#12240=ORIENTED_EDGE('',*,*,#8921,.T.);
#12241=ORIENTED_EDGE('',*,*,#8905,.F.);
#12242=ORIENTED_EDGE('',*,*,#8920,.T.);
#12243=ORIENTED_EDGE('',*,*,#8937,.F.);
#12244=ORIENTED_EDGE('',*,*,#8938,.T.);
#12245=ORIENTED_EDGE('',*,*,#8908,.T.);
#12246=ORIENTED_EDGE('',*,*,#8938,.F.);
#12247=ORIENTED_EDGE('',*,*,#8937,.T.);
#12248=ORIENTED_EDGE('',*,*,#8919,.T.);
#12249=ORIENTED_EDGE('',*,*,#8184,.F.);
#12250=ORIENTED_EDGE('',*,*,#8925,.F.);
#12251=ORIENTED_EDGE('',*,*,#8190,.F.);
#12252=ORIENTED_EDGE('',*,*,#8909,.T.);
#12253=ORIENTED_EDGE('',*,*,#8709,.F.);
#12254=ORIENTED_EDGE('',*,*,#8902,.F.);
#12255=ORIENTED_EDGE('',*,*,#8931,.F.);
#12256=ORIENTED_EDGE('',*,*,#8933,.F.);
#12257=ORIENTED_EDGE('',*,*,#8935,.F.);
#12258=ORIENTED_EDGE('',*,*,#8936,.F.);
#12259=ORIENTED_EDGE('',*,*,#8939,.F.);
#12260=ORIENTED_EDGE('',*,*,#8180,.F.);
#12261=ORIENTED_EDGE('',*,*,#8187,.T.);
#12262=ORIENTED_EDGE('',*,*,#8194,.F.);
#12263=ORIENTED_EDGE('',*,*,#8704,.F.);
#12264=ORIENTED_EDGE('',*,*,#8940,.T.);
#12265=ORIENTED_EDGE('',*,*,#8941,.T.);
#12266=ORIENTED_EDGE('',*,*,#8942,.T.);
#12267=ORIENTED_EDGE('',*,*,#8943,.F.);
#12268=ORIENTED_EDGE('',*,*,#8944,.T.);
#12269=ORIENTED_EDGE('',*,*,#8945,.T.);
#12270=ORIENTED_EDGE('',*,*,#8946,.T.);
#12271=ORIENTED_EDGE('',*,*,#8947,.F.);
#12272=ORIENTED_EDGE('',*,*,#8946,.F.);
#12273=ORIENTED_EDGE('',*,*,#8948,.F.);
#12274=ORIENTED_EDGE('',*,*,#8949,.F.);
#12275=ORIENTED_EDGE('',*,*,#8950,.F.);
#12276=ORIENTED_EDGE('',*,*,#8951,.F.);
#12277=ORIENTED_EDGE('',*,*,#8952,.F.);
#12278=ORIENTED_EDGE('',*,*,#8953,.F.);
#12279=ORIENTED_EDGE('',*,*,#8954,.F.);
#12280=ORIENTED_EDGE('',*,*,#8955,.F.);
#12281=ORIENTED_EDGE('',*,*,#8956,.F.);
#12282=ORIENTED_EDGE('',*,*,#8957,.F.);
#12283=ORIENTED_EDGE('',*,*,#8958,.F.);
#12284=ORIENTED_EDGE('',*,*,#8959,.F.);
#12285=ORIENTED_EDGE('',*,*,#8960,.F.);
#12286=ORIENTED_EDGE('',*,*,#8944,.F.);
#12287=ORIENTED_EDGE('',*,*,#8703,.F.);
#12288=ORIENTED_EDGE('',*,*,#8961,.F.);
#12289=ORIENTED_EDGE('',*,*,#8962,.F.);
#12290=ORIENTED_EDGE('',*,*,#8963,.F.);
#12291=ORIENTED_EDGE('',*,*,#8964,.F.);
#12292=ORIENTED_EDGE('',*,*,#8940,.F.);
#12293=ORIENTED_EDGE('',*,*,#8965,.T.);
#12294=ORIENTED_EDGE('',*,*,#8165,.F.);
#12295=ORIENTED_EDGE('',*,*,#8966,.T.);
#12296=ORIENTED_EDGE('',*,*,#8173,.F.);
#12297=ORIENTED_EDGE('',*,*,#8966,.F.);
#12298=ORIENTED_EDGE('',*,*,#8164,.F.);
#12299=ORIENTED_EDGE('',*,*,#8957,.T.);
#12300=ORIENTED_EDGE('',*,*,#8967,.T.);
#12301=ORIENTED_EDGE('',*,*,#8951,.T.);
#12302=ORIENTED_EDGE('',*,*,#8174,.F.);
#12303=ORIENTED_EDGE('',*,*,#8967,.F.);
#12304=ORIENTED_EDGE('',*,*,#8956,.T.);
#12305=ORIENTED_EDGE('',*,*,#8968,.T.);
#12306=ORIENTED_EDGE('',*,*,#8952,.T.);
#12307=ORIENTED_EDGE('',*,*,#8969,.F.);
#12308=ORIENTED_EDGE('',*,*,#8953,.T.);
#12309=ORIENTED_EDGE('',*,*,#8968,.F.);
#12310=ORIENTED_EDGE('',*,*,#8955,.T.);
#12311=ORIENTED_EDGE('',*,*,#8941,.F.);
#12312=ORIENTED_EDGE('',*,*,#8964,.T.);
#12313=ORIENTED_EDGE('',*,*,#8970,.T.);
#12314=ORIENTED_EDGE('',*,*,#8971,.T.);
#12315=ORIENTED_EDGE('',*,*,#8970,.F.);
#12316=ORIENTED_EDGE('',*,*,#8963,.T.);
#12317=ORIENTED_EDGE('',*,*,#8972,.T.);
#12318=ORIENTED_EDGE('',*,*,#8973,.T.);
#12319=ORIENTED_EDGE('',*,*,#8972,.F.);
#12320=ORIENTED_EDGE('',*,*,#8962,.T.);
#12321=ORIENTED_EDGE('',*,*,#8974,.T.);
#12322=ORIENTED_EDGE('',*,*,#8975,.T.);
#12323=ORIENTED_EDGE('',*,*,#8706,.F.);
#12324=ORIENTED_EDGE('',*,*,#8976,.T.);
#12325=ORIENTED_EDGE('',*,*,#8974,.F.);
#12326=ORIENTED_EDGE('',*,*,#8961,.T.);
#12327=ORIENTED_EDGE('',*,*,#8945,.F.);
#12328=ORIENTED_EDGE('',*,*,#8960,.T.);
#12329=ORIENTED_EDGE('',*,*,#8977,.F.);
#12330=ORIENTED_EDGE('',*,*,#8978,.T.);
#12331=ORIENTED_EDGE('',*,*,#8948,.T.);
#12332=ORIENTED_EDGE('',*,*,#8978,.F.);
#12333=ORIENTED_EDGE('',*,*,#8977,.T.);
#12334=ORIENTED_EDGE('',*,*,#8959,.T.);
#12335=ORIENTED_EDGE('',*,*,#8166,.F.);
#12336=ORIENTED_EDGE('',*,*,#8965,.F.);
#12337=ORIENTED_EDGE('',*,*,#8172,.F.);
#12338=ORIENTED_EDGE('',*,*,#8949,.T.);
#12339=ORIENTED_EDGE('',*,*,#8705,.F.);
#12340=ORIENTED_EDGE('',*,*,#8942,.F.);
#12341=ORIENTED_EDGE('',*,*,#8971,.F.);
#12342=ORIENTED_EDGE('',*,*,#8973,.F.);
#12343=ORIENTED_EDGE('',*,*,#8975,.F.);
#12344=ORIENTED_EDGE('',*,*,#8976,.F.);
#12345=ORIENTED_EDGE('',*,*,#8979,.F.);
#12346=ORIENTED_EDGE('',*,*,#8162,.F.);
#12347=ORIENTED_EDGE('',*,*,#8169,.T.);
#12348=ORIENTED_EDGE('',*,*,#8176,.F.);
#12349=ORIENTED_EDGE('',*,*,#8700,.F.);
#12350=ORIENTED_EDGE('',*,*,#8980,.T.);
#12351=ORIENTED_EDGE('',*,*,#8981,.T.);
#12352=ORIENTED_EDGE('',*,*,#8982,.T.);
#12353=ORIENTED_EDGE('',*,*,#8983,.F.);
#12354=ORIENTED_EDGE('',*,*,#8984,.T.);
#12355=ORIENTED_EDGE('',*,*,#8985,.T.);
#12356=ORIENTED_EDGE('',*,*,#8986,.T.);
#12357=ORIENTED_EDGE('',*,*,#8987,.F.);
#12358=ORIENTED_EDGE('',*,*,#8986,.F.);
#12359=ORIENTED_EDGE('',*,*,#8988,.F.);
#12360=ORIENTED_EDGE('',*,*,#8989,.F.);
#12361=ORIENTED_EDGE('',*,*,#8990,.F.);
#12362=ORIENTED_EDGE('',*,*,#8991,.F.);
#12363=ORIENTED_EDGE('',*,*,#8992,.F.);
#12364=ORIENTED_EDGE('',*,*,#8993,.F.);
#12365=ORIENTED_EDGE('',*,*,#8994,.F.);
#12366=ORIENTED_EDGE('',*,*,#8995,.F.);
#12367=ORIENTED_EDGE('',*,*,#8996,.F.);
#12368=ORIENTED_EDGE('',*,*,#8997,.F.);
#12369=ORIENTED_EDGE('',*,*,#8998,.F.);
#12370=ORIENTED_EDGE('',*,*,#8999,.F.);
#12371=ORIENTED_EDGE('',*,*,#9000,.F.);
#12372=ORIENTED_EDGE('',*,*,#8984,.F.);
#12373=ORIENTED_EDGE('',*,*,#8699,.F.);
#12374=ORIENTED_EDGE('',*,*,#9001,.F.);
#12375=ORIENTED_EDGE('',*,*,#9002,.F.);
#12376=ORIENTED_EDGE('',*,*,#9003,.F.);
#12377=ORIENTED_EDGE('',*,*,#9004,.F.);
#12378=ORIENTED_EDGE('',*,*,#8980,.F.);
#12379=ORIENTED_EDGE('',*,*,#9005,.T.);
#12380=ORIENTED_EDGE('',*,*,#8147,.F.);
#12381=ORIENTED_EDGE('',*,*,#9006,.T.);
#12382=ORIENTED_EDGE('',*,*,#8155,.F.);
#12383=ORIENTED_EDGE('',*,*,#9006,.F.);
#12384=ORIENTED_EDGE('',*,*,#8146,.F.);
#12385=ORIENTED_EDGE('',*,*,#8997,.T.);
#12386=ORIENTED_EDGE('',*,*,#9007,.T.);
#12387=ORIENTED_EDGE('',*,*,#8991,.T.);
#12388=ORIENTED_EDGE('',*,*,#8156,.F.);
#12389=ORIENTED_EDGE('',*,*,#9007,.F.);
#12390=ORIENTED_EDGE('',*,*,#8996,.T.);
#12391=ORIENTED_EDGE('',*,*,#9008,.T.);
#12392=ORIENTED_EDGE('',*,*,#8992,.T.);
#12393=ORIENTED_EDGE('',*,*,#9009,.F.);
#12394=ORIENTED_EDGE('',*,*,#8993,.T.);
#12395=ORIENTED_EDGE('',*,*,#9008,.F.);
#12396=ORIENTED_EDGE('',*,*,#8995,.T.);
#12397=ORIENTED_EDGE('',*,*,#8981,.F.);
#12398=ORIENTED_EDGE('',*,*,#9004,.T.);
#12399=ORIENTED_EDGE('',*,*,#9010,.T.);
#12400=ORIENTED_EDGE('',*,*,#9011,.T.);
#12401=ORIENTED_EDGE('',*,*,#9010,.F.);
#12402=ORIENTED_EDGE('',*,*,#9003,.T.);
#12403=ORIENTED_EDGE('',*,*,#9012,.T.);
#12404=ORIENTED_EDGE('',*,*,#9013,.T.);
#12405=ORIENTED_EDGE('',*,*,#9012,.F.);
#12406=ORIENTED_EDGE('',*,*,#9002,.T.);
#12407=ORIENTED_EDGE('',*,*,#9014,.T.);
#12408=ORIENTED_EDGE('',*,*,#9015,.T.);
#12409=ORIENTED_EDGE('',*,*,#8702,.F.);
#12410=ORIENTED_EDGE('',*,*,#9016,.T.);
#12411=ORIENTED_EDGE('',*,*,#9014,.F.);
#12412=ORIENTED_EDGE('',*,*,#9001,.T.);
#12413=ORIENTED_EDGE('',*,*,#8985,.F.);
#12414=ORIENTED_EDGE('',*,*,#9000,.T.);
#12415=ORIENTED_EDGE('',*,*,#9017,.F.);
#12416=ORIENTED_EDGE('',*,*,#9018,.T.);
#12417=ORIENTED_EDGE('',*,*,#8988,.T.);
#12418=ORIENTED_EDGE('',*,*,#9018,.F.);
#12419=ORIENTED_EDGE('',*,*,#9017,.T.);
#12420=ORIENTED_EDGE('',*,*,#8999,.T.);
#12421=ORIENTED_EDGE('',*,*,#8148,.F.);
#12422=ORIENTED_EDGE('',*,*,#9005,.F.);
#12423=ORIENTED_EDGE('',*,*,#8154,.F.);
#12424=ORIENTED_EDGE('',*,*,#8989,.T.);
#12425=ORIENTED_EDGE('',*,*,#8701,.F.);
#12426=ORIENTED_EDGE('',*,*,#8982,.F.);
#12427=ORIENTED_EDGE('',*,*,#9011,.F.);
#12428=ORIENTED_EDGE('',*,*,#9013,.F.);
#12429=ORIENTED_EDGE('',*,*,#9015,.F.);
#12430=ORIENTED_EDGE('',*,*,#9016,.F.);
#12431=ORIENTED_EDGE('',*,*,#9019,.F.);
#12432=ORIENTED_EDGE('',*,*,#8144,.F.);
#12433=ORIENTED_EDGE('',*,*,#8151,.T.);
#12434=ORIENTED_EDGE('',*,*,#8158,.F.);
#12435=ORIENTED_EDGE('',*,*,#8696,.F.);
#12436=ORIENTED_EDGE('',*,*,#9020,.T.);
#12437=ORIENTED_EDGE('',*,*,#9021,.T.);
#12438=ORIENTED_EDGE('',*,*,#9022,.T.);
#12439=ORIENTED_EDGE('',*,*,#9023,.F.);
#12440=ORIENTED_EDGE('',*,*,#9024,.T.);
#12441=ORIENTED_EDGE('',*,*,#9025,.T.);
#12442=ORIENTED_EDGE('',*,*,#9026,.T.);
#12443=ORIENTED_EDGE('',*,*,#9027,.F.);
#12444=ORIENTED_EDGE('',*,*,#9026,.F.);
#12445=ORIENTED_EDGE('',*,*,#9028,.F.);
#12446=ORIENTED_EDGE('',*,*,#9029,.F.);
#12447=ORIENTED_EDGE('',*,*,#9030,.F.);
#12448=ORIENTED_EDGE('',*,*,#9031,.F.);
#12449=ORIENTED_EDGE('',*,*,#9032,.F.);
#12450=ORIENTED_EDGE('',*,*,#9033,.F.);
#12451=ORIENTED_EDGE('',*,*,#9034,.F.);
#12452=ORIENTED_EDGE('',*,*,#9035,.F.);
#12453=ORIENTED_EDGE('',*,*,#9036,.F.);
#12454=ORIENTED_EDGE('',*,*,#9037,.F.);
#12455=ORIENTED_EDGE('',*,*,#9038,.F.);
#12456=ORIENTED_EDGE('',*,*,#9039,.F.);
#12457=ORIENTED_EDGE('',*,*,#9040,.F.);
#12458=ORIENTED_EDGE('',*,*,#9024,.F.);
#12459=ORIENTED_EDGE('',*,*,#8695,.F.);
#12460=ORIENTED_EDGE('',*,*,#9041,.F.);
#12461=ORIENTED_EDGE('',*,*,#9042,.F.);
#12462=ORIENTED_EDGE('',*,*,#9043,.F.);
#12463=ORIENTED_EDGE('',*,*,#9044,.F.);
#12464=ORIENTED_EDGE('',*,*,#9020,.F.);
#12465=ORIENTED_EDGE('',*,*,#9045,.T.);
#12466=ORIENTED_EDGE('',*,*,#8129,.F.);
#12467=ORIENTED_EDGE('',*,*,#9046,.T.);
#12468=ORIENTED_EDGE('',*,*,#8137,.F.);
#12469=ORIENTED_EDGE('',*,*,#9046,.F.);
#12470=ORIENTED_EDGE('',*,*,#8128,.F.);
#12471=ORIENTED_EDGE('',*,*,#9037,.T.);
#12472=ORIENTED_EDGE('',*,*,#9047,.T.);
#12473=ORIENTED_EDGE('',*,*,#9031,.T.);
#12474=ORIENTED_EDGE('',*,*,#8138,.F.);
#12475=ORIENTED_EDGE('',*,*,#9047,.F.);
#12476=ORIENTED_EDGE('',*,*,#9036,.T.);
#12477=ORIENTED_EDGE('',*,*,#9048,.T.);
#12478=ORIENTED_EDGE('',*,*,#9032,.T.);
#12479=ORIENTED_EDGE('',*,*,#9049,.F.);
#12480=ORIENTED_EDGE('',*,*,#9033,.T.);
#12481=ORIENTED_EDGE('',*,*,#9048,.F.);
#12482=ORIENTED_EDGE('',*,*,#9035,.T.);
#12483=ORIENTED_EDGE('',*,*,#9021,.F.);
#12484=ORIENTED_EDGE('',*,*,#9044,.T.);
#12485=ORIENTED_EDGE('',*,*,#9050,.T.);
#12486=ORIENTED_EDGE('',*,*,#9051,.T.);
#12487=ORIENTED_EDGE('',*,*,#9050,.F.);
#12488=ORIENTED_EDGE('',*,*,#9043,.T.);
#12489=ORIENTED_EDGE('',*,*,#9052,.T.);
#12490=ORIENTED_EDGE('',*,*,#9053,.T.);
#12491=ORIENTED_EDGE('',*,*,#9052,.F.);
#12492=ORIENTED_EDGE('',*,*,#9042,.T.);
#12493=ORIENTED_EDGE('',*,*,#9054,.T.);
#12494=ORIENTED_EDGE('',*,*,#9055,.T.);
#12495=ORIENTED_EDGE('',*,*,#8698,.F.);
#12496=ORIENTED_EDGE('',*,*,#9056,.T.);
#12497=ORIENTED_EDGE('',*,*,#9054,.F.);
#12498=ORIENTED_EDGE('',*,*,#9041,.T.);
#12499=ORIENTED_EDGE('',*,*,#9025,.F.);
#12500=ORIENTED_EDGE('',*,*,#9040,.T.);
#12501=ORIENTED_EDGE('',*,*,#9057,.F.);
#12502=ORIENTED_EDGE('',*,*,#9058,.T.);
#12503=ORIENTED_EDGE('',*,*,#9028,.T.);
#12504=ORIENTED_EDGE('',*,*,#9058,.F.);
#12505=ORIENTED_EDGE('',*,*,#9057,.T.);
#12506=ORIENTED_EDGE('',*,*,#9039,.T.);
#12507=ORIENTED_EDGE('',*,*,#8130,.F.);
#12508=ORIENTED_EDGE('',*,*,#9045,.F.);
#12509=ORIENTED_EDGE('',*,*,#8136,.F.);
#12510=ORIENTED_EDGE('',*,*,#9029,.T.);
#12511=ORIENTED_EDGE('',*,*,#8697,.F.);
#12512=ORIENTED_EDGE('',*,*,#9022,.F.);
#12513=ORIENTED_EDGE('',*,*,#9051,.F.);
#12514=ORIENTED_EDGE('',*,*,#9053,.F.);
#12515=ORIENTED_EDGE('',*,*,#9055,.F.);
#12516=ORIENTED_EDGE('',*,*,#9056,.F.);
#12517=ORIENTED_EDGE('',*,*,#9059,.F.);
#12518=ORIENTED_EDGE('',*,*,#8126,.F.);
#12519=ORIENTED_EDGE('',*,*,#8133,.T.);
#12520=ORIENTED_EDGE('',*,*,#8140,.F.);
#12521=ORIENTED_EDGE('',*,*,#8692,.F.);
#12522=ORIENTED_EDGE('',*,*,#9060,.T.);
#12523=ORIENTED_EDGE('',*,*,#9061,.T.);
#12524=ORIENTED_EDGE('',*,*,#9062,.T.);
#12525=ORIENTED_EDGE('',*,*,#9063,.F.);
#12526=ORIENTED_EDGE('',*,*,#9064,.T.);
#12527=ORIENTED_EDGE('',*,*,#9065,.T.);
#12528=ORIENTED_EDGE('',*,*,#9066,.T.);
#12529=ORIENTED_EDGE('',*,*,#9067,.F.);
#12530=ORIENTED_EDGE('',*,*,#9066,.F.);
#12531=ORIENTED_EDGE('',*,*,#9068,.F.);
#12532=ORIENTED_EDGE('',*,*,#9069,.F.);
#12533=ORIENTED_EDGE('',*,*,#9070,.F.);
#12534=ORIENTED_EDGE('',*,*,#9071,.F.);
#12535=ORIENTED_EDGE('',*,*,#9072,.F.);
#12536=ORIENTED_EDGE('',*,*,#9073,.F.);
#12537=ORIENTED_EDGE('',*,*,#9074,.F.);
#12538=ORIENTED_EDGE('',*,*,#9075,.F.);
#12539=ORIENTED_EDGE('',*,*,#9076,.F.);
#12540=ORIENTED_EDGE('',*,*,#9077,.F.);
#12541=ORIENTED_EDGE('',*,*,#9078,.F.);
#12542=ORIENTED_EDGE('',*,*,#9079,.F.);
#12543=ORIENTED_EDGE('',*,*,#9080,.F.);
#12544=ORIENTED_EDGE('',*,*,#9064,.F.);
#12545=ORIENTED_EDGE('',*,*,#8691,.F.);
#12546=ORIENTED_EDGE('',*,*,#9081,.F.);
#12547=ORIENTED_EDGE('',*,*,#9082,.F.);
#12548=ORIENTED_EDGE('',*,*,#9083,.F.);
#12549=ORIENTED_EDGE('',*,*,#9084,.F.);
#12550=ORIENTED_EDGE('',*,*,#9060,.F.);
#12551=ORIENTED_EDGE('',*,*,#9085,.T.);
#12552=ORIENTED_EDGE('',*,*,#8111,.F.);
#12553=ORIENTED_EDGE('',*,*,#9086,.T.);
#12554=ORIENTED_EDGE('',*,*,#8119,.F.);
#12555=ORIENTED_EDGE('',*,*,#9086,.F.);
#12556=ORIENTED_EDGE('',*,*,#8110,.F.);
#12557=ORIENTED_EDGE('',*,*,#9077,.T.);
#12558=ORIENTED_EDGE('',*,*,#9087,.T.);
#12559=ORIENTED_EDGE('',*,*,#9071,.T.);
#12560=ORIENTED_EDGE('',*,*,#8120,.F.);
#12561=ORIENTED_EDGE('',*,*,#9087,.F.);
#12562=ORIENTED_EDGE('',*,*,#9076,.T.);
#12563=ORIENTED_EDGE('',*,*,#9088,.T.);
#12564=ORIENTED_EDGE('',*,*,#9072,.T.);
#12565=ORIENTED_EDGE('',*,*,#9089,.F.);
#12566=ORIENTED_EDGE('',*,*,#9073,.T.);
#12567=ORIENTED_EDGE('',*,*,#9088,.F.);
#12568=ORIENTED_EDGE('',*,*,#9075,.T.);
#12569=ORIENTED_EDGE('',*,*,#9061,.F.);
#12570=ORIENTED_EDGE('',*,*,#9084,.T.);
#12571=ORIENTED_EDGE('',*,*,#9090,.T.);
#12572=ORIENTED_EDGE('',*,*,#9091,.T.);
#12573=ORIENTED_EDGE('',*,*,#9090,.F.);
#12574=ORIENTED_EDGE('',*,*,#9083,.T.);
#12575=ORIENTED_EDGE('',*,*,#9092,.T.);
#12576=ORIENTED_EDGE('',*,*,#9093,.T.);
#12577=ORIENTED_EDGE('',*,*,#9092,.F.);
#12578=ORIENTED_EDGE('',*,*,#9082,.T.);
#12579=ORIENTED_EDGE('',*,*,#9094,.T.);
#12580=ORIENTED_EDGE('',*,*,#9095,.T.);
#12581=ORIENTED_EDGE('',*,*,#8694,.F.);
#12582=ORIENTED_EDGE('',*,*,#9096,.T.);
#12583=ORIENTED_EDGE('',*,*,#9094,.F.);
#12584=ORIENTED_EDGE('',*,*,#9081,.T.);
#12585=ORIENTED_EDGE('',*,*,#9065,.F.);
#12586=ORIENTED_EDGE('',*,*,#9080,.T.);
#12587=ORIENTED_EDGE('',*,*,#9097,.F.);
#12588=ORIENTED_EDGE('',*,*,#9098,.T.);
#12589=ORIENTED_EDGE('',*,*,#9068,.T.);
#12590=ORIENTED_EDGE('',*,*,#9098,.F.);
#12591=ORIENTED_EDGE('',*,*,#9097,.T.);
#12592=ORIENTED_EDGE('',*,*,#9079,.T.);
#12593=ORIENTED_EDGE('',*,*,#8112,.F.);
#12594=ORIENTED_EDGE('',*,*,#9085,.F.);
#12595=ORIENTED_EDGE('',*,*,#8118,.F.);
#12596=ORIENTED_EDGE('',*,*,#9069,.T.);
#12597=ORIENTED_EDGE('',*,*,#8693,.F.);
#12598=ORIENTED_EDGE('',*,*,#9062,.F.);
#12599=ORIENTED_EDGE('',*,*,#9091,.F.);
#12600=ORIENTED_EDGE('',*,*,#9093,.F.);
#12601=ORIENTED_EDGE('',*,*,#9095,.F.);
#12602=ORIENTED_EDGE('',*,*,#9096,.F.);
#12603=ORIENTED_EDGE('',*,*,#9099,.F.);
#12604=ORIENTED_EDGE('',*,*,#8108,.F.);
#12605=ORIENTED_EDGE('',*,*,#8115,.T.);
#12606=ORIENTED_EDGE('',*,*,#8122,.F.);
#12607=ORIENTED_EDGE('',*,*,#8688,.F.);
#12608=ORIENTED_EDGE('',*,*,#9100,.T.);
#12609=ORIENTED_EDGE('',*,*,#9101,.T.);
#12610=ORIENTED_EDGE('',*,*,#9102,.T.);
#12611=ORIENTED_EDGE('',*,*,#9103,.F.);
#12612=ORIENTED_EDGE('',*,*,#9104,.T.);
#12613=ORIENTED_EDGE('',*,*,#9105,.T.);
#12614=ORIENTED_EDGE('',*,*,#9106,.T.);
#12615=ORIENTED_EDGE('',*,*,#9107,.F.);
#12616=ORIENTED_EDGE('',*,*,#9106,.F.);
#12617=ORIENTED_EDGE('',*,*,#9108,.F.);
#12618=ORIENTED_EDGE('',*,*,#9109,.F.);
#12619=ORIENTED_EDGE('',*,*,#9110,.F.);
#12620=ORIENTED_EDGE('',*,*,#9111,.F.);
#12621=ORIENTED_EDGE('',*,*,#9112,.F.);
#12622=ORIENTED_EDGE('',*,*,#9113,.F.);
#12623=ORIENTED_EDGE('',*,*,#9114,.F.);
#12624=ORIENTED_EDGE('',*,*,#9115,.F.);
#12625=ORIENTED_EDGE('',*,*,#9116,.F.);
#12626=ORIENTED_EDGE('',*,*,#9117,.F.);
#12627=ORIENTED_EDGE('',*,*,#9118,.F.);
#12628=ORIENTED_EDGE('',*,*,#9119,.F.);
#12629=ORIENTED_EDGE('',*,*,#9120,.F.);
#12630=ORIENTED_EDGE('',*,*,#9104,.F.);
#12631=ORIENTED_EDGE('',*,*,#8687,.F.);
#12632=ORIENTED_EDGE('',*,*,#9121,.F.);
#12633=ORIENTED_EDGE('',*,*,#9122,.F.);
#12634=ORIENTED_EDGE('',*,*,#9123,.F.);
#12635=ORIENTED_EDGE('',*,*,#9124,.F.);
#12636=ORIENTED_EDGE('',*,*,#9100,.F.);
#12637=ORIENTED_EDGE('',*,*,#9125,.T.);
#12638=ORIENTED_EDGE('',*,*,#8093,.F.);
#12639=ORIENTED_EDGE('',*,*,#9126,.T.);
#12640=ORIENTED_EDGE('',*,*,#8101,.F.);
#12641=ORIENTED_EDGE('',*,*,#9126,.F.);
#12642=ORIENTED_EDGE('',*,*,#8092,.F.);
#12643=ORIENTED_EDGE('',*,*,#9117,.T.);
#12644=ORIENTED_EDGE('',*,*,#9127,.T.);
#12645=ORIENTED_EDGE('',*,*,#9111,.T.);
#12646=ORIENTED_EDGE('',*,*,#8102,.F.);
#12647=ORIENTED_EDGE('',*,*,#9127,.F.);
#12648=ORIENTED_EDGE('',*,*,#9116,.T.);
#12649=ORIENTED_EDGE('',*,*,#9128,.T.);
#12650=ORIENTED_EDGE('',*,*,#9112,.T.);
#12651=ORIENTED_EDGE('',*,*,#9129,.F.);
#12652=ORIENTED_EDGE('',*,*,#9113,.T.);
#12653=ORIENTED_EDGE('',*,*,#9128,.F.);
#12654=ORIENTED_EDGE('',*,*,#9115,.T.);
#12655=ORIENTED_EDGE('',*,*,#9101,.F.);
#12656=ORIENTED_EDGE('',*,*,#9124,.T.);
#12657=ORIENTED_EDGE('',*,*,#9130,.T.);
#12658=ORIENTED_EDGE('',*,*,#9131,.T.);
#12659=ORIENTED_EDGE('',*,*,#9130,.F.);
#12660=ORIENTED_EDGE('',*,*,#9123,.T.);
#12661=ORIENTED_EDGE('',*,*,#9132,.T.);
#12662=ORIENTED_EDGE('',*,*,#9133,.T.);
#12663=ORIENTED_EDGE('',*,*,#9132,.F.);
#12664=ORIENTED_EDGE('',*,*,#9122,.T.);
#12665=ORIENTED_EDGE('',*,*,#9134,.T.);
#12666=ORIENTED_EDGE('',*,*,#9135,.T.);
#12667=ORIENTED_EDGE('',*,*,#8690,.F.);
#12668=ORIENTED_EDGE('',*,*,#9136,.T.);
#12669=ORIENTED_EDGE('',*,*,#9134,.F.);
#12670=ORIENTED_EDGE('',*,*,#9121,.T.);
#12671=ORIENTED_EDGE('',*,*,#9105,.F.);
#12672=ORIENTED_EDGE('',*,*,#9120,.T.);
#12673=ORIENTED_EDGE('',*,*,#9137,.F.);
#12674=ORIENTED_EDGE('',*,*,#9138,.T.);
#12675=ORIENTED_EDGE('',*,*,#9108,.T.);
#12676=ORIENTED_EDGE('',*,*,#9138,.F.);
#12677=ORIENTED_EDGE('',*,*,#9137,.T.);
#12678=ORIENTED_EDGE('',*,*,#9119,.T.);
#12679=ORIENTED_EDGE('',*,*,#8094,.F.);
#12680=ORIENTED_EDGE('',*,*,#9125,.F.);
#12681=ORIENTED_EDGE('',*,*,#8100,.F.);
#12682=ORIENTED_EDGE('',*,*,#9109,.T.);
#12683=ORIENTED_EDGE('',*,*,#8689,.F.);
#12684=ORIENTED_EDGE('',*,*,#9102,.F.);
#12685=ORIENTED_EDGE('',*,*,#9131,.F.);
#12686=ORIENTED_EDGE('',*,*,#9133,.F.);
#12687=ORIENTED_EDGE('',*,*,#9135,.F.);
#12688=ORIENTED_EDGE('',*,*,#9136,.F.);
#12689=ORIENTED_EDGE('',*,*,#9139,.F.);
#12690=ORIENTED_EDGE('',*,*,#8090,.F.);
#12691=ORIENTED_EDGE('',*,*,#8097,.T.);
#12692=ORIENTED_EDGE('',*,*,#8104,.F.);
#12693=ORIENTED_EDGE('',*,*,#9140,.F.);
#12694=ORIENTED_EDGE('',*,*,#9141,.F.);
#12695=ORIENTED_EDGE('',*,*,#9142,.T.);
#12696=ORIENTED_EDGE('',*,*,#9143,.F.);
#12697=ORIENTED_EDGE('',*,*,#8684,.F.);
#12698=ORIENTED_EDGE('',*,*,#9144,.F.);
#12699=ORIENTED_EDGE('',*,*,#9145,.T.);
#12700=ORIENTED_EDGE('',*,*,#9146,.F.);
#12701=ORIENTED_EDGE('',*,*,#8683,.F.);
#12702=ORIENTED_EDGE('',*,*,#9147,.T.);
#12703=ORIENTED_EDGE('',*,*,#9148,.T.);
#12704=ORIENTED_EDGE('',*,*,#9149,.T.);
#12705=ORIENTED_EDGE('',*,*,#9150,.T.);
#12706=ORIENTED_EDGE('',*,*,#9144,.T.);
#12707=ORIENTED_EDGE('',*,*,#9151,.F.);
#12708=ORIENTED_EDGE('',*,*,#9152,.T.);
#12709=ORIENTED_EDGE('',*,*,#9153,.T.);
#12710=ORIENTED_EDGE('',*,*,#9154,.T.);
#12711=ORIENTED_EDGE('',*,*,#9155,.F.);
#12712=ORIENTED_EDGE('',*,*,#9156,.T.);
#12713=ORIENTED_EDGE('',*,*,#9157,.T.);
#12714=ORIENTED_EDGE('',*,*,#9141,.T.);
#12715=ORIENTED_EDGE('',*,*,#8685,.F.);
#12716=ORIENTED_EDGE('',*,*,#9146,.T.);
#12717=ORIENTED_EDGE('',*,*,#9158,.T.);
#12718=ORIENTED_EDGE('',*,*,#9159,.T.);
#12719=ORIENTED_EDGE('',*,*,#9160,.T.);
#12720=ORIENTED_EDGE('',*,*,#9161,.T.);
#12721=ORIENTED_EDGE('',*,*,#9162,.F.);
#12722=ORIENTED_EDGE('',*,*,#9163,.F.);
#12723=ORIENTED_EDGE('',*,*,#9164,.F.);
#12724=ORIENTED_EDGE('',*,*,#9165,.F.);
#12725=ORIENTED_EDGE('',*,*,#9164,.T.);
#12726=ORIENTED_EDGE('',*,*,#9166,.F.);
#12727=ORIENTED_EDGE('',*,*,#9167,.F.);
#12728=ORIENTED_EDGE('',*,*,#9168,.F.);
#12729=ORIENTED_EDGE('',*,*,#9156,.F.);
#12730=ORIENTED_EDGE('',*,*,#9169,.F.);
#12731=ORIENTED_EDGE('',*,*,#9168,.T.);
#12732=ORIENTED_EDGE('',*,*,#9170,.F.);
#12733=ORIENTED_EDGE('',*,*,#9142,.F.);
#12734=ORIENTED_EDGE('',*,*,#9157,.F.);
#12735=ORIENTED_EDGE('',*,*,#8686,.F.);
#12736=ORIENTED_EDGE('',*,*,#9161,.F.);
#12737=ORIENTED_EDGE('',*,*,#9171,.F.);
#12738=ORIENTED_EDGE('',*,*,#9147,.F.);
#12739=ORIENTED_EDGE('',*,*,#9171,.T.);
#12740=ORIENTED_EDGE('',*,*,#9160,.F.);
#12741=ORIENTED_EDGE('',*,*,#9172,.F.);
#12742=ORIENTED_EDGE('',*,*,#9148,.F.);
#12743=ORIENTED_EDGE('',*,*,#9172,.T.);
#12744=ORIENTED_EDGE('',*,*,#9159,.F.);
#12745=ORIENTED_EDGE('',*,*,#9173,.F.);
#12746=ORIENTED_EDGE('',*,*,#9149,.F.);
#12747=ORIENTED_EDGE('',*,*,#9173,.T.);
#12748=ORIENTED_EDGE('',*,*,#9158,.F.);
#12749=ORIENTED_EDGE('',*,*,#9145,.F.);
#12750=ORIENTED_EDGE('',*,*,#9150,.F.);
#12751=ORIENTED_EDGE('',*,*,#9174,.F.);
#12752=ORIENTED_EDGE('',*,*,#9175,.F.);
#12753=ORIENTED_EDGE('',*,*,#9176,.F.);
#12754=ORIENTED_EDGE('',*,*,#9152,.F.);
#12755=ORIENTED_EDGE('',*,*,#9176,.T.);
#12756=ORIENTED_EDGE('',*,*,#9177,.F.);
#12757=ORIENTED_EDGE('',*,*,#9178,.F.);
#12758=ORIENTED_EDGE('',*,*,#9179,.T.);
#12759=ORIENTED_EDGE('',*,*,#9153,.F.);
#12760=ORIENTED_EDGE('',*,*,#9178,.T.);
#12761=ORIENTED_EDGE('',*,*,#9180,.F.);
#12762=ORIENTED_EDGE('',*,*,#9181,.F.);
#12763=ORIENTED_EDGE('',*,*,#9162,.T.);
#12764=ORIENTED_EDGE('',*,*,#9182,.F.);
#12765=ORIENTED_EDGE('',*,*,#9154,.F.);
#12766=ORIENTED_EDGE('',*,*,#9179,.F.);
#12767=ORIENTED_EDGE('',*,*,#9183,.F.);
#12768=ORIENTED_EDGE('',*,*,#9143,.T.);
#12769=ORIENTED_EDGE('',*,*,#9170,.T.);
#12770=ORIENTED_EDGE('',*,*,#9167,.T.);
#12771=ORIENTED_EDGE('',*,*,#9184,.F.);
#12772=ORIENTED_EDGE('',*,*,#9180,.T.);
#12773=ORIENTED_EDGE('',*,*,#9177,.T.);
#12774=ORIENTED_EDGE('',*,*,#9175,.T.);
#12775=ORIENTED_EDGE('',*,*,#9185,.F.);
#12776=ORIENTED_EDGE('',*,*,#9186,.T.);
#12777=ORIENTED_EDGE('',*,*,#9187,.F.);
#12778=ORIENTED_EDGE('',*,*,#9188,.T.);
#12779=ORIENTED_EDGE('',*,*,#7988,.F.);
#12780=ORIENTED_EDGE('',*,*,#9189,.F.);
#12781=ORIENTED_EDGE('',*,*,#9190,.F.);
#12782=ORIENTED_EDGE('',*,*,#9182,.T.);
#12783=ORIENTED_EDGE('',*,*,#9165,.T.);
#12784=ORIENTED_EDGE('',*,*,#9169,.T.);
#12785=ORIENTED_EDGE('',*,*,#9191,.F.);
#12786=ORIENTED_EDGE('',*,*,#9188,.F.);
#12787=ORIENTED_EDGE('',*,*,#9192,.F.);
#12788=ORIENTED_EDGE('',*,*,#7995,.F.);
#12789=ORIENTED_EDGE('',*,*,#9192,.T.);
#12790=ORIENTED_EDGE('',*,*,#9187,.T.);
#12791=ORIENTED_EDGE('',*,*,#9193,.T.);
#12792=ORIENTED_EDGE('',*,*,#7998,.F.);
#12793=ORIENTED_EDGE('',*,*,#9193,.F.);
#12794=ORIENTED_EDGE('',*,*,#9186,.F.);
#12795=ORIENTED_EDGE('',*,*,#9194,.F.);
#12796=ORIENTED_EDGE('',*,*,#9166,.T.);
#12797=ORIENTED_EDGE('',*,*,#9163,.T.);
#12798=ORIENTED_EDGE('',*,*,#9181,.T.);
#12799=ORIENTED_EDGE('',*,*,#9195,.F.);
#12800=ORIENTED_EDGE('',*,*,#9196,.F.);
#12801=ORIENTED_EDGE('',*,*,#9195,.T.);
#12802=ORIENTED_EDGE('',*,*,#9184,.T.);
#12803=ORIENTED_EDGE('',*,*,#9194,.T.);
#12804=ORIENTED_EDGE('',*,*,#9185,.T.);
#12805=ORIENTED_EDGE('',*,*,#9191,.T.);
#12806=ORIENTED_EDGE('',*,*,#9155,.T.);
#12807=ORIENTED_EDGE('',*,*,#9190,.T.);
#12808=ORIENTED_EDGE('',*,*,#9197,.F.);
#12809=ORIENTED_EDGE('',*,*,#9198,.T.);
#12810=ORIENTED_EDGE('',*,*,#9199,.T.);
#12811=ORIENTED_EDGE('',*,*,#9200,.T.);
#12812=ORIENTED_EDGE('',*,*,#9201,.T.);
#12813=ORIENTED_EDGE('',*,*,#9202,.T.);
#12814=ORIENTED_EDGE('',*,*,#9203,.T.);
#12815=ORIENTED_EDGE('',*,*,#9204,.T.);
#12816=ORIENTED_EDGE('',*,*,#9205,.F.);
#12817=ORIENTED_EDGE('',*,*,#9206,.T.);
#12818=ORIENTED_EDGE('',*,*,#9207,.T.);
#12819=ORIENTED_EDGE('',*,*,#9208,.T.);
#12820=ORIENTED_EDGE('',*,*,#9209,.T.);
#12821=ORIENTED_EDGE('',*,*,#9210,.T.);
#12822=ORIENTED_EDGE('',*,*,#9211,.T.);
#12823=ORIENTED_EDGE('',*,*,#9212,.T.);
#12824=ORIENTED_EDGE('',*,*,#9213,.F.);
#12825=ORIENTED_EDGE('',*,*,#9214,.T.);
#12826=ORIENTED_EDGE('',*,*,#9215,.T.);
#12827=ORIENTED_EDGE('',*,*,#9216,.T.);
#12828=ORIENTED_EDGE('',*,*,#9217,.T.);
#12829=ORIENTED_EDGE('',*,*,#9218,.T.);
#12830=ORIENTED_EDGE('',*,*,#9219,.T.);
#12831=ORIENTED_EDGE('',*,*,#9220,.T.);
#12832=ORIENTED_EDGE('',*,*,#9221,.F.);
#12833=ORIENTED_EDGE('',*,*,#9222,.T.);
#12834=ORIENTED_EDGE('',*,*,#9223,.T.);
#12835=ORIENTED_EDGE('',*,*,#9224,.T.);
#12836=ORIENTED_EDGE('',*,*,#9225,.T.);
#12837=ORIENTED_EDGE('',*,*,#9226,.T.);
#12838=ORIENTED_EDGE('',*,*,#9227,.T.);
#12839=ORIENTED_EDGE('',*,*,#9228,.T.);
#12840=ORIENTED_EDGE('',*,*,#9229,.F.);
#12841=ORIENTED_EDGE('',*,*,#9230,.T.);
#12842=ORIENTED_EDGE('',*,*,#9231,.T.);
#12843=ORIENTED_EDGE('',*,*,#9232,.T.);
#12844=ORIENTED_EDGE('',*,*,#9233,.T.);
#12845=ORIENTED_EDGE('',*,*,#9234,.T.);
#12846=ORIENTED_EDGE('',*,*,#9235,.T.);
#12847=ORIENTED_EDGE('',*,*,#9236,.T.);
#12848=ORIENTED_EDGE('',*,*,#9237,.F.);
#12849=ORIENTED_EDGE('',*,*,#9238,.T.);
#12850=ORIENTED_EDGE('',*,*,#9239,.T.);
#12851=ORIENTED_EDGE('',*,*,#9240,.T.);
#12852=ORIENTED_EDGE('',*,*,#9241,.T.);
#12853=ORIENTED_EDGE('',*,*,#9242,.T.);
#12854=ORIENTED_EDGE('',*,*,#9243,.T.);
#12855=ORIENTED_EDGE('',*,*,#9244,.T.);
#12856=ORIENTED_EDGE('',*,*,#9245,.F.);
#12857=ORIENTED_EDGE('',*,*,#9246,.T.);
#12858=ORIENTED_EDGE('',*,*,#9247,.T.);
#12859=ORIENTED_EDGE('',*,*,#9248,.T.);
#12860=ORIENTED_EDGE('',*,*,#9249,.T.);
#12861=ORIENTED_EDGE('',*,*,#9250,.T.);
#12862=ORIENTED_EDGE('',*,*,#9251,.T.);
#12863=ORIENTED_EDGE('',*,*,#9252,.T.);
#12864=ORIENTED_EDGE('',*,*,#9253,.F.);
#12865=ORIENTED_EDGE('',*,*,#9254,.T.);
#12866=ORIENTED_EDGE('',*,*,#9255,.T.);
#12867=ORIENTED_EDGE('',*,*,#9256,.T.);
#12868=ORIENTED_EDGE('',*,*,#9257,.T.);
#12869=ORIENTED_EDGE('',*,*,#9258,.T.);
#12870=ORIENTED_EDGE('',*,*,#9259,.T.);
#12871=ORIENTED_EDGE('',*,*,#9260,.T.);
#12872=ORIENTED_EDGE('',*,*,#9261,.F.);
#12873=ORIENTED_EDGE('',*,*,#9262,.T.);
#12874=ORIENTED_EDGE('',*,*,#9263,.T.);
#12875=ORIENTED_EDGE('',*,*,#9264,.T.);
#12876=ORIENTED_EDGE('',*,*,#9265,.T.);
#12877=ORIENTED_EDGE('',*,*,#9266,.T.);
#12878=ORIENTED_EDGE('',*,*,#9267,.T.);
#12879=ORIENTED_EDGE('',*,*,#9268,.T.);
#12880=ORIENTED_EDGE('',*,*,#9269,.F.);
#12881=ORIENTED_EDGE('',*,*,#9270,.T.);
#12882=ORIENTED_EDGE('',*,*,#9271,.T.);
#12883=ORIENTED_EDGE('',*,*,#9272,.F.);
#12884=ORIENTED_EDGE('',*,*,#9273,.F.);
#12885=ORIENTED_EDGE('',*,*,#9151,.T.);
#12886=ORIENTED_EDGE('',*,*,#9140,.T.);
#12887=ORIENTED_EDGE('',*,*,#9183,.T.);
#12888=ORIENTED_EDGE('',*,*,#9174,.T.);
#12889=ORIENTED_EDGE('',*,*,#9274,.T.);
#12890=ORIENTED_EDGE('',*,*,#9275,.T.);
#12891=ORIENTED_EDGE('',*,*,#9276,.T.);
#12892=ORIENTED_EDGE('',*,*,#9277,.T.);
#12893=ORIENTED_EDGE('',*,*,#9278,.T.);
#12894=ORIENTED_EDGE('',*,*,#9279,.T.);
#12895=ORIENTED_EDGE('',*,*,#9280,.T.);
#12896=ORIENTED_EDGE('',*,*,#9281,.T.);
#12897=ORIENTED_EDGE('',*,*,#9282,.T.);
#12898=ORIENTED_EDGE('',*,*,#9283,.T.);
#12899=ORIENTED_EDGE('',*,*,#9284,.T.);
#12900=ORIENTED_EDGE('',*,*,#9285,.T.);
#12901=ORIENTED_EDGE('',*,*,#9286,.T.);
#12902=ORIENTED_EDGE('',*,*,#9287,.T.);
#12903=ORIENTED_EDGE('',*,*,#9288,.T.);
#12904=ORIENTED_EDGE('',*,*,#9289,.T.);
#12905=ORIENTED_EDGE('',*,*,#9290,.T.);
#12906=ORIENTED_EDGE('',*,*,#9291,.T.);
#12907=ORIENTED_EDGE('',*,*,#9292,.T.);
#12908=ORIENTED_EDGE('',*,*,#9293,.T.);
#12909=ORIENTED_EDGE('',*,*,#9294,.T.);
#12910=ORIENTED_EDGE('',*,*,#9295,.T.);
#12911=ORIENTED_EDGE('',*,*,#9296,.T.);
#12912=ORIENTED_EDGE('',*,*,#9297,.T.);
#12913=ORIENTED_EDGE('',*,*,#9298,.T.);
#12914=ORIENTED_EDGE('',*,*,#9299,.T.);
#12915=ORIENTED_EDGE('',*,*,#9300,.T.);
#12916=ORIENTED_EDGE('',*,*,#9301,.T.);
#12917=ORIENTED_EDGE('',*,*,#9302,.T.);
#12918=ORIENTED_EDGE('',*,*,#9303,.T.);
#12919=ORIENTED_EDGE('',*,*,#9304,.T.);
#12920=ORIENTED_EDGE('',*,*,#9305,.T.);
#12921=ORIENTED_EDGE('',*,*,#9306,.T.);
#12922=ORIENTED_EDGE('',*,*,#9307,.T.);
#12923=ORIENTED_EDGE('',*,*,#9308,.T.);
#12924=ORIENTED_EDGE('',*,*,#9309,.T.);
#12925=ORIENTED_EDGE('',*,*,#7977,.F.);
#12926=ORIENTED_EDGE('',*,*,#9310,.T.);
#12927=ORIENTED_EDGE('',*,*,#9197,.T.);
#12928=ORIENTED_EDGE('',*,*,#9189,.T.);
#12929=ORIENTED_EDGE('',*,*,#7991,.F.);
#12930=ORIENTED_EDGE('',*,*,#7980,.F.);
#12931=ORIENTED_EDGE('',*,*,#9307,.F.);
#12932=ORIENTED_EDGE('',*,*,#9311,.F.);
#12933=ORIENTED_EDGE('',*,*,#9312,.T.);
#12934=ORIENTED_EDGE('',*,*,#9313,.F.);
#12935=ORIENTED_EDGE('',*,*,#8680,.F.);
#12936=ORIENTED_EDGE('',*,*,#9314,.F.);
#12937=ORIENTED_EDGE('',*,*,#9315,.T.);
#12938=ORIENTED_EDGE('',*,*,#9316,.F.);
#12939=ORIENTED_EDGE('',*,*,#8679,.F.);
#12940=ORIENTED_EDGE('',*,*,#9317,.T.);
#12941=ORIENTED_EDGE('',*,*,#9318,.T.);
#12942=ORIENTED_EDGE('',*,*,#9319,.T.);
#12943=ORIENTED_EDGE('',*,*,#9320,.T.);
#12944=ORIENTED_EDGE('',*,*,#9314,.T.);
#12945=ORIENTED_EDGE('',*,*,#9306,.F.);
#12946=ORIENTED_EDGE('',*,*,#9321,.T.);
#12947=ORIENTED_EDGE('',*,*,#9322,.T.);
#12948=ORIENTED_EDGE('',*,*,#9323,.T.);
#12949=ORIENTED_EDGE('',*,*,#9203,.F.);
#12950=ORIENTED_EDGE('',*,*,#9324,.T.);
#12951=ORIENTED_EDGE('',*,*,#9325,.T.);
#12952=ORIENTED_EDGE('',*,*,#9311,.T.);
#12953=ORIENTED_EDGE('',*,*,#8681,.F.);
#12954=ORIENTED_EDGE('',*,*,#9316,.T.);
#12955=ORIENTED_EDGE('',*,*,#9326,.T.);
#12956=ORIENTED_EDGE('',*,*,#9327,.T.);
#12957=ORIENTED_EDGE('',*,*,#9328,.T.);
#12958=ORIENTED_EDGE('',*,*,#9329,.T.);
#12959=ORIENTED_EDGE('',*,*,#9330,.F.);
#12960=ORIENTED_EDGE('',*,*,#9331,.F.);
#12961=ORIENTED_EDGE('',*,*,#9332,.F.);
#12962=ORIENTED_EDGE('',*,*,#9333,.F.);
#12963=ORIENTED_EDGE('',*,*,#9332,.T.);
#12964=ORIENTED_EDGE('',*,*,#9334,.F.);
#12965=ORIENTED_EDGE('',*,*,#9335,.F.);
#12966=ORIENTED_EDGE('',*,*,#9336,.F.);
#12967=ORIENTED_EDGE('',*,*,#9324,.F.);
#12968=ORIENTED_EDGE('',*,*,#9337,.F.);
#12969=ORIENTED_EDGE('',*,*,#9336,.T.);
#12970=ORIENTED_EDGE('',*,*,#9338,.F.);
#12971=ORIENTED_EDGE('',*,*,#9312,.F.);
#12972=ORIENTED_EDGE('',*,*,#9325,.F.);
#12973=ORIENTED_EDGE('',*,*,#8682,.F.);
#12974=ORIENTED_EDGE('',*,*,#9329,.F.);
#12975=ORIENTED_EDGE('',*,*,#9339,.F.);
#12976=ORIENTED_EDGE('',*,*,#9317,.F.);
#12977=ORIENTED_EDGE('',*,*,#9339,.T.);
#12978=ORIENTED_EDGE('',*,*,#9328,.F.);
#12979=ORIENTED_EDGE('',*,*,#9340,.F.);
#12980=ORIENTED_EDGE('',*,*,#9318,.F.);
#12981=ORIENTED_EDGE('',*,*,#9340,.T.);
#12982=ORIENTED_EDGE('',*,*,#9327,.F.);
#12983=ORIENTED_EDGE('',*,*,#9341,.F.);
#12984=ORIENTED_EDGE('',*,*,#9319,.F.);
#12985=ORIENTED_EDGE('',*,*,#9341,.T.);
#12986=ORIENTED_EDGE('',*,*,#9326,.F.);
#12987=ORIENTED_EDGE('',*,*,#9315,.F.);
#12988=ORIENTED_EDGE('',*,*,#9320,.F.);
#12989=ORIENTED_EDGE('',*,*,#9309,.F.);
#12990=ORIENTED_EDGE('',*,*,#9342,.F.);
#12991=ORIENTED_EDGE('',*,*,#9343,.F.);
#12992=ORIENTED_EDGE('',*,*,#9321,.F.);
#12993=ORIENTED_EDGE('',*,*,#9343,.T.);
#12994=ORIENTED_EDGE('',*,*,#9344,.F.);
#12995=ORIENTED_EDGE('',*,*,#9345,.F.);
#12996=ORIENTED_EDGE('',*,*,#9346,.T.);
#12997=ORIENTED_EDGE('',*,*,#9322,.F.);
#12998=ORIENTED_EDGE('',*,*,#9345,.T.);
#12999=ORIENTED_EDGE('',*,*,#9347,.F.);
#13000=ORIENTED_EDGE('',*,*,#9348,.F.);
#13001=ORIENTED_EDGE('',*,*,#9330,.T.);
#13002=ORIENTED_EDGE('',*,*,#9349,.F.);
#13003=ORIENTED_EDGE('',*,*,#9323,.F.);
#13004=ORIENTED_EDGE('',*,*,#9346,.F.);
#13005=ORIENTED_EDGE('',*,*,#9308,.F.);
#13006=ORIENTED_EDGE('',*,*,#9313,.T.);
#13007=ORIENTED_EDGE('',*,*,#9338,.T.);
#13008=ORIENTED_EDGE('',*,*,#9335,.T.);
#13009=ORIENTED_EDGE('',*,*,#9199,.F.);
#13010=ORIENTED_EDGE('',*,*,#9347,.T.);
#13011=ORIENTED_EDGE('',*,*,#9344,.T.);
#13012=ORIENTED_EDGE('',*,*,#9342,.T.);
#13013=ORIENTED_EDGE('',*,*,#9201,.F.);
#13014=ORIENTED_EDGE('',*,*,#9350,.T.);
#13015=ORIENTED_EDGE('',*,*,#9351,.F.);
#13016=ORIENTED_EDGE('',*,*,#9352,.T.);
#13017=ORIENTED_EDGE('',*,*,#7966,.F.);
#13018=ORIENTED_EDGE('',*,*,#9353,.F.);
#13019=ORIENTED_EDGE('',*,*,#9204,.F.);
#13020=ORIENTED_EDGE('',*,*,#9349,.T.);
#13021=ORIENTED_EDGE('',*,*,#9333,.T.);
#13022=ORIENTED_EDGE('',*,*,#9337,.T.);
#13023=ORIENTED_EDGE('',*,*,#9202,.F.);
#13024=ORIENTED_EDGE('',*,*,#9352,.F.);
#13025=ORIENTED_EDGE('',*,*,#9354,.F.);
#13026=ORIENTED_EDGE('',*,*,#7973,.F.);
#13027=ORIENTED_EDGE('',*,*,#9354,.T.);
#13028=ORIENTED_EDGE('',*,*,#9351,.T.);
#13029=ORIENTED_EDGE('',*,*,#9355,.T.);
#13030=ORIENTED_EDGE('',*,*,#7976,.F.);
#13031=ORIENTED_EDGE('',*,*,#9355,.F.);
#13032=ORIENTED_EDGE('',*,*,#9350,.F.);
#13033=ORIENTED_EDGE('',*,*,#9200,.F.);
#13034=ORIENTED_EDGE('',*,*,#9334,.T.);
#13035=ORIENTED_EDGE('',*,*,#9331,.T.);
#13036=ORIENTED_EDGE('',*,*,#9348,.T.);
#13037=ORIENTED_EDGE('',*,*,#9198,.F.);
#13038=ORIENTED_EDGE('',*,*,#9310,.F.);
#13039=ORIENTED_EDGE('',*,*,#7955,.F.);
#13040=ORIENTED_EDGE('',*,*,#9356,.T.);
#13041=ORIENTED_EDGE('',*,*,#9205,.T.);
#13042=ORIENTED_EDGE('',*,*,#9353,.T.);
#13043=ORIENTED_EDGE('',*,*,#7969,.F.);
#13044=ORIENTED_EDGE('',*,*,#7958,.F.);
#13045=ORIENTED_EDGE('',*,*,#9275,.F.);
#13046=ORIENTED_EDGE('',*,*,#9357,.F.);
#13047=ORIENTED_EDGE('',*,*,#9358,.T.);
#13048=ORIENTED_EDGE('',*,*,#9359,.F.);
#13049=ORIENTED_EDGE('',*,*,#8676,.F.);
#13050=ORIENTED_EDGE('',*,*,#9360,.F.);
#13051=ORIENTED_EDGE('',*,*,#9361,.T.);
#13052=ORIENTED_EDGE('',*,*,#9362,.F.);
#13053=ORIENTED_EDGE('',*,*,#8675,.F.);
#13054=ORIENTED_EDGE('',*,*,#9363,.T.);
#13055=ORIENTED_EDGE('',*,*,#9364,.T.);
#13056=ORIENTED_EDGE('',*,*,#9365,.T.);
#13057=ORIENTED_EDGE('',*,*,#9366,.T.);
#13058=ORIENTED_EDGE('',*,*,#9360,.T.);
#13059=ORIENTED_EDGE('',*,*,#9274,.F.);
#13060=ORIENTED_EDGE('',*,*,#9367,.T.);
#13061=ORIENTED_EDGE('',*,*,#9368,.T.);
#13062=ORIENTED_EDGE('',*,*,#9369,.T.);
#13063=ORIENTED_EDGE('',*,*,#9211,.F.);
#13064=ORIENTED_EDGE('',*,*,#9370,.T.);
#13065=ORIENTED_EDGE('',*,*,#9371,.T.);
#13066=ORIENTED_EDGE('',*,*,#9357,.T.);
#13067=ORIENTED_EDGE('',*,*,#8677,.F.);
#13068=ORIENTED_EDGE('',*,*,#9362,.T.);
#13069=ORIENTED_EDGE('',*,*,#9372,.T.);
#13070=ORIENTED_EDGE('',*,*,#9373,.T.);
#13071=ORIENTED_EDGE('',*,*,#9374,.T.);
#13072=ORIENTED_EDGE('',*,*,#9375,.T.);
#13073=ORIENTED_EDGE('',*,*,#9376,.F.);
#13074=ORIENTED_EDGE('',*,*,#9377,.F.);
#13075=ORIENTED_EDGE('',*,*,#9378,.F.);
#13076=ORIENTED_EDGE('',*,*,#9379,.F.);
#13077=ORIENTED_EDGE('',*,*,#9378,.T.);
#13078=ORIENTED_EDGE('',*,*,#9380,.F.);
#13079=ORIENTED_EDGE('',*,*,#9381,.F.);
#13080=ORIENTED_EDGE('',*,*,#9382,.F.);
#13081=ORIENTED_EDGE('',*,*,#9370,.F.);
#13082=ORIENTED_EDGE('',*,*,#9383,.F.);
#13083=ORIENTED_EDGE('',*,*,#9382,.T.);
#13084=ORIENTED_EDGE('',*,*,#9384,.F.);
#13085=ORIENTED_EDGE('',*,*,#9358,.F.);
#13086=ORIENTED_EDGE('',*,*,#9371,.F.);
#13087=ORIENTED_EDGE('',*,*,#8678,.F.);
#13088=ORIENTED_EDGE('',*,*,#9375,.F.);
#13089=ORIENTED_EDGE('',*,*,#9385,.F.);
#13090=ORIENTED_EDGE('',*,*,#9363,.F.);
#13091=ORIENTED_EDGE('',*,*,#9385,.T.);
#13092=ORIENTED_EDGE('',*,*,#9374,.F.);
#13093=ORIENTED_EDGE('',*,*,#9386,.F.);
#13094=ORIENTED_EDGE('',*,*,#9364,.F.);
#13095=ORIENTED_EDGE('',*,*,#9386,.T.);
#13096=ORIENTED_EDGE('',*,*,#9373,.F.);
#13097=ORIENTED_EDGE('',*,*,#9387,.F.);
#13098=ORIENTED_EDGE('',*,*,#9365,.F.);
#13099=ORIENTED_EDGE('',*,*,#9387,.T.);
#13100=ORIENTED_EDGE('',*,*,#9372,.F.);
#13101=ORIENTED_EDGE('',*,*,#9361,.F.);
#13102=ORIENTED_EDGE('',*,*,#9366,.F.);
#13103=ORIENTED_EDGE('',*,*,#9277,.F.);
#13104=ORIENTED_EDGE('',*,*,#9388,.F.);
#13105=ORIENTED_EDGE('',*,*,#9389,.F.);
#13106=ORIENTED_EDGE('',*,*,#9367,.F.);
#13107=ORIENTED_EDGE('',*,*,#9389,.T.);
#13108=ORIENTED_EDGE('',*,*,#9390,.F.);
#13109=ORIENTED_EDGE('',*,*,#9391,.F.);
#13110=ORIENTED_EDGE('',*,*,#9392,.T.);
#13111=ORIENTED_EDGE('',*,*,#9368,.F.);
#13112=ORIENTED_EDGE('',*,*,#9391,.T.);
#13113=ORIENTED_EDGE('',*,*,#9393,.F.);
#13114=ORIENTED_EDGE('',*,*,#9394,.F.);
#13115=ORIENTED_EDGE('',*,*,#9376,.T.);
#13116=ORIENTED_EDGE('',*,*,#9395,.F.);
#13117=ORIENTED_EDGE('',*,*,#9369,.F.);
#13118=ORIENTED_EDGE('',*,*,#9392,.F.);
#13119=ORIENTED_EDGE('',*,*,#9276,.F.);
#13120=ORIENTED_EDGE('',*,*,#9359,.T.);
#13121=ORIENTED_EDGE('',*,*,#9384,.T.);
#13122=ORIENTED_EDGE('',*,*,#9381,.T.);
#13123=ORIENTED_EDGE('',*,*,#9207,.F.);
#13124=ORIENTED_EDGE('',*,*,#9393,.T.);
#13125=ORIENTED_EDGE('',*,*,#9390,.T.);
#13126=ORIENTED_EDGE('',*,*,#9388,.T.);
#13127=ORIENTED_EDGE('',*,*,#9209,.F.);
#13128=ORIENTED_EDGE('',*,*,#9396,.T.);
#13129=ORIENTED_EDGE('',*,*,#9397,.F.);
#13130=ORIENTED_EDGE('',*,*,#9398,.T.);
#13131=ORIENTED_EDGE('',*,*,#7944,.F.);
#13132=ORIENTED_EDGE('',*,*,#9399,.F.);
#13133=ORIENTED_EDGE('',*,*,#9212,.F.);
#13134=ORIENTED_EDGE('',*,*,#9395,.T.);
#13135=ORIENTED_EDGE('',*,*,#9379,.T.);
#13136=ORIENTED_EDGE('',*,*,#9383,.T.);
#13137=ORIENTED_EDGE('',*,*,#9210,.F.);
#13138=ORIENTED_EDGE('',*,*,#9398,.F.);
#13139=ORIENTED_EDGE('',*,*,#9400,.F.);
#13140=ORIENTED_EDGE('',*,*,#7951,.F.);
#13141=ORIENTED_EDGE('',*,*,#9400,.T.);
#13142=ORIENTED_EDGE('',*,*,#9397,.T.);
#13143=ORIENTED_EDGE('',*,*,#9401,.T.);
#13144=ORIENTED_EDGE('',*,*,#7954,.F.);
#13145=ORIENTED_EDGE('',*,*,#9401,.F.);
#13146=ORIENTED_EDGE('',*,*,#9396,.F.);
#13147=ORIENTED_EDGE('',*,*,#9208,.F.);
#13148=ORIENTED_EDGE('',*,*,#9380,.T.);
#13149=ORIENTED_EDGE('',*,*,#9377,.T.);
#13150=ORIENTED_EDGE('',*,*,#9394,.T.);
#13151=ORIENTED_EDGE('',*,*,#9206,.F.);
#13152=ORIENTED_EDGE('',*,*,#9356,.F.);
#13153=ORIENTED_EDGE('',*,*,#7933,.F.);
#13154=ORIENTED_EDGE('',*,*,#9402,.T.);
#13155=ORIENTED_EDGE('',*,*,#9213,.T.);
#13156=ORIENTED_EDGE('',*,*,#9399,.T.);
#13157=ORIENTED_EDGE('',*,*,#7947,.F.);
#13158=ORIENTED_EDGE('',*,*,#7936,.F.);
#13159=ORIENTED_EDGE('',*,*,#9303,.F.);
#13160=ORIENTED_EDGE('',*,*,#9403,.F.);
#13161=ORIENTED_EDGE('',*,*,#9404,.T.);
#13162=ORIENTED_EDGE('',*,*,#9405,.F.);
#13163=ORIENTED_EDGE('',*,*,#8672,.F.);
#13164=ORIENTED_EDGE('',*,*,#9406,.F.);
#13165=ORIENTED_EDGE('',*,*,#9407,.T.);
#13166=ORIENTED_EDGE('',*,*,#9408,.F.);
#13167=ORIENTED_EDGE('',*,*,#8671,.F.);
#13168=ORIENTED_EDGE('',*,*,#9409,.T.);
#13169=ORIENTED_EDGE('',*,*,#9410,.T.);
#13170=ORIENTED_EDGE('',*,*,#9411,.T.);
#13171=ORIENTED_EDGE('',*,*,#9412,.T.);
#13172=ORIENTED_EDGE('',*,*,#9406,.T.);
#13173=ORIENTED_EDGE('',*,*,#9302,.F.);
#13174=ORIENTED_EDGE('',*,*,#9413,.T.);
#13175=ORIENTED_EDGE('',*,*,#9414,.T.);
#13176=ORIENTED_EDGE('',*,*,#9415,.T.);
#13177=ORIENTED_EDGE('',*,*,#9219,.F.);
#13178=ORIENTED_EDGE('',*,*,#9416,.T.);
#13179=ORIENTED_EDGE('',*,*,#9417,.T.);
#13180=ORIENTED_EDGE('',*,*,#9403,.T.);
#13181=ORIENTED_EDGE('',*,*,#8673,.F.);
#13182=ORIENTED_EDGE('',*,*,#9408,.T.);
#13183=ORIENTED_EDGE('',*,*,#9418,.T.);
#13184=ORIENTED_EDGE('',*,*,#9419,.T.);
#13185=ORIENTED_EDGE('',*,*,#9420,.T.);
#13186=ORIENTED_EDGE('',*,*,#9421,.T.);
#13187=ORIENTED_EDGE('',*,*,#9422,.F.);
#13188=ORIENTED_EDGE('',*,*,#9423,.F.);
#13189=ORIENTED_EDGE('',*,*,#9424,.F.);
#13190=ORIENTED_EDGE('',*,*,#9425,.F.);
#13191=ORIENTED_EDGE('',*,*,#9424,.T.);
#13192=ORIENTED_EDGE('',*,*,#9426,.F.);
#13193=ORIENTED_EDGE('',*,*,#9427,.F.);
#13194=ORIENTED_EDGE('',*,*,#9428,.F.);
#13195=ORIENTED_EDGE('',*,*,#9416,.F.);
#13196=ORIENTED_EDGE('',*,*,#9429,.F.);
#13197=ORIENTED_EDGE('',*,*,#9428,.T.);
#13198=ORIENTED_EDGE('',*,*,#9430,.F.);
#13199=ORIENTED_EDGE('',*,*,#9404,.F.);
#13200=ORIENTED_EDGE('',*,*,#9417,.F.);
#13201=ORIENTED_EDGE('',*,*,#8674,.F.);
#13202=ORIENTED_EDGE('',*,*,#9421,.F.);
#13203=ORIENTED_EDGE('',*,*,#9431,.F.);
#13204=ORIENTED_EDGE('',*,*,#9409,.F.);
#13205=ORIENTED_EDGE('',*,*,#9431,.T.);
#13206=ORIENTED_EDGE('',*,*,#9420,.F.);
#13207=ORIENTED_EDGE('',*,*,#9432,.F.);
#13208=ORIENTED_EDGE('',*,*,#9410,.F.);
#13209=ORIENTED_EDGE('',*,*,#9432,.T.);
#13210=ORIENTED_EDGE('',*,*,#9419,.F.);
#13211=ORIENTED_EDGE('',*,*,#9433,.F.);
#13212=ORIENTED_EDGE('',*,*,#9411,.F.);
#13213=ORIENTED_EDGE('',*,*,#9433,.T.);
#13214=ORIENTED_EDGE('',*,*,#9418,.F.);
#13215=ORIENTED_EDGE('',*,*,#9407,.F.);
#13216=ORIENTED_EDGE('',*,*,#9412,.F.);
#13217=ORIENTED_EDGE('',*,*,#9305,.F.);
#13218=ORIENTED_EDGE('',*,*,#9434,.F.);
#13219=ORIENTED_EDGE('',*,*,#9435,.F.);
#13220=ORIENTED_EDGE('',*,*,#9413,.F.);
#13221=ORIENTED_EDGE('',*,*,#9435,.T.);
#13222=ORIENTED_EDGE('',*,*,#9436,.F.);
#13223=ORIENTED_EDGE('',*,*,#9437,.F.);
#13224=ORIENTED_EDGE('',*,*,#9438,.T.);
#13225=ORIENTED_EDGE('',*,*,#9414,.F.);
#13226=ORIENTED_EDGE('',*,*,#9437,.T.);
#13227=ORIENTED_EDGE('',*,*,#9439,.F.);
#13228=ORIENTED_EDGE('',*,*,#9440,.F.);
#13229=ORIENTED_EDGE('',*,*,#9422,.T.);
#13230=ORIENTED_EDGE('',*,*,#9441,.F.);
#13231=ORIENTED_EDGE('',*,*,#9415,.F.);
#13232=ORIENTED_EDGE('',*,*,#9438,.F.);
#13233=ORIENTED_EDGE('',*,*,#9304,.F.);
#13234=ORIENTED_EDGE('',*,*,#9405,.T.);
#13235=ORIENTED_EDGE('',*,*,#9430,.T.);
#13236=ORIENTED_EDGE('',*,*,#9427,.T.);
#13237=ORIENTED_EDGE('',*,*,#9215,.F.);
#13238=ORIENTED_EDGE('',*,*,#9439,.T.);
#13239=ORIENTED_EDGE('',*,*,#9436,.T.);
#13240=ORIENTED_EDGE('',*,*,#9434,.T.);
#13241=ORIENTED_EDGE('',*,*,#9217,.F.);
#13242=ORIENTED_EDGE('',*,*,#9442,.T.);
#13243=ORIENTED_EDGE('',*,*,#9443,.F.);
#13244=ORIENTED_EDGE('',*,*,#9444,.T.);
#13245=ORIENTED_EDGE('',*,*,#7922,.F.);
#13246=ORIENTED_EDGE('',*,*,#9445,.F.);
#13247=ORIENTED_EDGE('',*,*,#9220,.F.);
#13248=ORIENTED_EDGE('',*,*,#9441,.T.);
#13249=ORIENTED_EDGE('',*,*,#9425,.T.);
#13250=ORIENTED_EDGE('',*,*,#9429,.T.);
#13251=ORIENTED_EDGE('',*,*,#9218,.F.);
#13252=ORIENTED_EDGE('',*,*,#9444,.F.);
#13253=ORIENTED_EDGE('',*,*,#9446,.F.);
#13254=ORIENTED_EDGE('',*,*,#7929,.F.);
#13255=ORIENTED_EDGE('',*,*,#9446,.T.);
#13256=ORIENTED_EDGE('',*,*,#9443,.T.);
#13257=ORIENTED_EDGE('',*,*,#9447,.T.);
#13258=ORIENTED_EDGE('',*,*,#7932,.F.);
#13259=ORIENTED_EDGE('',*,*,#9447,.F.);
#13260=ORIENTED_EDGE('',*,*,#9442,.F.);
#13261=ORIENTED_EDGE('',*,*,#9216,.F.);
#13262=ORIENTED_EDGE('',*,*,#9426,.T.);
#13263=ORIENTED_EDGE('',*,*,#9423,.T.);
#13264=ORIENTED_EDGE('',*,*,#9440,.T.);
#13265=ORIENTED_EDGE('',*,*,#9214,.F.);
#13266=ORIENTED_EDGE('',*,*,#9402,.F.);
#13267=ORIENTED_EDGE('',*,*,#7911,.F.);
#13268=ORIENTED_EDGE('',*,*,#9448,.T.);
#13269=ORIENTED_EDGE('',*,*,#9221,.T.);
#13270=ORIENTED_EDGE('',*,*,#9445,.T.);
#13271=ORIENTED_EDGE('',*,*,#7925,.F.);
#13272=ORIENTED_EDGE('',*,*,#7914,.F.);
#13273=ORIENTED_EDGE('',*,*,#9279,.F.);
#13274=ORIENTED_EDGE('',*,*,#9449,.F.);
#13275=ORIENTED_EDGE('',*,*,#9450,.T.);
#13276=ORIENTED_EDGE('',*,*,#9451,.F.);
#13277=ORIENTED_EDGE('',*,*,#8668,.F.);
#13278=ORIENTED_EDGE('',*,*,#9452,.F.);
#13279=ORIENTED_EDGE('',*,*,#9453,.T.);
#13280=ORIENTED_EDGE('',*,*,#9454,.F.);
#13281=ORIENTED_EDGE('',*,*,#8667,.F.);
#13282=ORIENTED_EDGE('',*,*,#9455,.T.);
#13283=ORIENTED_EDGE('',*,*,#9456,.T.);
#13284=ORIENTED_EDGE('',*,*,#9457,.T.);
#13285=ORIENTED_EDGE('',*,*,#9458,.T.);
#13286=ORIENTED_EDGE('',*,*,#9452,.T.);
#13287=ORIENTED_EDGE('',*,*,#9278,.F.);
#13288=ORIENTED_EDGE('',*,*,#9459,.T.);
#13289=ORIENTED_EDGE('',*,*,#9460,.T.);
#13290=ORIENTED_EDGE('',*,*,#9461,.T.);
#13291=ORIENTED_EDGE('',*,*,#9227,.F.);
#13292=ORIENTED_EDGE('',*,*,#9462,.T.);
#13293=ORIENTED_EDGE('',*,*,#9463,.T.);
#13294=ORIENTED_EDGE('',*,*,#9449,.T.);
#13295=ORIENTED_EDGE('',*,*,#8669,.F.);
#13296=ORIENTED_EDGE('',*,*,#9454,.T.);
#13297=ORIENTED_EDGE('',*,*,#9464,.T.);
#13298=ORIENTED_EDGE('',*,*,#9465,.T.);
#13299=ORIENTED_EDGE('',*,*,#9466,.T.);
#13300=ORIENTED_EDGE('',*,*,#9467,.T.);
#13301=ORIENTED_EDGE('',*,*,#9468,.F.);
#13302=ORIENTED_EDGE('',*,*,#9469,.F.);
#13303=ORIENTED_EDGE('',*,*,#9470,.F.);
#13304=ORIENTED_EDGE('',*,*,#9471,.F.);
#13305=ORIENTED_EDGE('',*,*,#9470,.T.);
#13306=ORIENTED_EDGE('',*,*,#9472,.F.);
#13307=ORIENTED_EDGE('',*,*,#9473,.F.);
#13308=ORIENTED_EDGE('',*,*,#9474,.F.);
#13309=ORIENTED_EDGE('',*,*,#9462,.F.);
#13310=ORIENTED_EDGE('',*,*,#9475,.F.);
#13311=ORIENTED_EDGE('',*,*,#9474,.T.);
#13312=ORIENTED_EDGE('',*,*,#9476,.F.);
#13313=ORIENTED_EDGE('',*,*,#9450,.F.);
#13314=ORIENTED_EDGE('',*,*,#9463,.F.);
#13315=ORIENTED_EDGE('',*,*,#8670,.F.);
#13316=ORIENTED_EDGE('',*,*,#9467,.F.);
#13317=ORIENTED_EDGE('',*,*,#9477,.F.);
#13318=ORIENTED_EDGE('',*,*,#9455,.F.);
#13319=ORIENTED_EDGE('',*,*,#9477,.T.);
#13320=ORIENTED_EDGE('',*,*,#9466,.F.);
#13321=ORIENTED_EDGE('',*,*,#9478,.F.);
#13322=ORIENTED_EDGE('',*,*,#9456,.F.);
#13323=ORIENTED_EDGE('',*,*,#9478,.T.);
#13324=ORIENTED_EDGE('',*,*,#9465,.F.);
#13325=ORIENTED_EDGE('',*,*,#9479,.F.);
#13326=ORIENTED_EDGE('',*,*,#9457,.F.);
#13327=ORIENTED_EDGE('',*,*,#9479,.T.);
#13328=ORIENTED_EDGE('',*,*,#9464,.F.);
#13329=ORIENTED_EDGE('',*,*,#9453,.F.);
#13330=ORIENTED_EDGE('',*,*,#9458,.F.);
#13331=ORIENTED_EDGE('',*,*,#9281,.F.);
#13332=ORIENTED_EDGE('',*,*,#9480,.F.);
#13333=ORIENTED_EDGE('',*,*,#9481,.F.);
#13334=ORIENTED_EDGE('',*,*,#9459,.F.);
#13335=ORIENTED_EDGE('',*,*,#9481,.T.);
#13336=ORIENTED_EDGE('',*,*,#9482,.F.);
#13337=ORIENTED_EDGE('',*,*,#9483,.F.);
#13338=ORIENTED_EDGE('',*,*,#9484,.T.);
#13339=ORIENTED_EDGE('',*,*,#9460,.F.);
#13340=ORIENTED_EDGE('',*,*,#9483,.T.);
#13341=ORIENTED_EDGE('',*,*,#9485,.F.);
#13342=ORIENTED_EDGE('',*,*,#9486,.F.);
#13343=ORIENTED_EDGE('',*,*,#9468,.T.);
#13344=ORIENTED_EDGE('',*,*,#9487,.F.);
#13345=ORIENTED_EDGE('',*,*,#9461,.F.);
#13346=ORIENTED_EDGE('',*,*,#9484,.F.);
#13347=ORIENTED_EDGE('',*,*,#9280,.F.);
#13348=ORIENTED_EDGE('',*,*,#9451,.T.);
#13349=ORIENTED_EDGE('',*,*,#9476,.T.);
#13350=ORIENTED_EDGE('',*,*,#9473,.T.);
#13351=ORIENTED_EDGE('',*,*,#9223,.F.);
#13352=ORIENTED_EDGE('',*,*,#9485,.T.);
#13353=ORIENTED_EDGE('',*,*,#9482,.T.);
#13354=ORIENTED_EDGE('',*,*,#9480,.T.);
#13355=ORIENTED_EDGE('',*,*,#9225,.F.);
#13356=ORIENTED_EDGE('',*,*,#9488,.T.);
#13357=ORIENTED_EDGE('',*,*,#9489,.F.);
#13358=ORIENTED_EDGE('',*,*,#9490,.T.);
#13359=ORIENTED_EDGE('',*,*,#7900,.F.);
#13360=ORIENTED_EDGE('',*,*,#9491,.F.);
#13361=ORIENTED_EDGE('',*,*,#9228,.F.);
#13362=ORIENTED_EDGE('',*,*,#9487,.T.);
#13363=ORIENTED_EDGE('',*,*,#9471,.T.);
#13364=ORIENTED_EDGE('',*,*,#9475,.T.);
#13365=ORIENTED_EDGE('',*,*,#9226,.F.);
#13366=ORIENTED_EDGE('',*,*,#9490,.F.);
#13367=ORIENTED_EDGE('',*,*,#9492,.F.);
#13368=ORIENTED_EDGE('',*,*,#7907,.F.);
#13369=ORIENTED_EDGE('',*,*,#9492,.T.);
#13370=ORIENTED_EDGE('',*,*,#9489,.T.);
#13371=ORIENTED_EDGE('',*,*,#9493,.T.);
#13372=ORIENTED_EDGE('',*,*,#7910,.F.);
#13373=ORIENTED_EDGE('',*,*,#9493,.F.);
#13374=ORIENTED_EDGE('',*,*,#9488,.F.);
#13375=ORIENTED_EDGE('',*,*,#9224,.F.);
#13376=ORIENTED_EDGE('',*,*,#9472,.T.);
#13377=ORIENTED_EDGE('',*,*,#9469,.T.);
#13378=ORIENTED_EDGE('',*,*,#9486,.T.);
#13379=ORIENTED_EDGE('',*,*,#9222,.F.);
#13380=ORIENTED_EDGE('',*,*,#9448,.F.);
#13381=ORIENTED_EDGE('',*,*,#7889,.F.);
#13382=ORIENTED_EDGE('',*,*,#9494,.T.);
#13383=ORIENTED_EDGE('',*,*,#9229,.T.);
#13384=ORIENTED_EDGE('',*,*,#9491,.T.);
#13385=ORIENTED_EDGE('',*,*,#7903,.F.);
#13386=ORIENTED_EDGE('',*,*,#7892,.F.);
#13387=ORIENTED_EDGE('',*,*,#9299,.F.);
#13388=ORIENTED_EDGE('',*,*,#9495,.F.);
#13389=ORIENTED_EDGE('',*,*,#9496,.T.);
#13390=ORIENTED_EDGE('',*,*,#9497,.F.);
#13391=ORIENTED_EDGE('',*,*,#8664,.F.);
#13392=ORIENTED_EDGE('',*,*,#9498,.F.);
#13393=ORIENTED_EDGE('',*,*,#9499,.T.);
#13394=ORIENTED_EDGE('',*,*,#9500,.F.);
#13395=ORIENTED_EDGE('',*,*,#8663,.F.);
#13396=ORIENTED_EDGE('',*,*,#9501,.T.);
#13397=ORIENTED_EDGE('',*,*,#9502,.T.);
#13398=ORIENTED_EDGE('',*,*,#9503,.T.);
#13399=ORIENTED_EDGE('',*,*,#9504,.T.);
#13400=ORIENTED_EDGE('',*,*,#9498,.T.);
#13401=ORIENTED_EDGE('',*,*,#9298,.F.);
#13402=ORIENTED_EDGE('',*,*,#9505,.T.);
#13403=ORIENTED_EDGE('',*,*,#9506,.T.);
#13404=ORIENTED_EDGE('',*,*,#9507,.T.);
#13405=ORIENTED_EDGE('',*,*,#9235,.F.);
#13406=ORIENTED_EDGE('',*,*,#9508,.T.);
#13407=ORIENTED_EDGE('',*,*,#9509,.T.);
#13408=ORIENTED_EDGE('',*,*,#9495,.T.);
#13409=ORIENTED_EDGE('',*,*,#8665,.F.);
#13410=ORIENTED_EDGE('',*,*,#9500,.T.);
#13411=ORIENTED_EDGE('',*,*,#9510,.T.);
#13412=ORIENTED_EDGE('',*,*,#9511,.T.);
#13413=ORIENTED_EDGE('',*,*,#9512,.T.);
#13414=ORIENTED_EDGE('',*,*,#9513,.T.);
#13415=ORIENTED_EDGE('',*,*,#9514,.F.);
#13416=ORIENTED_EDGE('',*,*,#9515,.F.);
#13417=ORIENTED_EDGE('',*,*,#9516,.F.);
#13418=ORIENTED_EDGE('',*,*,#9517,.F.);
#13419=ORIENTED_EDGE('',*,*,#9516,.T.);
#13420=ORIENTED_EDGE('',*,*,#9518,.F.);
#13421=ORIENTED_EDGE('',*,*,#9519,.F.);
#13422=ORIENTED_EDGE('',*,*,#9520,.F.);
#13423=ORIENTED_EDGE('',*,*,#9508,.F.);
#13424=ORIENTED_EDGE('',*,*,#9521,.F.);
#13425=ORIENTED_EDGE('',*,*,#9520,.T.);
#13426=ORIENTED_EDGE('',*,*,#9522,.F.);
#13427=ORIENTED_EDGE('',*,*,#9496,.F.);
#13428=ORIENTED_EDGE('',*,*,#9509,.F.);
#13429=ORIENTED_EDGE('',*,*,#8666,.F.);
#13430=ORIENTED_EDGE('',*,*,#9513,.F.);
#13431=ORIENTED_EDGE('',*,*,#9523,.F.);
#13432=ORIENTED_EDGE('',*,*,#9501,.F.);
#13433=ORIENTED_EDGE('',*,*,#9523,.T.);
#13434=ORIENTED_EDGE('',*,*,#9512,.F.);
#13435=ORIENTED_EDGE('',*,*,#9524,.F.);
#13436=ORIENTED_EDGE('',*,*,#9502,.F.);
#13437=ORIENTED_EDGE('',*,*,#9524,.T.);
#13438=ORIENTED_EDGE('',*,*,#9511,.F.);
#13439=ORIENTED_EDGE('',*,*,#9525,.F.);
#13440=ORIENTED_EDGE('',*,*,#9503,.F.);
#13441=ORIENTED_EDGE('',*,*,#9525,.T.);
#13442=ORIENTED_EDGE('',*,*,#9510,.F.);
#13443=ORIENTED_EDGE('',*,*,#9499,.F.);
#13444=ORIENTED_EDGE('',*,*,#9504,.F.);
#13445=ORIENTED_EDGE('',*,*,#9301,.F.);
#13446=ORIENTED_EDGE('',*,*,#9526,.F.);
#13447=ORIENTED_EDGE('',*,*,#9527,.F.);
#13448=ORIENTED_EDGE('',*,*,#9505,.F.);
#13449=ORIENTED_EDGE('',*,*,#9527,.T.);
#13450=ORIENTED_EDGE('',*,*,#9528,.F.);
#13451=ORIENTED_EDGE('',*,*,#9529,.F.);
#13452=ORIENTED_EDGE('',*,*,#9530,.T.);
#13453=ORIENTED_EDGE('',*,*,#9506,.F.);
#13454=ORIENTED_EDGE('',*,*,#9529,.T.);
#13455=ORIENTED_EDGE('',*,*,#9531,.F.);
#13456=ORIENTED_EDGE('',*,*,#9532,.F.);
#13457=ORIENTED_EDGE('',*,*,#9514,.T.);
#13458=ORIENTED_EDGE('',*,*,#9533,.F.);
#13459=ORIENTED_EDGE('',*,*,#9507,.F.);
#13460=ORIENTED_EDGE('',*,*,#9530,.F.);
#13461=ORIENTED_EDGE('',*,*,#9300,.F.);
#13462=ORIENTED_EDGE('',*,*,#9497,.T.);
#13463=ORIENTED_EDGE('',*,*,#9522,.T.);
#13464=ORIENTED_EDGE('',*,*,#9519,.T.);
#13465=ORIENTED_EDGE('',*,*,#9231,.F.);
#13466=ORIENTED_EDGE('',*,*,#9531,.T.);
#13467=ORIENTED_EDGE('',*,*,#9528,.T.);
#13468=ORIENTED_EDGE('',*,*,#9526,.T.);
#13469=ORIENTED_EDGE('',*,*,#9233,.F.);
#13470=ORIENTED_EDGE('',*,*,#9534,.T.);
#13471=ORIENTED_EDGE('',*,*,#9535,.F.);
#13472=ORIENTED_EDGE('',*,*,#9536,.T.);
#13473=ORIENTED_EDGE('',*,*,#7878,.F.);
#13474=ORIENTED_EDGE('',*,*,#9537,.F.);
#13475=ORIENTED_EDGE('',*,*,#9236,.F.);
#13476=ORIENTED_EDGE('',*,*,#9533,.T.);
#13477=ORIENTED_EDGE('',*,*,#9517,.T.);
#13478=ORIENTED_EDGE('',*,*,#9521,.T.);
#13479=ORIENTED_EDGE('',*,*,#9234,.F.);
#13480=ORIENTED_EDGE('',*,*,#9536,.F.);
#13481=ORIENTED_EDGE('',*,*,#9538,.F.);
#13482=ORIENTED_EDGE('',*,*,#7885,.F.);
#13483=ORIENTED_EDGE('',*,*,#9538,.T.);
#13484=ORIENTED_EDGE('',*,*,#9535,.T.);
#13485=ORIENTED_EDGE('',*,*,#9539,.T.);
#13486=ORIENTED_EDGE('',*,*,#7888,.F.);
#13487=ORIENTED_EDGE('',*,*,#9539,.F.);
#13488=ORIENTED_EDGE('',*,*,#9534,.F.);
#13489=ORIENTED_EDGE('',*,*,#9232,.F.);
#13490=ORIENTED_EDGE('',*,*,#9518,.T.);
#13491=ORIENTED_EDGE('',*,*,#9515,.T.);
#13492=ORIENTED_EDGE('',*,*,#9532,.T.);
#13493=ORIENTED_EDGE('',*,*,#9230,.F.);
#13494=ORIENTED_EDGE('',*,*,#9494,.F.);
#13495=ORIENTED_EDGE('',*,*,#7867,.F.);
#13496=ORIENTED_EDGE('',*,*,#9540,.T.);
#13497=ORIENTED_EDGE('',*,*,#9237,.T.);
#13498=ORIENTED_EDGE('',*,*,#9537,.T.);
#13499=ORIENTED_EDGE('',*,*,#7881,.F.);
#13500=ORIENTED_EDGE('',*,*,#7870,.F.);
#13501=ORIENTED_EDGE('',*,*,#9283,.F.);
#13502=ORIENTED_EDGE('',*,*,#9541,.F.);
#13503=ORIENTED_EDGE('',*,*,#9542,.T.);
#13504=ORIENTED_EDGE('',*,*,#9543,.F.);
#13505=ORIENTED_EDGE('',*,*,#8660,.F.);
#13506=ORIENTED_EDGE('',*,*,#9544,.F.);
#13507=ORIENTED_EDGE('',*,*,#9545,.T.);
#13508=ORIENTED_EDGE('',*,*,#9546,.F.);
#13509=ORIENTED_EDGE('',*,*,#8659,.F.);
#13510=ORIENTED_EDGE('',*,*,#9547,.T.);
#13511=ORIENTED_EDGE('',*,*,#9548,.T.);
#13512=ORIENTED_EDGE('',*,*,#9549,.T.);
#13513=ORIENTED_EDGE('',*,*,#9550,.T.);
#13514=ORIENTED_EDGE('',*,*,#9544,.T.);
#13515=ORIENTED_EDGE('',*,*,#9282,.F.);
#13516=ORIENTED_EDGE('',*,*,#9551,.T.);
#13517=ORIENTED_EDGE('',*,*,#9552,.T.);
#13518=ORIENTED_EDGE('',*,*,#9553,.T.);
#13519=ORIENTED_EDGE('',*,*,#9243,.F.);
#13520=ORIENTED_EDGE('',*,*,#9554,.T.);
#13521=ORIENTED_EDGE('',*,*,#9555,.T.);
#13522=ORIENTED_EDGE('',*,*,#9541,.T.);
#13523=ORIENTED_EDGE('',*,*,#8661,.F.);
#13524=ORIENTED_EDGE('',*,*,#9546,.T.);
#13525=ORIENTED_EDGE('',*,*,#9556,.T.);
#13526=ORIENTED_EDGE('',*,*,#9557,.T.);
#13527=ORIENTED_EDGE('',*,*,#9558,.T.);
#13528=ORIENTED_EDGE('',*,*,#9559,.T.);
#13529=ORIENTED_EDGE('',*,*,#9560,.F.);
#13530=ORIENTED_EDGE('',*,*,#9561,.F.);
#13531=ORIENTED_EDGE('',*,*,#9562,.F.);
#13532=ORIENTED_EDGE('',*,*,#9563,.F.);
#13533=ORIENTED_EDGE('',*,*,#9562,.T.);
#13534=ORIENTED_EDGE('',*,*,#9564,.F.);
#13535=ORIENTED_EDGE('',*,*,#9565,.F.);
#13536=ORIENTED_EDGE('',*,*,#9566,.F.);
#13537=ORIENTED_EDGE('',*,*,#9554,.F.);
#13538=ORIENTED_EDGE('',*,*,#9567,.F.);
#13539=ORIENTED_EDGE('',*,*,#9566,.T.);
#13540=ORIENTED_EDGE('',*,*,#9568,.F.);
#13541=ORIENTED_EDGE('',*,*,#9542,.F.);
#13542=ORIENTED_EDGE('',*,*,#9555,.F.);
#13543=ORIENTED_EDGE('',*,*,#8662,.F.);
#13544=ORIENTED_EDGE('',*,*,#9559,.F.);
#13545=ORIENTED_EDGE('',*,*,#9569,.F.);
#13546=ORIENTED_EDGE('',*,*,#9547,.F.);
#13547=ORIENTED_EDGE('',*,*,#9569,.T.);
#13548=ORIENTED_EDGE('',*,*,#9558,.F.);
#13549=ORIENTED_EDGE('',*,*,#9570,.F.);
#13550=ORIENTED_EDGE('',*,*,#9548,.F.);
#13551=ORIENTED_EDGE('',*,*,#9570,.T.);
#13552=ORIENTED_EDGE('',*,*,#9557,.F.);
#13553=ORIENTED_EDGE('',*,*,#9571,.F.);
#13554=ORIENTED_EDGE('',*,*,#9549,.F.);
#13555=ORIENTED_EDGE('',*,*,#9571,.T.);
#13556=ORIENTED_EDGE('',*,*,#9556,.F.);
#13557=ORIENTED_EDGE('',*,*,#9545,.F.);
#13558=ORIENTED_EDGE('',*,*,#9550,.F.);
#13559=ORIENTED_EDGE('',*,*,#9285,.F.);
#13560=ORIENTED_EDGE('',*,*,#9572,.F.);
#13561=ORIENTED_EDGE('',*,*,#9573,.F.);
#13562=ORIENTED_EDGE('',*,*,#9551,.F.);
#13563=ORIENTED_EDGE('',*,*,#9573,.T.);
#13564=ORIENTED_EDGE('',*,*,#9574,.F.);
#13565=ORIENTED_EDGE('',*,*,#9575,.F.);
#13566=ORIENTED_EDGE('',*,*,#9576,.T.);
#13567=ORIENTED_EDGE('',*,*,#9552,.F.);
#13568=ORIENTED_EDGE('',*,*,#9575,.T.);
#13569=ORIENTED_EDGE('',*,*,#9577,.F.);
#13570=ORIENTED_EDGE('',*,*,#9578,.F.);
#13571=ORIENTED_EDGE('',*,*,#9560,.T.);
#13572=ORIENTED_EDGE('',*,*,#9579,.F.);
#13573=ORIENTED_EDGE('',*,*,#9553,.F.);
#13574=ORIENTED_EDGE('',*,*,#9576,.F.);
#13575=ORIENTED_EDGE('',*,*,#9284,.F.);
#13576=ORIENTED_EDGE('',*,*,#9543,.T.);
#13577=ORIENTED_EDGE('',*,*,#9568,.T.);
#13578=ORIENTED_EDGE('',*,*,#9565,.T.);
#13579=ORIENTED_EDGE('',*,*,#9239,.F.);
#13580=ORIENTED_EDGE('',*,*,#9577,.T.);
#13581=ORIENTED_EDGE('',*,*,#9574,.T.);
#13582=ORIENTED_EDGE('',*,*,#9572,.T.);
#13583=ORIENTED_EDGE('',*,*,#9241,.F.);
#13584=ORIENTED_EDGE('',*,*,#9580,.T.);
#13585=ORIENTED_EDGE('',*,*,#9581,.F.);
#13586=ORIENTED_EDGE('',*,*,#9582,.T.);
#13587=ORIENTED_EDGE('',*,*,#7856,.F.);
#13588=ORIENTED_EDGE('',*,*,#9583,.F.);
#13589=ORIENTED_EDGE('',*,*,#9244,.F.);
#13590=ORIENTED_EDGE('',*,*,#9579,.T.);
#13591=ORIENTED_EDGE('',*,*,#9563,.T.);
#13592=ORIENTED_EDGE('',*,*,#9567,.T.);
#13593=ORIENTED_EDGE('',*,*,#9242,.F.);
#13594=ORIENTED_EDGE('',*,*,#9582,.F.);
#13595=ORIENTED_EDGE('',*,*,#9584,.F.);
#13596=ORIENTED_EDGE('',*,*,#7863,.F.);
#13597=ORIENTED_EDGE('',*,*,#9584,.T.);
#13598=ORIENTED_EDGE('',*,*,#9581,.T.);
#13599=ORIENTED_EDGE('',*,*,#9585,.T.);
#13600=ORIENTED_EDGE('',*,*,#7866,.F.);
#13601=ORIENTED_EDGE('',*,*,#9585,.F.);
#13602=ORIENTED_EDGE('',*,*,#9580,.F.);
#13603=ORIENTED_EDGE('',*,*,#9240,.F.);
#13604=ORIENTED_EDGE('',*,*,#9564,.T.);
#13605=ORIENTED_EDGE('',*,*,#9561,.T.);
#13606=ORIENTED_EDGE('',*,*,#9578,.T.);
#13607=ORIENTED_EDGE('',*,*,#9238,.F.);
#13608=ORIENTED_EDGE('',*,*,#9540,.F.);
#13609=ORIENTED_EDGE('',*,*,#7845,.F.);
#13610=ORIENTED_EDGE('',*,*,#9586,.T.);
#13611=ORIENTED_EDGE('',*,*,#9245,.T.);
#13612=ORIENTED_EDGE('',*,*,#9583,.T.);
#13613=ORIENTED_EDGE('',*,*,#7859,.F.);
#13614=ORIENTED_EDGE('',*,*,#7848,.F.);
#13615=ORIENTED_EDGE('',*,*,#9295,.F.);
#13616=ORIENTED_EDGE('',*,*,#9587,.F.);
#13617=ORIENTED_EDGE('',*,*,#9588,.T.);
#13618=ORIENTED_EDGE('',*,*,#9589,.F.);
#13619=ORIENTED_EDGE('',*,*,#8656,.F.);
#13620=ORIENTED_EDGE('',*,*,#9590,.F.);
#13621=ORIENTED_EDGE('',*,*,#9591,.T.);
#13622=ORIENTED_EDGE('',*,*,#9592,.F.);
#13623=ORIENTED_EDGE('',*,*,#8655,.F.);
#13624=ORIENTED_EDGE('',*,*,#9593,.T.);
#13625=ORIENTED_EDGE('',*,*,#9594,.T.);
#13626=ORIENTED_EDGE('',*,*,#9595,.T.);
#13627=ORIENTED_EDGE('',*,*,#9596,.T.);
#13628=ORIENTED_EDGE('',*,*,#9590,.T.);
#13629=ORIENTED_EDGE('',*,*,#9294,.F.);
#13630=ORIENTED_EDGE('',*,*,#9597,.T.);
#13631=ORIENTED_EDGE('',*,*,#9598,.T.);
#13632=ORIENTED_EDGE('',*,*,#9599,.T.);
#13633=ORIENTED_EDGE('',*,*,#9251,.F.);
#13634=ORIENTED_EDGE('',*,*,#9600,.T.);
#13635=ORIENTED_EDGE('',*,*,#9601,.T.);
#13636=ORIENTED_EDGE('',*,*,#9587,.T.);
#13637=ORIENTED_EDGE('',*,*,#8657,.F.);
#13638=ORIENTED_EDGE('',*,*,#9592,.T.);
#13639=ORIENTED_EDGE('',*,*,#9602,.T.);
#13640=ORIENTED_EDGE('',*,*,#9603,.T.);
#13641=ORIENTED_EDGE('',*,*,#9604,.T.);
#13642=ORIENTED_EDGE('',*,*,#9605,.T.);
#13643=ORIENTED_EDGE('',*,*,#9606,.F.);
#13644=ORIENTED_EDGE('',*,*,#9607,.F.);
#13645=ORIENTED_EDGE('',*,*,#9608,.F.);
#13646=ORIENTED_EDGE('',*,*,#9609,.F.);
#13647=ORIENTED_EDGE('',*,*,#9608,.T.);
#13648=ORIENTED_EDGE('',*,*,#9610,.F.);
#13649=ORIENTED_EDGE('',*,*,#9611,.F.);
#13650=ORIENTED_EDGE('',*,*,#9612,.F.);
#13651=ORIENTED_EDGE('',*,*,#9600,.F.);
#13652=ORIENTED_EDGE('',*,*,#9613,.F.);
#13653=ORIENTED_EDGE('',*,*,#9612,.T.);
#13654=ORIENTED_EDGE('',*,*,#9614,.F.);
#13655=ORIENTED_EDGE('',*,*,#9588,.F.);
#13656=ORIENTED_EDGE('',*,*,#9601,.F.);
#13657=ORIENTED_EDGE('',*,*,#8658,.F.);
#13658=ORIENTED_EDGE('',*,*,#9605,.F.);
#13659=ORIENTED_EDGE('',*,*,#9615,.F.);
#13660=ORIENTED_EDGE('',*,*,#9593,.F.);
#13661=ORIENTED_EDGE('',*,*,#9615,.T.);
#13662=ORIENTED_EDGE('',*,*,#9604,.F.);
#13663=ORIENTED_EDGE('',*,*,#9616,.F.);
#13664=ORIENTED_EDGE('',*,*,#9594,.F.);
#13665=ORIENTED_EDGE('',*,*,#9616,.T.);
#13666=ORIENTED_EDGE('',*,*,#9603,.F.);
#13667=ORIENTED_EDGE('',*,*,#9617,.F.);
#13668=ORIENTED_EDGE('',*,*,#9595,.F.);
#13669=ORIENTED_EDGE('',*,*,#9617,.T.);
#13670=ORIENTED_EDGE('',*,*,#9602,.F.);
#13671=ORIENTED_EDGE('',*,*,#9591,.F.);
#13672=ORIENTED_EDGE('',*,*,#9596,.F.);
#13673=ORIENTED_EDGE('',*,*,#9297,.F.);
#13674=ORIENTED_EDGE('',*,*,#9618,.F.);
#13675=ORIENTED_EDGE('',*,*,#9619,.F.);
#13676=ORIENTED_EDGE('',*,*,#9597,.F.);
#13677=ORIENTED_EDGE('',*,*,#9619,.T.);
#13678=ORIENTED_EDGE('',*,*,#9620,.F.);
#13679=ORIENTED_EDGE('',*,*,#9621,.F.);
#13680=ORIENTED_EDGE('',*,*,#9622,.T.);
#13681=ORIENTED_EDGE('',*,*,#9598,.F.);
#13682=ORIENTED_EDGE('',*,*,#9621,.T.);
#13683=ORIENTED_EDGE('',*,*,#9623,.F.);
#13684=ORIENTED_EDGE('',*,*,#9624,.F.);
#13685=ORIENTED_EDGE('',*,*,#9606,.T.);
#13686=ORIENTED_EDGE('',*,*,#9625,.F.);
#13687=ORIENTED_EDGE('',*,*,#9599,.F.);
#13688=ORIENTED_EDGE('',*,*,#9622,.F.);
#13689=ORIENTED_EDGE('',*,*,#9296,.F.);
#13690=ORIENTED_EDGE('',*,*,#9589,.T.);
#13691=ORIENTED_EDGE('',*,*,#9614,.T.);
#13692=ORIENTED_EDGE('',*,*,#9611,.T.);
#13693=ORIENTED_EDGE('',*,*,#9247,.F.);
#13694=ORIENTED_EDGE('',*,*,#9623,.T.);
#13695=ORIENTED_EDGE('',*,*,#9620,.T.);
#13696=ORIENTED_EDGE('',*,*,#9618,.T.);
#13697=ORIENTED_EDGE('',*,*,#9249,.F.);
#13698=ORIENTED_EDGE('',*,*,#9626,.T.);
#13699=ORIENTED_EDGE('',*,*,#9627,.F.);
#13700=ORIENTED_EDGE('',*,*,#9628,.T.);
#13701=ORIENTED_EDGE('',*,*,#7834,.F.);
#13702=ORIENTED_EDGE('',*,*,#9629,.F.);
#13703=ORIENTED_EDGE('',*,*,#9252,.F.);
#13704=ORIENTED_EDGE('',*,*,#9625,.T.);
#13705=ORIENTED_EDGE('',*,*,#9609,.T.);
#13706=ORIENTED_EDGE('',*,*,#9613,.T.);
#13707=ORIENTED_EDGE('',*,*,#9250,.F.);
#13708=ORIENTED_EDGE('',*,*,#9628,.F.);
#13709=ORIENTED_EDGE('',*,*,#9630,.F.);
#13710=ORIENTED_EDGE('',*,*,#7841,.F.);
#13711=ORIENTED_EDGE('',*,*,#9630,.T.);
#13712=ORIENTED_EDGE('',*,*,#9627,.T.);
#13713=ORIENTED_EDGE('',*,*,#9631,.T.);
#13714=ORIENTED_EDGE('',*,*,#7844,.F.);
#13715=ORIENTED_EDGE('',*,*,#9631,.F.);
#13716=ORIENTED_EDGE('',*,*,#9626,.F.);
#13717=ORIENTED_EDGE('',*,*,#9248,.F.);
#13718=ORIENTED_EDGE('',*,*,#9610,.T.);
#13719=ORIENTED_EDGE('',*,*,#9607,.T.);
#13720=ORIENTED_EDGE('',*,*,#9624,.T.);
#13721=ORIENTED_EDGE('',*,*,#9246,.F.);
#13722=ORIENTED_EDGE('',*,*,#9586,.F.);
#13723=ORIENTED_EDGE('',*,*,#7823,.F.);
#13724=ORIENTED_EDGE('',*,*,#9632,.T.);
#13725=ORIENTED_EDGE('',*,*,#9253,.T.);
#13726=ORIENTED_EDGE('',*,*,#9629,.T.);
#13727=ORIENTED_EDGE('',*,*,#7837,.F.);
#13728=ORIENTED_EDGE('',*,*,#7826,.F.);
#13729=ORIENTED_EDGE('',*,*,#9287,.F.);
#13730=ORIENTED_EDGE('',*,*,#9633,.F.);
#13731=ORIENTED_EDGE('',*,*,#9634,.T.);
#13732=ORIENTED_EDGE('',*,*,#9635,.F.);
#13733=ORIENTED_EDGE('',*,*,#8652,.F.);
#13734=ORIENTED_EDGE('',*,*,#9636,.F.);
#13735=ORIENTED_EDGE('',*,*,#9637,.T.);
#13736=ORIENTED_EDGE('',*,*,#9638,.F.);
#13737=ORIENTED_EDGE('',*,*,#8651,.F.);
#13738=ORIENTED_EDGE('',*,*,#9639,.T.);
#13739=ORIENTED_EDGE('',*,*,#9640,.T.);
#13740=ORIENTED_EDGE('',*,*,#9641,.T.);
#13741=ORIENTED_EDGE('',*,*,#9642,.T.);
#13742=ORIENTED_EDGE('',*,*,#9636,.T.);
#13743=ORIENTED_EDGE('',*,*,#9286,.F.);
#13744=ORIENTED_EDGE('',*,*,#9643,.T.);
#13745=ORIENTED_EDGE('',*,*,#9644,.T.);
#13746=ORIENTED_EDGE('',*,*,#9645,.T.);
#13747=ORIENTED_EDGE('',*,*,#9259,.F.);
#13748=ORIENTED_EDGE('',*,*,#9646,.T.);
#13749=ORIENTED_EDGE('',*,*,#9647,.T.);
#13750=ORIENTED_EDGE('',*,*,#9633,.T.);
#13751=ORIENTED_EDGE('',*,*,#8653,.F.);
#13752=ORIENTED_EDGE('',*,*,#9638,.T.);
#13753=ORIENTED_EDGE('',*,*,#9648,.T.);
#13754=ORIENTED_EDGE('',*,*,#9649,.T.);
#13755=ORIENTED_EDGE('',*,*,#9650,.T.);
#13756=ORIENTED_EDGE('',*,*,#9651,.T.);
#13757=ORIENTED_EDGE('',*,*,#9652,.F.);
#13758=ORIENTED_EDGE('',*,*,#9653,.F.);
#13759=ORIENTED_EDGE('',*,*,#9654,.F.);
#13760=ORIENTED_EDGE('',*,*,#9655,.F.);
#13761=ORIENTED_EDGE('',*,*,#9654,.T.);
#13762=ORIENTED_EDGE('',*,*,#9656,.F.);
#13763=ORIENTED_EDGE('',*,*,#9657,.F.);
#13764=ORIENTED_EDGE('',*,*,#9658,.F.);
#13765=ORIENTED_EDGE('',*,*,#9646,.F.);
#13766=ORIENTED_EDGE('',*,*,#9659,.F.);
#13767=ORIENTED_EDGE('',*,*,#9658,.T.);
#13768=ORIENTED_EDGE('',*,*,#9660,.F.);
#13769=ORIENTED_EDGE('',*,*,#9634,.F.);
#13770=ORIENTED_EDGE('',*,*,#9647,.F.);
#13771=ORIENTED_EDGE('',*,*,#8654,.F.);
#13772=ORIENTED_EDGE('',*,*,#9651,.F.);
#13773=ORIENTED_EDGE('',*,*,#9661,.F.);
#13774=ORIENTED_EDGE('',*,*,#9639,.F.);
#13775=ORIENTED_EDGE('',*,*,#9661,.T.);
#13776=ORIENTED_EDGE('',*,*,#9650,.F.);
#13777=ORIENTED_EDGE('',*,*,#9662,.F.);
#13778=ORIENTED_EDGE('',*,*,#9640,.F.);
#13779=ORIENTED_EDGE('',*,*,#9662,.T.);
#13780=ORIENTED_EDGE('',*,*,#9649,.F.);
#13781=ORIENTED_EDGE('',*,*,#9663,.F.);
#13782=ORIENTED_EDGE('',*,*,#9641,.F.);
#13783=ORIENTED_EDGE('',*,*,#9663,.T.);
#13784=ORIENTED_EDGE('',*,*,#9648,.F.);
#13785=ORIENTED_EDGE('',*,*,#9637,.F.);
#13786=ORIENTED_EDGE('',*,*,#9642,.F.);
#13787=ORIENTED_EDGE('',*,*,#9289,.F.);
#13788=ORIENTED_EDGE('',*,*,#9664,.F.);
#13789=ORIENTED_EDGE('',*,*,#9665,.F.);
#13790=ORIENTED_EDGE('',*,*,#9643,.F.);
#13791=ORIENTED_EDGE('',*,*,#9665,.T.);
#13792=ORIENTED_EDGE('',*,*,#9666,.F.);
#13793=ORIENTED_EDGE('',*,*,#9667,.F.);
#13794=ORIENTED_EDGE('',*,*,#9668,.T.);
#13795=ORIENTED_EDGE('',*,*,#9644,.F.);
#13796=ORIENTED_EDGE('',*,*,#9667,.T.);
#13797=ORIENTED_EDGE('',*,*,#9669,.F.);
#13798=ORIENTED_EDGE('',*,*,#9670,.F.);
#13799=ORIENTED_EDGE('',*,*,#9652,.T.);
#13800=ORIENTED_EDGE('',*,*,#9671,.F.);
#13801=ORIENTED_EDGE('',*,*,#9645,.F.);
#13802=ORIENTED_EDGE('',*,*,#9668,.F.);
#13803=ORIENTED_EDGE('',*,*,#9288,.F.);
#13804=ORIENTED_EDGE('',*,*,#9635,.T.);
#13805=ORIENTED_EDGE('',*,*,#9660,.T.);
#13806=ORIENTED_EDGE('',*,*,#9657,.T.);
#13807=ORIENTED_EDGE('',*,*,#9255,.F.);
#13808=ORIENTED_EDGE('',*,*,#9669,.T.);
#13809=ORIENTED_EDGE('',*,*,#9666,.T.);
#13810=ORIENTED_EDGE('',*,*,#9664,.T.);
#13811=ORIENTED_EDGE('',*,*,#9257,.F.);
#13812=ORIENTED_EDGE('',*,*,#9672,.T.);
#13813=ORIENTED_EDGE('',*,*,#9673,.F.);
#13814=ORIENTED_EDGE('',*,*,#9674,.T.);
#13815=ORIENTED_EDGE('',*,*,#7812,.F.);
#13816=ORIENTED_EDGE('',*,*,#9675,.F.);
#13817=ORIENTED_EDGE('',*,*,#9260,.F.);
#13818=ORIENTED_EDGE('',*,*,#9671,.T.);
#13819=ORIENTED_EDGE('',*,*,#9655,.T.);
#13820=ORIENTED_EDGE('',*,*,#9659,.T.);
#13821=ORIENTED_EDGE('',*,*,#9258,.F.);
#13822=ORIENTED_EDGE('',*,*,#9674,.F.);
#13823=ORIENTED_EDGE('',*,*,#9676,.F.);
#13824=ORIENTED_EDGE('',*,*,#7819,.F.);
#13825=ORIENTED_EDGE('',*,*,#9676,.T.);
#13826=ORIENTED_EDGE('',*,*,#9673,.T.);
#13827=ORIENTED_EDGE('',*,*,#9677,.T.);
#13828=ORIENTED_EDGE('',*,*,#7822,.F.);
#13829=ORIENTED_EDGE('',*,*,#9677,.F.);
#13830=ORIENTED_EDGE('',*,*,#9672,.F.);
#13831=ORIENTED_EDGE('',*,*,#9256,.F.);
#13832=ORIENTED_EDGE('',*,*,#9656,.T.);
#13833=ORIENTED_EDGE('',*,*,#9653,.T.);
#13834=ORIENTED_EDGE('',*,*,#9670,.T.);
#13835=ORIENTED_EDGE('',*,*,#9254,.F.);
#13836=ORIENTED_EDGE('',*,*,#9632,.F.);
#13837=ORIENTED_EDGE('',*,*,#8079,.F.);
#13838=ORIENTED_EDGE('',*,*,#9678,.T.);
#13839=ORIENTED_EDGE('',*,*,#9261,.T.);
#13840=ORIENTED_EDGE('',*,*,#9675,.T.);
#13841=ORIENTED_EDGE('',*,*,#7815,.F.);
#13842=ORIENTED_EDGE('',*,*,#7803,.F.);
#13843=ORIENTED_EDGE('',*,*,#8648,.F.);
#13844=ORIENTED_EDGE('',*,*,#9679,.F.);
#13845=ORIENTED_EDGE('',*,*,#9680,.T.);
#13846=ORIENTED_EDGE('',*,*,#9681,.F.);
#13847=ORIENTED_EDGE('',*,*,#9291,.F.);
#13848=ORIENTED_EDGE('',*,*,#9682,.F.);
#13849=ORIENTED_EDGE('',*,*,#9683,.T.);
#13850=ORIENTED_EDGE('',*,*,#9684,.F.);
#13851=ORIENTED_EDGE('',*,*,#9290,.F.);
#13852=ORIENTED_EDGE('',*,*,#9685,.T.);
#13853=ORIENTED_EDGE('',*,*,#9686,.T.);
#13854=ORIENTED_EDGE('',*,*,#9687,.T.);
#13855=ORIENTED_EDGE('',*,*,#9267,.F.);
#13856=ORIENTED_EDGE('',*,*,#9688,.T.);
#13857=ORIENTED_EDGE('',*,*,#9689,.T.);
#13858=ORIENTED_EDGE('',*,*,#9682,.T.);
#13859=ORIENTED_EDGE('',*,*,#8647,.F.);
#13860=ORIENTED_EDGE('',*,*,#9690,.T.);
#13861=ORIENTED_EDGE('',*,*,#9691,.T.);
#13862=ORIENTED_EDGE('',*,*,#9692,.T.);
#13863=ORIENTED_EDGE('',*,*,#9693,.T.);
#13864=ORIENTED_EDGE('',*,*,#9679,.T.);
#13865=ORIENTED_EDGE('',*,*,#9292,.F.);
#13866=ORIENTED_EDGE('',*,*,#9684,.T.);
#13867=ORIENTED_EDGE('',*,*,#9694,.T.);
#13868=ORIENTED_EDGE('',*,*,#9695,.T.);
#13869=ORIENTED_EDGE('',*,*,#9263,.F.);
#13870=ORIENTED_EDGE('',*,*,#9696,.T.);
#13871=ORIENTED_EDGE('',*,*,#9697,.T.);
#13872=ORIENTED_EDGE('',*,*,#9698,.T.);
#13873=ORIENTED_EDGE('',*,*,#9699,.F.);
#13874=ORIENTED_EDGE('',*,*,#9700,.F.);
#13875=ORIENTED_EDGE('',*,*,#9701,.F.);
#13876=ORIENTED_EDGE('',*,*,#9702,.F.);
#13877=ORIENTED_EDGE('',*,*,#9701,.T.);
#13878=ORIENTED_EDGE('',*,*,#9703,.F.);
#13879=ORIENTED_EDGE('',*,*,#9695,.F.);
#13880=ORIENTED_EDGE('',*,*,#9704,.F.);
#13881=ORIENTED_EDGE('',*,*,#9688,.F.);
#13882=ORIENTED_EDGE('',*,*,#9705,.F.);
#13883=ORIENTED_EDGE('',*,*,#9704,.T.);
#13884=ORIENTED_EDGE('',*,*,#9694,.F.);
#13885=ORIENTED_EDGE('',*,*,#9683,.F.);
#13886=ORIENTED_EDGE('',*,*,#9689,.F.);
#13887=ORIENTED_EDGE('',*,*,#8650,.F.);
#13888=ORIENTED_EDGE('',*,*,#9706,.F.);
#13889=ORIENTED_EDGE('',*,*,#9707,.F.);
#13890=ORIENTED_EDGE('',*,*,#9690,.F.);
#13891=ORIENTED_EDGE('',*,*,#9707,.T.);
#13892=ORIENTED_EDGE('',*,*,#9708,.F.);
#13893=ORIENTED_EDGE('',*,*,#9709,.F.);
#13894=ORIENTED_EDGE('',*,*,#9691,.F.);
#13895=ORIENTED_EDGE('',*,*,#9709,.T.);
#13896=ORIENTED_EDGE('',*,*,#9710,.F.);
#13897=ORIENTED_EDGE('',*,*,#9711,.F.);
#13898=ORIENTED_EDGE('',*,*,#9692,.F.);
#13899=ORIENTED_EDGE('',*,*,#9711,.T.);
#13900=ORIENTED_EDGE('',*,*,#9712,.F.);
#13901=ORIENTED_EDGE('',*,*,#9680,.F.);
#13902=ORIENTED_EDGE('',*,*,#9693,.F.);
#13903=ORIENTED_EDGE('',*,*,#9293,.F.);
#13904=ORIENTED_EDGE('',*,*,#9698,.F.);
#13905=ORIENTED_EDGE('',*,*,#9713,.F.);
#13906=ORIENTED_EDGE('',*,*,#9685,.F.);
#13907=ORIENTED_EDGE('',*,*,#9713,.T.);
#13908=ORIENTED_EDGE('',*,*,#9697,.F.);
#13909=ORIENTED_EDGE('',*,*,#9714,.F.);
#13910=ORIENTED_EDGE('',*,*,#9715,.T.);
#13911=ORIENTED_EDGE('',*,*,#9686,.F.);
#13912=ORIENTED_EDGE('',*,*,#9714,.T.);
#13913=ORIENTED_EDGE('',*,*,#9696,.F.);
#13914=ORIENTED_EDGE('',*,*,#9716,.F.);
#13915=ORIENTED_EDGE('',*,*,#9699,.T.);
#13916=ORIENTED_EDGE('',*,*,#9717,.F.);
#13917=ORIENTED_EDGE('',*,*,#9687,.F.);
#13918=ORIENTED_EDGE('',*,*,#9715,.F.);
#13919=ORIENTED_EDGE('',*,*,#8649,.F.);
#13920=ORIENTED_EDGE('',*,*,#9681,.T.);
#13921=ORIENTED_EDGE('',*,*,#9712,.T.);
#13922=ORIENTED_EDGE('',*,*,#9710,.T.);
#13923=ORIENTED_EDGE('',*,*,#9708,.T.);
#13924=ORIENTED_EDGE('',*,*,#9706,.T.);
#13925=ORIENTED_EDGE('',*,*,#8083,.F.);
#13926=ORIENTED_EDGE('',*,*,#9718,.F.);
#13927=ORIENTED_EDGE('',*,*,#9268,.F.);
#13928=ORIENTED_EDGE('',*,*,#9717,.T.);
#13929=ORIENTED_EDGE('',*,*,#9702,.T.);
#13930=ORIENTED_EDGE('',*,*,#9705,.T.);
#13931=ORIENTED_EDGE('',*,*,#9266,.F.);
#13932=ORIENTED_EDGE('',*,*,#9719,.F.);
#13933=ORIENTED_EDGE('',*,*,#9720,.F.);
#13934=ORIENTED_EDGE('',*,*,#7796,.F.);
#13935=ORIENTED_EDGE('',*,*,#9720,.T.);
#13936=ORIENTED_EDGE('',*,*,#9721,.T.);
#13937=ORIENTED_EDGE('',*,*,#9722,.T.);
#13938=ORIENTED_EDGE('',*,*,#9265,.F.);
#13939=ORIENTED_EDGE('',*,*,#9723,.T.);
#13940=ORIENTED_EDGE('',*,*,#9721,.F.);
#13941=ORIENTED_EDGE('',*,*,#9719,.T.);
#13942=ORIENTED_EDGE('',*,*,#8078,.F.);
#13943=ORIENTED_EDGE('',*,*,#9722,.F.);
#13944=ORIENTED_EDGE('',*,*,#9723,.F.);
#13945=ORIENTED_EDGE('',*,*,#9264,.F.);
#13946=ORIENTED_EDGE('',*,*,#9703,.T.);
#13947=ORIENTED_EDGE('',*,*,#9700,.T.);
#13948=ORIENTED_EDGE('',*,*,#9716,.T.);
#13949=ORIENTED_EDGE('',*,*,#9262,.F.);
#13950=ORIENTED_EDGE('',*,*,#9678,.F.);
#13951=ORIENTED_EDGE('',*,*,#7999,.F.);
#13952=ORIENTED_EDGE('',*,*,#9196,.T.);
#13953=ORIENTED_EDGE('',*,*,#9273,.T.);
#13954=ORIENTED_EDGE('',*,*,#9724,.T.);
#13955=ORIENTED_EDGE('',*,*,#8002,.F.);
#13956=ORIENTED_EDGE('',*,*,#7794,.F.);
#13957=ORIENTED_EDGE('',*,*,#8086,.T.);
#13958=ORIENTED_EDGE('',*,*,#9269,.T.);
#13959=ORIENTED_EDGE('',*,*,#9718,.T.);
#13960=ORIENTED_EDGE('',*,*,#8082,.F.);
#13961=ORIENTED_EDGE('',*,*,#7727,.F.);
#13962=ORIENTED_EDGE('',*,*,#8645,.F.);
#13963=ORIENTED_EDGE('',*,*,#9725,.T.);
#13964=ORIENTED_EDGE('',*,*,#7730,.F.);
#13965=ORIENTED_EDGE('',*,*,#7734,.F.);
#13966=ORIENTED_EDGE('',*,*,#9725,.F.);
#13967=ORIENTED_EDGE('',*,*,#8644,.F.);
#13968=ORIENTED_EDGE('',*,*,#7745,.F.);
#13969=ORIENTED_EDGE('',*,*,#7742,.F.);
#13970=ORIENTED_EDGE('',*,*,#8020,.F.);
#13971=ORIENTED_EDGE('',*,*,#9724,.F.);
#13972=ORIENTED_EDGE('',*,*,#9726,.T.);
#13973=ORIENTED_EDGE('',*,*,#8267,.F.);
#13974=ORIENTED_EDGE('',*,*,#9726,.F.);
#13975=ORIENTED_EDGE('',*,*,#9272,.T.);
#13976=ORIENTED_EDGE('',*,*,#9727,.T.);
#13977=ORIENTED_EDGE('',*,*,#9728,.F.);
#13978=ORIENTED_EDGE('',*,*,#8085,.F.);
#13979=ORIENTED_EDGE('',*,*,#9729,.T.);
#13980=ORIENTED_EDGE('',*,*,#9730,.T.);
#13981=ORIENTED_EDGE('',*,*,#9270,.F.);
#13982=ORIENTED_EDGE('',*,*,#8265,.T.);
#13983=ORIENTED_EDGE('',*,*,#8750,.T.);
#13984=ORIENTED_EDGE('',*,*,#8261,.T.);
#13985=ORIENTED_EDGE('',*,*,#8268,.F.);
#13986=ORIENTED_EDGE('',*,*,#9728,.T.);
#13987=ORIENTED_EDGE('',*,*,#9731,.T.);
#13988=ORIENTED_EDGE('',*,*,#9729,.F.);
#13989=ORIENTED_EDGE('',*,*,#8088,.F.);
#13990=ORIENTED_EDGE('',*,*,#8095,.T.);
#13991=ORIENTED_EDGE('',*,*,#9118,.T.);
#13992=ORIENTED_EDGE('',*,*,#8091,.T.);
#13993=ORIENTED_EDGE('',*,*,#9139,.T.);
#13994=ORIENTED_EDGE('',*,*,#8103,.T.);
#13995=ORIENTED_EDGE('',*,*,#9110,.T.);
#13996=ORIENTED_EDGE('',*,*,#8099,.T.);
#13997=ORIENTED_EDGE('',*,*,#8106,.F.);
#13998=ORIENTED_EDGE('',*,*,#8113,.T.);
#13999=ORIENTED_EDGE('',*,*,#9078,.T.);
#14000=ORIENTED_EDGE('',*,*,#8109,.T.);
#14001=ORIENTED_EDGE('',*,*,#9099,.T.);
#14002=ORIENTED_EDGE('',*,*,#8121,.T.);
#14003=ORIENTED_EDGE('',*,*,#9070,.T.);
#14004=ORIENTED_EDGE('',*,*,#8117,.T.);
#14005=ORIENTED_EDGE('',*,*,#8124,.F.);
#14006=ORIENTED_EDGE('',*,*,#8131,.T.);
#14007=ORIENTED_EDGE('',*,*,#9038,.T.);
#14008=ORIENTED_EDGE('',*,*,#8127,.T.);
#14009=ORIENTED_EDGE('',*,*,#9059,.T.);
#14010=ORIENTED_EDGE('',*,*,#8139,.T.);
#14011=ORIENTED_EDGE('',*,*,#9030,.T.);
#14012=ORIENTED_EDGE('',*,*,#8135,.T.);
#14013=ORIENTED_EDGE('',*,*,#8142,.F.);
#14014=ORIENTED_EDGE('',*,*,#8149,.T.);
#14015=ORIENTED_EDGE('',*,*,#8998,.T.);
#14016=ORIENTED_EDGE('',*,*,#8145,.T.);
#14017=ORIENTED_EDGE('',*,*,#9019,.T.);
#14018=ORIENTED_EDGE('',*,*,#8157,.T.);
#14019=ORIENTED_EDGE('',*,*,#8990,.T.);
#14020=ORIENTED_EDGE('',*,*,#8153,.T.);
#14021=ORIENTED_EDGE('',*,*,#8160,.F.);
#14022=ORIENTED_EDGE('',*,*,#8167,.T.);
#14023=ORIENTED_EDGE('',*,*,#8958,.T.);
#14024=ORIENTED_EDGE('',*,*,#8163,.T.);
#14025=ORIENTED_EDGE('',*,*,#8979,.T.);
#14026=ORIENTED_EDGE('',*,*,#8175,.T.);
#14027=ORIENTED_EDGE('',*,*,#8950,.T.);
#14028=ORIENTED_EDGE('',*,*,#8171,.T.);
#14029=ORIENTED_EDGE('',*,*,#8178,.F.);
#14030=ORIENTED_EDGE('',*,*,#8185,.T.);
#14031=ORIENTED_EDGE('',*,*,#8918,.T.);
#14032=ORIENTED_EDGE('',*,*,#8181,.T.);
#14033=ORIENTED_EDGE('',*,*,#8939,.T.);
#14034=ORIENTED_EDGE('',*,*,#8193,.T.);
#14035=ORIENTED_EDGE('',*,*,#8910,.T.);
#14036=ORIENTED_EDGE('',*,*,#8189,.T.);
#14037=ORIENTED_EDGE('',*,*,#8196,.F.);
#14038=ORIENTED_EDGE('',*,*,#8203,.T.);
#14039=ORIENTED_EDGE('',*,*,#8878,.T.);
#14040=ORIENTED_EDGE('',*,*,#8199,.T.);
#14041=ORIENTED_EDGE('',*,*,#8899,.T.);
#14042=ORIENTED_EDGE('',*,*,#8211,.T.);
#14043=ORIENTED_EDGE('',*,*,#8870,.T.);
#14044=ORIENTED_EDGE('',*,*,#8207,.T.);
#14045=ORIENTED_EDGE('',*,*,#8214,.F.);
#14046=ORIENTED_EDGE('',*,*,#8221,.T.);
#14047=ORIENTED_EDGE('',*,*,#8838,.T.);
#14048=ORIENTED_EDGE('',*,*,#8217,.T.);
#14049=ORIENTED_EDGE('',*,*,#8859,.T.);
#14050=ORIENTED_EDGE('',*,*,#8229,.T.);
#14051=ORIENTED_EDGE('',*,*,#8830,.T.);
#14052=ORIENTED_EDGE('',*,*,#8225,.T.);
#14053=ORIENTED_EDGE('',*,*,#8232,.F.);
#14054=ORIENTED_EDGE('',*,*,#8239,.T.);
#14055=ORIENTED_EDGE('',*,*,#8798,.T.);
#14056=ORIENTED_EDGE('',*,*,#8235,.T.);
#14057=ORIENTED_EDGE('',*,*,#8819,.T.);
#14058=ORIENTED_EDGE('',*,*,#8247,.T.);
#14059=ORIENTED_EDGE('',*,*,#8790,.T.);
#14060=ORIENTED_EDGE('',*,*,#8243,.T.);
#14061=ORIENTED_EDGE('',*,*,#8250,.F.);
#14062=ORIENTED_EDGE('',*,*,#8257,.T.);
#14063=ORIENTED_EDGE('',*,*,#8758,.T.);
#14064=ORIENTED_EDGE('',*,*,#8253,.T.);
#14065=ORIENTED_EDGE('',*,*,#8779,.T.);
#14066=ORIENTED_EDGE('',*,*,#8754,.T.);
#14067=ORIENTED_EDGE('',*,*,#8743,.T.);
#14068=ORIENTED_EDGE('',*,*,#8747,.T.);
#14069=ORIENTED_EDGE('',*,*,#8769,.T.);
#14070=ORIENTED_EDGE('',*,*,#8794,.T.);
#14071=ORIENTED_EDGE('',*,*,#8783,.T.);
#14072=ORIENTED_EDGE('',*,*,#8787,.T.);
#14073=ORIENTED_EDGE('',*,*,#8809,.T.);
#14074=ORIENTED_EDGE('',*,*,#8834,.T.);
#14075=ORIENTED_EDGE('',*,*,#8823,.T.);
#14076=ORIENTED_EDGE('',*,*,#8827,.T.);
#14077=ORIENTED_EDGE('',*,*,#8849,.T.);
#14078=ORIENTED_EDGE('',*,*,#8874,.T.);
#14079=ORIENTED_EDGE('',*,*,#8863,.T.);
#14080=ORIENTED_EDGE('',*,*,#8867,.T.);
#14081=ORIENTED_EDGE('',*,*,#8889,.T.);
#14082=ORIENTED_EDGE('',*,*,#8914,.T.);
#14083=ORIENTED_EDGE('',*,*,#8903,.T.);
#14084=ORIENTED_EDGE('',*,*,#8907,.T.);
#14085=ORIENTED_EDGE('',*,*,#8929,.T.);
#14086=ORIENTED_EDGE('',*,*,#8954,.T.);
#14087=ORIENTED_EDGE('',*,*,#8943,.T.);
#14088=ORIENTED_EDGE('',*,*,#8947,.T.);
#14089=ORIENTED_EDGE('',*,*,#8969,.T.);
#14090=ORIENTED_EDGE('',*,*,#8994,.T.);
#14091=ORIENTED_EDGE('',*,*,#8983,.T.);
#14092=ORIENTED_EDGE('',*,*,#8987,.T.);
#14093=ORIENTED_EDGE('',*,*,#9009,.T.);
#14094=ORIENTED_EDGE('',*,*,#9034,.T.);
#14095=ORIENTED_EDGE('',*,*,#9023,.T.);
#14096=ORIENTED_EDGE('',*,*,#9027,.T.);
#14097=ORIENTED_EDGE('',*,*,#9049,.T.);
#14098=ORIENTED_EDGE('',*,*,#9074,.T.);
#14099=ORIENTED_EDGE('',*,*,#9063,.T.);
#14100=ORIENTED_EDGE('',*,*,#9067,.T.);
#14101=ORIENTED_EDGE('',*,*,#9089,.T.);
#14102=ORIENTED_EDGE('',*,*,#9114,.T.);
#14103=ORIENTED_EDGE('',*,*,#9103,.T.);
#14104=ORIENTED_EDGE('',*,*,#9107,.T.);
#14105=ORIENTED_EDGE('',*,*,#9129,.T.);
#14106=ORIENTED_EDGE('',*,*,#9727,.F.);
#14107=ORIENTED_EDGE('',*,*,#9271,.F.);
#14108=ORIENTED_EDGE('',*,*,#9730,.F.);
#14109=ORIENTED_EDGE('',*,*,#9731,.F.);
#14110=PLANE('',#15345);
#14111=PLANE('',#15347);
#14112=PLANE('',#15350);
#14113=PLANE('',#15353);
#14114=PLANE('',#15355);
#14115=PLANE('',#15357);
#14116=PLANE('',#15359);
#14117=PLANE('',#15364);
#14118=PLANE('',#15366);
#14119=PLANE('',#15368);
#14120=PLANE('',#15370);
#14121=PLANE('',#15549);
#14122=PLANE('',#15555);
#14123=PLANE('',#15557);
#14124=PLANE('',#15558);
#14125=PLANE('',#15559);
#14126=PLANE('',#15560);
#14127=PLANE('',#15561);
#14128=PLANE('',#15562);
#14129=PLANE('',#15563);
#14130=PLANE('',#15564);
#14131=PLANE('',#15565);
#14132=PLANE('',#15566);
#14133=PLANE('',#15567);
#14134=PLANE('',#15568);
#14135=PLANE('',#15569);
#14136=PLANE('',#15778);
#14137=PLANE('',#15779);
#14138=PLANE('',#15780);
#14139=PLANE('',#15781);
#14140=PLANE('',#15782);
#14141=PLANE('',#15783);
#14142=PLANE('',#15784);
#14143=PLANE('',#15785);
#14144=PLANE('',#15786);
#14145=PLANE('',#15787);
#14146=PLANE('',#15788);
#14147=PLANE('',#15789);
#14148=PLANE('',#15790);
#14149=PLANE('',#15791);
#14150=PLANE('',#15792);
#14151=PLANE('',#15793);
#14152=PLANE('',#15794);
#14153=PLANE('',#15795);
#14154=PLANE('',#15796);
#14155=PLANE('',#15797);
#14156=PLANE('',#15798);
#14157=PLANE('',#15799);
#14158=PLANE('',#15800);
#14159=PLANE('',#15801);
#14160=PLANE('',#15802);
#14161=PLANE('',#15803);
#14162=PLANE('',#15804);
#14163=PLANE('',#15805);
#14164=PLANE('',#15806);
#14165=PLANE('',#15807);
#14166=PLANE('',#15808);
#14167=PLANE('',#15809);
#14168=PLANE('',#15810);
#14169=PLANE('',#15811);
#14170=PLANE('',#15812);
#14171=PLANE('',#15813);
#14172=PLANE('',#15814);
#14173=PLANE('',#15815);
#14174=PLANE('',#15816);
#14175=PLANE('',#15817);
#14176=PLANE('',#15818);
#14177=PLANE('',#15819);
#14178=PLANE('',#15820);
#14179=PLANE('',#16162);
#14180=PLANE('',#16163);
#14181=PLANE('',#16164);
#14182=PLANE('',#16165);
#14183=PLANE('',#16168);
#14184=PLANE('',#16169);
#14185=PLANE('',#16170);
#14186=PLANE('',#16171);
#14187=PLANE('',#16172);
#14188=PLANE('',#16173);
#14189=PLANE('',#16174);
#14190=PLANE('',#16175);
#14191=PLANE('',#16176);
#14192=PLANE('',#16177);
#14193=PLANE('',#16178);
#14194=PLANE('',#16179);
#14195=PLANE('',#16180);
#14196=PLANE('',#16181);
#14197=PLANE('',#16182);
#14198=PLANE('',#16183);
#14199=PLANE('',#16184);
#14200=PLANE('',#16185);
#14201=PLANE('',#16186);
#14202=PLANE('',#16187);
#14203=PLANE('',#16188);
#14204=PLANE('',#16189);
#14205=PLANE('',#16190);
#14206=PLANE('',#16191);
#14207=PLANE('',#16192);
#14208=PLANE('',#16193);
#14209=PLANE('',#16194);
#14210=PLANE('',#16195);
#14211=PLANE('',#16196);
#14212=PLANE('',#16209);
#14213=PLANE('',#16212);
#14214=PLANE('',#16216);
#14215=PLANE('',#16217);
#14216=PLANE('',#16220);
#14217=PLANE('',#16223);
#14218=PLANE('',#16225);
#14219=PLANE('',#16226);
#14220=PLANE('',#16228);
#14221=PLANE('',#16229);
#14222=PLANE('',#16230);
#14223=PLANE('',#16231);
#14224=PLANE('',#16235);
#14225=PLANE('',#16236);
#14226=PLANE('',#16237);
#14227=PLANE('',#16241);
#14228=PLANE('',#16242);
#14229=PLANE('',#16245);
#14230=PLANE('',#16248);
#14231=PLANE('',#16250);
#14232=PLANE('',#16251);
#14233=PLANE('',#16253);
#14234=PLANE('',#16254);
#14235=PLANE('',#16255);
#14236=PLANE('',#16256);
#14237=PLANE('',#16260);
#14238=PLANE('',#16261);
#14239=PLANE('',#16262);
#14240=PLANE('',#16266);
#14241=PLANE('',#16267);
#14242=PLANE('',#16270);
#14243=PLANE('',#16273);
#14244=PLANE('',#16275);
#14245=PLANE('',#16276);
#14246=PLANE('',#16278);
#14247=PLANE('',#16279);
#14248=PLANE('',#16280);
#14249=PLANE('',#16281);
#14250=PLANE('',#16285);
#14251=PLANE('',#16286);
#14252=PLANE('',#16287);
#14253=PLANE('',#16291);
#14254=PLANE('',#16292);
#14255=PLANE('',#16295);
#14256=PLANE('',#16298);
#14257=PLANE('',#16300);
#14258=PLANE('',#16301);
#14259=PLANE('',#16303);
#14260=PLANE('',#16304);
#14261=PLANE('',#16305);
#14262=PLANE('',#16306);
#14263=PLANE('',#16310);
#14264=PLANE('',#16311);
#14265=PLANE('',#16312);
#14266=PLANE('',#16316);
#14267=PLANE('',#16317);
#14268=PLANE('',#16320);
#14269=PLANE('',#16323);
#14270=PLANE('',#16325);
#14271=PLANE('',#16326);
#14272=PLANE('',#16328);
#14273=PLANE('',#16329);
#14274=PLANE('',#16330);
#14275=PLANE('',#16331);
#14276=PLANE('',#16335);
#14277=PLANE('',#16336);
#14278=PLANE('',#16337);
#14279=PLANE('',#16341);
#14280=PLANE('',#16342);
#14281=PLANE('',#16345);
#14282=PLANE('',#16348);
#14283=PLANE('',#16350);
#14284=PLANE('',#16351);
#14285=PLANE('',#16353);
#14286=PLANE('',#16354);
#14287=PLANE('',#16355);
#14288=PLANE('',#16356);
#14289=PLANE('',#16360);
#14290=PLANE('',#16361);
#14291=PLANE('',#16362);
#14292=PLANE('',#16366);
#14293=PLANE('',#16367);
#14294=PLANE('',#16370);
#14295=PLANE('',#16373);
#14296=PLANE('',#16375);
#14297=PLANE('',#16376);
#14298=PLANE('',#16378);
#14299=PLANE('',#16379);
#14300=PLANE('',#16380);
#14301=PLANE('',#16381);
#14302=PLANE('',#16385);
#14303=PLANE('',#16386);
#14304=PLANE('',#16387);
#14305=PLANE('',#16391);
#14306=PLANE('',#16392);
#14307=PLANE('',#16395);
#14308=PLANE('',#16398);
#14309=PLANE('',#16400);
#14310=PLANE('',#16401);
#14311=PLANE('',#16403);
#14312=PLANE('',#16404);
#14313=PLANE('',#16405);
#14314=PLANE('',#16406);
#14315=PLANE('',#16410);
#14316=PLANE('',#16411);
#14317=PLANE('',#16412);
#14318=PLANE('',#16416);
#14319=PLANE('',#16417);
#14320=PLANE('',#16420);
#14321=PLANE('',#16423);
#14322=PLANE('',#16425);
#14323=PLANE('',#16426);
#14324=PLANE('',#16428);
#14325=PLANE('',#16429);
#14326=PLANE('',#16430);
#14327=PLANE('',#16431);
#14328=PLANE('',#16435);
#14329=PLANE('',#16436);
#14330=PLANE('',#16437);
#14331=PLANE('',#16441);
#14332=PLANE('',#16442);
#14333=PLANE('',#16445);
#14334=PLANE('',#16448);
#14335=PLANE('',#16450);
#14336=PLANE('',#16451);
#14337=PLANE('',#16453);
#14338=PLANE('',#16454);
#14339=PLANE('',#16455);
#14340=PLANE('',#16456);
#14341=PLANE('',#16460);
#14342=PLANE('',#16461);
#14343=PLANE('',#16462);
#14344=PLANE('',#16463);
#14345=PLANE('',#16467);
#14346=PLANE('',#16469);
#14347=PLANE('',#16472);
#14348=PLANE('',#16474);
#14349=PLANE('',#16475);
#14350=PLANE('',#16479);
#14351=PLANE('',#16480);
#14352=PLANE('',#16481);
#14353=PLANE('',#16482);
#14354=PLANE('',#16485);
#14355=PLANE('',#16486);
#14356=PLANE('',#16487);
#14357=PLANE('',#16488);
#14358=PLANE('',#16489);
#14359=PLANE('',#16490);
#14360=PLANE('',#16491);
#14361=PLANE('',#16492);
#14362=PLANE('',#16493);
#14363=PLANE('',#16497);
#14364=PLANE('',#16499);
#14365=PLANE('',#16502);
#14366=PLANE('',#16504);
#14367=PLANE('',#16505);
#14368=PLANE('',#16509);
#14369=PLANE('',#16510);
#14370=PLANE('',#16511);
#14371=PLANE('',#16512);
#14372=PLANE('',#16515);
#14373=PLANE('',#16516);
#14374=PLANE('',#16517);
#14375=PLANE('',#16518);
#14376=PLANE('',#16519);
#14377=PLANE('',#16520);
#14378=PLANE('',#16521);
#14379=PLANE('',#16522);
#14380=PLANE('',#16526);
#14381=PLANE('',#16528);
#14382=PLANE('',#16531);
#14383=PLANE('',#16533);
#14384=PLANE('',#16534);
#14385=PLANE('',#16538);
#14386=PLANE('',#16539);
#14387=PLANE('',#16540);
#14388=PLANE('',#16541);
#14389=PLANE('',#16544);
#14390=PLANE('',#16545);
#14391=PLANE('',#16546);
#14392=PLANE('',#16547);
#14393=PLANE('',#16548);
#14394=PLANE('',#16549);
#14395=PLANE('',#16550);
#14396=PLANE('',#16551);
#14397=PLANE('',#16555);
#14398=PLANE('',#16557);
#14399=PLANE('',#16560);
#14400=PLANE('',#16562);
#14401=PLANE('',#16563);
#14402=PLANE('',#16567);
#14403=PLANE('',#16568);
#14404=PLANE('',#16569);
#14405=PLANE('',#16570);
#14406=PLANE('',#16573);
#14407=PLANE('',#16574);
#14408=PLANE('',#16575);
#14409=PLANE('',#16576);
#14410=PLANE('',#16577);
#14411=PLANE('',#16578);
#14412=PLANE('',#16579);
#14413=PLANE('',#16580);
#14414=PLANE('',#16584);
#14415=PLANE('',#16586);
#14416=PLANE('',#16589);
#14417=PLANE('',#16591);
#14418=PLANE('',#16592);
#14419=PLANE('',#16596);
#14420=PLANE('',#16597);
#14421=PLANE('',#16598);
#14422=PLANE('',#16599);
#14423=PLANE('',#16602);
#14424=PLANE('',#16603);
#14425=PLANE('',#16604);
#14426=PLANE('',#16605);
#14427=PLANE('',#16606);
#14428=PLANE('',#16607);
#14429=PLANE('',#16608);
#14430=PLANE('',#16609);
#14431=PLANE('',#16613);
#14432=PLANE('',#16615);
#14433=PLANE('',#16618);
#14434=PLANE('',#16620);
#14435=PLANE('',#16621);
#14436=PLANE('',#16625);
#14437=PLANE('',#16626);
#14438=PLANE('',#16627);
#14439=PLANE('',#16628);
#14440=PLANE('',#16631);
#14441=PLANE('',#16632);
#14442=PLANE('',#16633);
#14443=PLANE('',#16634);
#14444=PLANE('',#16635);
#14445=PLANE('',#16636);
#14446=PLANE('',#16637);
#14447=PLANE('',#16638);
#14448=PLANE('',#16642);
#14449=PLANE('',#16644);
#14450=PLANE('',#16647);
#14451=PLANE('',#16649);
#14452=PLANE('',#16650);
#14453=PLANE('',#16654);
#14454=PLANE('',#16655);
#14455=PLANE('',#16656);
#14456=PLANE('',#16657);
#14457=PLANE('',#16660);
#14458=PLANE('',#16661);
#14459=PLANE('',#16662);
#14460=PLANE('',#16663);
#14461=PLANE('',#16664);
#14462=PLANE('',#16665);
#14463=PLANE('',#16666);
#14464=PLANE('',#16667);
#14465=PLANE('',#16671);
#14466=PLANE('',#16673);
#14467=PLANE('',#16676);
#14468=PLANE('',#16678);
#14469=PLANE('',#16679);
#14470=PLANE('',#16683);
#14471=PLANE('',#16684);
#14472=PLANE('',#16685);
#14473=PLANE('',#16686);
#14474=PLANE('',#16689);
#14475=PLANE('',#16690);
#14476=PLANE('',#16691);
#14477=PLANE('',#16692);
#14478=PLANE('',#16693);
#14479=PLANE('',#16694);
#14480=PLANE('',#16695);
#14481=PLANE('',#16696);
#14482=PLANE('',#16700);
#14483=PLANE('',#16702);
#14484=PLANE('',#16705);
#14485=PLANE('',#16707);
#14486=PLANE('',#16708);
#14487=PLANE('',#16712);
#14488=PLANE('',#16713);
#14489=PLANE('',#16714);
#14490=PLANE('',#16715);
#14491=PLANE('',#16718);
#14492=PLANE('',#16719);
#14493=PLANE('',#16720);
#14494=PLANE('',#16721);
#14495=PLANE('',#16722);
#14496=PLANE('',#16723);
#14497=PLANE('',#16724);
#14498=PLANE('',#16728);
#14499=PLANE('',#16729);
#14500=PLANE('',#16732);
#14501=PLANE('',#16734);
#14502=PLANE('',#16737);
#14503=PLANE('',#16738);
#14504=PLANE('',#16742);
#14505=PLANE('',#16743);
#14506=PLANE('',#16744);
#14507=PLANE('',#16745);
#14508=PLANE('',#16747);
#14509=PLANE('',#16748);
#14510=PLANE('',#16749);
#14511=PLANE('',#16750);
#14512=PLANE('',#16751);
#14513=PLANE('',#16752);
#14514=PLANE('',#16753);
#14515=PLANE('',#16754);
#14516=PLANE('',#16755);
#14517=PLANE('',#16756);
#14518=PLANE('',#16757);
#14519=PLANE('',#16758);
#14520=PLANE('',#16759);
#14521=PLANE('',#16760);
#14522=PLANE('',#16761);
#14523=ADVANCED_FACE('',(#916),#14110,.T.);
#14524=ADVANCED_FACE('',(#917),#14111,.T.);
#14525=ADVANCED_FACE('',(#918),#14112,.T.);
#14526=ADVANCED_FACE('',(#919),#14113,.T.);
#14527=ADVANCED_FACE('',(#920),#14114,.F.);
#14528=ADVANCED_FACE('',(#921),#14115,.F.);
#14529=ADVANCED_FACE('',(#922),#14116,.F.);
#14530=ADVANCED_FACE('',(#923),#468,.T.);
#14531=ADVANCED_FACE('',(#924),#469,.T.);
#14532=ADVANCED_FACE('',(#925),#14117,.F.);
#14533=ADVANCED_FACE('',(#926),#14118,.F.);
#14534=ADVANCED_FACE('',(#927),#14119,.F.);
#14535=ADVANCED_FACE('',(#928),#14120,.F.);
#14536=ADVANCED_FACE('',(#929),#470,.T.);
#14537=ADVANCED_FACE('',(#930),#425,.F.);
#14538=ADVANCED_FACE('',(#931),#426,.T.);
#14539=ADVANCED_FACE('',(#932),#427,.F.);
#14540=ADVANCED_FACE('',(#933),#428,.T.);
#14541=ADVANCED_FACE('',(#934),#382,.T.);
#14542=ADVANCED_FACE('',(#935),#471,.T.);
#14543=ADVANCED_FACE('',(#936),#472,.F.);
#14544=ADVANCED_FACE('',(#937),#429,.F.);
#14545=ADVANCED_FACE('',(#938),#383,.T.);
#14546=ADVANCED_FACE('',(#939),#473,.T.);
#14547=ADVANCED_FACE('',(#940),#384,.T.);
#14548=ADVANCED_FACE('',(#941),#474,.F.);
#14549=ADVANCED_FACE('',(#942),#475,.T.);
#14550=ADVANCED_FACE('',(#943),#430,.F.);
#14551=ADVANCED_FACE('',(#944),#385,.T.);
#14552=ADVANCED_FACE('',(#945),#386,.T.);
#14553=ADVANCED_FACE('',(#946),#476,.F.);
#14554=ADVANCED_FACE('',(#947),#387,.T.);
#14555=ADVANCED_FACE('',(#948),#477,.T.);
#14556=ADVANCED_FACE('',(#949),#388,.T.);
#14557=ADVANCED_FACE('',(#950),#389,.T.);
#14558=ADVANCED_FACE('',(#951),#478,.T.);
#14559=ADVANCED_FACE('',(#952),#479,.F.);
#14560=ADVANCED_FACE('',(#953),#431,.T.);
#14561=ADVANCED_FACE('',(#954),#480,.T.);
#14562=ADVANCED_FACE('',(#955),#432,.T.);
#14563=ADVANCED_FACE('',(#956),#481,.T.);
#14564=ADVANCED_FACE('',(#957),#433,.F.);
#14565=ADVANCED_FACE('',(#958),#434,.T.);
#14566=ADVANCED_FACE('',(#959),#482,.T.);
#14567=ADVANCED_FACE('',(#960),#390,.T.);
#14568=ADVANCED_FACE('',(#961),#483,.T.);
#14569=ADVANCED_FACE('',(#962),#435,.T.);
#14570=ADVANCED_FACE('',(#963),#484,.T.);
#14571=ADVANCED_FACE('',(#964),#391,.T.);
#14572=ADVANCED_FACE('',(#965),#485,.T.);
#14573=ADVANCED_FACE('',(#966),#486,.F.);
#14574=ADVANCED_FACE('',(#967),#436,.F.);
#14575=ADVANCED_FACE('',(#968),#392,.T.);
#14576=ADVANCED_FACE('',(#969),#437,.F.);
#14577=ADVANCED_FACE('',(#970),#487,.F.);
#14578=ADVANCED_FACE('',(#971),#393,.T.);
#14579=ADVANCED_FACE('',(#972),#488,.F.);
#14580=ADVANCED_FACE('',(#973),#394,.T.);
#14581=ADVANCED_FACE('',(#974),#489,.T.);
#14582=ADVANCED_FACE('',(#975),#438,.T.);
#14583=ADVANCED_FACE('',(#976),#490,.T.);
#14584=ADVANCED_FACE('',(#977),#395,.T.);
#14585=ADVANCED_FACE('',(#978),#491,.T.);
#14586=ADVANCED_FACE('',(#979),#492,.T.);
#14587=ADVANCED_FACE('',(#980),#493,.F.);
#14588=ADVANCED_FACE('',(#981),#494,.T.);
#14589=ADVANCED_FACE('',(#982),#495,.F.);
#14590=ADVANCED_FACE('',(#983),#496,.F.);
#14591=ADVANCED_FACE('',(#984),#497,.T.);
#14592=ADVANCED_FACE('',(#985),#498,.F.);
#14593=ADVANCED_FACE('',(#986),#396,.F.);
#14594=ADVANCED_FACE('',(#987),#499,.F.);
#14595=ADVANCED_FACE('',(#988),#500,.T.);
#14596=ADVANCED_FACE('',(#989),#501,.F.);
#14597=ADVANCED_FACE('',(#990),#502,.T.);
#14598=ADVANCED_FACE('',(#991),#503,.T.);
#14599=ADVANCED_FACE('',(#992),#504,.F.);
#14600=ADVANCED_FACE('',(#993),#505,.T.);
#14601=ADVANCED_FACE('',(#994),#506,.F.);
#14602=ADVANCED_FACE('',(#995),#507,.T.);
#14603=ADVANCED_FACE('',(#996),#508,.T.);
#14604=ADVANCED_FACE('',(#997),#509,.F.);
#14605=ADVANCED_FACE('',(#998),#510,.F.);
#14606=ADVANCED_FACE('',(#999),#511,.F.);
#14607=ADVANCED_FACE('',(#1000),#512,.F.);
#14608=ADVANCED_FACE('',(#1001),#513,.T.);
#14609=ADVANCED_FACE('',(#1002),#14121,.F.);
#14610=ADVANCED_FACE('',(#1003),#514,.T.);
#14611=ADVANCED_FACE('',(#1004),#14122,.F.);
#14612=ADVANCED_FACE('',(#1005),#515,.T.);
#14613=ADVANCED_FACE('',(#1006),#14123,.F.);
#14614=ADVANCED_FACE('',(#1007),#14124,.F.);
#14615=ADVANCED_FACE('',(#1008),#14125,.F.);
#14616=ADVANCED_FACE('',(#1009),#14126,.F.);
#14617=ADVANCED_FACE('',(#1010),#14127,.F.);
#14618=ADVANCED_FACE('',(#1011),#14128,.F.);
#14619=ADVANCED_FACE('',(#1012),#14129,.F.);
#14620=ADVANCED_FACE('',(#1013),#14130,.F.);
#14621=ADVANCED_FACE('',(#1014),#14131,.F.);
#14622=ADVANCED_FACE('',(#1015),#14132,.F.);
#14623=ADVANCED_FACE('',(#1016),#14133,.F.);
#14624=ADVANCED_FACE('',(#1017),#14134,.F.);
#14625=ADVANCED_FACE('',(#1018),#14135,.F.);
#14626=ADVANCED_FACE('',(#1019),#516,.T.);
#14627=ADVANCED_FACE('',(#1020),#517,.T.);
#14628=ADVANCED_FACE('',(#1021),#518,.T.);
#14629=ADVANCED_FACE('',(#1022),#519,.T.);
#14630=ADVANCED_FACE('',(#1023),#520,.T.);
#14631=ADVANCED_FACE('',(#1024),#521,.T.);
#14632=ADVANCED_FACE('',(#1025),#522,.T.);
#14633=ADVANCED_FACE('',(#1026),#523,.T.);
#14634=ADVANCED_FACE('',(#1027),#524,.T.);
#14635=ADVANCED_FACE('',(#1028),#525,.T.);
#14636=ADVANCED_FACE('',(#1029),#526,.T.);
#14637=ADVANCED_FACE('',(#1030),#527,.T.);
#14638=ADVANCED_FACE('',(#1031),#528,.T.);
#14639=ADVANCED_FACE('',(#1032),#529,.T.);
#14640=ADVANCED_FACE('',(#1033),#530,.T.);
#14641=ADVANCED_FACE('',(#1034),#531,.T.);
#14642=ADVANCED_FACE('',(#1035),#532,.T.);
#14643=ADVANCED_FACE('',(#1036),#533,.T.);
#14644=ADVANCED_FACE('',(#1037),#534,.T.);
#14645=ADVANCED_FACE('',(#1038),#535,.T.);
#14646=ADVANCED_FACE('',(#1039),#536,.T.);
#14647=ADVANCED_FACE('',(#1040),#537,.T.);
#14648=ADVANCED_FACE('',(#1041),#538,.T.);
#14649=ADVANCED_FACE('',(#1042),#539,.T.);
#14650=ADVANCED_FACE('',(#1043),#540,.T.);
#14651=ADVANCED_FACE('',(#1044),#541,.T.);
#14652=ADVANCED_FACE('',(#1045),#542,.T.);
#14653=ADVANCED_FACE('',(#1046),#543,.T.);
#14654=ADVANCED_FACE('',(#1047),#544,.T.);
#14655=ADVANCED_FACE('',(#1048),#545,.T.);
#14656=ADVANCED_FACE('',(#1049),#546,.T.);
#14657=ADVANCED_FACE('',(#1050),#547,.T.);
#14658=ADVANCED_FACE('',(#1051),#548,.T.);
#14659=ADVANCED_FACE('',(#1052),#549,.T.);
#14660=ADVANCED_FACE('',(#1053),#550,.T.);
#14661=ADVANCED_FACE('',(#1054),#551,.T.);
#14662=ADVANCED_FACE('',(#1055),#552,.T.);
#14663=ADVANCED_FACE('',(#1056),#553,.T.);
#14664=ADVANCED_FACE('',(#1057),#554,.T.);
#14665=ADVANCED_FACE('',(#1058),#555,.T.);
#14666=ADVANCED_FACE('',(#1059),#556,.T.);
#14667=ADVANCED_FACE('',(#1060),#557,.T.);
#14668=ADVANCED_FACE('',(#1061),#558,.T.);
#14669=ADVANCED_FACE('',(#1062),#559,.T.);
#14670=ADVANCED_FACE('',(#1063),#560,.T.);
#14671=ADVANCED_FACE('',(#1064),#561,.T.);
#14672=ADVANCED_FACE('',(#1065),#562,.T.);
#14673=ADVANCED_FACE('',(#1066),#563,.T.);
#14674=ADVANCED_FACE('',(#1067),#564,.T.);
#14675=ADVANCED_FACE('',(#1068),#565,.T.);
#14676=ADVANCED_FACE('',(#1069),#566,.T.);
#14677=ADVANCED_FACE('',(#1070),#567,.T.);
#14678=ADVANCED_FACE('',(#1071),#568,.T.);
#14679=ADVANCED_FACE('',(#1072),#569,.T.);
#14680=ADVANCED_FACE('',(#1073),#570,.T.);
#14681=ADVANCED_FACE('',(#1074),#571,.T.);
#14682=ADVANCED_FACE('',(#1075),#572,.T.);
#14683=ADVANCED_FACE('',(#1076),#573,.T.);
#14684=ADVANCED_FACE('',(#1077),#574,.T.);
#14685=ADVANCED_FACE('',(#1078),#575,.T.);
#14686=ADVANCED_FACE('',(#1079),#576,.T.);
#14687=ADVANCED_FACE('',(#1080),#577,.T.);
#14688=ADVANCED_FACE('',(#1081),#578,.T.);
#14689=ADVANCED_FACE('',(#1082),#579,.T.);
#14690=ADVANCED_FACE('',(#1083),#580,.T.);
#14691=ADVANCED_FACE('',(#1084),#581,.T.);
#14692=ADVANCED_FACE('',(#1085),#582,.T.);
#14693=ADVANCED_FACE('',(#1086),#583,.T.);
#14694=ADVANCED_FACE('',(#1087),#584,.T.);
#14695=ADVANCED_FACE('',(#1088),#585,.T.);
#14696=ADVANCED_FACE('',(#1089),#586,.T.);
#14697=ADVANCED_FACE('',(#1090),#587,.T.);
#14698=ADVANCED_FACE('',(#1091),#588,.T.);
#14699=ADVANCED_FACE('',(#1092),#589,.T.);
#14700=ADVANCED_FACE('',(#1093),#590,.T.);
#14701=ADVANCED_FACE('',(#1094),#591,.T.);
#14702=ADVANCED_FACE('',(#1095),#592,.T.);
#14703=ADVANCED_FACE('',(#1096),#593,.T.);
#14704=ADVANCED_FACE('',(#1097),#594,.T.);
#14705=ADVANCED_FACE('',(#1098),#595,.T.);
#14706=ADVANCED_FACE('',(#1099),#596,.T.);
#14707=ADVANCED_FACE('',(#1100),#597,.T.);
#14708=ADVANCED_FACE('',(#1101),#598,.T.);
#14709=ADVANCED_FACE('',(#1102),#599,.T.);
#14710=ADVANCED_FACE('',(#1103),#14136,.T.);
#14711=ADVANCED_FACE('',(#1104),#14137,.T.);
#14712=ADVANCED_FACE('',(#1105),#14138,.T.);
#14713=ADVANCED_FACE('',(#1106),#14139,.T.);
#14714=ADVANCED_FACE('',(#1107),#14140,.T.);
#14715=ADVANCED_FACE('',(#1108),#14141,.T.);
#14716=ADVANCED_FACE('',(#1109),#14142,.T.);
#14717=ADVANCED_FACE('',(#1110),#14143,.T.);
#14718=ADVANCED_FACE('',(#1111),#14144,.T.);
#14719=ADVANCED_FACE('',(#1112),#14145,.T.);
#14720=ADVANCED_FACE('',(#1113),#14146,.T.);
#14721=ADVANCED_FACE('',(#1114),#14147,.T.);
#14722=ADVANCED_FACE('',(#1115),#14148,.T.);
#14723=ADVANCED_FACE('',(#1116),#14149,.T.);
#14724=ADVANCED_FACE('',(#1117),#14150,.T.);
#14725=ADVANCED_FACE('',(#1118),#14151,.T.);
#14726=ADVANCED_FACE('',(#1119),#14152,.T.);
#14727=ADVANCED_FACE('',(#1120),#14153,.T.);
#14728=ADVANCED_FACE('',(#1121),#14154,.T.);
#14729=ADVANCED_FACE('',(#1122),#14155,.T.);
#14730=ADVANCED_FACE('',(#1123),#14156,.T.);
#14731=ADVANCED_FACE('',(#1124),#14157,.T.);
#14732=ADVANCED_FACE('',(#1125),#14158,.T.);
#14733=ADVANCED_FACE('',(#1126),#14159,.T.);
#14734=ADVANCED_FACE('',(#1127),#14160,.T.);
#14735=ADVANCED_FACE('',(#1128),#14161,.T.);
#14736=ADVANCED_FACE('',(#1129),#14162,.T.);
#14737=ADVANCED_FACE('',(#1130),#14163,.T.);
#14738=ADVANCED_FACE('',(#1131),#14164,.T.);
#14739=ADVANCED_FACE('',(#1132),#14165,.T.);
#14740=ADVANCED_FACE('',(#1133),#14166,.T.);
#14741=ADVANCED_FACE('',(#1134),#14167,.T.);
#14742=ADVANCED_FACE('',(#1135),#14168,.T.);
#14743=ADVANCED_FACE('',(#1136),#14169,.T.);
#14744=ADVANCED_FACE('',(#1137),#14170,.T.);
#14745=ADVANCED_FACE('',(#1138),#14171,.T.);
#14746=ADVANCED_FACE('',(#1139),#14172,.T.);
#14747=ADVANCED_FACE('',(#1140),#14173,.T.);
#14748=ADVANCED_FACE('',(#1141),#14174,.T.);
#14749=ADVANCED_FACE('',(#1142),#14175,.T.);
#14750=ADVANCED_FACE('',(#1143),#14176,.T.);
#14751=ADVANCED_FACE('',(#1144),#14177,.T.);
#14752=ADVANCED_FACE('',(#1145,#338),#14178,.T.);
#14753=ADVANCED_FACE('',(#1146),#439,.F.);
#14754=ADVANCED_FACE('',(#1147),#440,.T.);
#14755=ADVANCED_FACE('',(#1148),#397,.T.);
#14756=ADVANCED_FACE('',(#1149),#600,.F.);
#14757=ADVANCED_FACE('',(#1150),#441,.F.);
#14758=ADVANCED_FACE('',(#1151),#398,.T.);
#14759=ADVANCED_FACE('',(#1152),#442,.F.);
#14760=ADVANCED_FACE('',(#1153),#443,.T.);
#14761=ADVANCED_FACE('',(#1154),#399,.T.);
#14762=ADVANCED_FACE('',(#1155),#400,.T.);
#14763=ADVANCED_FACE('',(#1156),#401,.T.);
#14764=ADVANCED_FACE('',(#1157),#601,.T.);
#14765=ADVANCED_FACE('',(#1158),#444,.F.);
#14766=ADVANCED_FACE('',(#1159),#402,.T.);
#14767=ADVANCED_FACE('',(#1160),#445,.T.);
#14768=ADVANCED_FACE('',(#1161),#602,.T.);
#14769=ADVANCED_FACE('',(#1162),#403,.T.);
#14770=ADVANCED_FACE('',(#1163),#603,.F.);
#14771=ADVANCED_FACE('',(#1164),#604,.T.);
#14772=ADVANCED_FACE('',(#1165),#404,.T.);
#14773=ADVANCED_FACE('',(#1166),#605,.T.);
#14774=ADVANCED_FACE('',(#1167),#606,.F.);
#14775=ADVANCED_FACE('',(#1168),#446,.F.);
#14776=ADVANCED_FACE('',(#1169),#447,.T.);
#14777=ADVANCED_FACE('',(#1170),#448,.T.);
#14778=ADVANCED_FACE('',(#1171),#405,.T.);
#14779=ADVANCED_FACE('',(#1172),#607,.F.);
#14780=ADVANCED_FACE('',(#1173),#449,.F.);
#14781=ADVANCED_FACE('',(#1174),#406,.T.);
#14782=ADVANCED_FACE('',(#1175),#407,.T.);
#14783=ADVANCED_FACE('',(#1176),#608,.T.);
#14784=ADVANCED_FACE('',(#1177),#609,.F.);
#14785=ADVANCED_FACE('',(#1178),#408,.T.);
#14786=ADVANCED_FACE('',(#1179),#409,.T.);
#14787=ADVANCED_FACE('',(#1180),#610,.T.);
#14788=ADVANCED_FACE('',(#1181),#450,.T.);
#14789=ADVANCED_FACE('',(#1182),#410,.T.);
#14790=ADVANCED_FACE('',(#1183),#611,.T.);
#14791=ADVANCED_FACE('',(#1184),#451,.T.);
#14792=ADVANCED_FACE('',(#1185),#612,.T.);
#14793=ADVANCED_FACE('',(#1186),#452,.T.);
#14794=ADVANCED_FACE('',(#1187),#613,.T.);
#14795=ADVANCED_FACE('',(#1188),#453,.T.);
#14796=ADVANCED_FACE('',(#1189),#411,.F.);
#14797=ADVANCED_FACE('',(#1190),#614,.F.);
#14798=ADVANCED_FACE('',(#1191),#412,.T.);
#14799=ADVANCED_FACE('',(#1192),#454,.T.);
#14800=ADVANCED_FACE('',(#1193),#413,.T.);
#14801=ADVANCED_FACE('',(#1194),#455,.T.);
#14802=ADVANCED_FACE('',(#1195),#615,.T.);
#14803=ADVANCED_FACE('',(#1196),#616,.T.);
#14804=ADVANCED_FACE('',(#1197),#337,.T.);
#14805=ADVANCED_FACE('',(#1198),#617,.F.);
#14806=ADVANCED_FACE('',(#1199),#456,.T.);
#14807=ADVANCED_FACE('',(#1200),#414,.F.);
#14808=ADVANCED_FACE('',(#1201),#618,.F.);
#14809=ADVANCED_FACE('',(#1202),#619,.T.);
#14810=ADVANCED_FACE('',(#1203),#457,.T.);
#14811=ADVANCED_FACE('',(#1204),#620,.T.);
#14812=ADVANCED_FACE('',(#1205),#415,.T.);
#14813=ADVANCED_FACE('',(#1206),#621,.T.);
#14814=ADVANCED_FACE('',(#1207),#622,.F.);
#14815=ADVANCED_FACE('',(#1208),#458,.T.);
#14816=ADVANCED_FACE('',(#1209),#623,.T.);
#14817=ADVANCED_FACE('',(#1210),#416,.T.);
#14818=ADVANCED_FACE('',(#1211),#417,.F.);
#14819=ADVANCED_FACE('',(#1212),#418,.T.);
#14820=ADVANCED_FACE('',(#1213),#459,.T.);
#14821=ADVANCED_FACE('',(#1214),#624,.T.);
#14822=ADVANCED_FACE('',(#1215),#460,.T.);
#14823=ADVANCED_FACE('',(#1216),#419,.T.);
#14824=ADVANCED_FACE('',(#1217),#461,.T.);
#14825=ADVANCED_FACE('',(#1218),#625,.T.);
#14826=ADVANCED_FACE('',(#1219),#462,.T.);
#14827=ADVANCED_FACE('',(#1220),#626,.T.);
#14828=ADVANCED_FACE('',(#1221),#627,.T.);
#14829=ADVANCED_FACE('',(#1222),#628,.T.);
#14830=ADVANCED_FACE('',(#1223),#463,.T.);
#14831=ADVANCED_FACE('',(#1224),#464,.T.);
#14832=ADVANCED_FACE('',(#1225),#629,.T.);
#14833=ADVANCED_FACE('',(#1226),#465,.T.);
#14834=ADVANCED_FACE('',(#1227),#630,.T.);
#14835=ADVANCED_FACE('',(#1228),#631,.T.);
#14836=ADVANCED_FACE('',(#1229),#466,.T.);
#14837=ADVANCED_FACE('',(#1230),#420,.T.);
#14838=ADVANCED_FACE('',(#1231),#467,.T.);
#14839=ADVANCED_FACE('',(#1232),#632,.T.);
#14840=ADVANCED_FACE('',(#1233),#633,.T.);
#14841=ADVANCED_FACE('',(#1234),#421,.F.);
#14842=ADVANCED_FACE('',(#1235),#422,.T.);
#14843=ADVANCED_FACE('',(#1236),#634,.T.);
#14844=ADVANCED_FACE('',(#1237),#635,.F.);
#14845=ADVANCED_FACE('',(#1238),#636,.T.);
#14846=ADVANCED_FACE('',(#1239),#637,.F.);
#14847=ADVANCED_FACE('',(#1240),#638,.T.);
#14848=ADVANCED_FACE('',(#1241),#639,.T.);
#14849=ADVANCED_FACE('',(#1242),#640,.T.);
#14850=ADVANCED_FACE('',(#1243),#641,.T.);
#14851=ADVANCED_FACE('',(#1244),#642,.T.);
#14852=ADVANCED_FACE('',(#1245),#643,.T.);
#14853=ADVANCED_FACE('',(#1246),#644,.T.);
#14854=ADVANCED_FACE('',(#1247),#645,.T.);
#14855=ADVANCED_FACE('',(#1248),#646,.F.);
#14856=ADVANCED_FACE('',(#1249),#647,.T.);
#14857=ADVANCED_FACE('',(#1250),#648,.T.);
#14858=ADVANCED_FACE('',(#1251),#649,.T.);
#14859=ADVANCED_FACE('',(#1252),#650,.T.);
#14860=ADVANCED_FACE('',(#1253),#651,.F.);
#14861=ADVANCED_FACE('',(#1254),#652,.F.);
#14862=ADVANCED_FACE('',(#1255),#653,.T.);
#14863=ADVANCED_FACE('',(#1256),#654,.T.);
#14864=ADVANCED_FACE('',(#1257),#655,.T.);
#14865=ADVANCED_FACE('',(#1258),#656,.T.);
#14866=ADVANCED_FACE('',(#1259),#657,.T.);
#14867=ADVANCED_FACE('',(#1260),#658,.T.);
#14868=ADVANCED_FACE('',(#1261),#659,.F.);
#14869=ADVANCED_FACE('',(#1262),#660,.T.);
#14870=ADVANCED_FACE('',(#1263),#661,.T.);
#14871=ADVANCED_FACE('',(#1264),#662,.T.);
#14872=ADVANCED_FACE('',(#1265),#663,.T.);
#14873=ADVANCED_FACE('',(#1266),#664,.T.);
#14874=ADVANCED_FACE('',(#1267),#665,.T.);
#14875=ADVANCED_FACE('',(#1268),#666,.F.);
#14876=ADVANCED_FACE('',(#1269),#667,.T.);
#14877=ADVANCED_FACE('',(#1270),#668,.F.);
#14878=ADVANCED_FACE('',(#1271),#669,.T.);
#14879=ADVANCED_FACE('',(#1272),#670,.F.);
#14880=ADVANCED_FACE('',(#1273),#671,.F.);
#14881=ADVANCED_FACE('',(#1274),#672,.T.);
#14882=ADVANCED_FACE('',(#1275),#673,.F.);
#14883=ADVANCED_FACE('',(#1276),#674,.T.);
#14884=ADVANCED_FACE('',(#1277),#675,.T.);
#14885=ADVANCED_FACE('',(#1278),#676,.T.);
#14886=ADVANCED_FACE('',(#1279),#677,.T.);
#14887=ADVANCED_FACE('',(#1280),#678,.T.);
#14888=ADVANCED_FACE('',(#1281),#679,.T.);
#14889=ADVANCED_FACE('',(#1282),#680,.F.);
#14890=ADVANCED_FACE('',(#1283),#681,.F.);
#14891=ADVANCED_FACE('',(#1284),#682,.F.);
#14892=ADVANCED_FACE('',(#1285),#683,.F.);
#14893=ADVANCED_FACE('',(#1286),#684,.T.);
#14894=ADVANCED_FACE('',(#1287),#685,.T.);
#14895=ADVANCED_FACE('',(#1288),#686,.F.);
#14896=ADVANCED_FACE('',(#1289),#687,.T.);
#14897=ADVANCED_FACE('',(#1290),#688,.F.);
#14898=ADVANCED_FACE('',(#1291),#689,.T.);
#14899=ADVANCED_FACE('',(#1292),#690,.F.);
#14900=ADVANCED_FACE('',(#1293),#691,.T.);
#14901=ADVANCED_FACE('',(#1294),#14179,.T.);
#14902=ADVANCED_FACE('',(#1295),#14180,.T.);
#14903=ADVANCED_FACE('',(#1296),#14181,.T.);
#14904=ADVANCED_FACE('',(#1297,#339,#340,#341,#342,#343,#344,#345,#346,
#347,#348,#349,#350,#351,#352,#353,#354,#355,#356,#357,#358,#359,#360),
#14182,.F.);
#14905=ADVANCED_FACE('',(#1298),#14183,.T.);
#14906=ADVANCED_FACE('',(#1299),#14184,.T.);
#14907=ADVANCED_FACE('',(#1300),#14185,.T.);
#14908=ADVANCED_FACE('',(#1301),#14186,.T.);
#14909=ADVANCED_FACE('',(#1302),#14187,.T.);
#14910=ADVANCED_FACE('',(#1303),#14188,.T.);
#14911=ADVANCED_FACE('',(#1304),#14189,.T.);
#14912=ADVANCED_FACE('',(#1305),#14190,.T.);
#14913=ADVANCED_FACE('',(#1306),#14191,.T.);
#14914=ADVANCED_FACE('',(#1307),#14192,.T.);
#14915=ADVANCED_FACE('',(#1308),#14193,.T.);
#14916=ADVANCED_FACE('',(#1309),#14194,.T.);
#14917=ADVANCED_FACE('',(#1310),#14195,.F.);
#14918=ADVANCED_FACE('',(#1311),#14196,.F.);
#14919=ADVANCED_FACE('',(#1312),#14197,.F.);
#14920=ADVANCED_FACE('',(#1313),#14198,.F.);
#14921=ADVANCED_FACE('',(#1314),#14199,.F.);
#14922=ADVANCED_FACE('',(#1315),#14200,.T.);
#14923=ADVANCED_FACE('',(#1316),#14201,.F.);
#14924=ADVANCED_FACE('',(#1317),#14202,.F.);
#14925=ADVANCED_FACE('',(#1318),#14203,.T.);
#14926=ADVANCED_FACE('',(#1319),#14204,.T.);
#14927=ADVANCED_FACE('',(#1320),#14205,.T.);
#14928=ADVANCED_FACE('',(#1321),#14206,.F.);
#14929=ADVANCED_FACE('',(#1322),#14207,.F.);
#14930=ADVANCED_FACE('',(#1323),#14208,.T.);
#14931=ADVANCED_FACE('',(#1324),#14209,.F.);
#14932=ADVANCED_FACE('',(#1325),#14210,.T.);
#14933=ADVANCED_FACE('',(#1326),#14211,.T.);
#14934=ADVANCED_FACE('',(#1327),#423,.F.);
#14935=ADVANCED_FACE('',(#1328),#692,.T.);
#14936=ADVANCED_FACE('',(#1329),#424,.F.);
#14937=ADVANCED_FACE('',(#1330),#693,.T.);
#14938=ADVANCED_FACE('',(#1331),#335,.F.);
#14939=ADVANCED_FACE('',(#1332),#14212,.T.);
#14940=ADVANCED_FACE('',(#1333),#336,.F.);
#14941=ADVANCED_FACE('',(#1334),#14213,.T.);
#14942=ADVANCED_FACE('',(#1335),#694,.F.);
#14943=ADVANCED_FACE('',(#1336),#14214,.F.);
#14944=ADVANCED_FACE('',(#1337),#14215,.F.);
#14945=ADVANCED_FACE('',(#1338),#14216,.T.);
#14946=ADVANCED_FACE('',(#1339),#14217,.T.);
#14947=ADVANCED_FACE('',(#1340),#14218,.F.);
#14948=ADVANCED_FACE('',(#1341),#14219,.F.);
#14949=ADVANCED_FACE('',(#1342),#695,.F.);
#14950=ADVANCED_FACE('',(#1343),#14220,.F.);
#14951=ADVANCED_FACE('',(#1344),#14221,.F.);
#14952=ADVANCED_FACE('',(#1345),#14222,.F.);
#14953=ADVANCED_FACE('',(#1346),#14223,.F.);
#14954=ADVANCED_FACE('',(#1347),#696,.T.);
#14955=ADVANCED_FACE('',(#1348),#697,.T.);
#14956=ADVANCED_FACE('',(#1349),#14224,.F.);
#14957=ADVANCED_FACE('',(#1350),#14225,.F.);
#14958=ADVANCED_FACE('',(#1351),#14226,.T.);
#14959=ADVANCED_FACE('',(#1352),#698,.F.);
#14960=ADVANCED_FACE('',(#1353),#14227,.F.);
#14961=ADVANCED_FACE('',(#1354),#14228,.F.);
#14962=ADVANCED_FACE('',(#1355),#14229,.T.);
#14963=ADVANCED_FACE('',(#1356),#14230,.T.);
#14964=ADVANCED_FACE('',(#1357),#14231,.F.);
#14965=ADVANCED_FACE('',(#1358),#14232,.F.);
#14966=ADVANCED_FACE('',(#1359),#699,.F.);
#14967=ADVANCED_FACE('',(#1360),#14233,.F.);
#14968=ADVANCED_FACE('',(#1361),#14234,.F.);
#14969=ADVANCED_FACE('',(#1362),#14235,.F.);
#14970=ADVANCED_FACE('',(#1363),#14236,.F.);
#14971=ADVANCED_FACE('',(#1364),#700,.T.);
#14972=ADVANCED_FACE('',(#1365),#701,.T.);
#14973=ADVANCED_FACE('',(#1366),#14237,.F.);
#14974=ADVANCED_FACE('',(#1367),#14238,.F.);
#14975=ADVANCED_FACE('',(#1368),#14239,.T.);
#14976=ADVANCED_FACE('',(#1369),#702,.F.);
#14977=ADVANCED_FACE('',(#1370),#14240,.F.);
#14978=ADVANCED_FACE('',(#1371),#14241,.F.);
#14979=ADVANCED_FACE('',(#1372),#14242,.T.);
#14980=ADVANCED_FACE('',(#1373),#14243,.T.);
#14981=ADVANCED_FACE('',(#1374),#14244,.F.);
#14982=ADVANCED_FACE('',(#1375),#14245,.F.);
#14983=ADVANCED_FACE('',(#1376),#703,.F.);
#14984=ADVANCED_FACE('',(#1377),#14246,.F.);
#14985=ADVANCED_FACE('',(#1378),#14247,.F.);
#14986=ADVANCED_FACE('',(#1379),#14248,.F.);
#14987=ADVANCED_FACE('',(#1380),#14249,.F.);
#14988=ADVANCED_FACE('',(#1381),#704,.T.);
#14989=ADVANCED_FACE('',(#1382),#705,.T.);
#14990=ADVANCED_FACE('',(#1383),#14250,.F.);
#14991=ADVANCED_FACE('',(#1384),#14251,.F.);
#14992=ADVANCED_FACE('',(#1385),#14252,.T.);
#14993=ADVANCED_FACE('',(#1386),#706,.F.);
#14994=ADVANCED_FACE('',(#1387),#14253,.F.);
#14995=ADVANCED_FACE('',(#1388),#14254,.F.);
#14996=ADVANCED_FACE('',(#1389),#14255,.T.);
#14997=ADVANCED_FACE('',(#1390),#14256,.T.);
#14998=ADVANCED_FACE('',(#1391),#14257,.F.);
#14999=ADVANCED_FACE('',(#1392),#14258,.F.);
#15000=ADVANCED_FACE('',(#1393),#707,.F.);
#15001=ADVANCED_FACE('',(#1394),#14259,.F.);
#15002=ADVANCED_FACE('',(#1395),#14260,.F.);
#15003=ADVANCED_FACE('',(#1396),#14261,.F.);
#15004=ADVANCED_FACE('',(#1397),#14262,.F.);
#15005=ADVANCED_FACE('',(#1398),#708,.T.);
#15006=ADVANCED_FACE('',(#1399),#709,.T.);
#15007=ADVANCED_FACE('',(#1400),#14263,.F.);
#15008=ADVANCED_FACE('',(#1401),#14264,.F.);
#15009=ADVANCED_FACE('',(#1402),#14265,.T.);
#15010=ADVANCED_FACE('',(#1403),#710,.F.);
#15011=ADVANCED_FACE('',(#1404),#14266,.F.);
#15012=ADVANCED_FACE('',(#1405),#14267,.F.);
#15013=ADVANCED_FACE('',(#1406),#14268,.T.);
#15014=ADVANCED_FACE('',(#1407),#14269,.T.);
#15015=ADVANCED_FACE('',(#1408),#14270,.F.);
#15016=ADVANCED_FACE('',(#1409),#14271,.F.);
#15017=ADVANCED_FACE('',(#1410),#711,.F.);
#15018=ADVANCED_FACE('',(#1411),#14272,.F.);
#15019=ADVANCED_FACE('',(#1412),#14273,.F.);
#15020=ADVANCED_FACE('',(#1413),#14274,.F.);
#15021=ADVANCED_FACE('',(#1414),#14275,.F.);
#15022=ADVANCED_FACE('',(#1415),#712,.T.);
#15023=ADVANCED_FACE('',(#1416),#713,.T.);
#15024=ADVANCED_FACE('',(#1417),#14276,.F.);
#15025=ADVANCED_FACE('',(#1418),#14277,.F.);
#15026=ADVANCED_FACE('',(#1419),#14278,.T.);
#15027=ADVANCED_FACE('',(#1420),#714,.F.);
#15028=ADVANCED_FACE('',(#1421),#14279,.F.);
#15029=ADVANCED_FACE('',(#1422),#14280,.F.);
#15030=ADVANCED_FACE('',(#1423),#14281,.T.);
#15031=ADVANCED_FACE('',(#1424),#14282,.T.);
#15032=ADVANCED_FACE('',(#1425),#14283,.F.);
#15033=ADVANCED_FACE('',(#1426),#14284,.F.);
#15034=ADVANCED_FACE('',(#1427),#715,.F.);
#15035=ADVANCED_FACE('',(#1428),#14285,.F.);
#15036=ADVANCED_FACE('',(#1429),#14286,.F.);
#15037=ADVANCED_FACE('',(#1430),#14287,.F.);
#15038=ADVANCED_FACE('',(#1431),#14288,.F.);
#15039=ADVANCED_FACE('',(#1432),#716,.T.);
#15040=ADVANCED_FACE('',(#1433),#717,.T.);
#15041=ADVANCED_FACE('',(#1434),#14289,.F.);
#15042=ADVANCED_FACE('',(#1435),#14290,.F.);
#15043=ADVANCED_FACE('',(#1436),#14291,.T.);
#15044=ADVANCED_FACE('',(#1437),#718,.F.);
#15045=ADVANCED_FACE('',(#1438),#14292,.F.);
#15046=ADVANCED_FACE('',(#1439),#14293,.F.);
#15047=ADVANCED_FACE('',(#1440),#14294,.T.);
#15048=ADVANCED_FACE('',(#1441),#14295,.T.);
#15049=ADVANCED_FACE('',(#1442),#14296,.F.);
#15050=ADVANCED_FACE('',(#1443),#14297,.F.);
#15051=ADVANCED_FACE('',(#1444),#719,.F.);
#15052=ADVANCED_FACE('',(#1445),#14298,.F.);
#15053=ADVANCED_FACE('',(#1446),#14299,.F.);
#15054=ADVANCED_FACE('',(#1447),#14300,.F.);
#15055=ADVANCED_FACE('',(#1448),#14301,.F.);
#15056=ADVANCED_FACE('',(#1449),#720,.T.);
#15057=ADVANCED_FACE('',(#1450),#721,.T.);
#15058=ADVANCED_FACE('',(#1451),#14302,.F.);
#15059=ADVANCED_FACE('',(#1452),#14303,.F.);
#15060=ADVANCED_FACE('',(#1453),#14304,.T.);
#15061=ADVANCED_FACE('',(#1454),#722,.F.);
#15062=ADVANCED_FACE('',(#1455),#14305,.F.);
#15063=ADVANCED_FACE('',(#1456),#14306,.F.);
#15064=ADVANCED_FACE('',(#1457),#14307,.T.);
#15065=ADVANCED_FACE('',(#1458),#14308,.T.);
#15066=ADVANCED_FACE('',(#1459),#14309,.F.);
#15067=ADVANCED_FACE('',(#1460),#14310,.F.);
#15068=ADVANCED_FACE('',(#1461),#723,.F.);
#15069=ADVANCED_FACE('',(#1462),#14311,.F.);
#15070=ADVANCED_FACE('',(#1463),#14312,.F.);
#15071=ADVANCED_FACE('',(#1464),#14313,.F.);
#15072=ADVANCED_FACE('',(#1465),#14314,.F.);
#15073=ADVANCED_FACE('',(#1466),#724,.T.);
#15074=ADVANCED_FACE('',(#1467),#725,.T.);
#15075=ADVANCED_FACE('',(#1468),#14315,.F.);
#15076=ADVANCED_FACE('',(#1469),#14316,.F.);
#15077=ADVANCED_FACE('',(#1470),#14317,.T.);
#15078=ADVANCED_FACE('',(#1471),#726,.F.);
#15079=ADVANCED_FACE('',(#1472),#14318,.F.);
#15080=ADVANCED_FACE('',(#1473),#14319,.F.);
#15081=ADVANCED_FACE('',(#1474),#14320,.T.);
#15082=ADVANCED_FACE('',(#1475),#14321,.T.);
#15083=ADVANCED_FACE('',(#1476),#14322,.F.);
#15084=ADVANCED_FACE('',(#1477),#14323,.F.);
#15085=ADVANCED_FACE('',(#1478),#727,.F.);
#15086=ADVANCED_FACE('',(#1479),#14324,.F.);
#15087=ADVANCED_FACE('',(#1480),#14325,.F.);
#15088=ADVANCED_FACE('',(#1481),#14326,.F.);
#15089=ADVANCED_FACE('',(#1482),#14327,.F.);
#15090=ADVANCED_FACE('',(#1483),#728,.T.);
#15091=ADVANCED_FACE('',(#1484),#729,.T.);
#15092=ADVANCED_FACE('',(#1485),#14328,.F.);
#15093=ADVANCED_FACE('',(#1486),#14329,.F.);
#15094=ADVANCED_FACE('',(#1487),#14330,.T.);
#15095=ADVANCED_FACE('',(#1488),#730,.F.);
#15096=ADVANCED_FACE('',(#1489),#14331,.F.);
#15097=ADVANCED_FACE('',(#1490),#14332,.F.);
#15098=ADVANCED_FACE('',(#1491),#14333,.T.);
#15099=ADVANCED_FACE('',(#1492),#14334,.T.);
#15100=ADVANCED_FACE('',(#1493),#14335,.F.);
#15101=ADVANCED_FACE('',(#1494),#14336,.F.);
#15102=ADVANCED_FACE('',(#1495),#731,.F.);
#15103=ADVANCED_FACE('',(#1496),#14337,.F.);
#15104=ADVANCED_FACE('',(#1497),#14338,.F.);
#15105=ADVANCED_FACE('',(#1498),#14339,.F.);
#15106=ADVANCED_FACE('',(#1499),#14340,.F.);
#15107=ADVANCED_FACE('',(#1500),#732,.T.);
#15108=ADVANCED_FACE('',(#1501),#733,.T.);
#15109=ADVANCED_FACE('',(#1502),#14341,.F.);
#15110=ADVANCED_FACE('',(#1503),#14342,.F.);
#15111=ADVANCED_FACE('',(#1504),#14343,.T.);
#15112=ADVANCED_FACE('',(#1505),#14344,.T.);
#15113=ADVANCED_FACE('',(#1506),#734,.T.);
#15114=ADVANCED_FACE('',(#1507),#14345,.F.);
#15115=ADVANCED_FACE('',(#1508),#14346,.F.);
#15116=ADVANCED_FACE('',(#1509),#14347,.T.);
#15117=ADVANCED_FACE('',(#1510),#14348,.T.);
#15118=ADVANCED_FACE('',(#1511),#14349,.T.);
#15119=ADVANCED_FACE('',(#1512),#735,.F.);
#15120=ADVANCED_FACE('',(#1513),#736,.F.);
#15121=ADVANCED_FACE('',(#1514),#14350,.T.);
#15122=ADVANCED_FACE('',(#1515),#14351,.T.);
#15123=ADVANCED_FACE('',(#1516),#14352,.T.);
#15124=ADVANCED_FACE('',(#1517),#14353,.T.);
#15125=ADVANCED_FACE('',(#1518),#737,.T.);
#15126=ADVANCED_FACE('',(#1519),#14354,.T.);
#15127=ADVANCED_FACE('',(#1520),#14355,.T.);
#15128=ADVANCED_FACE('',(#1521),#14356,.F.);
#15129=ADVANCED_FACE('',(#1522),#14357,.F.);
#15130=ADVANCED_FACE('',(#1523),#14358,.F.);
#15131=ADVANCED_FACE('',(#1524),#14359,.F.);
#15132=ADVANCED_FACE('',(#1525,#361,#362,#363,#364,#365,#366,#367,#368,
#369,#370),#14360,.F.);
#15133=ADVANCED_FACE('',(#1526),#14361,.T.);
#15134=ADVANCED_FACE('',(#1527),#14362,.T.);
#15135=ADVANCED_FACE('',(#1528),#738,.T.);
#15136=ADVANCED_FACE('',(#1529),#14363,.F.);
#15137=ADVANCED_FACE('',(#1530),#14364,.F.);
#15138=ADVANCED_FACE('',(#1531),#14365,.T.);
#15139=ADVANCED_FACE('',(#1532),#14366,.T.);
#15140=ADVANCED_FACE('',(#1533),#14367,.T.);
#15141=ADVANCED_FACE('',(#1534),#739,.F.);
#15142=ADVANCED_FACE('',(#1535),#740,.F.);
#15143=ADVANCED_FACE('',(#1536),#14368,.T.);
#15144=ADVANCED_FACE('',(#1537),#14369,.T.);
#15145=ADVANCED_FACE('',(#1538),#14370,.T.);
#15146=ADVANCED_FACE('',(#1539),#14371,.T.);
#15147=ADVANCED_FACE('',(#1540),#741,.T.);
#15148=ADVANCED_FACE('',(#1541),#14372,.T.);
#15149=ADVANCED_FACE('',(#1542),#14373,.T.);
#15150=ADVANCED_FACE('',(#1543),#14374,.F.);
#15151=ADVANCED_FACE('',(#1544),#14375,.F.);
#15152=ADVANCED_FACE('',(#1545),#14376,.F.);
#15153=ADVANCED_FACE('',(#1546),#14377,.F.);
#15154=ADVANCED_FACE('',(#1547),#14378,.T.);
#15155=ADVANCED_FACE('',(#1548),#14379,.T.);
#15156=ADVANCED_FACE('',(#1549),#742,.T.);
#15157=ADVANCED_FACE('',(#1550),#14380,.F.);
#15158=ADVANCED_FACE('',(#1551),#14381,.F.);
#15159=ADVANCED_FACE('',(#1552),#14382,.T.);
#15160=ADVANCED_FACE('',(#1553),#14383,.T.);
#15161=ADVANCED_FACE('',(#1554),#14384,.T.);
#15162=ADVANCED_FACE('',(#1555),#743,.F.);
#15163=ADVANCED_FACE('',(#1556),#744,.F.);
#15164=ADVANCED_FACE('',(#1557),#14385,.T.);
#15165=ADVANCED_FACE('',(#1558),#14386,.T.);
#15166=ADVANCED_FACE('',(#1559),#14387,.T.);
#15167=ADVANCED_FACE('',(#1560),#14388,.T.);
#15168=ADVANCED_FACE('',(#1561),#745,.T.);
#15169=ADVANCED_FACE('',(#1562),#14389,.T.);
#15170=ADVANCED_FACE('',(#1563),#14390,.T.);
#15171=ADVANCED_FACE('',(#1564),#14391,.F.);
#15172=ADVANCED_FACE('',(#1565),#14392,.F.);
#15173=ADVANCED_FACE('',(#1566),#14393,.F.);
#15174=ADVANCED_FACE('',(#1567),#14394,.F.);
#15175=ADVANCED_FACE('',(#1568),#14395,.T.);
#15176=ADVANCED_FACE('',(#1569),#14396,.T.);
#15177=ADVANCED_FACE('',(#1570),#746,.T.);
#15178=ADVANCED_FACE('',(#1571),#14397,.F.);
#15179=ADVANCED_FACE('',(#1572),#14398,.F.);
#15180=ADVANCED_FACE('',(#1573),#14399,.T.);
#15181=ADVANCED_FACE('',(#1574),#14400,.T.);
#15182=ADVANCED_FACE('',(#1575),#14401,.T.);
#15183=ADVANCED_FACE('',(#1576),#747,.F.);
#15184=ADVANCED_FACE('',(#1577),#748,.F.);
#15185=ADVANCED_FACE('',(#1578),#14402,.T.);
#15186=ADVANCED_FACE('',(#1579),#14403,.T.);
#15187=ADVANCED_FACE('',(#1580),#14404,.T.);
#15188=ADVANCED_FACE('',(#1581),#14405,.T.);
#15189=ADVANCED_FACE('',(#1582),#749,.T.);
#15190=ADVANCED_FACE('',(#1583),#14406,.T.);
#15191=ADVANCED_FACE('',(#1584),#14407,.T.);
#15192=ADVANCED_FACE('',(#1585),#14408,.F.);
#15193=ADVANCED_FACE('',(#1586),#14409,.F.);
#15194=ADVANCED_FACE('',(#1587),#14410,.F.);
#15195=ADVANCED_FACE('',(#1588),#14411,.F.);
#15196=ADVANCED_FACE('',(#1589),#14412,.T.);
#15197=ADVANCED_FACE('',(#1590),#14413,.T.);
#15198=ADVANCED_FACE('',(#1591),#750,.T.);
#15199=ADVANCED_FACE('',(#1592),#14414,.F.);
#15200=ADVANCED_FACE('',(#1593),#14415,.F.);
#15201=ADVANCED_FACE('',(#1594),#14416,.T.);
#15202=ADVANCED_FACE('',(#1595),#14417,.T.);
#15203=ADVANCED_FACE('',(#1596),#14418,.T.);
#15204=ADVANCED_FACE('',(#1597),#751,.F.);
#15205=ADVANCED_FACE('',(#1598),#752,.F.);
#15206=ADVANCED_FACE('',(#1599),#14419,.T.);
#15207=ADVANCED_FACE('',(#1600),#14420,.T.);
#15208=ADVANCED_FACE('',(#1601),#14421,.T.);
#15209=ADVANCED_FACE('',(#1602),#14422,.T.);
#15210=ADVANCED_FACE('',(#1603),#753,.T.);
#15211=ADVANCED_FACE('',(#1604),#14423,.T.);
#15212=ADVANCED_FACE('',(#1605),#14424,.T.);
#15213=ADVANCED_FACE('',(#1606),#14425,.F.);
#15214=ADVANCED_FACE('',(#1607),#14426,.F.);
#15215=ADVANCED_FACE('',(#1608),#14427,.F.);
#15216=ADVANCED_FACE('',(#1609),#14428,.F.);
#15217=ADVANCED_FACE('',(#1610),#14429,.T.);
#15218=ADVANCED_FACE('',(#1611),#14430,.T.);
#15219=ADVANCED_FACE('',(#1612),#754,.T.);
#15220=ADVANCED_FACE('',(#1613),#14431,.F.);
#15221=ADVANCED_FACE('',(#1614),#14432,.F.);
#15222=ADVANCED_FACE('',(#1615),#14433,.T.);
#15223=ADVANCED_FACE('',(#1616),#14434,.T.);
#15224=ADVANCED_FACE('',(#1617),#14435,.T.);
#15225=ADVANCED_FACE('',(#1618),#755,.F.);
#15226=ADVANCED_FACE('',(#1619),#756,.F.);
#15227=ADVANCED_FACE('',(#1620),#14436,.T.);
#15228=ADVANCED_FACE('',(#1621),#14437,.T.);
#15229=ADVANCED_FACE('',(#1622),#14438,.T.);
#15230=ADVANCED_FACE('',(#1623),#14439,.T.);
#15231=ADVANCED_FACE('',(#1624),#757,.T.);
#15232=ADVANCED_FACE('',(#1625),#14440,.T.);
#15233=ADVANCED_FACE('',(#1626),#14441,.T.);
#15234=ADVANCED_FACE('',(#1627),#14442,.F.);
#15235=ADVANCED_FACE('',(#1628),#14443,.F.);
#15236=ADVANCED_FACE('',(#1629),#14444,.F.);
#15237=ADVANCED_FACE('',(#1630),#14445,.F.);
#15238=ADVANCED_FACE('',(#1631),#14446,.T.);
#15239=ADVANCED_FACE('',(#1632),#14447,.T.);
#15240=ADVANCED_FACE('',(#1633),#758,.T.);
#15241=ADVANCED_FACE('',(#1634),#14448,.F.);
#15242=ADVANCED_FACE('',(#1635),#14449,.F.);
#15243=ADVANCED_FACE('',(#1636),#14450,.T.);
#15244=ADVANCED_FACE('',(#1637),#14451,.T.);
#15245=ADVANCED_FACE('',(#1638),#14452,.T.);
#15246=ADVANCED_FACE('',(#1639),#759,.F.);
#15247=ADVANCED_FACE('',(#1640),#760,.F.);
#15248=ADVANCED_FACE('',(#1641),#14453,.T.);
#15249=ADVANCED_FACE('',(#1642),#14454,.T.);
#15250=ADVANCED_FACE('',(#1643),#14455,.T.);
#15251=ADVANCED_FACE('',(#1644),#14456,.T.);
#15252=ADVANCED_FACE('',(#1645),#761,.T.);
#15253=ADVANCED_FACE('',(#1646),#14457,.T.);
#15254=ADVANCED_FACE('',(#1647),#14458,.T.);
#15255=ADVANCED_FACE('',(#1648),#14459,.F.);
#15256=ADVANCED_FACE('',(#1649),#14460,.F.);
#15257=ADVANCED_FACE('',(#1650),#14461,.F.);
#15258=ADVANCED_FACE('',(#1651),#14462,.F.);
#15259=ADVANCED_FACE('',(#1652),#14463,.T.);
#15260=ADVANCED_FACE('',(#1653),#14464,.T.);
#15261=ADVANCED_FACE('',(#1654),#762,.T.);
#15262=ADVANCED_FACE('',(#1655),#14465,.F.);
#15263=ADVANCED_FACE('',(#1656),#14466,.F.);
#15264=ADVANCED_FACE('',(#1657),#14467,.T.);
#15265=ADVANCED_FACE('',(#1658),#14468,.T.);
#15266=ADVANCED_FACE('',(#1659),#14469,.T.);
#15267=ADVANCED_FACE('',(#1660),#763,.F.);
#15268=ADVANCED_FACE('',(#1661),#764,.F.);
#15269=ADVANCED_FACE('',(#1662),#14470,.T.);
#15270=ADVANCED_FACE('',(#1663),#14471,.T.);
#15271=ADVANCED_FACE('',(#1664),#14472,.T.);
#15272=ADVANCED_FACE('',(#1665),#14473,.T.);
#15273=ADVANCED_FACE('',(#1666),#765,.T.);
#15274=ADVANCED_FACE('',(#1667),#14474,.T.);
#15275=ADVANCED_FACE('',(#1668),#14475,.T.);
#15276=ADVANCED_FACE('',(#1669),#14476,.F.);
#15277=ADVANCED_FACE('',(#1670),#14477,.F.);
#15278=ADVANCED_FACE('',(#1671),#14478,.F.);
#15279=ADVANCED_FACE('',(#1672),#14479,.F.);
#15280=ADVANCED_FACE('',(#1673),#14480,.T.);
#15281=ADVANCED_FACE('',(#1674),#14481,.T.);
#15282=ADVANCED_FACE('',(#1675),#766,.T.);
#15283=ADVANCED_FACE('',(#1676),#14482,.F.);
#15284=ADVANCED_FACE('',(#1677),#14483,.F.);
#15285=ADVANCED_FACE('',(#1678),#14484,.T.);
#15286=ADVANCED_FACE('',(#1679),#14485,.T.);
#15287=ADVANCED_FACE('',(#1680),#14486,.T.);
#15288=ADVANCED_FACE('',(#1681),#767,.F.);
#15289=ADVANCED_FACE('',(#1682),#768,.F.);
#15290=ADVANCED_FACE('',(#1683),#14487,.T.);
#15291=ADVANCED_FACE('',(#1684),#14488,.T.);
#15292=ADVANCED_FACE('',(#1685),#14489,.T.);
#15293=ADVANCED_FACE('',(#1686),#14490,.T.);
#15294=ADVANCED_FACE('',(#1687),#769,.T.);
#15295=ADVANCED_FACE('',(#1688),#14491,.T.);
#15296=ADVANCED_FACE('',(#1689),#14492,.T.);
#15297=ADVANCED_FACE('',(#1690),#14493,.F.);
#15298=ADVANCED_FACE('',(#1691),#14494,.F.);
#15299=ADVANCED_FACE('',(#1692),#14495,.F.);
#15300=ADVANCED_FACE('',(#1693),#14496,.F.);
#15301=ADVANCED_FACE('',(#1694),#14497,.T.);
#15302=ADVANCED_FACE('',(#1695),#770,.T.);
#15303=ADVANCED_FACE('',(#1696),#14498,.T.);
#15304=ADVANCED_FACE('',(#1697),#14499,.F.);
#15305=ADVANCED_FACE('',(#1698),#14500,.F.);
#15306=ADVANCED_FACE('',(#1699),#14501,.T.);
#15307=ADVANCED_FACE('',(#1700),#14502,.T.);
#15308=ADVANCED_FACE('',(#1701),#14503,.T.);
#15309=ADVANCED_FACE('',(#1702),#771,.F.);
#15310=ADVANCED_FACE('',(#1703),#772,.F.);
#15311=ADVANCED_FACE('',(#1704),#14504,.T.);
#15312=ADVANCED_FACE('',(#1705),#14505,.T.);
#15313=ADVANCED_FACE('',(#1706),#14506,.T.);
#15314=ADVANCED_FACE('',(#1707),#14507,.T.);
#15315=ADVANCED_FACE('',(#1708),#773,.T.);
#15316=ADVANCED_FACE('',(#1709),#14508,.T.);
#15317=ADVANCED_FACE('',(#1710),#14509,.T.);
#15318=ADVANCED_FACE('',(#1711),#14510,.F.);
#15319=ADVANCED_FACE('',(#1712),#14511,.F.);
#15320=ADVANCED_FACE('',(#1713),#14512,.F.);
#15321=ADVANCED_FACE('',(#1714),#14513,.F.);
#15322=ADVANCED_FACE('',(#1715),#14514,.T.);
#15323=ADVANCED_FACE('',(#1716),#14515,.T.);
#15324=ADVANCED_FACE('',(#1717),#14516,.F.);
#15325=ADVANCED_FACE('',(#1718),#14517,.F.);
#15326=ADVANCED_FACE('',(#1719),#14518,.T.);
#15327=ADVANCED_FACE('',(#1720),#14519,.F.);
#15328=ADVANCED_FACE('',(#1721),#14520,.F.);
#15329=ADVANCED_FACE('',(#1722,#371,#372,#373,#374,#375,#376,#377,#378,
#379,#380),#14521,.F.);
#15330=ADVANCED_FACE('',(#1723),#14522,.F.);
#15331=CLOSED_SHELL('',(#14523,#14524,#14525,#14526,#14527,#14528,#14529,
#14530,#14531,#14532,#14533,#14534,#14535,#14536,#14537,#14538,#14539,#14540,
#14541,#14542,#14543,#14544,#14545,#14546,#14547,#14548,#14549,#14550,#14551,
#14552,#14553,#14554,#14555,#14556,#14557,#14558,#14559,#14560,#14561,#14562,
#14563,#14564,#14565,#14566,#14567,#14568,#14569,#14570,#14571,#14572,#14573,
#14574,#14575,#14576,#14577,#14578,#14579,#14580,#14581,#14582,#14583,#14584,
#14585,#14586,#14587,#14588,#14589,#14590,#14591,#14592,#14593,#14594,#14595,
#14596,#14597,#14598,#14599,#14600,#14601,#14602,#14603,#14604,#14605,#14606,
#14607,#14608,#14609,#14610,#14611,#14612,#14613,#14614,#14615,#14616,#14617,
#14618,#14619,#14620,#14621,#14622,#14623,#14624,#14625,#14626,#14627,#14628,
#14629,#14630,#14631,#14632,#14633,#14634,#14635,#14636,#14637,#14638,#14639,
#14640,#14641,#14642,#14643,#14644,#14645,#14646,#14647,#14648,#14649,#14650,
#14651,#14652,#14653,#14654,#14655,#14656,#14657,#14658,#14659,#14660,#14661,
#14662,#14663,#14664,#14665,#14666,#14667,#14668,#14669,#14670,#14671,#14672,
#14673,#14674,#14675,#14676,#14677,#14678,#14679,#14680,#14681,#14682,#14683,
#14684,#14685,#14686,#14687,#14688,#14689,#14690,#14691,#14692,#14693,#14694,
#14695,#14696,#14697,#14698,#14699,#14700,#14701,#14702,#14703,#14704,#14705,
#14706,#14707,#14708,#14709,#14710,#14711,#14712,#14713,#14714,#14715,#14716,
#14717,#14718,#14719,#14720,#14721,#14722,#14723,#14724,#14725,#14726,#14727,
#14728,#14729,#14730,#14731,#14732,#14733,#14734,#14735,#14736,#14737,#14738,
#14739,#14740,#14741,#14742,#14743,#14744,#14745,#14746,#14747,#14748,#14749,
#14750,#14751,#14752,#14753,#14754,#14755,#14756,#14757,#14758,#14759,#14760,
#14761,#14762,#14763,#14764,#14765,#14766,#14767,#14768,#14769,#14770,#14771,
#14772,#14773,#14774,#14775,#14776,#14777,#14778,#14779,#14780,#14781,#14782,
#14783,#14784,#14785,#14786,#14787,#14788,#14789,#14790,#14791,#14792,#14793,
#14794,#14795,#14796,#14797,#14798,#14799,#14800,#14801,#14802,#14803,#14804,
#14805,#14806,#14807,#14808,#14809,#14810,#14811,#14812,#14813,#14814,#14815,
#14816,#14817,#14818,#14819,#14820,#14821,#14822,#14823,#14824,#14825,#14826,
#14827,#14828,#14829,#14830,#14831,#14832,#14833,#14834,#14835,#14836,#14837,
#14838,#14839,#14840,#14841,#14842,#14843,#14844,#14845,#14846,#14847,#14848,
#14849,#14850,#14851,#14852,#14853,#14854,#14855,#14856,#14857,#14858,#14859,
#14860,#14861,#14862,#14863,#14864,#14865,#14866,#14867,#14868,#14869,#14870,
#14871,#14872,#14873,#14874,#14875,#14876,#14877,#14878,#14879,#14880,#14881,
#14882,#14883,#14884,#14885,#14886,#14887,#14888,#14889,#14890,#14891,#14892,
#14893,#14894,#14895,#14896,#14897,#14898,#14899,#14900,#14901,#14902,#14903,
#14904,#14905,#14906,#14907,#14908,#14909,#14910,#14911,#14912,#14913,#14914,
#14915,#14916,#14917,#14918,#14919,#14920,#14921,#14922,#14923,#14924,#14925,
#14926,#14927,#14928,#14929,#14930,#14931,#14932,#14933,#14934,#14935,#14936,
#14937,#14938,#14939,#14940,#14941,#14942,#14943,#14944,#14945,#14946,#14947,
#14948,#14949,#14950,#14951,#14952,#14953,#14954,#14955,#14956,#14957,#14958,
#14959,#14960,#14961,#14962,#14963,#14964,#14965,#14966,#14967,#14968,#14969,
#14970,#14971,#14972,#14973,#14974,#14975,#14976,#14977,#14978,#14979,#14980,
#14981,#14982,#14983,#14984,#14985,#14986,#14987,#14988,#14989,#14990,#14991,
#14992,#14993,#14994,#14995,#14996,#14997,#14998,#14999,#15000,#15001,#15002,
#15003,#15004,#15005,#15006,#15007,#15008,#15009,#15010,#15011,#15012,#15013,
#15014,#15015,#15016,#15017,#15018,#15019,#15020,#15021,#15022,#15023,#15024,
#15025,#15026,#15027,#15028,#15029,#15030,#15031,#15032,#15033,#15034,#15035,
#15036,#15037,#15038,#15039,#15040,#15041,#15042,#15043,#15044,#15045,#15046,
#15047,#15048,#15049,#15050,#15051,#15052,#15053,#15054,#15055,#15056,#15057,
#15058,#15059,#15060,#15061,#15062,#15063,#15064,#15065,#15066,#15067,#15068,
#15069,#15070,#15071,#15072,#15073,#15074,#15075,#15076,#15077,#15078,#15079,
#15080,#15081,#15082,#15083,#15084,#15085,#15086,#15087,#15088,#15089,#15090,
#15091,#15092,#15093,#15094,#15095,#15096,#15097,#15098,#15099,#15100,#15101,
#15102,#15103,#15104,#15105,#15106,#15107,#15108,#15109,#15110,#15111,#15112,
#15113,#15114,#15115,#15116,#15117,#15118,#15119,#15120,#15121,#15122,#15123,
#15124,#15125,#15126,#15127,#15128,#15129,#15130,#15131,#15132,#15133,#15134,
#15135,#15136,#15137,#15138,#15139,#15140,#15141,#15142,#15143,#15144,#15145,
#15146,#15147,#15148,#15149,#15150,#15151,#15152,#15153,#15154,#15155,#15156,
#15157,#15158,#15159,#15160,#15161,#15162,#15163,#15164,#15165,#15166,#15167,
#15168,#15169,#15170,#15171,#15172,#15173,#15174,#15175,#15176,#15177,#15178,
#15179,#15180,#15181,#15182,#15183,#15184,#15185,#15186,#15187,#15188,#15189,
#15190,#15191,#15192,#15193,#15194,#15195,#15196,#15197,#15198,#15199,#15200,
#15201,#15202,#15203,#15204,#15205,#15206,#15207,#15208,#15209,#15210,#15211,
#15212,#15213,#15214,#15215,#15216,#15217,#15218,#15219,#15220,#15221,#15222,
#15223,#15224,#15225,#15226,#15227,#15228,#15229,#15230,#15231,#15232,#15233,
#15234,#15235,#15236,#15237,#15238,#15239,#15240,#15241,#15242,#15243,#15244,
#15245,#15246,#15247,#15248,#15249,#15250,#15251,#15252,#15253,#15254,#15255,
#15256,#15257,#15258,#15259,#15260,#15261,#15262,#15263,#15264,#15265,#15266,
#15267,#15268,#15269,#15270,#15271,#15272,#15273,#15274,#15275,#15276,#15277,
#15278,#15279,#15280,#15281,#15282,#15283,#15284,#15285,#15286,#15287,#15288,
#15289,#15290,#15291,#15292,#15293,#15294,#15295,#15296,#15297,#15298,#15299,
#15300,#15301,#15302,#15303,#15304,#15305,#15306,#15307,#15308,#15309,#15310,
#15311,#15312,#15313,#15314,#15315,#15316,#15317,#15318,#15319,#15320,#15321,
#15322,#15323,#15324,#15325,#15326,#15327,#15328,#15329,#15330));
#15332=DERIVED_UNIT_ELEMENT(#15334,1.);
#15333=DERIVED_UNIT_ELEMENT(#25604,3.);
#15334=(
MASS_UNIT()
NAMED_UNIT(*)
SI_UNIT(.KILO.,.GRAM.)
);
#15335=DERIVED_UNIT((#15332,#15333));
#15336=MEASURE_REPRESENTATION_ITEM('density measure',
POSITIVE_RATIO_MEASURE(7850.),#15335);
#15337=PROPERTY_DEFINITION_REPRESENTATION(#15342,#15339);
#15338=PROPERTY_DEFINITION_REPRESENTATION(#15343,#15340);
#15339=REPRESENTATION('material name',(#15341),#25601);
#15340=REPRESENTATION('density',(#15336),#25601);
#15341=DESCRIPTIVE_REPRESENTATION_ITEM('Steel','Steel');
#15342=PROPERTY_DEFINITION('material property','material name',#25611);
#15343=PROPERTY_DEFINITION('material property','density of part',#25611);
#15344=AXIS2_PLACEMENT_3D('placement',#21174,#16762,#16763);
#15345=AXIS2_PLACEMENT_3D('',#21175,#16764,#16765);
#15346=AXIS2_PLACEMENT_3D('',#21178,#16766,#16767);
#15347=AXIS2_PLACEMENT_3D('',#21184,#16771,#16772);
#15348=AXIS2_PLACEMENT_3D('',#21186,#16773,#16774);
#15349=AXIS2_PLACEMENT_3D('',#21189,#16776,#16777);
#15350=AXIS2_PLACEMENT_3D('',#21190,#16778,#16779);
#15351=AXIS2_PLACEMENT_3D('',#21193,#16780,#16781);
#15352=AXIS2_PLACEMENT_3D('',#21197,#16783,#16784);
#15353=AXIS2_PLACEMENT_3D('',#21199,#16786,#16787);
#15354=AXIS2_PLACEMENT_3D('',#21202,#16788,#16789);
#15355=AXIS2_PLACEMENT_3D('',#21205,#16792,#16793);
#15356=AXIS2_PLACEMENT_3D('',#21208,#16794,#16795);
#15357=AXIS2_PLACEMENT_3D('',#21214,#16799,#16800);
#15358=AXIS2_PLACEMENT_3D('',#21217,#16801,#16802);
#15359=AXIS2_PLACEMENT_3D('',#21220,#16805,#16806);
#15360=AXIS2_PLACEMENT_3D('',#21222,#16808,#16809);
#15361=AXIS2_PLACEMENT_3D('',#21226,#16811,#16812);
#15362=AXIS2_PLACEMENT_3D('',#21228,#16814,#16815);
#15363=AXIS2_PLACEMENT_3D('',#21232,#16817,#16818);
#15364=AXIS2_PLACEMENT_3D('',#21234,#16820,#16821);
#15365=AXIS2_PLACEMENT_3D('',#21237,#16822,#16823);
#15366=AXIS2_PLACEMENT_3D('',#21242,#16827,#16828);
#15367=AXIS2_PLACEMENT_3D('',#21244,#16829,#16830);
#15368=AXIS2_PLACEMENT_3D('',#21246,#16832,#16833);
#15369=AXIS2_PLACEMENT_3D('',#21249,#16834,#16835);
#15370=AXIS2_PLACEMENT_3D('',#21254,#16839,#16840);
#15371=AXIS2_PLACEMENT_3D('',#21257,#16842,#16843);
#15372=AXIS2_PLACEMENT_3D('',#21258,#16844,#16845);
#15373=AXIS2_PLACEMENT_3D('',#21262,#16847,#16848);
#15374=AXIS2_PLACEMENT_3D('',#21264,#16850,#16851);
#15375=AXIS2_PLACEMENT_3D('',#21267,#16852,#16853);
#15376=AXIS2_PLACEMENT_3D('',#21269,#16854,#16855);
#15377=AXIS2_PLACEMENT_3D('',#21270,#16856,#16857);
#15378=AXIS2_PLACEMENT_3D('',#21271,#16858,#16859);
#15379=AXIS2_PLACEMENT_3D('',#21274,#16860,#16861);
#15380=AXIS2_PLACEMENT_3D('',#21276,#16862,#16863);
#15381=AXIS2_PLACEMENT_3D('',#21277,#16864,#16865);
#15382=AXIS2_PLACEMENT_3D('',#21278,#16866,#16867);
#15383=AXIS2_PLACEMENT_3D('',#21281,#16868,#16869);
#15384=AXIS2_PLACEMENT_3D('',#21283,#16870,#16871);
#15385=AXIS2_PLACEMENT_3D('',#21284,#16872,#16873);
#15386=AXIS2_PLACEMENT_3D('',#21285,#16874,#16875);
#15387=AXIS2_PLACEMENT_3D('',#21288,#16876,#16877);
#15388=AXIS2_PLACEMENT_3D('',#21290,#16878,#16879);
#15389=AXIS2_PLACEMENT_3D('',#21291,#16880,#16881);
#15390=AXIS2_PLACEMENT_3D('',#21292,#16882,#16883);
#15391=AXIS2_PLACEMENT_3D('',#21295,#16884,#16885);
#15392=AXIS2_PLACEMENT_3D('',#21297,#16886,#16887);
#15393=AXIS2_PLACEMENT_3D('',#21299,#16888,#16889);
#15394=AXIS2_PLACEMENT_3D('',#21300,#16890,#16891);
#15395=AXIS2_PLACEMENT_3D('',#21301,#16892,#16893);
#15396=AXIS2_PLACEMENT_3D('',#21304,#16896,#16897);
#15397=AXIS2_PLACEMENT_3D('',#21307,#16900,#16901);
#15398=AXIS2_PLACEMENT_3D('',#21310,#16902,#16903);
#15399=AXIS2_PLACEMENT_3D('',#21312,#16904,#16905);
#15400=AXIS2_PLACEMENT_3D('',#21313,#16906,#16907);
#15401=AXIS2_PLACEMENT_3D('',#21314,#16908,#16909);
#15402=AXIS2_PLACEMENT_3D('',#21317,#16910,#16911);
#15403=AXIS2_PLACEMENT_3D('',#21319,#16912,#16913);
#15404=AXIS2_PLACEMENT_3D('',#21321,#16914,#16915);
#15405=AXIS2_PLACEMENT_3D('',#21322,#16916,#16917);
#15406=AXIS2_PLACEMENT_3D('',#21323,#16918,#16919);
#15407=AXIS2_PLACEMENT_3D('',#21326,#16922,#16923);
#15408=AXIS2_PLACEMENT_3D('',#21329,#16924,#16925);
#15409=AXIS2_PLACEMENT_3D('',#21331,#16926,#16927);
#15410=AXIS2_PLACEMENT_3D('',#21333,#16928,#16929);
#15411=AXIS2_PLACEMENT_3D('',#21334,#16930,#16931);
#15412=AXIS2_PLACEMENT_3D('',#21335,#16932,#16933);
#15413=AXIS2_PLACEMENT_3D('',#21338,#16936,#16937);
#15414=AXIS2_PLACEMENT_3D('',#21341,#16940,#16941);
#15415=AXIS2_PLACEMENT_3D('',#21344,#16942,#16943);
#15416=AXIS2_PLACEMENT_3D('',#21346,#16944,#16945);
#15417=AXIS2_PLACEMENT_3D('',#21347,#16946,#16947);
#15418=AXIS2_PLACEMENT_3D('',#21348,#16948,#16949);
#15419=AXIS2_PLACEMENT_3D('',#21351,#16950,#16951);
#15420=AXIS2_PLACEMENT_3D('',#21353,#16952,#16953);
#15421=AXIS2_PLACEMENT_3D('',#21355,#16954,#16955);
#15422=AXIS2_PLACEMENT_3D('',#21356,#16956,#16957);
#15423=AXIS2_PLACEMENT_3D('',#21357,#16958,#16959);
#15424=AXIS2_PLACEMENT_3D('',#21360,#16960,#16961);
#15425=AXIS2_PLACEMENT_3D('',#21362,#16962,#16963);
#15426=AXIS2_PLACEMENT_3D('',#21364,#16964,#16965);
#15427=AXIS2_PLACEMENT_3D('',#21365,#16966,#16967);
#15428=AXIS2_PLACEMENT_3D('',#21366,#16968,#16969);
#15429=AXIS2_PLACEMENT_3D('',#21369,#16972,#16973);
#15430=AXIS2_PLACEMENT_3D('',#21372,#16974,#16975);
#15431=AXIS2_PLACEMENT_3D('',#21374,#16976,#16977);
#15432=AXIS2_PLACEMENT_3D('',#21376,#16978,#16979);
#15433=AXIS2_PLACEMENT_3D('',#21377,#16980,#16981);
#15434=AXIS2_PLACEMENT_3D('',#21378,#16982,#16983);
#15435=AXIS2_PLACEMENT_3D('',#21381,#16986,#16987);
#15436=AXIS2_PLACEMENT_3D('',#21384,#16988,#16989);
#15437=AXIS2_PLACEMENT_3D('',#21386,#16990,#16991);
#15438=AXIS2_PLACEMENT_3D('',#21388,#16992,#16993);
#15439=AXIS2_PLACEMENT_3D('',#21389,#16994,#16995);
#15440=AXIS2_PLACEMENT_3D('',#21390,#16996,#16997);
#15441=AXIS2_PLACEMENT_3D('',#21393,#16998,#16999);
#15442=AXIS2_PLACEMENT_3D('',#21395,#17000,#17001);
#15443=AXIS2_PLACEMENT_3D('',#21397,#17002,#17003);
#15444=AXIS2_PLACEMENT_3D('',#21398,#17004,#17005);
#15445=AXIS2_PLACEMENT_3D('',#21399,#17006,#17007);
#15446=AXIS2_PLACEMENT_3D('',#21402,#17010,#17011);
#15447=AXIS2_PLACEMENT_3D('',#21405,#17014,#17015);
#15448=AXIS2_PLACEMENT_3D('',#21408,#17016,#17017);
#15449=AXIS2_PLACEMENT_3D('',#21410,#17018,#17019);
#15450=AXIS2_PLACEMENT_3D('',#21411,#17020,#17021);
#15451=AXIS2_PLACEMENT_3D('',#21412,#17022,#17023);
#15452=AXIS2_PLACEMENT_3D('',#21415,#17026,#17027);
#15453=AXIS2_PLACEMENT_3D('',#21418,#17028,#17029);
#15454=AXIS2_PLACEMENT_3D('',#21420,#17030,#17031);
#15455=AXIS2_PLACEMENT_3D('',#21421,#17032,#17033);
#15456=AXIS2_PLACEMENT_3D('',#21422,#17034,#17035);
#15457=AXIS2_PLACEMENT_3D('',#21425,#17038,#17039);
#15458=AXIS2_PLACEMENT_3D('',#21428,#17040,#17041);
#15459=AXIS2_PLACEMENT_3D('',#21430,#17042,#17043);
#15460=AXIS2_PLACEMENT_3D('',#21431,#17044,#17045);
#15461=AXIS2_PLACEMENT_3D('',#21432,#17046,#17047);
#15462=AXIS2_PLACEMENT_3D('',#21435,#17048,#17049);
#15463=AXIS2_PLACEMENT_3D('',#21437,#17050,#17051);
#15464=AXIS2_PLACEMENT_3D('',#21438,#17052,#17053);
#15465=AXIS2_PLACEMENT_3D('',#21439,#17054,#17055);
#15466=AXIS2_PLACEMENT_3D('',#21442,#17058,#17059);
#15467=AXIS2_PLACEMENT_3D('',#21445,#17060,#17061);
#15468=AXIS2_PLACEMENT_3D('',#21447,#17062,#17063);
#15469=AXIS2_PLACEMENT_3D('',#21449,#17064,#17065);
#15470=AXIS2_PLACEMENT_3D('',#21450,#17066,#17067);
#15471=AXIS2_PLACEMENT_3D('',#21451,#17068,#17069);
#15472=AXIS2_PLACEMENT_3D('',#21454,#17070,#17071);
#15473=AXIS2_PLACEMENT_3D('',#21457,#17074,#17075);
#15474=AXIS2_PLACEMENT_3D('',#21459,#17076,#17077);
#15475=AXIS2_PLACEMENT_3D('',#21460,#17078,#17079);
#15476=AXIS2_PLACEMENT_3D('',#21461,#17080,#17081);
#15477=AXIS2_PLACEMENT_3D('',#21464,#17084,#17085);
#15478=AXIS2_PLACEMENT_3D('',#21467,#17086,#17087);
#15479=AXIS2_PLACEMENT_3D('',#21469,#17088,#17089);
#15480=AXIS2_PLACEMENT_3D('',#21471,#17090,#17091);
#15481=AXIS2_PLACEMENT_3D('',#21472,#17092,#17093);
#15482=AXIS2_PLACEMENT_3D('',#21473,#17094,#17095);
#15483=AXIS2_PLACEMENT_3D('',#21476,#17098,#17099);
#15484=AXIS2_PLACEMENT_3D('',#21479,#17102,#17103);
#15485=AXIS2_PLACEMENT_3D('',#21482,#17104,#17105);
#15486=AXIS2_PLACEMENT_3D('',#21484,#17106,#17107);
#15487=AXIS2_PLACEMENT_3D('',#21485,#17108,#17109);
#15488=AXIS2_PLACEMENT_3D('',#21486,#17110,#17111);
#15489=AXIS2_PLACEMENT_3D('',#21489,#17112,#17113);
#15490=AXIS2_PLACEMENT_3D('',#21491,#17114,#17115);
#15491=AXIS2_PLACEMENT_3D('',#21493,#17116,#17117);
#15492=AXIS2_PLACEMENT_3D('',#21494,#17118,#17119);
#15493=AXIS2_PLACEMENT_3D('',#21495,#17120,#17121);
#15494=AXIS2_PLACEMENT_3D('',#21498,#17122,#17123);
#15495=AXIS2_PLACEMENT_3D('',#21500,#17124,#17125);
#15496=AXIS2_PLACEMENT_3D('',#21501,#17126,#17127);
#15497=AXIS2_PLACEMENT_3D('',#21502,#17128,#17129);
#15498=AXIS2_PLACEMENT_3D('',#21505,#17132,#17133);
#15499=AXIS2_PLACEMENT_3D('',#21508,#17134,#17135);
#15500=AXIS2_PLACEMENT_3D('',#21510,#17136,#17137);
#15501=AXIS2_PLACEMENT_3D('',#21512,#17138,#17139);
#15502=AXIS2_PLACEMENT_3D('',#21513,#17140,#17141);
#15503=AXIS2_PLACEMENT_3D('',#21514,#17142,#17143);
#15504=AXIS2_PLACEMENT_3D('',#21517,#17146,#17147);
#15505=AXIS2_PLACEMENT_3D('',#21520,#17148,#17149);
#15506=AXIS2_PLACEMENT_3D('',#21522,#17150,#17151);
#15507=AXIS2_PLACEMENT_3D('',#21524,#17152,#17153);
#15508=AXIS2_PLACEMENT_3D('',#21525,#17154,#17155);
#15509=AXIS2_PLACEMENT_3D('',#21526,#17156,#17157);
#15510=AXIS2_PLACEMENT_3D('',#21529,#17160,#17161);
#15511=AXIS2_PLACEMENT_3D('',#21532,#17162,#17163);
#15512=AXIS2_PLACEMENT_3D('',#21534,#17164,#17165);
#15513=AXIS2_PLACEMENT_3D('',#21535,#17166,#17167);
#15514=AXIS2_PLACEMENT_3D('',#21536,#17168,#17169);
#15515=AXIS2_PLACEMENT_3D('',#21539,#17172,#17173);
#15516=AXIS2_PLACEMENT_3D('',#21542,#17174,#17175);
#15517=AXIS2_PLACEMENT_3D('',#21544,#17176,#17177);
#15518=AXIS2_PLACEMENT_3D('',#21546,#17178,#17179);
#15519=AXIS2_PLACEMENT_3D('',#21547,#17180,#17181);
#15520=AXIS2_PLACEMENT_3D('',#21548,#17182,#17183);
#15521=AXIS2_PLACEMENT_3D('',#21551,#17186,#17187);
#15522=AXIS2_PLACEMENT_3D('',#21554,#17188,#17189);
#15523=AXIS2_PLACEMENT_3D('',#21557,#17192,#17193);
#15524=AXIS2_PLACEMENT_3D('',#21562,#17196,#17197);
#15525=AXIS2_PLACEMENT_3D('',#21563,#17198,#17199);
#15526=AXIS2_PLACEMENT_3D('',#21566,#17202,#17203);
#15527=AXIS2_PLACEMENT_3D('',#21569,#17206,#17207);
#15528=AXIS2_PLACEMENT_3D('',#21572,#17210,#17211);
#15529=AXIS2_PLACEMENT_3D('',#21575,#17214,#17215);
#15530=AXIS2_PLACEMENT_3D('',#21578,#17216,#17217);
#15531=AXIS2_PLACEMENT_3D('',#21581,#17220,#17221);
#15532=AXIS2_PLACEMENT_3D('',#21590,#17222,#17223);
#15533=AXIS2_PLACEMENT_3D('',#21591,#17224,#17225);
#15534=AXIS2_PLACEMENT_3D('',#21592,#17226,#17227);
#15535=AXIS2_PLACEMENT_3D('',#21595,#17230,#17231);
#15536=AXIS2_PLACEMENT_3D('',#21598,#17234,#17235);
#15537=AXIS2_PLACEMENT_3D('',#21601,#17238,#17239);
#15538=AXIS2_PLACEMENT_3D('',#21604,#17242,#17243);
#15539=AXIS2_PLACEMENT_3D('',#21607,#17246,#17247);
#15540=AXIS2_PLACEMENT_3D('',#21610,#17250,#17251);
#15541=AXIS2_PLACEMENT_3D('',#21613,#17254,#17255);
#15542=AXIS2_PLACEMENT_3D('',#21616,#17258,#17259);
#15543=AXIS2_PLACEMENT_3D('',#21619,#17262,#17263);
#15544=AXIS2_PLACEMENT_3D('',#21622,#17266,#17267);
#15545=AXIS2_PLACEMENT_3D('',#21625,#17270,#17271);
#15546=AXIS2_PLACEMENT_3D('',#21628,#17274,#17275);
#15547=AXIS2_PLACEMENT_3D('',#21631,#17278,#17279);
#15548=AXIS2_PLACEMENT_3D('',#21634,#17282,#17283);
#15549=AXIS2_PLACEMENT_3D('',#21637,#17286,#17287);
#15550=AXIS2_PLACEMENT_3D('',#21645,#17291,#17292);
#15551=AXIS2_PLACEMENT_3D('',#21649,#17294,#17295);
#15552=AXIS2_PLACEMENT_3D('',#21658,#17300,#17301);
#15553=AXIS2_PLACEMENT_3D('',#21660,#17303,#17304);
#15554=AXIS2_PLACEMENT_3D('',#21663,#17306,#17307);
#15555=AXIS2_PLACEMENT_3D('',#21664,#17308,#17309);
#15556=AXIS2_PLACEMENT_3D('',#21666,#17311,#17312);
#15557=AXIS2_PLACEMENT_3D('',#21668,#17314,#17315);
#15558=AXIS2_PLACEMENT_3D('',#21669,#17316,#17317);
#15559=AXIS2_PLACEMENT_3D('',#21670,#17318,#17319);
#15560=AXIS2_PLACEMENT_3D('',#21671,#17320,#17321);
#15561=AXIS2_PLACEMENT_3D('',#21672,#17322,#17323);
#15562=AXIS2_PLACEMENT_3D('',#21673,#17324,#17325);
#15563=AXIS2_PLACEMENT_3D('',#21674,#17326,#17327);
#15564=AXIS2_PLACEMENT_3D('',#21675,#17328,#17329);
#15565=AXIS2_PLACEMENT_3D('',#21676,#17330,#17331);
#15566=AXIS2_PLACEMENT_3D('',#21677,#17332,#17333);
#15567=AXIS2_PLACEMENT_3D('',#21678,#17334,#17335);
#15568=AXIS2_PLACEMENT_3D('',#21679,#17336,#17337);
#15569=AXIS2_PLACEMENT_3D('',#21680,#17338,#17339);
#15570=AXIS2_PLACEMENT_3D('',#21681,#17340,#17341);
#15571=AXIS2_PLACEMENT_3D('',#21686,#17343,#17344);
#15572=AXIS2_PLACEMENT_3D('',#21689,#17346,#17347);
#15573=AXIS2_PLACEMENT_3D('',#21690,#17348,#17349);
#15574=AXIS2_PLACEMENT_3D('',#21695,#17351,#17352);
#15575=AXIS2_PLACEMENT_3D('',#21698,#17354,#17355);
#15576=AXIS2_PLACEMENT_3D('',#21699,#17356,#17357);
#15577=AXIS2_PLACEMENT_3D('',#21703,#17359,#17360);
#15578=AXIS2_PLACEMENT_3D('',#21705,#17362,#17363);
#15579=AXIS2_PLACEMENT_3D('',#21710,#17365,#17366);
#15580=AXIS2_PLACEMENT_3D('',#21713,#17368,#17369);
#15581=AXIS2_PLACEMENT_3D('',#21714,#17370,#17371);
#15582=AXIS2_PLACEMENT_3D('',#21719,#17373,#17374);
#15583=AXIS2_PLACEMENT_3D('',#21723,#17376,#17377);
#15584=AXIS2_PLACEMENT_3D('',#21724,#17378,#17379);
#15585=AXIS2_PLACEMENT_3D('',#21725,#17380,#17381);
#15586=AXIS2_PLACEMENT_3D('',#21730,#17383,#17384);
#15587=AXIS2_PLACEMENT_3D('',#21732,#17386,#17387);
#15588=AXIS2_PLACEMENT_3D('',#21733,#17388,#17389);
#15589=AXIS2_PLACEMENT_3D('',#21737,#17391,#17392);
#15590=AXIS2_PLACEMENT_3D('',#21739,#17394,#17395);
#15591=AXIS2_PLACEMENT_3D('',#21743,#17397,#17398);
#15592=AXIS2_PLACEMENT_3D('',#21745,#17400,#17401);
#15593=AXIS2_PLACEMENT_3D('',#21749,#17403,#17404);
#15594=AXIS2_PLACEMENT_3D('',#21751,#17405,#17406);
#15595=AXIS2_PLACEMENT_3D('',#21753,#17408,#17409);
#15596=AXIS2_PLACEMENT_3D('',#21757,#17411,#17412);
#15597=AXIS2_PLACEMENT_3D('',#21759,#17414,#17415);
#15598=AXIS2_PLACEMENT_3D('',#21764,#17417,#17418);
#15599=AXIS2_PLACEMENT_3D('',#21768,#17420,#17421);
#15600=AXIS2_PLACEMENT_3D('',#21769,#17422,#17423);
#15601=AXIS2_PLACEMENT_3D('',#21770,#17424,#17425);
#15602=AXIS2_PLACEMENT_3D('',#21775,#17427,#17428);
#15603=AXIS2_PLACEMENT_3D('',#21777,#17430,#17431);
#15604=AXIS2_PLACEMENT_3D('',#21778,#17432,#17433);
#15605=AXIS2_PLACEMENT_3D('',#21782,#17435,#17436);
#15606=AXIS2_PLACEMENT_3D('',#21784,#17438,#17439);
#15607=AXIS2_PLACEMENT_3D('',#21788,#17441,#17442);
#15608=AXIS2_PLACEMENT_3D('',#21790,#17444,#17445);
#15609=AXIS2_PLACEMENT_3D('',#21794,#17447,#17448);
#15610=AXIS2_PLACEMENT_3D('',#21796,#17449,#17450);
#15611=AXIS2_PLACEMENT_3D('',#21798,#17452,#17453);
#15612=AXIS2_PLACEMENT_3D('',#21802,#17455,#17456);
#15613=AXIS2_PLACEMENT_3D('',#21804,#17458,#17459);
#15614=AXIS2_PLACEMENT_3D('',#21809,#17461,#17462);
#15615=AXIS2_PLACEMENT_3D('',#21813,#17464,#17465);
#15616=AXIS2_PLACEMENT_3D('',#21814,#17466,#17467);
#15617=AXIS2_PLACEMENT_3D('',#21815,#17468,#17469);
#15618=AXIS2_PLACEMENT_3D('',#21820,#17471,#17472);
#15619=AXIS2_PLACEMENT_3D('',#21822,#17474,#17475);
#15620=AXIS2_PLACEMENT_3D('',#21823,#17476,#17477);
#15621=AXIS2_PLACEMENT_3D('',#21827,#17479,#17480);
#15622=AXIS2_PLACEMENT_3D('',#21829,#17482,#17483);
#15623=AXIS2_PLACEMENT_3D('',#21833,#17485,#17486);
#15624=AXIS2_PLACEMENT_3D('',#21835,#17488,#17489);
#15625=AXIS2_PLACEMENT_3D('',#21839,#17491,#17492);
#15626=AXIS2_PLACEMENT_3D('',#21841,#17493,#17494);
#15627=AXIS2_PLACEMENT_3D('',#21843,#17496,#17497);
#15628=AXIS2_PLACEMENT_3D('',#21847,#17499,#17500);
#15629=AXIS2_PLACEMENT_3D('',#21849,#17502,#17503);
#15630=AXIS2_PLACEMENT_3D('',#21854,#17505,#17506);
#15631=AXIS2_PLACEMENT_3D('',#21858,#17508,#17509);
#15632=AXIS2_PLACEMENT_3D('',#21859,#17510,#17511);
#15633=AXIS2_PLACEMENT_3D('',#21860,#17512,#17513);
#15634=AXIS2_PLACEMENT_3D('',#21865,#17515,#17516);
#15635=AXIS2_PLACEMENT_3D('',#21867,#17518,#17519);
#15636=AXIS2_PLACEMENT_3D('',#21868,#17520,#17521);
#15637=AXIS2_PLACEMENT_3D('',#21872,#17523,#17524);
#15638=AXIS2_PLACEMENT_3D('',#21874,#17526,#17527);
#15639=AXIS2_PLACEMENT_3D('',#21878,#17529,#17530);
#15640=AXIS2_PLACEMENT_3D('',#21880,#17532,#17533);
#15641=AXIS2_PLACEMENT_3D('',#21884,#17535,#17536);
#15642=AXIS2_PLACEMENT_3D('',#21886,#17537,#17538);
#15643=AXIS2_PLACEMENT_3D('',#21888,#17540,#17541);
#15644=AXIS2_PLACEMENT_3D('',#21892,#17543,#17544);
#15645=AXIS2_PLACEMENT_3D('',#21894,#17546,#17547);
#15646=AXIS2_PLACEMENT_3D('',#21899,#17549,#17550);
#15647=AXIS2_PLACEMENT_3D('',#21903,#17552,#17553);
#15648=AXIS2_PLACEMENT_3D('',#21904,#17554,#17555);
#15649=AXIS2_PLACEMENT_3D('',#21905,#17556,#17557);
#15650=AXIS2_PLACEMENT_3D('',#21910,#17559,#17560);
#15651=AXIS2_PLACEMENT_3D('',#21912,#17562,#17563);
#15652=AXIS2_PLACEMENT_3D('',#21913,#17564,#17565);
#15653=AXIS2_PLACEMENT_3D('',#21917,#17567,#17568);
#15654=AXIS2_PLACEMENT_3D('',#21919,#17570,#17571);
#15655=AXIS2_PLACEMENT_3D('',#21923,#17573,#17574);
#15656=AXIS2_PLACEMENT_3D('',#21925,#17576,#17577);
#15657=AXIS2_PLACEMENT_3D('',#21929,#17579,#17580);
#15658=AXIS2_PLACEMENT_3D('',#21931,#17581,#17582);
#15659=AXIS2_PLACEMENT_3D('',#21933,#17584,#17585);
#15660=AXIS2_PLACEMENT_3D('',#21937,#17587,#17588);
#15661=AXIS2_PLACEMENT_3D('',#21939,#17590,#17591);
#15662=AXIS2_PLACEMENT_3D('',#21944,#17593,#17594);
#15663=AXIS2_PLACEMENT_3D('',#21948,#17596,#17597);
#15664=AXIS2_PLACEMENT_3D('',#21949,#17598,#17599);
#15665=AXIS2_PLACEMENT_3D('',#21950,#17600,#17601);
#15666=AXIS2_PLACEMENT_3D('',#21955,#17603,#17604);
#15667=AXIS2_PLACEMENT_3D('',#21957,#17606,#17607);
#15668=AXIS2_PLACEMENT_3D('',#21958,#17608,#17609);
#15669=AXIS2_PLACEMENT_3D('',#21962,#17611,#17612);
#15670=AXIS2_PLACEMENT_3D('',#21964,#17614,#17615);
#15671=AXIS2_PLACEMENT_3D('',#21968,#17617,#17618);
#15672=AXIS2_PLACEMENT_3D('',#21970,#17620,#17621);
#15673=AXIS2_PLACEMENT_3D('',#21974,#17623,#17624);
#15674=AXIS2_PLACEMENT_3D('',#21976,#17625,#17626);
#15675=AXIS2_PLACEMENT_3D('',#21978,#17628,#17629);
#15676=AXIS2_PLACEMENT_3D('',#21982,#17631,#17632);
#15677=AXIS2_PLACEMENT_3D('',#21984,#17634,#17635);
#15678=AXIS2_PLACEMENT_3D('',#21989,#17637,#17638);
#15679=AXIS2_PLACEMENT_3D('',#21993,#17640,#17641);
#15680=AXIS2_PLACEMENT_3D('',#21994,#17642,#17643);
#15681=AXIS2_PLACEMENT_3D('',#21995,#17644,#17645);
#15682=AXIS2_PLACEMENT_3D('',#22000,#17647,#17648);
#15683=AXIS2_PLACEMENT_3D('',#22002,#17650,#17651);
#15684=AXIS2_PLACEMENT_3D('',#22003,#17652,#17653);
#15685=AXIS2_PLACEMENT_3D('',#22007,#17655,#17656);
#15686=AXIS2_PLACEMENT_3D('',#22009,#17658,#17659);
#15687=AXIS2_PLACEMENT_3D('',#22013,#17661,#17662);
#15688=AXIS2_PLACEMENT_3D('',#22015,#17664,#17665);
#15689=AXIS2_PLACEMENT_3D('',#22019,#17667,#17668);
#15690=AXIS2_PLACEMENT_3D('',#22021,#17669,#17670);
#15691=AXIS2_PLACEMENT_3D('',#22023,#17672,#17673);
#15692=AXIS2_PLACEMENT_3D('',#22027,#17675,#17676);
#15693=AXIS2_PLACEMENT_3D('',#22029,#17678,#17679);
#15694=AXIS2_PLACEMENT_3D('',#22034,#17681,#17682);
#15695=AXIS2_PLACEMENT_3D('',#22038,#17684,#17685);
#15696=AXIS2_PLACEMENT_3D('',#22039,#17686,#17687);
#15697=AXIS2_PLACEMENT_3D('',#22040,#17688,#17689);
#15698=AXIS2_PLACEMENT_3D('',#22045,#17691,#17692);
#15699=AXIS2_PLACEMENT_3D('',#22047,#17694,#17695);
#15700=AXIS2_PLACEMENT_3D('',#22048,#17696,#17697);
#15701=AXIS2_PLACEMENT_3D('',#22052,#17699,#17700);
#15702=AXIS2_PLACEMENT_3D('',#22054,#17702,#17703);
#15703=AXIS2_PLACEMENT_3D('',#22058,#17705,#17706);
#15704=AXIS2_PLACEMENT_3D('',#22060,#17708,#17709);
#15705=AXIS2_PLACEMENT_3D('',#22064,#17711,#17712);
#15706=AXIS2_PLACEMENT_3D('',#22066,#17713,#17714);
#15707=AXIS2_PLACEMENT_3D('',#22068,#17716,#17717);
#15708=AXIS2_PLACEMENT_3D('',#22072,#17719,#17720);
#15709=AXIS2_PLACEMENT_3D('',#22074,#17722,#17723);
#15710=AXIS2_PLACEMENT_3D('',#22079,#17725,#17726);
#15711=AXIS2_PLACEMENT_3D('',#22083,#17728,#17729);
#15712=AXIS2_PLACEMENT_3D('',#22084,#17730,#17731);
#15713=AXIS2_PLACEMENT_3D('',#22085,#17732,#17733);
#15714=AXIS2_PLACEMENT_3D('',#22090,#17735,#17736);
#15715=AXIS2_PLACEMENT_3D('',#22092,#17738,#17739);
#15716=AXIS2_PLACEMENT_3D('',#22093,#17740,#17741);
#15717=AXIS2_PLACEMENT_3D('',#22097,#17743,#17744);
#15718=AXIS2_PLACEMENT_3D('',#22099,#17746,#17747);
#15719=AXIS2_PLACEMENT_3D('',#22103,#17749,#17750);
#15720=AXIS2_PLACEMENT_3D('',#22105,#17752,#17753);
#15721=AXIS2_PLACEMENT_3D('',#22109,#17755,#17756);
#15722=AXIS2_PLACEMENT_3D('',#22111,#17757,#17758);
#15723=AXIS2_PLACEMENT_3D('',#22113,#17760,#17761);
#15724=AXIS2_PLACEMENT_3D('',#22117,#17763,#17764);
#15725=AXIS2_PLACEMENT_3D('',#22119,#17766,#17767);
#15726=AXIS2_PLACEMENT_3D('',#22124,#17769,#17770);
#15727=AXIS2_PLACEMENT_3D('',#22128,#17772,#17773);
#15728=AXIS2_PLACEMENT_3D('',#22129,#17774,#17775);
#15729=AXIS2_PLACEMENT_3D('',#22130,#17776,#17777);
#15730=AXIS2_PLACEMENT_3D('',#22134,#17779,#17780);
#15731=AXIS2_PLACEMENT_3D('',#22136,#17782,#17783);
#15732=AXIS2_PLACEMENT_3D('',#22141,#17785,#17786);
#15733=AXIS2_PLACEMENT_3D('',#22144,#17788,#17789);
#15734=AXIS2_PLACEMENT_3D('',#22145,#17790,#17791);
#15735=AXIS2_PLACEMENT_3D('',#22148,#17793,#17794);
#15736=AXIS2_PLACEMENT_3D('',#22150,#17796,#17797);
#15737=AXIS2_PLACEMENT_3D('',#22153,#17800,#17801);
#15738=AXIS2_PLACEMENT_3D('',#22158,#17804,#17805);
#15739=AXIS2_PLACEMENT_3D('',#22159,#17806,#17807);
#15740=AXIS2_PLACEMENT_3D('',#22162,#17809,#17810);
#15741=AXIS2_PLACEMENT_3D('',#22164,#17812,#17813);
#15742=AXIS2_PLACEMENT_3D('',#22169,#17816,#17817);
#15743=AXIS2_PLACEMENT_3D('',#22170,#17818,#17819);
#15744=AXIS2_PLACEMENT_3D('',#22173,#17821,#17822);
#15745=AXIS2_PLACEMENT_3D('',#22175,#17824,#17825);
#15746=AXIS2_PLACEMENT_3D('',#22180,#17828,#17829);
#15747=AXIS2_PLACEMENT_3D('',#22181,#17830,#17831);
#15748=AXIS2_PLACEMENT_3D('',#22184,#17833,#17834);
#15749=AXIS2_PLACEMENT_3D('',#22186,#17836,#17837);
#15750=AXIS2_PLACEMENT_3D('',#22191,#17840,#17841);
#15751=AXIS2_PLACEMENT_3D('',#22192,#17842,#17843);
#15752=AXIS2_PLACEMENT_3D('',#22195,#17845,#17846);
#15753=AXIS2_PLACEMENT_3D('',#22197,#17848,#17849);
#15754=AXIS2_PLACEMENT_3D('',#22202,#17852,#17853);
#15755=AXIS2_PLACEMENT_3D('',#22203,#17854,#17855);
#15756=AXIS2_PLACEMENT_3D('',#22206,#17857,#17858);
#15757=AXIS2_PLACEMENT_3D('',#22208,#17860,#17861);
#15758=AXIS2_PLACEMENT_3D('',#22213,#17864,#17865);
#15759=AXIS2_PLACEMENT_3D('',#22214,#17866,#17867);
#15760=AXIS2_PLACEMENT_3D('',#22217,#17869,#17870);
#15761=AXIS2_PLACEMENT_3D('',#22219,#17872,#17873);
#15762=AXIS2_PLACEMENT_3D('',#22224,#17876,#17877);
#15763=AXIS2_PLACEMENT_3D('',#22225,#17878,#17879);
#15764=AXIS2_PLACEMENT_3D('',#22228,#17881,#17882);
#15765=AXIS2_PLACEMENT_3D('',#22230,#17884,#17885);
#15766=AXIS2_PLACEMENT_3D('',#22235,#17888,#17889);
#15767=AXIS2_PLACEMENT_3D('',#22236,#17890,#17891);
#15768=AXIS2_PLACEMENT_3D('',#22239,#17893,#17894);
#15769=AXIS2_PLACEMENT_3D('',#22241,#17896,#17897);
#15770=AXIS2_PLACEMENT_3D('',#22246,#17900,#17901);
#15771=AXIS2_PLACEMENT_3D('',#22247,#17902,#17903);
#15772=AXIS2_PLACEMENT_3D('',#22250,#17905,#17906);
#15773=AXIS2_PLACEMENT_3D('',#22252,#17908,#17909);
#15774=AXIS2_PLACEMENT_3D('',#22255,#17912,#17913);
#15775=AXIS2_PLACEMENT_3D('',#22258,#17915,#17916);
#15776=AXIS2_PLACEMENT_3D('',#22260,#17918,#17919);
#15777=AXIS2_PLACEMENT_3D('',#22263,#17921,#17922);
#15778=AXIS2_PLACEMENT_3D('',#22265,#17924,#17925);
#15779=AXIS2_PLACEMENT_3D('',#22271,#17929,#17930);
#15780=AXIS2_PLACEMENT_3D('',#22275,#17933,#17934);
#15781=AXIS2_PLACEMENT_3D('',#22289,#17942,#17943);
#15782=AXIS2_PLACEMENT_3D('',#22293,#17946,#17947);
#15783=AXIS2_PLACEMENT_3D('',#22307,#17955,#17956);
#15784=AXIS2_PLACEMENT_3D('',#22311,#17959,#17960);
#15785=AXIS2_PLACEMENT_3D('',#22325,#17968,#17969);
#15786=AXIS2_PLACEMENT_3D('',#22329,#17972,#17973);
#15787=AXIS2_PLACEMENT_3D('',#22343,#17981,#17982);
#15788=AXIS2_PLACEMENT_3D('',#22347,#17985,#17986);
#15789=AXIS2_PLACEMENT_3D('',#22361,#17994,#17995);
#15790=AXIS2_PLACEMENT_3D('',#22365,#17998,#17999);
#15791=AXIS2_PLACEMENT_3D('',#22379,#18007,#18008);
#15792=AXIS2_PLACEMENT_3D('',#22383,#18011,#18012);
#15793=AXIS2_PLACEMENT_3D('',#22397,#18020,#18021);
#15794=AXIS2_PLACEMENT_3D('',#22401,#18024,#18025);
#15795=AXIS2_PLACEMENT_3D('',#22415,#18033,#18034);
#15796=AXIS2_PLACEMENT_3D('',#22419,#18037,#18038);
#15797=AXIS2_PLACEMENT_3D('',#22433,#18046,#18047);
#15798=AXIS2_PLACEMENT_3D('',#22437,#18050,#18051);
#15799=AXIS2_PLACEMENT_3D('',#22451,#18059,#18060);
#15800=AXIS2_PLACEMENT_3D('',#22455,#18063,#18064);
#15801=AXIS2_PLACEMENT_3D('',#22469,#18072,#18073);
#15802=AXIS2_PLACEMENT_3D('',#22473,#18076,#18077);
#15803=AXIS2_PLACEMENT_3D('',#22487,#18085,#18086);
#15804=AXIS2_PLACEMENT_3D('',#22491,#18089,#18090);
#15805=AXIS2_PLACEMENT_3D('',#22505,#18098,#18099);
#15806=AXIS2_PLACEMENT_3D('',#22509,#18102,#18103);
#15807=AXIS2_PLACEMENT_3D('',#22523,#18111,#18112);
#15808=AXIS2_PLACEMENT_3D('',#22527,#18115,#18116);
#15809=AXIS2_PLACEMENT_3D('',#22541,#18124,#18125);
#15810=AXIS2_PLACEMENT_3D('',#22545,#18128,#18129);
#15811=AXIS2_PLACEMENT_3D('',#22559,#18137,#18138);
#15812=AXIS2_PLACEMENT_3D('',#22563,#18141,#18142);
#15813=AXIS2_PLACEMENT_3D('',#22577,#18150,#18151);
#15814=AXIS2_PLACEMENT_3D('',#22581,#18154,#18155);
#15815=AXIS2_PLACEMENT_3D('',#22595,#18163,#18164);
#15816=AXIS2_PLACEMENT_3D('',#22599,#18167,#18168);
#15817=AXIS2_PLACEMENT_3D('',#22613,#18176,#18177);
#15818=AXIS2_PLACEMENT_3D('',#22617,#18180,#18181);
#15819=AXIS2_PLACEMENT_3D('',#22631,#18189,#18190);
#15820=AXIS2_PLACEMENT_3D('',#22635,#18193,#18194);
#15821=AXIS2_PLACEMENT_3D('',#22639,#18198,#18199);
#15822=AXIS2_PLACEMENT_3D('',#22642,#18200,#18201);
#15823=AXIS2_PLACEMENT_3D('',#22644,#18202,#18203);
#15824=AXIS2_PLACEMENT_3D('',#22645,#18204,#18205);
#15825=AXIS2_PLACEMENT_3D('',#22646,#18206,#18207);
#15826=AXIS2_PLACEMENT_3D('',#22649,#18208,#18209);
#15827=AXIS2_PLACEMENT_3D('',#22651,#18210,#18211);
#15828=AXIS2_PLACEMENT_3D('',#22652,#18212,#18213);
#15829=AXIS2_PLACEMENT_3D('',#22653,#18214,#18215);
#15830=AXIS2_PLACEMENT_3D('',#22656,#18216,#18217);
#15831=AXIS2_PLACEMENT_3D('',#22658,#18218,#18219);
#15832=AXIS2_PLACEMENT_3D('',#22660,#18220,#18221);
#15833=AXIS2_PLACEMENT_3D('',#22661,#18222,#18223);
#15834=AXIS2_PLACEMENT_3D('',#22662,#18224,#18225);
#15835=AXIS2_PLACEMENT_3D('',#22665,#18228,#18229);
#15836=AXIS2_PLACEMENT_3D('',#22668,#18230,#18231);
#15837=AXIS2_PLACEMENT_3D('',#22670,#18232,#18233);
#15838=AXIS2_PLACEMENT_3D('',#22671,#18234,#18235);
#15839=AXIS2_PLACEMENT_3D('',#22672,#18236,#18237);
#15840=AXIS2_PLACEMENT_3D('',#22675,#18238,#18239);
#15841=AXIS2_PLACEMENT_3D('',#22677,#18240,#18241);
#15842=AXIS2_PLACEMENT_3D('',#22679,#18242,#18243);
#15843=AXIS2_PLACEMENT_3D('',#22680,#18244,#18245);
#15844=AXIS2_PLACEMENT_3D('',#22681,#18246,#18247);
#15845=AXIS2_PLACEMENT_3D('',#22684,#18248,#18249);
#15846=AXIS2_PLACEMENT_3D('',#22686,#18250,#18251);
#15847=AXIS2_PLACEMENT_3D('',#22687,#18252,#18253);
#15848=AXIS2_PLACEMENT_3D('',#22688,#18254,#18255);
#15849=AXIS2_PLACEMENT_3D('',#22691,#18256,#18257);
#15850=AXIS2_PLACEMENT_3D('',#22693,#18258,#18259);
#15851=AXIS2_PLACEMENT_3D('',#22694,#18260,#18261);
#15852=AXIS2_PLACEMENT_3D('',#22695,#18262,#18263);
#15853=AXIS2_PLACEMENT_3D('',#22698,#18264,#18265);
#15854=AXIS2_PLACEMENT_3D('',#22700,#18266,#18267);
#15855=AXIS2_PLACEMENT_3D('',#22702,#18268,#18269);
#15856=AXIS2_PLACEMENT_3D('',#22703,#18270,#18271);
#15857=AXIS2_PLACEMENT_3D('',#22704,#18272,#18273);
#15858=AXIS2_PLACEMENT_3D('',#22707,#18274,#18275);
#15859=AXIS2_PLACEMENT_3D('',#22709,#18276,#18277);
#15860=AXIS2_PLACEMENT_3D('',#22711,#18278,#18279);
#15861=AXIS2_PLACEMENT_3D('',#22712,#18280,#18281);
#15862=AXIS2_PLACEMENT_3D('',#22713,#18282,#18283);
#15863=AXIS2_PLACEMENT_3D('',#22716,#18284,#18285);
#15864=AXIS2_PLACEMENT_3D('',#22718,#18286,#18287);
#15865=AXIS2_PLACEMENT_3D('',#22720,#18288,#18289);
#15866=AXIS2_PLACEMENT_3D('',#22721,#18290,#18291);
#15867=AXIS2_PLACEMENT_3D('',#22722,#18292,#18293);
#15868=AXIS2_PLACEMENT_3D('',#22725,#18296,#18297);
#15869=AXIS2_PLACEMENT_3D('',#22728,#18298,#18299);
#15870=AXIS2_PLACEMENT_3D('',#22730,#18300,#18301);
#15871=AXIS2_PLACEMENT_3D('',#22731,#18302,#18303);
#15872=AXIS2_PLACEMENT_3D('',#22732,#18304,#18305);
#15873=AXIS2_PLACEMENT_3D('',#22735,#18306,#18307);
#15874=AXIS2_PLACEMENT_3D('',#22737,#18308,#18309);
#15875=AXIS2_PLACEMENT_3D('',#22739,#18310,#18311);
#15876=AXIS2_PLACEMENT_3D('',#22740,#18312,#18313);
#15877=AXIS2_PLACEMENT_3D('',#22741,#18314,#18315);
#15878=AXIS2_PLACEMENT_3D('',#22744,#18316,#18317);
#15879=AXIS2_PLACEMENT_3D('',#22746,#18318,#18319);
#15880=AXIS2_PLACEMENT_3D('',#22747,#18320,#18321);
#15881=AXIS2_PLACEMENT_3D('',#22748,#18322,#18323);
#15882=AXIS2_PLACEMENT_3D('',#22751,#18326,#18327);
#15883=AXIS2_PLACEMENT_3D('',#22754,#18328,#18329);
#15884=AXIS2_PLACEMENT_3D('',#22756,#18330,#18331);
#15885=AXIS2_PLACEMENT_3D('',#22758,#18332,#18333);
#15886=AXIS2_PLACEMENT_3D('',#22759,#18334,#18335);
#15887=AXIS2_PLACEMENT_3D('',#22760,#18336,#18337);
#15888=AXIS2_PLACEMENT_3D('',#22763,#18340,#18341);
#15889=AXIS2_PLACEMENT_3D('',#22766,#18344,#18345);
#15890=AXIS2_PLACEMENT_3D('',#22769,#18346,#18347);
#15891=AXIS2_PLACEMENT_3D('',#22771,#18348,#18349);
#15892=AXIS2_PLACEMENT_3D('',#22773,#18350,#18351);
#15893=AXIS2_PLACEMENT_3D('',#22774,#18352,#18353);
#15894=AXIS2_PLACEMENT_3D('',#22775,#18354,#18355);
#15895=AXIS2_PLACEMENT_3D('',#22778,#18358,#18359);
#15896=AXIS2_PLACEMENT_3D('',#22781,#18362,#18363);
#15897=AXIS2_PLACEMENT_3D('',#22784,#18364,#18365);
#15898=AXIS2_PLACEMENT_3D('',#22786,#18366,#18367);
#15899=AXIS2_PLACEMENT_3D('',#22787,#18368,#18369);
#15900=AXIS2_PLACEMENT_3D('',#22788,#18370,#18371);
#15901=AXIS2_PLACEMENT_3D('',#22791,#18372,#18373);
#15902=AXIS2_PLACEMENT_3D('',#22793,#18374,#18375);
#15903=AXIS2_PLACEMENT_3D('',#22794,#18376,#18377);
#15904=AXIS2_PLACEMENT_3D('',#22795,#18378,#18379);
#15905=AXIS2_PLACEMENT_3D('',#22798,#18380,#18381);
#15906=AXIS2_PLACEMENT_3D('',#22800,#18382,#18383);
#15907=AXIS2_PLACEMENT_3D('',#22801,#18384,#18385);
#15908=AXIS2_PLACEMENT_3D('',#22802,#18386,#18387);
#15909=AXIS2_PLACEMENT_3D('',#22805,#18388,#18389);
#15910=AXIS2_PLACEMENT_3D('',#22807,#18390,#18391);
#15911=AXIS2_PLACEMENT_3D('',#22809,#18392,#18393);
#15912=AXIS2_PLACEMENT_3D('',#22810,#18394,#18395);
#15913=AXIS2_PLACEMENT_3D('',#22811,#18396,#18397);
#15914=AXIS2_PLACEMENT_3D('',#22814,#18400,#18401);
#15915=AXIS2_PLACEMENT_3D('',#22817,#18402,#18403);
#15916=AXIS2_PLACEMENT_3D('',#22819,#18404,#18405);
#15917=AXIS2_PLACEMENT_3D('',#22820,#18406,#18407);
#15918=AXIS2_PLACEMENT_3D('',#22821,#18408,#18409);
#15919=AXIS2_PLACEMENT_3D('',#22824,#18410,#18411);
#15920=AXIS2_PLACEMENT_3D('',#22826,#18412,#18413);
#15921=AXIS2_PLACEMENT_3D('',#22828,#18414,#18415);
#15922=AXIS2_PLACEMENT_3D('',#22829,#18416,#18417);
#15923=AXIS2_PLACEMENT_3D('',#22830,#18418,#18419);
#15924=AXIS2_PLACEMENT_3D('',#22833,#18420,#18421);
#15925=AXIS2_PLACEMENT_3D('',#22835,#18422,#18423);
#15926=AXIS2_PLACEMENT_3D('',#22837,#18424,#18425);
#15927=AXIS2_PLACEMENT_3D('',#22838,#18426,#18427);
#15928=AXIS2_PLACEMENT_3D('',#22839,#18428,#18429);
#15929=AXIS2_PLACEMENT_3D('',#22842,#18432,#18433);
#15930=AXIS2_PLACEMENT_3D('',#22847,#18436,#18437);
#15931=AXIS2_PLACEMENT_3D('',#22848,#18438,#18439);
#15932=AXIS2_PLACEMENT_3D('',#22851,#18440,#18441);
#15933=AXIS2_PLACEMENT_3D('',#22853,#18442,#18443);
#15934=AXIS2_PLACEMENT_3D('',#22855,#18444,#18445);
#15935=AXIS2_PLACEMENT_3D('',#22856,#18446,#18447);
#15936=AXIS2_PLACEMENT_3D('',#22857,#18448,#18449);
#15937=AXIS2_PLACEMENT_3D('',#22860,#18450,#18451);
#15938=AXIS2_PLACEMENT_3D('',#22862,#18452,#18453);
#15939=AXIS2_PLACEMENT_3D('',#22864,#18454,#18455);
#15940=AXIS2_PLACEMENT_3D('',#22865,#18456,#18457);
#15941=AXIS2_PLACEMENT_3D('',#22866,#18458,#18459);
#15942=AXIS2_PLACEMENT_3D('',#22869,#18462,#18463);
#15943=AXIS2_PLACEMENT_3D('',#22872,#18464,#18465);
#15944=AXIS2_PLACEMENT_3D('',#22874,#18466,#18467);
#15945=AXIS2_PLACEMENT_3D('',#22875,#18468,#18469);
#15946=AXIS2_PLACEMENT_3D('',#22876,#18470,#18471);
#15947=AXIS2_PLACEMENT_3D('',#22879,#18472,#18473);
#15948=AXIS2_PLACEMENT_3D('',#22880,#18474,#18475);
#15949=AXIS2_PLACEMENT_3D('',#22882,#18476,#18477);
#15950=AXIS2_PLACEMENT_3D('',#22883,#18478,#18479);
#15951=AXIS2_PLACEMENT_3D('',#22884,#18480,#18481);
#15952=AXIS2_PLACEMENT_3D('',#22886,#18483,#18484);
#15953=AXIS2_PLACEMENT_3D('',#22889,#18485,#18486);
#15954=AXIS2_PLACEMENT_3D('',#22891,#18487,#18488);
#15955=AXIS2_PLACEMENT_3D('',#22892,#18489,#18490);
#15956=AXIS2_PLACEMENT_3D('',#22893,#18491,#18492);
#15957=AXIS2_PLACEMENT_3D('',#22896,#18495,#18496);
#15958=AXIS2_PLACEMENT_3D('',#22899,#18497,#18498);
#15959=AXIS2_PLACEMENT_3D('',#22901,#18499,#18500);
#15960=AXIS2_PLACEMENT_3D('',#22902,#18501,#18502);
#15961=AXIS2_PLACEMENT_3D('',#22903,#18503,#18504);
#15962=AXIS2_PLACEMENT_3D('',#22906,#18507,#18508);
#15963=AXIS2_PLACEMENT_3D('',#22909,#18509,#18510);
#15964=AXIS2_PLACEMENT_3D('',#22911,#18511,#18512);
#15965=AXIS2_PLACEMENT_3D('',#22912,#18513,#18514);
#15966=AXIS2_PLACEMENT_3D('',#22913,#18515,#18516);
#15967=AXIS2_PLACEMENT_3D('',#22916,#18517,#18518);
#15968=AXIS2_PLACEMENT_3D('',#22917,#18519,#18520);
#15969=AXIS2_PLACEMENT_3D('',#22918,#18521,#18522);
#15970=AXIS2_PLACEMENT_3D('',#22919,#18523,#18524);
#15971=AXIS2_PLACEMENT_3D('',#22921,#18526,#18527);
#15972=AXIS2_PLACEMENT_3D('',#22924,#18528,#18529);
#15973=AXIS2_PLACEMENT_3D('',#22926,#18530,#18531);
#15974=AXIS2_PLACEMENT_3D('',#22928,#18532,#18533);
#15975=AXIS2_PLACEMENT_3D('',#22929,#18534,#18535);
#15976=AXIS2_PLACEMENT_3D('',#22930,#18536,#18537);
#15977=AXIS2_PLACEMENT_3D('',#22933,#18538,#18539);
#15978=AXIS2_PLACEMENT_3D('',#22935,#18540,#18541);
#15979=AXIS2_PLACEMENT_3D('',#22936,#18542,#18543);
#15980=AXIS2_PLACEMENT_3D('',#22937,#18544,#18545);
#15981=AXIS2_PLACEMENT_3D('',#22940,#18546,#18547);
#15982=AXIS2_PLACEMENT_3D('',#22942,#18548,#18549);
#15983=AXIS2_PLACEMENT_3D('',#22944,#18550,#18551);
#15984=AXIS2_PLACEMENT_3D('',#22945,#18552,#18553);
#15985=AXIS2_PLACEMENT_3D('',#22946,#18554,#18555);
#15986=AXIS2_PLACEMENT_3D('',#22949,#18556,#18557);
#15987=AXIS2_PLACEMENT_3D('',#22951,#18558,#18559);
#15988=AXIS2_PLACEMENT_3D('',#22952,#18560,#18561);
#15989=AXIS2_PLACEMENT_3D('',#22953,#18562,#18563);
#15990=AXIS2_PLACEMENT_3D('',#22956,#18566,#18567);
#15991=AXIS2_PLACEMENT_3D('',#22986,#18570,#18571);
#15992=AXIS2_PLACEMENT_3D('',#22987,#18572,#18573);
#15993=AXIS2_PLACEMENT_3D('',#22988,#18574,#18575);
#15994=AXIS2_PLACEMENT_3D('',#22989,#18576,#18577);
#15995=AXIS2_PLACEMENT_3D('',#22991,#18579,#18580);
#15996=AXIS2_PLACEMENT_3D('',#22994,#18581,#18582);
#15997=AXIS2_PLACEMENT_3D('',#22996,#18583,#18584);
#15998=AXIS2_PLACEMENT_3D('',#22997,#18585,#18586);
#15999=AXIS2_PLACEMENT_3D('',#22998,#18587,#18588);
#16000=AXIS2_PLACEMENT_3D('',#23001,#18589,#18590);
#16001=AXIS2_PLACEMENT_3D('',#23003,#18591,#18592);
#16002=AXIS2_PLACEMENT_3D('',#23005,#18593,#18594);
#16003=AXIS2_PLACEMENT_3D('',#23006,#18595,#18596);
#16004=AXIS2_PLACEMENT_3D('',#23007,#18597,#18598);
#16005=AXIS2_PLACEMENT_3D('',#23010,#18601,#18602);
#16006=AXIS2_PLACEMENT_3D('',#23013,#18605,#18606);
#16007=AXIS2_PLACEMENT_3D('',#23016,#18607,#18608);
#16008=AXIS2_PLACEMENT_3D('',#23018,#18609,#18610);
#16009=AXIS2_PLACEMENT_3D('',#23019,#18611,#18612);
#16010=AXIS2_PLACEMENT_3D('',#23020,#18613,#18614);
#16011=AXIS2_PLACEMENT_3D('',#23023,#18617,#18618);
#16012=AXIS2_PLACEMENT_3D('',#23026,#18619,#18620);
#16013=AXIS2_PLACEMENT_3D('',#23028,#18621,#18622);
#16014=AXIS2_PLACEMENT_3D('',#23029,#18623,#18624);
#16015=AXIS2_PLACEMENT_3D('',#23030,#18625,#18626);
#16016=AXIS2_PLACEMENT_3D('',#23031,#18627,#18628);
#16017=AXIS2_PLACEMENT_3D('',#23034,#18631,#18632);
#16018=AXIS2_PLACEMENT_3D('',#23036,#18634,#18635);
#16019=AXIS2_PLACEMENT_3D('',#23039,#18636,#18637);
#16020=AXIS2_PLACEMENT_3D('',#23041,#18638,#18639);
#16021=AXIS2_PLACEMENT_3D('',#23042,#18640,#18641);
#16022=AXIS2_PLACEMENT_3D('',#23043,#18642,#18643);
#16023=AXIS2_PLACEMENT_3D('',#23046,#18646,#18647);
#16024=AXIS2_PLACEMENT_3D('',#23049,#18648,#18649);
#16025=AXIS2_PLACEMENT_3D('',#23051,#18650,#18651);
#16026=AXIS2_PLACEMENT_3D('',#23053,#18652,#18653);
#16027=AXIS2_PLACEMENT_3D('',#23054,#18654,#18655);
#16028=AXIS2_PLACEMENT_3D('',#23055,#18656,#18657);
#16029=AXIS2_PLACEMENT_3D('',#23058,#18658,#18659);
#16030=AXIS2_PLACEMENT_3D('',#23060,#18660,#18661);
#16031=AXIS2_PLACEMENT_3D('',#23062,#18662,#18663);
#16032=AXIS2_PLACEMENT_3D('',#23063,#18664,#18665);
#16033=AXIS2_PLACEMENT_3D('',#23064,#18666,#18667);
#16034=AXIS2_PLACEMENT_3D('',#23067,#18668,#18669);
#16035=AXIS2_PLACEMENT_3D('',#23069,#18670,#18671);
#16036=AXIS2_PLACEMENT_3D('',#23071,#18672,#18673);
#16037=AXIS2_PLACEMENT_3D('',#23072,#18674,#18675);
#16038=AXIS2_PLACEMENT_3D('',#23073,#18676,#18677);
#16039=AXIS2_PLACEMENT_3D('',#23076,#18678,#18679);
#16040=AXIS2_PLACEMENT_3D('',#23078,#18680,#18681);
#16041=AXIS2_PLACEMENT_3D('',#23079,#18682,#18683);
#16042=AXIS2_PLACEMENT_3D('',#23080,#18684,#18685);
#16043=AXIS2_PLACEMENT_3D('',#23083,#18688,#18689);
#16044=AXIS2_PLACEMENT_3D('',#23085,#18690,#18691);
#16045=AXIS2_PLACEMENT_3D('',#23087,#18692,#18693);
#16046=AXIS2_PLACEMENT_3D('',#23088,#18694,#18695);
#16047=AXIS2_PLACEMENT_3D('',#23089,#18696,#18697);
#16048=AXIS2_PLACEMENT_3D('',#23092,#18698,#18699);
#16049=AXIS2_PLACEMENT_3D('',#23094,#18700,#18701);
#16050=AXIS2_PLACEMENT_3D('',#23096,#18702,#18703);
#16051=AXIS2_PLACEMENT_3D('',#23097,#18704,#18705);
#16052=AXIS2_PLACEMENT_3D('',#23098,#18706,#18707);
#16053=AXIS2_PLACEMENT_3D('',#23101,#18708,#18709);
#16054=AXIS2_PLACEMENT_3D('',#23103,#18710,#18711);
#16055=AXIS2_PLACEMENT_3D('',#23104,#18712,#18713);
#16056=AXIS2_PLACEMENT_3D('',#23105,#18714,#18715);
#16057=AXIS2_PLACEMENT_3D('',#23108,#18718,#18719);
#16058=AXIS2_PLACEMENT_3D('',#23111,#18720,#18721);
#16059=AXIS2_PLACEMENT_3D('',#23113,#18722,#18723);
#16060=AXIS2_PLACEMENT_3D('',#23114,#18724,#18725);
#16061=AXIS2_PLACEMENT_3D('',#23115,#18726,#18727);
#16062=AXIS2_PLACEMENT_3D('',#23118,#18730,#18731);
#16063=AXIS2_PLACEMENT_3D('',#23121,#18734,#18735);
#16064=AXIS2_PLACEMENT_3D('',#23123,#18737,#18738);
#16065=AXIS2_PLACEMENT_3D('',#23126,#18739,#18740);
#16066=AXIS2_PLACEMENT_3D('',#23128,#18741,#18742);
#16067=AXIS2_PLACEMENT_3D('',#23129,#18743,#18744);
#16068=AXIS2_PLACEMENT_3D('',#23130,#18745,#18746);
#16069=AXIS2_PLACEMENT_3D('',#23133,#18747,#18748);
#16070=AXIS2_PLACEMENT_3D('',#23135,#18749,#18750);
#16071=AXIS2_PLACEMENT_3D('',#23136,#18751,#18752);
#16072=AXIS2_PLACEMENT_3D('',#23137,#18753,#18754);
#16073=AXIS2_PLACEMENT_3D('',#23139,#18756,#18757);
#16074=AXIS2_PLACEMENT_3D('',#23142,#18758,#18759);
#16075=AXIS2_PLACEMENT_3D('',#23144,#18760,#18761);
#16076=AXIS2_PLACEMENT_3D('',#23145,#18762,#18763);
#16077=AXIS2_PLACEMENT_3D('',#23146,#18764,#18765);
#16078=AXIS2_PLACEMENT_3D('',#23149,#18768,#18769);
#16079=AXIS2_PLACEMENT_3D('',#23152,#18772,#18773);
#16080=AXIS2_PLACEMENT_3D('',#23155,#18774,#18775);
#16081=AXIS2_PLACEMENT_3D('',#23157,#18776,#18777);
#16082=AXIS2_PLACEMENT_3D('',#23158,#18778,#18779);
#16083=AXIS2_PLACEMENT_3D('',#23159,#18780,#18781);
#16084=AXIS2_PLACEMENT_3D('',#23161,#18782,#18783);
#16085=AXIS2_PLACEMENT_3D('',#23163,#18784,#18785);
#16086=AXIS2_PLACEMENT_3D('',#23164,#18786,#18787);
#16087=AXIS2_PLACEMENT_3D('',#23165,#18788,#18789);
#16088=AXIS2_PLACEMENT_3D('',#23168,#18790,#18791);
#16089=AXIS2_PLACEMENT_3D('',#23170,#18792,#18793);
#16090=AXIS2_PLACEMENT_3D('',#23171,#18794,#18795);
#16091=AXIS2_PLACEMENT_3D('',#23172,#18796,#18797);
#16092=AXIS2_PLACEMENT_3D('',#23175,#18800,#18801);
#16093=AXIS2_PLACEMENT_3D('',#23178,#18804,#18805);
#16094=AXIS2_PLACEMENT_3D('',#23180,#18806,#18807);
#16095=AXIS2_PLACEMENT_3D('',#23182,#18808,#18809);
#16096=AXIS2_PLACEMENT_3D('',#23183,#18810,#18811);
#16097=AXIS2_PLACEMENT_3D('',#23184,#18812,#18813);
#16098=AXIS2_PLACEMENT_3D('',#23186,#18814,#18815);
#16099=AXIS2_PLACEMENT_3D('',#23188,#18816,#18817);
#16100=AXIS2_PLACEMENT_3D('',#23189,#18818,#18819);
#16101=AXIS2_PLACEMENT_3D('',#23190,#18820,#18821);
#16102=AXIS2_PLACEMENT_3D('',#23193,#18824,#18825);
#16103=AXIS2_PLACEMENT_3D('',#23195,#18827,#18828);
#16104=AXIS2_PLACEMENT_3D('',#23198,#18831,#18832);
#16105=AXIS2_PLACEMENT_3D('',#23200,#18834,#18835);
#16106=AXIS2_PLACEMENT_3D('',#23203,#18838,#18839);
#16107=AXIS2_PLACEMENT_3D('',#23206,#18842,#18843);
#16108=AXIS2_PLACEMENT_3D('',#23209,#18846,#18847);
#16109=AXIS2_PLACEMENT_3D('',#23212,#18850,#18851);
#16110=AXIS2_PLACEMENT_3D('',#23215,#18854,#18855);
#16111=AXIS2_PLACEMENT_3D('',#23217,#18857,#18858);
#16112=AXIS2_PLACEMENT_3D('',#23219,#18860,#18861);
#16113=AXIS2_PLACEMENT_3D('',#23222,#18864,#18865);
#16114=AXIS2_PLACEMENT_3D('',#23225,#18868,#18869);
#16115=AXIS2_PLACEMENT_3D('',#23228,#18872,#18873);
#16116=AXIS2_PLACEMENT_3D('',#23231,#18876,#18877);
#16117=AXIS2_PLACEMENT_3D('',#23233,#18879,#18880);
#16118=AXIS2_PLACEMENT_3D('',#23238,#18883,#18884);
#16119=AXIS2_PLACEMENT_3D('',#23239,#18885,#18886);
#16120=AXIS2_PLACEMENT_3D('',#23242,#18889,#18890);
#16121=AXIS2_PLACEMENT_3D('',#23245,#18893,#18894);
#16122=AXIS2_PLACEMENT_3D('',#23248,#18897,#18898);
#16123=AXIS2_PLACEMENT_3D('',#23251,#18901,#18902);
#16124=AXIS2_PLACEMENT_3D('',#23254,#18905,#18906);
#16125=AXIS2_PLACEMENT_3D('',#23257,#18909,#18910);
#16126=AXIS2_PLACEMENT_3D('',#23260,#18913,#18914);
#16127=AXIS2_PLACEMENT_3D('',#23263,#18917,#18918);
#16128=AXIS2_PLACEMENT_3D('',#23268,#18921,#18922);
#16129=AXIS2_PLACEMENT_3D('',#23269,#18923,#18924);
#16130=AXIS2_PLACEMENT_3D('',#23272,#18927,#18928);
#16131=AXIS2_PLACEMENT_3D('',#23277,#18931,#18932);
#16132=AXIS2_PLACEMENT_3D('',#23278,#18933,#18934);
#16133=AXIS2_PLACEMENT_3D('',#23281,#18937,#18938);
#16134=AXIS2_PLACEMENT_3D('',#23284,#18941,#18942);
#16135=AXIS2_PLACEMENT_3D('',#23287,#18945,#18946);
#16136=AXIS2_PLACEMENT_3D('',#23290,#18949,#18950);
#16137=AXIS2_PLACEMENT_3D('',#23293,#18953,#18954);
#16138=AXIS2_PLACEMENT_3D('',#23296,#18957,#18958);
#16139=AXIS2_PLACEMENT_3D('',#23299,#18961,#18962);
#16140=AXIS2_PLACEMENT_3D('',#23302,#18965,#18966);
#16141=AXIS2_PLACEMENT_3D('',#23305,#18969,#18970);
#16142=AXIS2_PLACEMENT_3D('',#23308,#18973,#18974);
#16143=AXIS2_PLACEMENT_3D('',#23311,#18977,#18978);
#16144=AXIS2_PLACEMENT_3D('',#23314,#18981,#18982);
#16145=AXIS2_PLACEMENT_3D('',#23317,#18985,#18986);
#16146=AXIS2_PLACEMENT_3D('',#23320,#18989,#18990);
#16147=AXIS2_PLACEMENT_3D('',#23323,#18993,#18994);
#16148=AXIS2_PLACEMENT_3D('',#23326,#18997,#18998);
#16149=AXIS2_PLACEMENT_3D('',#23329,#19001,#19002);
#16150=AXIS2_PLACEMENT_3D('',#23332,#19005,#19006);
#16151=AXIS2_PLACEMENT_3D('',#23335,#19009,#19010);
#16152=AXIS2_PLACEMENT_3D('',#23338,#19013,#19014);
#16153=AXIS2_PLACEMENT_3D('',#23341,#19017,#19018);
#16154=AXIS2_PLACEMENT_3D('',#23344,#19021,#19022);
#16155=AXIS2_PLACEMENT_3D('',#23347,#19025,#19026);
#16156=AXIS2_PLACEMENT_3D('',#23350,#19029,#19030);
#16157=AXIS2_PLACEMENT_3D('',#23353,#19033,#19034);
#16158=AXIS2_PLACEMENT_3D('',#23356,#19037,#19038);
#16159=AXIS2_PLACEMENT_3D('',#23359,#19041,#19042);
#16160=AXIS2_PLACEMENT_3D('',#23362,#19045,#19046);
#16161=AXIS2_PLACEMENT_3D('',#23365,#19049,#19050);
#16162=AXIS2_PLACEMENT_3D('',#23368,#19053,#19054);
#16163=AXIS2_PLACEMENT_3D('',#23372,#19057,#19058);
#16164=AXIS2_PLACEMENT_3D('',#23376,#19061,#19062);
#16165=AXIS2_PLACEMENT_3D('',#23378,#19064,#19065);
#16166=AXIS2_PLACEMENT_3D('',#23383,#19068,#19069);
#16167=AXIS2_PLACEMENT_3D('',#23545,#19150,#19151);
#16168=AXIS2_PLACEMENT_3D('',#23546,#19152,#19153);
#16169=AXIS2_PLACEMENT_3D('',#23547,#19154,#19155);
#16170=AXIS2_PLACEMENT_3D('',#23548,#19156,#19157);
#16171=AXIS2_PLACEMENT_3D('',#23549,#19158,#19159);
#16172=AXIS2_PLACEMENT_3D('',#23550,#19160,#19161);
#16173=AXIS2_PLACEMENT_3D('',#23551,#19162,#19163);
#16174=AXIS2_PLACEMENT_3D('',#23552,#19164,#19165);
#16175=AXIS2_PLACEMENT_3D('',#23553,#19166,#19167);
#16176=AXIS2_PLACEMENT_3D('',#23554,#19168,#19169);
#16177=AXIS2_PLACEMENT_3D('',#23555,#19170,#19171);
#16178=AXIS2_PLACEMENT_3D('',#23556,#19172,#19173);
#16179=AXIS2_PLACEMENT_3D('',#23557,#19174,#19175);
#16180=AXIS2_PLACEMENT_3D('',#23558,#19176,#19177);
#16181=AXIS2_PLACEMENT_3D('',#23559,#19178,#19179);
#16182=AXIS2_PLACEMENT_3D('',#23560,#19180,#19181);
#16183=AXIS2_PLACEMENT_3D('',#23561,#19182,#19183);
#16184=AXIS2_PLACEMENT_3D('',#23562,#19184,#19185);
#16185=AXIS2_PLACEMENT_3D('',#23563,#19186,#19187);
#16186=AXIS2_PLACEMENT_3D('',#23564,#19188,#19189);
#16187=AXIS2_PLACEMENT_3D('',#23565,#19190,#19191);
#16188=AXIS2_PLACEMENT_3D('',#23566,#19192,#19193);
#16189=AXIS2_PLACEMENT_3D('',#23567,#19194,#19195);
#16190=AXIS2_PLACEMENT_3D('',#23568,#19196,#19197);
#16191=AXIS2_PLACEMENT_3D('',#23569,#19198,#19199);
#16192=AXIS2_PLACEMENT_3D('',#23570,#19200,#19201);
#16193=AXIS2_PLACEMENT_3D('',#23571,#19202,#19203);
#16194=AXIS2_PLACEMENT_3D('',#23572,#19204,#19205);
#16195=AXIS2_PLACEMENT_3D('',#23573,#19206,#19207);
#16196=AXIS2_PLACEMENT_3D('',#23574,#19208,#19209);
#16197=AXIS2_PLACEMENT_3D('',#23575,#19210,#19211);
#16198=AXIS2_PLACEMENT_3D('',#23577,#19212,#19213);
#16199=AXIS2_PLACEMENT_3D('',#23578,#19214,#19215);
#16200=AXIS2_PLACEMENT_3D('',#23579,#19216,#19217);
#16201=AXIS2_PLACEMENT_3D('',#23581,#19218,#19219);
#16202=AXIS2_PLACEMENT_3D('',#23583,#19221,#19222);
#16203=AXIS2_PLACEMENT_3D('',#23585,#19223,#19224);
#16204=AXIS2_PLACEMENT_3D('',#23586,#19225,#19226);
#16205=AXIS2_PLACEMENT_3D('',#23587,#19227,#19228);
#16206=AXIS2_PLACEMENT_3D('',#23589,#19229,#19230);
#16207=AXIS2_PLACEMENT_3D('',#23619,#19232,#19233);
#16208=AXIS2_PLACEMENT_3D('',#23620,#19234,#19235);
#16209=AXIS2_PLACEMENT_3D('',#23621,#19236,#19237);
#16210=AXIS2_PLACEMENT_3D('',#23650,#19238,#19239);
#16211=AXIS2_PLACEMENT_3D('',#23651,#19240,#19241);
#16212=AXIS2_PLACEMENT_3D('',#23652,#19242,#19243);
#16213=AXIS2_PLACEMENT_3D('',#23653,#19244,#19245);
#16214=AXIS2_PLACEMENT_3D('',#23655,#19246,#19247);
#16215=AXIS2_PLACEMENT_3D('',#23658,#19249,#19250);
#16216=AXIS2_PLACEMENT_3D('',#23659,#19251,#19252);
#16217=AXIS2_PLACEMENT_3D('',#23668,#19257,#19258);
#16218=AXIS2_PLACEMENT_3D('',#23672,#19260,#19261);
#16219=AXIS2_PLACEMENT_3D('',#23678,#19265,#19266);
#16220=AXIS2_PLACEMENT_3D('',#23680,#19268,#19269);
#16221=AXIS2_PLACEMENT_3D('',#23686,#19272,#19273);
#16222=AXIS2_PLACEMENT_3D('',#23691,#19277,#19278);
#16223=AXIS2_PLACEMENT_3D('',#23692,#19279,#19280);
#16224=AXIS2_PLACEMENT_3D('',#23694,#19281,#19282);
#16225=AXIS2_PLACEMENT_3D('',#23700,#19286,#19287);
#16226=AXIS2_PLACEMENT_3D('',#23703,#19290,#19291);
#16227=AXIS2_PLACEMENT_3D('',#23705,#19293,#19294);
#16228=AXIS2_PLACEMENT_3D('',#23707,#19296,#19297);
#16229=AXIS2_PLACEMENT_3D('',#23709,#19299,#19300);
#16230=AXIS2_PLACEMENT_3D('',#23713,#19303,#19304);
#16231=AXIS2_PLACEMENT_3D('',#23717,#19307,#19308);
#16232=AXIS2_PLACEMENT_3D('',#23721,#19311,#19312);
#16233=AXIS2_PLACEMENT_3D('',#23722,#19313,#19314);
#16234=AXIS2_PLACEMENT_3D('',#23723,#19315,#19316);
#16235=AXIS2_PLACEMENT_3D('',#23727,#19319,#19320);
#16236=AXIS2_PLACEMENT_3D('',#23728,#19321,#19322);
#16237=AXIS2_PLACEMENT_3D('',#23729,#19323,#19324);
#16238=AXIS2_PLACEMENT_3D('',#23731,#19326,#19327);
#16239=AXIS2_PLACEMENT_3D('',#23733,#19328,#19329);
#16240=AXIS2_PLACEMENT_3D('',#23736,#19331,#19332);
#16241=AXIS2_PLACEMENT_3D('',#23737,#19333,#19334);
#16242=AXIS2_PLACEMENT_3D('',#23746,#19339,#19340);
#16243=AXIS2_PLACEMENT_3D('',#23750,#19342,#19343);
#16244=AXIS2_PLACEMENT_3D('',#23756,#19347,#19348);
#16245=AXIS2_PLACEMENT_3D('',#23758,#19350,#19351);
#16246=AXIS2_PLACEMENT_3D('',#23764,#19354,#19355);
#16247=AXIS2_PLACEMENT_3D('',#23769,#19359,#19360);
#16248=AXIS2_PLACEMENT_3D('',#23770,#19361,#19362);
#16249=AXIS2_PLACEMENT_3D('',#23772,#19363,#19364);
#16250=AXIS2_PLACEMENT_3D('',#23778,#19368,#19369);
#16251=AXIS2_PLACEMENT_3D('',#23781,#19372,#19373);
#16252=AXIS2_PLACEMENT_3D('',#23783,#19375,#19376);
#16253=AXIS2_PLACEMENT_3D('',#23785,#19378,#19379);
#16254=AXIS2_PLACEMENT_3D('',#23787,#19381,#19382);
#16255=AXIS2_PLACEMENT_3D('',#23791,#19385,#19386);
#16256=AXIS2_PLACEMENT_3D('',#23795,#19389,#19390);
#16257=AXIS2_PLACEMENT_3D('',#23799,#19393,#19394);
#16258=AXIS2_PLACEMENT_3D('',#23800,#19395,#19396);
#16259=AXIS2_PLACEMENT_3D('',#23801,#19397,#19398);
#16260=AXIS2_PLACEMENT_3D('',#23805,#19401,#19402);
#16261=AXIS2_PLACEMENT_3D('',#23806,#19403,#19404);
#16262=AXIS2_PLACEMENT_3D('',#23807,#19405,#19406);
#16263=AXIS2_PLACEMENT_3D('',#23809,#19408,#19409);
#16264=AXIS2_PLACEMENT_3D('',#23811,#19410,#19411);
#16265=AXIS2_PLACEMENT_3D('',#23814,#19413,#19414);
#16266=AXIS2_PLACEMENT_3D('',#23815,#19415,#19416);
#16267=AXIS2_PLACEMENT_3D('',#23824,#19421,#19422);
#16268=AXIS2_PLACEMENT_3D('',#23828,#19424,#19425);
#16269=AXIS2_PLACEMENT_3D('',#23834,#19429,#19430);
#16270=AXIS2_PLACEMENT_3D('',#23836,#19432,#19433);
#16271=AXIS2_PLACEMENT_3D('',#23842,#19436,#19437);
#16272=AXIS2_PLACEMENT_3D('',#23847,#19441,#19442);
#16273=AXIS2_PLACEMENT_3D('',#23848,#19443,#19444);
#16274=AXIS2_PLACEMENT_3D('',#23850,#19445,#19446);
#16275=AXIS2_PLACEMENT_3D('',#23856,#19450,#19451);
#16276=AXIS2_PLACEMENT_3D('',#23859,#19454,#19455);
#16277=AXIS2_PLACEMENT_3D('',#23861,#19457,#19458);
#16278=AXIS2_PLACEMENT_3D('',#23863,#19460,#19461);
#16279=AXIS2_PLACEMENT_3D('',#23865,#19463,#19464);
#16280=AXIS2_PLACEMENT_3D('',#23869,#19467,#19468);
#16281=AXIS2_PLACEMENT_3D('',#23873,#19471,#19472);
#16282=AXIS2_PLACEMENT_3D('',#23877,#19475,#19476);
#16283=AXIS2_PLACEMENT_3D('',#23878,#19477,#19478);
#16284=AXIS2_PLACEMENT_3D('',#23879,#19479,#19480);
#16285=AXIS2_PLACEMENT_3D('',#23883,#19483,#19484);
#16286=AXIS2_PLACEMENT_3D('',#23884,#19485,#19486);
#16287=AXIS2_PLACEMENT_3D('',#23885,#19487,#19488);
#16288=AXIS2_PLACEMENT_3D('',#23887,#19490,#19491);
#16289=AXIS2_PLACEMENT_3D('',#23889,#19492,#19493);
#16290=AXIS2_PLACEMENT_3D('',#23892,#19495,#19496);
#16291=AXIS2_PLACEMENT_3D('',#23893,#19497,#19498);
#16292=AXIS2_PLACEMENT_3D('',#23902,#19503,#19504);
#16293=AXIS2_PLACEMENT_3D('',#23906,#19506,#19507);
#16294=AXIS2_PLACEMENT_3D('',#23912,#19511,#19512);
#16295=AXIS2_PLACEMENT_3D('',#23914,#19514,#19515);
#16296=AXIS2_PLACEMENT_3D('',#23920,#19518,#19519);
#16297=AXIS2_PLACEMENT_3D('',#23925,#19523,#19524);
#16298=AXIS2_PLACEMENT_3D('',#23926,#19525,#19526);
#16299=AXIS2_PLACEMENT_3D('',#23928,#19527,#19528);
#16300=AXIS2_PLACEMENT_3D('',#23934,#19532,#19533);
#16301=AXIS2_PLACEMENT_3D('',#23937,#19536,#19537);
#16302=AXIS2_PLACEMENT_3D('',#23939,#19539,#19540);
#16303=AXIS2_PLACEMENT_3D('',#23941,#19542,#19543);
#16304=AXIS2_PLACEMENT_3D('',#23943,#19545,#19546);
#16305=AXIS2_PLACEMENT_3D('',#23947,#19549,#19550);
#16306=AXIS2_PLACEMENT_3D('',#23951,#19553,#19554);
#16307=AXIS2_PLACEMENT_3D('',#23955,#19557,#19558);
#16308=AXIS2_PLACEMENT_3D('',#23956,#19559,#19560);
#16309=AXIS2_PLACEMENT_3D('',#23957,#19561,#19562);
#16310=AXIS2_PLACEMENT_3D('',#23961,#19565,#19566);
#16311=AXIS2_PLACEMENT_3D('',#23962,#19567,#19568);
#16312=AXIS2_PLACEMENT_3D('',#23963,#19569,#19570);
#16313=AXIS2_PLACEMENT_3D('',#23965,#19572,#19573);
#16314=AXIS2_PLACEMENT_3D('',#23967,#19574,#19575);
#16315=AXIS2_PLACEMENT_3D('',#23970,#19577,#19578);
#16316=AXIS2_PLACEMENT_3D('',#23971,#19579,#19580);
#16317=AXIS2_PLACEMENT_3D('',#23980,#19585,#19586);
#16318=AXIS2_PLACEMENT_3D('',#23984,#19588,#19589);
#16319=AXIS2_PLACEMENT_3D('',#23990,#19593,#19594);
#16320=AXIS2_PLACEMENT_3D('',#23992,#19596,#19597);
#16321=AXIS2_PLACEMENT_3D('',#23998,#19600,#19601);
#16322=AXIS2_PLACEMENT_3D('',#24003,#19605,#19606);
#16323=AXIS2_PLACEMENT_3D('',#24004,#19607,#19608);
#16324=AXIS2_PLACEMENT_3D('',#24006,#19609,#19610);
#16325=AXIS2_PLACEMENT_3D('',#24012,#19614,#19615);
#16326=AXIS2_PLACEMENT_3D('',#24015,#19618,#19619);
#16327=AXIS2_PLACEMENT_3D('',#24017,#19621,#19622);
#16328=AXIS2_PLACEMENT_3D('',#24019,#19624,#19625);
#16329=AXIS2_PLACEMENT_3D('',#24021,#19627,#19628);
#16330=AXIS2_PLACEMENT_3D('',#24025,#19631,#19632);
#16331=AXIS2_PLACEMENT_3D('',#24029,#19635,#19636);
#16332=AXIS2_PLACEMENT_3D('',#24033,#19639,#19640);
#16333=AXIS2_PLACEMENT_3D('',#24034,#19641,#19642);
#16334=AXIS2_PLACEMENT_3D('',#24035,#19643,#19644);
#16335=AXIS2_PLACEMENT_3D('',#24039,#19647,#19648);
#16336=AXIS2_PLACEMENT_3D('',#24040,#19649,#19650);
#16337=AXIS2_PLACEMENT_3D('',#24041,#19651,#19652);
#16338=AXIS2_PLACEMENT_3D('',#24043,#19654,#19655);
#16339=AXIS2_PLACEMENT_3D('',#24045,#19656,#19657);
#16340=AXIS2_PLACEMENT_3D('',#24048,#19659,#19660);
#16341=AXIS2_PLACEMENT_3D('',#24049,#19661,#19662);
#16342=AXIS2_PLACEMENT_3D('',#24058,#19667,#19668);
#16343=AXIS2_PLACEMENT_3D('',#24062,#19670,#19671);
#16344=AXIS2_PLACEMENT_3D('',#24068,#19675,#19676);
#16345=AXIS2_PLACEMENT_3D('',#24070,#19678,#19679);
#16346=AXIS2_PLACEMENT_3D('',#24076,#19682,#19683);
#16347=AXIS2_PLACEMENT_3D('',#24081,#19687,#19688);
#16348=AXIS2_PLACEMENT_3D('',#24082,#19689,#19690);
#16349=AXIS2_PLACEMENT_3D('',#24084,#19691,#19692);
#16350=AXIS2_PLACEMENT_3D('',#24090,#19696,#19697);
#16351=AXIS2_PLACEMENT_3D('',#24093,#19700,#19701);
#16352=AXIS2_PLACEMENT_3D('',#24095,#19703,#19704);
#16353=AXIS2_PLACEMENT_3D('',#24097,#19706,#19707);
#16354=AXIS2_PLACEMENT_3D('',#24099,#19709,#19710);
#16355=AXIS2_PLACEMENT_3D('',#24103,#19713,#19714);
#16356=AXIS2_PLACEMENT_3D('',#24107,#19717,#19718);
#16357=AXIS2_PLACEMENT_3D('',#24111,#19721,#19722);
#16358=AXIS2_PLACEMENT_3D('',#24112,#19723,#19724);
#16359=AXIS2_PLACEMENT_3D('',#24113,#19725,#19726);
#16360=AXIS2_PLACEMENT_3D('',#24117,#19729,#19730);
#16361=AXIS2_PLACEMENT_3D('',#24118,#19731,#19732);
#16362=AXIS2_PLACEMENT_3D('',#24119,#19733,#19734);
#16363=AXIS2_PLACEMENT_3D('',#24121,#19736,#19737);
#16364=AXIS2_PLACEMENT_3D('',#24123,#19738,#19739);
#16365=AXIS2_PLACEMENT_3D('',#24126,#19741,#19742);
#16366=AXIS2_PLACEMENT_3D('',#24127,#19743,#19744);
#16367=AXIS2_PLACEMENT_3D('',#24136,#19749,#19750);
#16368=AXIS2_PLACEMENT_3D('',#24140,#19752,#19753);
#16369=AXIS2_PLACEMENT_3D('',#24146,#19757,#19758);
#16370=AXIS2_PLACEMENT_3D('',#24148,#19760,#19761);
#16371=AXIS2_PLACEMENT_3D('',#24154,#19764,#19765);
#16372=AXIS2_PLACEMENT_3D('',#24159,#19769,#19770);
#16373=AXIS2_PLACEMENT_3D('',#24160,#19771,#19772);
#16374=AXIS2_PLACEMENT_3D('',#24162,#19773,#19774);
#16375=AXIS2_PLACEMENT_3D('',#24168,#19778,#19779);
#16376=AXIS2_PLACEMENT_3D('',#24171,#19782,#19783);
#16377=AXIS2_PLACEMENT_3D('',#24173,#19785,#19786);
#16378=AXIS2_PLACEMENT_3D('',#24175,#19788,#19789);
#16379=AXIS2_PLACEMENT_3D('',#24177,#19791,#19792);
#16380=AXIS2_PLACEMENT_3D('',#24181,#19795,#19796);
#16381=AXIS2_PLACEMENT_3D('',#24185,#19799,#19800);
#16382=AXIS2_PLACEMENT_3D('',#24189,#19803,#19804);
#16383=AXIS2_PLACEMENT_3D('',#24190,#19805,#19806);
#16384=AXIS2_PLACEMENT_3D('',#24191,#19807,#19808);
#16385=AXIS2_PLACEMENT_3D('',#24195,#19811,#19812);
#16386=AXIS2_PLACEMENT_3D('',#24196,#19813,#19814);
#16387=AXIS2_PLACEMENT_3D('',#24197,#19815,#19816);
#16388=AXIS2_PLACEMENT_3D('',#24199,#19818,#19819);
#16389=AXIS2_PLACEMENT_3D('',#24201,#19820,#19821);
#16390=AXIS2_PLACEMENT_3D('',#24204,#19823,#19824);
#16391=AXIS2_PLACEMENT_3D('',#24205,#19825,#19826);
#16392=AXIS2_PLACEMENT_3D('',#24214,#19831,#19832);
#16393=AXIS2_PLACEMENT_3D('',#24218,#19834,#19835);
#16394=AXIS2_PLACEMENT_3D('',#24224,#19839,#19840);
#16395=AXIS2_PLACEMENT_3D('',#24226,#19842,#19843);
#16396=AXIS2_PLACEMENT_3D('',#24232,#19846,#19847);
#16397=AXIS2_PLACEMENT_3D('',#24237,#19851,#19852);
#16398=AXIS2_PLACEMENT_3D('',#24238,#19853,#19854);
#16399=AXIS2_PLACEMENT_3D('',#24240,#19855,#19856);
#16400=AXIS2_PLACEMENT_3D('',#24246,#19860,#19861);
#16401=AXIS2_PLACEMENT_3D('',#24249,#19864,#19865);
#16402=AXIS2_PLACEMENT_3D('',#24251,#19867,#19868);
#16403=AXIS2_PLACEMENT_3D('',#24253,#19870,#19871);
#16404=AXIS2_PLACEMENT_3D('',#24255,#19873,#19874);
#16405=AXIS2_PLACEMENT_3D('',#24259,#19877,#19878);
#16406=AXIS2_PLACEMENT_3D('',#24263,#19881,#19882);
#16407=AXIS2_PLACEMENT_3D('',#24267,#19885,#19886);
#16408=AXIS2_PLACEMENT_3D('',#24268,#19887,#19888);
#16409=AXIS2_PLACEMENT_3D('',#24269,#19889,#19890);
#16410=AXIS2_PLACEMENT_3D('',#24273,#19893,#19894);
#16411=AXIS2_PLACEMENT_3D('',#24274,#19895,#19896);
#16412=AXIS2_PLACEMENT_3D('',#24275,#19897,#19898);
#16413=AXIS2_PLACEMENT_3D('',#24277,#19900,#19901);
#16414=AXIS2_PLACEMENT_3D('',#24279,#19902,#19903);
#16415=AXIS2_PLACEMENT_3D('',#24282,#19905,#19906);
#16416=AXIS2_PLACEMENT_3D('',#24283,#19907,#19908);
#16417=AXIS2_PLACEMENT_3D('',#24292,#19913,#19914);
#16418=AXIS2_PLACEMENT_3D('',#24296,#19916,#19917);
#16419=AXIS2_PLACEMENT_3D('',#24302,#19921,#19922);
#16420=AXIS2_PLACEMENT_3D('',#24304,#19924,#19925);
#16421=AXIS2_PLACEMENT_3D('',#24310,#19928,#19929);
#16422=AXIS2_PLACEMENT_3D('',#24315,#19933,#19934);
#16423=AXIS2_PLACEMENT_3D('',#24316,#19935,#19936);
#16424=AXIS2_PLACEMENT_3D('',#24318,#19937,#19938);
#16425=AXIS2_PLACEMENT_3D('',#24324,#19942,#19943);
#16426=AXIS2_PLACEMENT_3D('',#24327,#19946,#19947);
#16427=AXIS2_PLACEMENT_3D('',#24329,#19949,#19950);
#16428=AXIS2_PLACEMENT_3D('',#24331,#19952,#19953);
#16429=AXIS2_PLACEMENT_3D('',#24333,#19955,#19956);
#16430=AXIS2_PLACEMENT_3D('',#24337,#19959,#19960);
#16431=AXIS2_PLACEMENT_3D('',#24341,#19963,#19964);
#16432=AXIS2_PLACEMENT_3D('',#24345,#19967,#19968);
#16433=AXIS2_PLACEMENT_3D('',#24346,#19969,#19970);
#16434=AXIS2_PLACEMENT_3D('',#24347,#19971,#19972);
#16435=AXIS2_PLACEMENT_3D('',#24351,#19975,#19976);
#16436=AXIS2_PLACEMENT_3D('',#24352,#19977,#19978);
#16437=AXIS2_PLACEMENT_3D('',#24353,#19979,#19980);
#16438=AXIS2_PLACEMENT_3D('',#24355,#19982,#19983);
#16439=AXIS2_PLACEMENT_3D('',#24357,#19984,#19985);
#16440=AXIS2_PLACEMENT_3D('',#24360,#19987,#19988);
#16441=AXIS2_PLACEMENT_3D('',#24361,#19989,#19990);
#16442=AXIS2_PLACEMENT_3D('',#24370,#19995,#19996);
#16443=AXIS2_PLACEMENT_3D('',#24374,#19998,#19999);
#16444=AXIS2_PLACEMENT_3D('',#24380,#20003,#20004);
#16445=AXIS2_PLACEMENT_3D('',#24382,#20006,#20007);
#16446=AXIS2_PLACEMENT_3D('',#24388,#20010,#20011);
#16447=AXIS2_PLACEMENT_3D('',#24393,#20015,#20016);
#16448=AXIS2_PLACEMENT_3D('',#24394,#20017,#20018);
#16449=AXIS2_PLACEMENT_3D('',#24396,#20019,#20020);
#16450=AXIS2_PLACEMENT_3D('',#24402,#20024,#20025);
#16451=AXIS2_PLACEMENT_3D('',#24405,#20028,#20029);
#16452=AXIS2_PLACEMENT_3D('',#24407,#20031,#20032);
#16453=AXIS2_PLACEMENT_3D('',#24409,#20034,#20035);
#16454=AXIS2_PLACEMENT_3D('',#24411,#20037,#20038);
#16455=AXIS2_PLACEMENT_3D('',#24415,#20041,#20042);
#16456=AXIS2_PLACEMENT_3D('',#24419,#20045,#20046);
#16457=AXIS2_PLACEMENT_3D('',#24423,#20049,#20050);
#16458=AXIS2_PLACEMENT_3D('',#24424,#20051,#20052);
#16459=AXIS2_PLACEMENT_3D('',#24425,#20053,#20054);
#16460=AXIS2_PLACEMENT_3D('',#24429,#20057,#20058);
#16461=AXIS2_PLACEMENT_3D('',#24430,#20059,#20060);
#16462=AXIS2_PLACEMENT_3D('',#24431,#20061,#20062);
#16463=AXIS2_PLACEMENT_3D('',#24433,#20064,#20065);
#16464=AXIS2_PLACEMENT_3D('',#24442,#20070,#20071);
#16465=AXIS2_PLACEMENT_3D('',#24444,#20072,#20073);
#16466=AXIS2_PLACEMENT_3D('',#24447,#20075,#20076);
#16467=AXIS2_PLACEMENT_3D('',#24448,#20077,#20078);
#16468=AXIS2_PLACEMENT_3D('',#24450,#20079,#20080);
#16469=AXIS2_PLACEMENT_3D('',#24456,#20084,#20085);
#16470=AXIS2_PLACEMENT_3D('',#24462,#20088,#20089);
#16471=AXIS2_PLACEMENT_3D('',#24469,#20093,#20094);
#16472=AXIS2_PLACEMENT_3D('',#24470,#20095,#20096);
#16473=AXIS2_PLACEMENT_3D('',#24477,#20100,#20101);
#16474=AXIS2_PLACEMENT_3D('',#24478,#20102,#20103);
#16475=AXIS2_PLACEMENT_3D('',#24487,#20108,#20109);
#16476=AXIS2_PLACEMENT_3D('',#24494,#20114,#20115);
#16477=AXIS2_PLACEMENT_3D('',#24495,#20116,#20117);
#16478=AXIS2_PLACEMENT_3D('',#24496,#20118,#20119);
#16479=AXIS2_PLACEMENT_3D('',#24498,#20121,#20122);
#16480=AXIS2_PLACEMENT_3D('',#24500,#20124,#20125);
#16481=AXIS2_PLACEMENT_3D('',#24502,#20127,#20128);
#16482=AXIS2_PLACEMENT_3D('',#24503,#20129,#20130);
#16483=AXIS2_PLACEMENT_3D('',#24509,#20134,#20135);
#16484=AXIS2_PLACEMENT_3D('',#24511,#20136,#20137);
#16485=AXIS2_PLACEMENT_3D('',#24515,#20140,#20141);
#16486=AXIS2_PLACEMENT_3D('',#24520,#20145,#20146);
#16487=AXIS2_PLACEMENT_3D('',#24523,#20149,#20150);
#16488=AXIS2_PLACEMENT_3D('',#24532,#20155,#20156);
#16489=AXIS2_PLACEMENT_3D('',#24538,#20161,#20162);
#16490=AXIS2_PLACEMENT_3D('',#24540,#20164,#20165);
#16491=AXIS2_PLACEMENT_3D('',#24545,#20169,#20170);
#16492=AXIS2_PLACEMENT_3D('',#24770,#20284,#20285);
#16493=AXIS2_PLACEMENT_3D('',#24772,#20287,#20288);
#16494=AXIS2_PLACEMENT_3D('',#24778,#20292,#20293);
#16495=AXIS2_PLACEMENT_3D('',#24780,#20294,#20295);
#16496=AXIS2_PLACEMENT_3D('',#24783,#20297,#20298);
#16497=AXIS2_PLACEMENT_3D('',#24784,#20299,#20300);
#16498=AXIS2_PLACEMENT_3D('',#24786,#20301,#20302);
#16499=AXIS2_PLACEMENT_3D('',#24792,#20306,#20307);
#16500=AXIS2_PLACEMENT_3D('',#24796,#20309,#20310);
#16501=AXIS2_PLACEMENT_3D('',#24800,#20313,#20314);
#16502=AXIS2_PLACEMENT_3D('',#24801,#20315,#20316);
#16503=AXIS2_PLACEMENT_3D('',#24808,#20320,#20321);
#16504=AXIS2_PLACEMENT_3D('',#24809,#20322,#20323);
#16505=AXIS2_PLACEMENT_3D('',#24818,#20328,#20329);
#16506=AXIS2_PLACEMENT_3D('',#24824,#20334,#20335);
#16507=AXIS2_PLACEMENT_3D('',#24825,#20336,#20337);
#16508=AXIS2_PLACEMENT_3D('',#24826,#20338,#20339);
#16509=AXIS2_PLACEMENT_3D('',#24828,#20341,#20342);
#16510=AXIS2_PLACEMENT_3D('',#24830,#20344,#20345);
#16511=AXIS2_PLACEMENT_3D('',#24832,#20347,#20348);
#16512=AXIS2_PLACEMENT_3D('',#24833,#20349,#20350);
#16513=AXIS2_PLACEMENT_3D('',#24837,#20353,#20354);
#16514=AXIS2_PLACEMENT_3D('',#24839,#20355,#20356);
#16515=AXIS2_PLACEMENT_3D('',#24843,#20359,#20360);
#16516=AXIS2_PLACEMENT_3D('',#24847,#20364,#20365);
#16517=AXIS2_PLACEMENT_3D('',#24848,#20366,#20367);
#16518=AXIS2_PLACEMENT_3D('',#24854,#20371,#20372);
#16519=AXIS2_PLACEMENT_3D('',#24857,#20375,#20376);
#16520=AXIS2_PLACEMENT_3D('',#24859,#20378,#20379);
#16521=AXIS2_PLACEMENT_3D('',#24860,#20380,#20381);
#16522=AXIS2_PLACEMENT_3D('',#24862,#20383,#20384);
#16523=AXIS2_PLACEMENT_3D('',#24868,#20388,#20389);
#16524=AXIS2_PLACEMENT_3D('',#24870,#20390,#20391);
#16525=AXIS2_PLACEMENT_3D('',#24873,#20393,#20394);
#16526=AXIS2_PLACEMENT_3D('',#24874,#20395,#20396);
#16527=AXIS2_PLACEMENT_3D('',#24876,#20397,#20398);
#16528=AXIS2_PLACEMENT_3D('',#24882,#20402,#20403);
#16529=AXIS2_PLACEMENT_3D('',#24886,#20405,#20406);
#16530=AXIS2_PLACEMENT_3D('',#24890,#20409,#20410);
#16531=AXIS2_PLACEMENT_3D('',#24891,#20411,#20412);
#16532=AXIS2_PLACEMENT_3D('',#24898,#20416,#20417);
#16533=AXIS2_PLACEMENT_3D('',#24899,#20418,#20419);
#16534=AXIS2_PLACEMENT_3D('',#24908,#20424,#20425);
#16535=AXIS2_PLACEMENT_3D('',#24914,#20430,#20431);
#16536=AXIS2_PLACEMENT_3D('',#24915,#20432,#20433);
#16537=AXIS2_PLACEMENT_3D('',#24916,#20434,#20435);
#16538=AXIS2_PLACEMENT_3D('',#24918,#20437,#20438);
#16539=AXIS2_PLACEMENT_3D('',#24920,#20440,#20441);
#16540=AXIS2_PLACEMENT_3D('',#24922,#20443,#20444);
#16541=AXIS2_PLACEMENT_3D('',#24923,#20445,#20446);
#16542=AXIS2_PLACEMENT_3D('',#24927,#20449,#20450);
#16543=AXIS2_PLACEMENT_3D('',#24929,#20451,#20452);
#16544=AXIS2_PLACEMENT_3D('',#24933,#20455,#20456);
#16545=AXIS2_PLACEMENT_3D('',#24937,#20460,#20461);
#16546=AXIS2_PLACEMENT_3D('',#24938,#20462,#20463);
#16547=AXIS2_PLACEMENT_3D('',#24944,#20467,#20468);
#16548=AXIS2_PLACEMENT_3D('',#24947,#20471,#20472);
#16549=AXIS2_PLACEMENT_3D('',#24949,#20474,#20475);
#16550=AXIS2_PLACEMENT_3D('',#24950,#20476,#20477);
#16551=AXIS2_PLACEMENT_3D('',#24952,#20479,#20480);
#16552=AXIS2_PLACEMENT_3D('',#24958,#20484,#20485);
#16553=AXIS2_PLACEMENT_3D('',#24960,#20486,#20487);
#16554=AXIS2_PLACEMENT_3D('',#24963,#20489,#20490);
#16555=AXIS2_PLACEMENT_3D('',#24964,#20491,#20492);
#16556=AXIS2_PLACEMENT_3D('',#24966,#20493,#20494);
#16557=AXIS2_PLACEMENT_3D('',#24972,#20498,#20499);
#16558=AXIS2_PLACEMENT_3D('',#24976,#20501,#20502);
#16559=AXIS2_PLACEMENT_3D('',#24980,#20505,#20506);
#16560=AXIS2_PLACEMENT_3D('',#24981,#20507,#20508);
#16561=AXIS2_PLACEMENT_3D('',#24988,#20512,#20513);
#16562=AXIS2_PLACEMENT_3D('',#24989,#20514,#20515);
#16563=AXIS2_PLACEMENT_3D('',#24998,#20520,#20521);
#16564=AXIS2_PLACEMENT_3D('',#25004,#20526,#20527);
#16565=AXIS2_PLACEMENT_3D('',#25005,#20528,#20529);
#16566=AXIS2_PLACEMENT_3D('',#25006,#20530,#20531);
#16567=AXIS2_PLACEMENT_3D('',#25008,#20533,#20534);
#16568=AXIS2_PLACEMENT_3D('',#25010,#20536,#20537);
#16569=AXIS2_PLACEMENT_3D('',#25012,#20539,#20540);
#16570=AXIS2_PLACEMENT_3D('',#25013,#20541,#20542);
#16571=AXIS2_PLACEMENT_3D('',#25017,#20545,#20546);
#16572=AXIS2_PLACEMENT_3D('',#25019,#20547,#20548);
#16573=AXIS2_PLACEMENT_3D('',#25023,#20551,#20552);
#16574=AXIS2_PLACEMENT_3D('',#25027,#20556,#20557);
#16575=AXIS2_PLACEMENT_3D('',#25028,#20558,#20559);
#16576=AXIS2_PLACEMENT_3D('',#25034,#20563,#20564);
#16577=AXIS2_PLACEMENT_3D('',#25037,#20567,#20568);
#16578=AXIS2_PLACEMENT_3D('',#25039,#20570,#20571);
#16579=AXIS2_PLACEMENT_3D('',#25040,#20572,#20573);
#16580=AXIS2_PLACEMENT_3D('',#25042,#20575,#20576);
#16581=AXIS2_PLACEMENT_3D('',#25048,#20580,#20581);
#16582=AXIS2_PLACEMENT_3D('',#25050,#20582,#20583);
#16583=AXIS2_PLACEMENT_3D('',#25053,#20585,#20586);
#16584=AXIS2_PLACEMENT_3D('',#25054,#20587,#20588);
#16585=AXIS2_PLACEMENT_3D('',#25056,#20589,#20590);
#16586=AXIS2_PLACEMENT_3D('',#25062,#20594,#20595);
#16587=AXIS2_PLACEMENT_3D('',#25066,#20597,#20598);
#16588=AXIS2_PLACEMENT_3D('',#25070,#20601,#20602);
#16589=AXIS2_PLACEMENT_3D('',#25071,#20603,#20604);
#16590=AXIS2_PLACEMENT_3D('',#25078,#20608,#20609);
#16591=AXIS2_PLACEMENT_3D('',#25079,#20610,#20611);
#16592=AXIS2_PLACEMENT_3D('',#25088,#20616,#20617);
#16593=AXIS2_PLACEMENT_3D('',#25094,#20622,#20623);
#16594=AXIS2_PLACEMENT_3D('',#25095,#20624,#20625);
#16595=AXIS2_PLACEMENT_3D('',#25096,#20626,#20627);
#16596=AXIS2_PLACEMENT_3D('',#25098,#20629,#20630);
#16597=AXIS2_PLACEMENT_3D('',#25100,#20632,#20633);
#16598=AXIS2_PLACEMENT_3D('',#25102,#20635,#20636);
#16599=AXIS2_PLACEMENT_3D('',#25103,#20637,#20638);
#16600=AXIS2_PLACEMENT_3D('',#25107,#20641,#20642);
#16601=AXIS2_PLACEMENT_3D('',#25109,#20643,#20644);
#16602=AXIS2_PLACEMENT_3D('',#25113,#20647,#20648);
#16603=AXIS2_PLACEMENT_3D('',#25117,#20652,#20653);
#16604=AXIS2_PLACEMENT_3D('',#25118,#20654,#20655);
#16605=AXIS2_PLACEMENT_3D('',#25124,#20659,#20660);
#16606=AXIS2_PLACEMENT_3D('',#25127,#20663,#20664);
#16607=AXIS2_PLACEMENT_3D('',#25129,#20666,#20667);
#16608=AXIS2_PLACEMENT_3D('',#25130,#20668,#20669);
#16609=AXIS2_PLACEMENT_3D('',#25132,#20671,#20672);
#16610=AXIS2_PLACEMENT_3D('',#25138,#20676,#20677);
#16611=AXIS2_PLACEMENT_3D('',#25140,#20678,#20679);
#16612=AXIS2_PLACEMENT_3D('',#25143,#20681,#20682);
#16613=AXIS2_PLACEMENT_3D('',#25144,#20683,#20684);
#16614=AXIS2_PLACEMENT_3D('',#25146,#20685,#20686);
#16615=AXIS2_PLACEMENT_3D('',#25152,#20690,#20691);
#16616=AXIS2_PLACEMENT_3D('',#25156,#20693,#20694);
#16617=AXIS2_PLACEMENT_3D('',#25160,#20697,#20698);
#16618=AXIS2_PLACEMENT_3D('',#25161,#20699,#20700);
#16619=AXIS2_PLACEMENT_3D('',#25168,#20704,#20705);
#16620=AXIS2_PLACEMENT_3D('',#25169,#20706,#20707);
#16621=AXIS2_PLACEMENT_3D('',#25178,#20712,#20713);
#16622=AXIS2_PLACEMENT_3D('',#25184,#20718,#20719);
#16623=AXIS2_PLACEMENT_3D('',#25185,#20720,#20721);
#16624=AXIS2_PLACEMENT_3D('',#25186,#20722,#20723);
#16625=AXIS2_PLACEMENT_3D('',#25188,#20725,#20726);
#16626=AXIS2_PLACEMENT_3D('',#25190,#20728,#20729);
#16627=AXIS2_PLACEMENT_3D('',#25192,#20731,#20732);
#16628=AXIS2_PLACEMENT_3D('',#25193,#20733,#20734);
#16629=AXIS2_PLACEMENT_3D('',#25197,#20737,#20738);
#16630=AXIS2_PLACEMENT_3D('',#25199,#20739,#20740);
#16631=AXIS2_PLACEMENT_3D('',#25203,#20743,#20744);
#16632=AXIS2_PLACEMENT_3D('',#25207,#20748,#20749);
#16633=AXIS2_PLACEMENT_3D('',#25208,#20750,#20751);
#16634=AXIS2_PLACEMENT_3D('',#25214,#20755,#20756);
#16635=AXIS2_PLACEMENT_3D('',#25217,#20759,#20760);
#16636=AXIS2_PLACEMENT_3D('',#25219,#20762,#20763);
#16637=AXIS2_PLACEMENT_3D('',#25220,#20764,#20765);
#16638=AXIS2_PLACEMENT_3D('',#25222,#20767,#20768);
#16639=AXIS2_PLACEMENT_3D('',#25228,#20772,#20773);
#16640=AXIS2_PLACEMENT_3D('',#25230,#20774,#20775);
#16641=AXIS2_PLACEMENT_3D('',#25233,#20777,#20778);
#16642=AXIS2_PLACEMENT_3D('',#25234,#20779,#20780);
#16643=AXIS2_PLACEMENT_3D('',#25236,#20781,#20782);
#16644=AXIS2_PLACEMENT_3D('',#25242,#20786,#20787);
#16645=AXIS2_PLACEMENT_3D('',#25246,#20789,#20790);
#16646=AXIS2_PLACEMENT_3D('',#25250,#20793,#20794);
#16647=AXIS2_PLACEMENT_3D('',#25251,#20795,#20796);
#16648=AXIS2_PLACEMENT_3D('',#25258,#20800,#20801);
#16649=AXIS2_PLACEMENT_3D('',#25259,#20802,#20803);
#16650=AXIS2_PLACEMENT_3D('',#25268,#20808,#20809);
#16651=AXIS2_PLACEMENT_3D('',#25274,#20814,#20815);
#16652=AXIS2_PLACEMENT_3D('',#25275,#20816,#20817);
#16653=AXIS2_PLACEMENT_3D('',#25276,#20818,#20819);
#16654=AXIS2_PLACEMENT_3D('',#25278,#20821,#20822);
#16655=AXIS2_PLACEMENT_3D('',#25280,#20824,#20825);
#16656=AXIS2_PLACEMENT_3D('',#25282,#20827,#20828);
#16657=AXIS2_PLACEMENT_3D('',#25283,#20829,#20830);
#16658=AXIS2_PLACEMENT_3D('',#25287,#20833,#20834);
#16659=AXIS2_PLACEMENT_3D('',#25289,#20835,#20836);
#16660=AXIS2_PLACEMENT_3D('',#25293,#20839,#20840);
#16661=AXIS2_PLACEMENT_3D('',#25297,#20844,#20845);
#16662=AXIS2_PLACEMENT_3D('',#25298,#20846,#20847);
#16663=AXIS2_PLACEMENT_3D('',#25304,#20851,#20852);
#16664=AXIS2_PLACEMENT_3D('',#25307,#20855,#20856);
#16665=AXIS2_PLACEMENT_3D('',#25309,#20858,#20859);
#16666=AXIS2_PLACEMENT_3D('',#25310,#20860,#20861);
#16667=AXIS2_PLACEMENT_3D('',#25312,#20863,#20864);
#16668=AXIS2_PLACEMENT_3D('',#25318,#20868,#20869);
#16669=AXIS2_PLACEMENT_3D('',#25320,#20870,#20871);
#16670=AXIS2_PLACEMENT_3D('',#25323,#20873,#20874);
#16671=AXIS2_PLACEMENT_3D('',#25324,#20875,#20876);
#16672=AXIS2_PLACEMENT_3D('',#25326,#20877,#20878);
#16673=AXIS2_PLACEMENT_3D('',#25332,#20882,#20883);
#16674=AXIS2_PLACEMENT_3D('',#25336,#20885,#20886);
#16675=AXIS2_PLACEMENT_3D('',#25340,#20889,#20890);
#16676=AXIS2_PLACEMENT_3D('',#25341,#20891,#20892);
#16677=AXIS2_PLACEMENT_3D('',#25348,#20896,#20897);
#16678=AXIS2_PLACEMENT_3D('',#25349,#20898,#20899);
#16679=AXIS2_PLACEMENT_3D('',#25358,#20904,#20905);
#16680=AXIS2_PLACEMENT_3D('',#25364,#20910,#20911);
#16681=AXIS2_PLACEMENT_3D('',#25365,#20912,#20913);
#16682=AXIS2_PLACEMENT_3D('',#25366,#20914,#20915);
#16683=AXIS2_PLACEMENT_3D('',#25368,#20917,#20918);
#16684=AXIS2_PLACEMENT_3D('',#25370,#20920,#20921);
#16685=AXIS2_PLACEMENT_3D('',#25372,#20923,#20924);
#16686=AXIS2_PLACEMENT_3D('',#25373,#20925,#20926);
#16687=AXIS2_PLACEMENT_3D('',#25377,#20929,#20930);
#16688=AXIS2_PLACEMENT_3D('',#25379,#20931,#20932);
#16689=AXIS2_PLACEMENT_3D('',#25383,#20935,#20936);
#16690=AXIS2_PLACEMENT_3D('',#25387,#20940,#20941);
#16691=AXIS2_PLACEMENT_3D('',#25388,#20942,#20943);
#16692=AXIS2_PLACEMENT_3D('',#25394,#20947,#20948);
#16693=AXIS2_PLACEMENT_3D('',#25397,#20951,#20952);
#16694=AXIS2_PLACEMENT_3D('',#25399,#20954,#20955);
#16695=AXIS2_PLACEMENT_3D('',#25400,#20956,#20957);
#16696=AXIS2_PLACEMENT_3D('',#25402,#20959,#20960);
#16697=AXIS2_PLACEMENT_3D('',#25408,#20964,#20965);
#16698=AXIS2_PLACEMENT_3D('',#25410,#20966,#20967);
#16699=AXIS2_PLACEMENT_3D('',#25413,#20969,#20970);
#16700=AXIS2_PLACEMENT_3D('',#25414,#20971,#20972);
#16701=AXIS2_PLACEMENT_3D('',#25416,#20973,#20974);
#16702=AXIS2_PLACEMENT_3D('',#25422,#20978,#20979);
#16703=AXIS2_PLACEMENT_3D('',#25426,#20981,#20982);
#16704=AXIS2_PLACEMENT_3D('',#25430,#20985,#20986);
#16705=AXIS2_PLACEMENT_3D('',#25431,#20987,#20988);
#16706=AXIS2_PLACEMENT_3D('',#25438,#20992,#20993);
#16707=AXIS2_PLACEMENT_3D('',#25439,#20994,#20995);
#16708=AXIS2_PLACEMENT_3D('',#25448,#21000,#21001);
#16709=AXIS2_PLACEMENT_3D('',#25454,#21006,#21007);
#16710=AXIS2_PLACEMENT_3D('',#25455,#21008,#21009);
#16711=AXIS2_PLACEMENT_3D('',#25456,#21010,#21011);
#16712=AXIS2_PLACEMENT_3D('',#25458,#21013,#21014);
#16713=AXIS2_PLACEMENT_3D('',#25460,#21016,#21017);
#16714=AXIS2_PLACEMENT_3D('',#25462,#21019,#21020);
#16715=AXIS2_PLACEMENT_3D('',#25463,#21021,#21022);
#16716=AXIS2_PLACEMENT_3D('',#25467,#21025,#21026);
#16717=AXIS2_PLACEMENT_3D('',#25469,#21027,#21028);
#16718=AXIS2_PLACEMENT_3D('',#25473,#21031,#21032);
#16719=AXIS2_PLACEMENT_3D('',#25477,#21036,#21037);
#16720=AXIS2_PLACEMENT_3D('',#25478,#21038,#21039);
#16721=AXIS2_PLACEMENT_3D('',#25484,#21043,#21044);
#16722=AXIS2_PLACEMENT_3D('',#25487,#21047,#21048);
#16723=AXIS2_PLACEMENT_3D('',#25489,#21050,#21051);
#16724=AXIS2_PLACEMENT_3D('',#25490,#21052,#21053);
#16725=AXIS2_PLACEMENT_3D('',#25492,#21055,#21056);
#16726=AXIS2_PLACEMENT_3D('',#25494,#21057,#21058);
#16727=AXIS2_PLACEMENT_3D('',#25497,#21060,#21061);
#16728=AXIS2_PLACEMENT_3D('',#25498,#21062,#21063);
#16729=AXIS2_PLACEMENT_3D('',#25504,#21067,#21068);
#16730=AXIS2_PLACEMENT_3D('',#25508,#21070,#21071);
#16731=AXIS2_PLACEMENT_3D('',#25512,#21074,#21075);
#16732=AXIS2_PLACEMENT_3D('',#25513,#21076,#21077);
#16733=AXIS2_PLACEMENT_3D('',#25515,#21078,#21079);
#16734=AXIS2_PLACEMENT_3D('',#25521,#21083,#21084);
#16735=AXIS2_PLACEMENT_3D('',#25523,#21085,#21086);
#16736=AXIS2_PLACEMENT_3D('',#25528,#21089,#21090);
#16737=AXIS2_PLACEMENT_3D('',#25530,#21092,#21093);
#16738=AXIS2_PLACEMENT_3D('',#25539,#21098,#21099);
#16739=AXIS2_PLACEMENT_3D('',#25543,#21103,#21104);
#16740=AXIS2_PLACEMENT_3D('',#25544,#21105,#21106);
#16741=AXIS2_PLACEMENT_3D('',#25546,#21107,#21108);
#16742=AXIS2_PLACEMENT_3D('',#25548,#21110,#21111);
#16743=AXIS2_PLACEMENT_3D('',#25552,#21114,#21115);
#16744=AXIS2_PLACEMENT_3D('',#25556,#21118,#21119);
#16745=AXIS2_PLACEMENT_3D('',#25558,#21121,#21122);
#16746=AXIS2_PLACEMENT_3D('',#25560,#21124,#21125);
#16747=AXIS2_PLACEMENT_3D('',#25564,#21128,#21129);
#16748=AXIS2_PLACEMENT_3D('',#25567,#21132,#21133);
#16749=AXIS2_PLACEMENT_3D('',#25568,#21134,#21135);
#16750=AXIS2_PLACEMENT_3D('',#25573,#21139,#21140);
#16751=AXIS2_PLACEMENT_3D('',#25577,#21143,#21144);
#16752=AXIS2_PLACEMENT_3D('',#25579,#21146,#21147);
#16753=AXIS2_PLACEMENT_3D('',#25580,#21148,#21149);
#16754=AXIS2_PLACEMENT_3D('',#25582,#21151,#21152);
#16755=AXIS2_PLACEMENT_3D('',#25583,#21153,#21154);
#16756=AXIS2_PLACEMENT_3D('',#25585,#21156,#21157);
#16757=AXIS2_PLACEMENT_3D('',#25586,#21158,#21159);
#16758=AXIS2_PLACEMENT_3D('',#25588,#21161,#21162);
#16759=AXIS2_PLACEMENT_3D('',#25592,#21165,#21166);
#16760=AXIS2_PLACEMENT_3D('',#25596,#21169,#21170);
#16761=AXIS2_PLACEMENT_3D('',#25598,#21172,#21173);
#16762=DIRECTION('axis',(0.,0.,1.));
#16763=DIRECTION('refdir',(1.,0.,0.));
#16764=DIRECTION('center_axis',(0.,1.,0.));
#16765=DIRECTION('ref_axis',(0.,0.,1.));
#16766=DIRECTION('center_axis',(0.,1.,0.));
#16767=DIRECTION('ref_axis',(0.,0.,1.));
#16768=DIRECTION('',(1.,0.,0.));
#16769=DIRECTION('',(-0.099503719020999,0.,-0.995037190209989));
#16770=DIRECTION('',(0.,0.,1.));
#16771=DIRECTION('center_axis',(-0.995037190209989,0.,0.099503719020999));
#16772=DIRECTION('ref_axis',(-0.099503719020999,0.,-0.995037190209989));
#16773=DIRECTION('center_axis',(0.995037190209989,0.,-0.099503719020999));
#16774=DIRECTION('ref_axis',(0.099503719020999,0.,0.995037190209989));
#16775=DIRECTION('',(0.099503719020999,0.,0.995037190209989));
#16776=DIRECTION('center_axis',(0.995037190209989,0.,-0.099503719020999));
#16777=DIRECTION('ref_axis',(-0.099503719020999,0.,-0.995037190209989));
#16778=DIRECTION('center_axis',(0.995037190209989,0.,0.099503719020999));
#16779=DIRECTION('ref_axis',(-0.099503719020999,0.,0.995037190209989));
#16780=DIRECTION('center_axis',(-0.995037190209989,0.,-0.099503719020999));
#16781=DIRECTION('ref_axis',(-0.099503719020999,0.,0.995037190209989));
#16782=DIRECTION('',(-0.099503719020999,0.,0.995037190209989));
#16783=DIRECTION('center_axis',(-0.995037190209989,0.,-0.099503719020999));
#16784=DIRECTION('ref_axis',(0.099503719020999,0.,-0.995037190209989));
#16785=DIRECTION('',(0.099503719020999,0.,-0.995037190209989));
#16786=DIRECTION('center_axis',(0.,1.,0.));
#16787=DIRECTION('ref_axis',(0.,0.,1.));
#16788=DIRECTION('center_axis',(0.,1.,0.));
#16789=DIRECTION('ref_axis',(1.,0.,0.));
#16790=DIRECTION('',(0.,0.,-1.));
#16791=DIRECTION('',(1.,0.,0.));
#16792=DIRECTION('center_axis',(-0.912984650746814,0.,-0.407993906205373));
#16793=DIRECTION('ref_axis',(-0.407993906205373,0.,0.912984650746814));
#16794=DIRECTION('center_axis',(-0.912984650746814,0.,-0.407993906205373));
#16795=DIRECTION('ref_axis',(-0.407993906205373,0.,0.912984650746814));
#16796=DIRECTION('',(-0.407993906205373,0.,0.912984650746814));
#16797=DIRECTION('',(0.,1.,0.));
#16798=DIRECTION('',(-0.407993906205373,0.,0.912984650746814));
#16799=DIRECTION('center_axis',(0.912984650746814,0.,-0.407993906205373));
#16800=DIRECTION('ref_axis',(-0.407993906205373,0.,-0.912984650746814));
#16801=DIRECTION('center_axis',(0.912984650746814,0.,-0.407993906205373));
#16802=DIRECTION('ref_axis',(0.407993906205373,0.,0.912984650746814));
#16803=DIRECTION('',(-0.407993906205373,0.,-0.912984650746814));
#16804=DIRECTION('',(-0.407993906205373,0.,-0.912984650746814));
#16805=DIRECTION('center_axis',(0.,1.,0.));
#16806=DIRECTION('ref_axis',(0.,0.,1.));
#16807=DIRECTION('',(-1.,0.,0.));
#16808=DIRECTION('center_axis',(1.,0.,0.));
#16809=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548));
#16810=DIRECTION('',(1.,0.,0.));
#16811=DIRECTION('center_axis',(-0.995037190209989,0.,-0.099503719020999));
#16812=DIRECTION('ref_axis',(-0.099503719020999,0.,0.995037190209989));
#16813=DIRECTION('',(-1.,0.,0.));
#16814=DIRECTION('center_axis',(1.,0.,0.));
#16815=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548));
#16816=DIRECTION('',(-1.,0.,0.));
#16817=DIRECTION('center_axis',(0.995037190209989,0.,-0.099503719020999));
#16818=DIRECTION('ref_axis',(0.099503719020999,0.,0.995037190209989));
#16819=DIRECTION('',(1.,0.,0.));
#16820=DIRECTION('center_axis',(0.,1.,0.));
#16821=DIRECTION('ref_axis',(0.,0.,1.));
#16822=DIRECTION('center_axis',(0.,-1.,-1.11022302462516E-14));
#16823=DIRECTION('ref_axis',(-1.,0.,0.));
#16824=DIRECTION('',(0.,0.,-1.));
#16825=DIRECTION('',(-0.099503719020999,0.,-0.995037190209989));
#16826=DIRECTION('',(-1.,0.,0.));
#16827=DIRECTION('center_axis',(0.995037190209989,0.,-0.099503719020999));
#16828=DIRECTION('ref_axis',(-0.099503719020999,0.,-0.995037190209989));
#16829=DIRECTION('center_axis',(0.995037190209989,0.,-0.099503719020999));
#16830=DIRECTION('ref_axis',(-0.099503719020999,0.,-0.995037190209989));
#16831=DIRECTION('',(-0.099503719020999,0.,-0.995037190209989));
#16832=DIRECTION('center_axis',(0.,1.,0.));
#16833=DIRECTION('ref_axis',(0.,0.,1.));
#16834=DIRECTION('center_axis',(0.,-1.,0.));
#16835=DIRECTION('ref_axis',(0.,0.,1.));
#16836=DIRECTION('',(-1.,0.,0.));
#16837=DIRECTION('',(-0.099503719020999,0.,0.995037190209989));
#16838=DIRECTION('',(0.,0.,1.));
#16839=DIRECTION('center_axis',(-0.995037190209989,0.,-0.099503719020999));
#16840=DIRECTION('ref_axis',(-0.099503719020999,0.,0.995037190209989));
#16841=DIRECTION('',(-0.099503719020999,0.,0.995037190209989));
#16842=DIRECTION('center_axis',(-0.995037190209989,0.,-0.099503719020999));
#16843=DIRECTION('ref_axis',(0.099503719020999,0.,-0.995037190209989));
#16844=DIRECTION('center_axis',(0.,0.,-1.));
#16845=DIRECTION('ref_axis',(0.70710678118654,-0.707106781186555,0.));
#16846=DIRECTION('',(0.,0.,-1.));
#16847=DIRECTION('center_axis',(0.,0.,1.));
#16848=DIRECTION('ref_axis',(0.,-1.,0.));
#16849=DIRECTION('',(0.,0.,1.));
#16850=DIRECTION('center_axis',(0.408248290463863,0.408248290463863,-0.816496580927726));
#16851=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,0.577350269189626));
#16852=DIRECTION('center_axis',(0.,0.,-1.));
#16853=DIRECTION('ref_axis',(1.,0.,0.));
#16854=DIRECTION('center_axis',(0.,-1.,0.));
#16855=DIRECTION('ref_axis',(0.,0.,1.));
#16856=DIRECTION('center_axis',(-1.,0.,0.));
#16857=DIRECTION('ref_axis',(0.,1.,0.));
#16858=DIRECTION('center_axis',(-0.816496580927729,0.40824829046386,0.408248290463861));
#16859=DIRECTION('ref_axis',(-0.577350269189623,-0.577350269189627,-0.577350269189627));
#16860=DIRECTION('center_axis',(0.,-1.,0.));
#16861=DIRECTION('ref_axis',(0.,0.,-1.));
#16862=DIRECTION('center_axis',(-1.,0.,0.));
#16863=DIRECTION('ref_axis',(0.,-1.,0.));
#16864=DIRECTION('center_axis',(0.,0.,-1.));
#16865=DIRECTION('ref_axis',(-1.,0.,0.));
#16866=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726,0.408248290463863));
#16867=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626));
#16868=DIRECTION('center_axis',(1.,-2.77555756156289E-15,0.));
#16869=DIRECTION('ref_axis',(0.,0.,1.));
#16870=DIRECTION('center_axis',(0.,-1.,0.));
#16871=DIRECTION('ref_axis',(-1.,0.,0.));
#16872=DIRECTION('center_axis',(0.,0.,-1.));
#16873=DIRECTION('ref_axis',(0.,1.,0.));
#16874=DIRECTION('center_axis',(-0.408248290463864,0.408248290463859,-0.816496580927728));
#16875=DIRECTION('ref_axis',(0.577350269189628,-0.577350269189626,-0.577350269189623));
#16876=DIRECTION('center_axis',(0.,-1.,0.));
#16877=DIRECTION('ref_axis',(1.,0.,0.));
#16878=DIRECTION('center_axis',(0.,0.,-1.));
#16879=DIRECTION('ref_axis',(0.,-1.,0.));
#16880=DIRECTION('center_axis',(1.,0.,0.));
#16881=DIRECTION('ref_axis',(0.,0.,-1.));
#16882=DIRECTION('center_axis',(0.,0.,-1.));
#16883=DIRECTION('ref_axis',(-1.,0.,0.));
#16884=DIRECTION('center_axis',(0.,0.,-1.));
#16885=DIRECTION('ref_axis',(0.707106781186548,0.707106781186547,0.));
#16886=DIRECTION('center_axis',(0.,-1.,0.));
#16887=DIRECTION('ref_axis',(-1.,0.,0.));
#16888=DIRECTION('center_axis',(0.,0.,1.));
#16889=DIRECTION('ref_axis',(0.,1.,0.));
#16890=DIRECTION('center_axis',(-1.,0.,0.));
#16891=DIRECTION('ref_axis',(0.,0.,-1.));
#16892=DIRECTION('center_axis',(0.,-1.,0.));
#16893=DIRECTION('ref_axis',(-0.707106781186549,0.,-0.707106781186546));
#16894=DIRECTION('',(0.,1.,0.));
#16895=DIRECTION('',(0.,-1.,0.));
#16896=DIRECTION('center_axis',(0.,0.,-1.));
#16897=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.));
#16898=DIRECTION('',(0.,0.,1.));
#16899=DIRECTION('',(0.,0.,-1.));
#16900=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726,0.408248290463863));
#16901=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189626,0.577350269189626));
#16902=DIRECTION('center_axis',(1.,0.,0.));
#16903=DIRECTION('ref_axis',(0.,0.,1.));
#16904=DIRECTION('center_axis',(0.,-1.,0.));
#16905=DIRECTION('ref_axis',(-1.,0.,0.));
#16906=DIRECTION('center_axis',(0.,0.,-1.));
#16907=DIRECTION('ref_axis',(0.,1.,0.));
#16908=DIRECTION('center_axis',(0.,1.,0.));
#16909=DIRECTION('ref_axis',(0.,0.,1.));
#16910=DIRECTION('center_axis',(0.,1.,0.));
#16911=DIRECTION('ref_axis',(1.,0.,0.));
#16912=DIRECTION('center_axis',(0.,0.,-1.));
#16913=DIRECTION('ref_axis',(0.,-1.,0.));
#16914=DIRECTION('center_axis',(0.,-1.,0.));
#16915=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547));
#16916=DIRECTION('center_axis',(-1.,0.,0.));
#16917=DIRECTION('ref_axis',(0.,0.,-1.));
#16918=DIRECTION('center_axis',(0.,0.,-1.));
#16919=DIRECTION('ref_axis',(-0.707106781186549,-0.707106781186546,0.));
#16920=DIRECTION('',(0.,0.,1.));
#16921=DIRECTION('',(0.,0.,-1.));
#16922=DIRECTION('center_axis',(0.,0.,1.));
#16923=DIRECTION('ref_axis',(1.,0.,0.));
#16924=DIRECTION('center_axis',(1.,0.,0.));
#16925=DIRECTION('ref_axis',(0.,-1.,0.));
#16926=DIRECTION('center_axis',(0.,0.,1.));
#16927=DIRECTION('ref_axis',(-1.,0.,0.));
#16928=DIRECTION('center_axis',(0.,-1.,0.));
#16929=DIRECTION('ref_axis',(0.,0.,-1.));
#16930=DIRECTION('center_axis',(0.,0.,-1.));
#16931=DIRECTION('ref_axis',(-0.707106781186549,0.707106781186546,0.));
#16932=DIRECTION('center_axis',(0.,0.,1.));
#16933=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.));
#16934=DIRECTION('',(0.,0.,-1.));
#16935=DIRECTION('',(0.,0.,1.));
#16936=DIRECTION('center_axis',(0.,1.,0.));
#16937=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547));
#16938=DIRECTION('',(0.,-1.,0.));
#16939=DIRECTION('',(0.,1.,0.));
#16940=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,0.408248290463863));
#16941=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,0.577350269189626));
#16942=DIRECTION('center_axis',(0.,0.,-1.));
#16943=DIRECTION('ref_axis',(1.,0.,0.));
#16944=DIRECTION('center_axis',(0.,-1.,0.));
#16945=DIRECTION('ref_axis',(0.,0.,1.));
#16946=DIRECTION('center_axis',(-1.,0.,0.));
#16947=DIRECTION('ref_axis',(0.,1.,0.));
#16948=DIRECTION('center_axis',(0.,1.,0.));
#16949=DIRECTION('ref_axis',(0.,0.,1.));
#16950=DIRECTION('center_axis',(0.,1.,0.));
#16951=DIRECTION('ref_axis',(0.,0.,1.));
#16952=DIRECTION('center_axis',(1.,0.,0.));
#16953=DIRECTION('ref_axis',(0.,-1.,0.));
#16954=DIRECTION('center_axis',(0.,-1.,0.));
#16955=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186549));
#16956=DIRECTION('center_axis',(0.,0.,-1.));
#16957=DIRECTION('ref_axis',(1.,0.,0.));
#16958=DIRECTION('center_axis',(0.,0.,1.));
#16959=DIRECTION('ref_axis',(1.,0.,0.));
#16960=DIRECTION('center_axis',(1.,0.,0.));
#16961=DIRECTION('ref_axis',(0.,-1.,0.));
#16962=DIRECTION('center_axis',(0.,0.,1.));
#16963=DIRECTION('ref_axis',(-1.,0.,0.));
#16964=DIRECTION('center_axis',(0.,-1.,0.));
#16965=DIRECTION('ref_axis',(0.,0.,-1.));
#16966=DIRECTION('center_axis',(0.,0.,-1.));
#16967=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186547,0.));
#16968=DIRECTION('center_axis',(0.,0.,1.));
#16969=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.));
#16970=DIRECTION('',(0.,0.,-1.));
#16971=DIRECTION('',(0.,0.,1.));
#16972=DIRECTION('center_axis',(0.,1.,0.));
#16973=DIRECTION('ref_axis',(0.,0.,1.));
#16974=DIRECTION('center_axis',(0.,1.,0.));
#16975=DIRECTION('ref_axis',(0.,0.,1.));
#16976=DIRECTION('center_axis',(1.,0.,0.));
#16977=DIRECTION('ref_axis',(0.,-1.,0.));
#16978=DIRECTION('center_axis',(0.,-1.,0.));
#16979=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186549));
#16980=DIRECTION('center_axis',(0.,0.,-1.));
#16981=DIRECTION('ref_axis',(1.,0.,0.));
#16982=DIRECTION('center_axis',(1.,0.,0.));
#16983=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186548));
#16984=DIRECTION('',(-1.,0.,0.));
#16985=DIRECTION('',(1.,0.,0.));
#16986=DIRECTION('center_axis',(0.,0.,-1.));
#16987=DIRECTION('ref_axis',(-1.,0.,0.));
#16988=DIRECTION('center_axis',(0.,0.,-1.));
#16989=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.));
#16990=DIRECTION('center_axis',(0.,-1.,0.));
#16991=DIRECTION('ref_axis',(-1.,0.,0.));
#16992=DIRECTION('center_axis',(0.,0.,1.));
#16993=DIRECTION('ref_axis',(0.,1.,0.));
#16994=DIRECTION('center_axis',(-1.,0.,0.));
#16995=DIRECTION('ref_axis',(0.,0.,-1.));
#16996=DIRECTION('center_axis',(0.,-1.,0.));
#16997=DIRECTION('ref_axis',(0.,0.,-1.));
#16998=DIRECTION('center_axis',(0.,-1.,0.));
#16999=DIRECTION('ref_axis',(0.707106781186546,0.,0.707106781186549));
#17000=DIRECTION('center_axis',(-1.,0.,0.));
#17001=DIRECTION('ref_axis',(0.,0.,-1.));
#17002=DIRECTION('center_axis',(0.,1.,0.));
#17003=DIRECTION('ref_axis',(1.,0.,0.));
#17004=DIRECTION('center_axis',(0.,0.,-1.));
#17005=DIRECTION('ref_axis',(0.,-1.,0.));
#17006=DIRECTION('center_axis',(1.,0.,0.));
#17007=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186548));
#17008=DIRECTION('',(-1.,0.,0.));
#17009=DIRECTION('',(1.,0.,0.));
#17010=DIRECTION('center_axis',(0.,1.,0.));
#17011=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17012=DIRECTION('',(0.,-1.,0.));
#17013=DIRECTION('',(0.,1.,0.));
#17014=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463864,-0.408248290463863));
#17015=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,-0.577350269189625));
#17016=DIRECTION('center_axis',(0.,-1.,0.));
#17017=DIRECTION('ref_axis',(1.,0.,0.));
#17018=DIRECTION('center_axis',(0.,0.,-1.));
#17019=DIRECTION('ref_axis',(0.,-1.,0.));
#17020=DIRECTION('center_axis',(1.,5.55111512312578E-15,0.));
#17021=DIRECTION('ref_axis',(0.,0.,-1.));
#17022=DIRECTION('center_axis',(0.,0.,1.));
#17023=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.));
#17024=DIRECTION('',(0.,0.,-1.));
#17025=DIRECTION('',(0.,0.,1.));
#17026=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,0.408248290463862));
#17027=DIRECTION('ref_axis',(-0.577350269189624,-0.577350269189628,-0.577350269189626));
#17028=DIRECTION('center_axis',(0.,0.,-1.));
#17029=DIRECTION('ref_axis',(-1.,0.,0.));
#17030=DIRECTION('center_axis',(0.,-1.,0.));
#17031=DIRECTION('ref_axis',(0.,0.,-1.));
#17032=DIRECTION('center_axis',(-1.,0.,0.));
#17033=DIRECTION('ref_axis',(0.,-1.,0.));
#17034=DIRECTION('center_axis',(0.,-1.,0.));
#17035=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547));
#17036=DIRECTION('',(0.,1.,0.));
#17037=DIRECTION('',(0.,-1.,0.));
#17038=DIRECTION('center_axis',(0.408248290463863,0.408248290463863,-0.816496580927726));
#17039=DIRECTION('ref_axis',(0.577350269189626,0.577350269189626,0.577350269189626));
#17040=DIRECTION('center_axis',(0.,0.,-1.));
#17041=DIRECTION('ref_axis',(1.,0.,0.));
#17042=DIRECTION('center_axis',(0.,-1.,0.));
#17043=DIRECTION('ref_axis',(0.,0.,1.));
#17044=DIRECTION('center_axis',(-1.,0.,0.));
#17045=DIRECTION('ref_axis',(0.,1.,0.));
#17046=DIRECTION('center_axis',(-0.816496580927729,0.408248290463859,0.40824829046386));
#17047=DIRECTION('ref_axis',(-0.577350269189622,-0.577350269189627,-0.577350269189628));
#17048=DIRECTION('center_axis',(0.,-1.,0.));
#17049=DIRECTION('ref_axis',(0.,0.,-1.));
#17050=DIRECTION('center_axis',(-1.,0.,-5.55111512312579E-15));
#17051=DIRECTION('ref_axis',(0.,-1.,0.));
#17052=DIRECTION('center_axis',(0.,0.,-1.));
#17053=DIRECTION('ref_axis',(-1.,0.,0.));
#17054=DIRECTION('center_axis',(1.,0.,0.));
#17055=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#17056=DIRECTION('',(-1.,0.,0.));
#17057=DIRECTION('',(1.,0.,0.));
#17058=DIRECTION('center_axis',(0.,1.,0.));
#17059=DIRECTION('ref_axis',(0.,0.,1.));
#17060=DIRECTION('center_axis',(0.,1.,0.));
#17061=DIRECTION('ref_axis',(1.,0.,0.));
#17062=DIRECTION('center_axis',(0.,0.,-1.));
#17063=DIRECTION('ref_axis',(0.,-1.,0.));
#17064=DIRECTION('center_axis',(0.,-1.,0.));
#17065=DIRECTION('ref_axis',(0.707106781186545,0.,0.70710678118655));
#17066=DIRECTION('center_axis',(-1.,0.,0.));
#17067=DIRECTION('ref_axis',(0.,0.,-1.));
#17068=DIRECTION('center_axis',(0.,0.,-1.));
#17069=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.));
#17070=DIRECTION('center_axis',(-6.93889390390726E-16,0.,1.));
#17071=DIRECTION('ref_axis',(-1.,0.,-6.93889390390726E-16));
#17072=DIRECTION('',(0.,0.,1.));
#17073=DIRECTION('',(0.,0.,-1.));
#17074=DIRECTION('center_axis',(-0.816496580927728,0.40824829046386,0.408248290463862));
#17075=DIRECTION('ref_axis',(-0.577350269189623,-0.577350269189627,-0.577350269189627));
#17076=DIRECTION('center_axis',(0.,-1.,0.));
#17077=DIRECTION('ref_axis',(-0.707106781186549,0.,-0.707106781186546));
#17078=DIRECTION('center_axis',(-1.,0.,0.));
#17079=DIRECTION('ref_axis',(0.,-0.707106781186546,-0.707106781186549));
#17080=DIRECTION('center_axis',(1.,0.,0.));
#17081=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#17082=DIRECTION('',(-1.,0.,0.));
#17083=DIRECTION('',(1.,0.,0.));
#17084=DIRECTION('center_axis',(0.,0.,-1.));
#17085=DIRECTION('ref_axis',(-1.,0.,0.));
#17086=DIRECTION('center_axis',(0.,0.,-1.));
#17087=DIRECTION('ref_axis',(0.707106781186548,0.707106781186546,0.));
#17088=DIRECTION('center_axis',(0.,-1.,0.));
#17089=DIRECTION('ref_axis',(-1.,0.,0.));
#17090=DIRECTION('center_axis',(0.,0.,1.));
#17091=DIRECTION('ref_axis',(0.,1.,0.));
#17092=DIRECTION('center_axis',(-1.,0.,0.));
#17093=DIRECTION('ref_axis',(0.,0.,-1.));
#17094=DIRECTION('center_axis',(0.,-1.,0.));
#17095=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547));
#17096=DIRECTION('',(0.,1.,0.));
#17097=DIRECTION('',(0.,-1.,0.));
#17098=DIRECTION('center_axis',(0.,0.,-1.));
#17099=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.));
#17100=DIRECTION('',(0.,0.,1.));
#17101=DIRECTION('',(0.,0.,-1.));
#17102=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726,0.408248290463863));
#17103=DIRECTION('ref_axis',(-0.577350269189626,0.577350269189626,0.577350269189626));
#17104=DIRECTION('center_axis',(1.,0.,0.));
#17105=DIRECTION('ref_axis',(0.,0.,1.));
#17106=DIRECTION('center_axis',(0.,-1.,0.));
#17107=DIRECTION('ref_axis',(-1.,0.,0.));
#17108=DIRECTION('center_axis',(0.,0.,-1.));
#17109=DIRECTION('ref_axis',(0.,1.,0.));
#17110=DIRECTION('center_axis',(0.,-1.,0.));
#17111=DIRECTION('ref_axis',(0.,0.,-1.));
#17112=DIRECTION('center_axis',(0.,-1.,0.));
#17113=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547));
#17114=DIRECTION('center_axis',(-1.,0.,0.));
#17115=DIRECTION('ref_axis',(0.,0.,-1.));
#17116=DIRECTION('center_axis',(0.,1.,0.));
#17117=DIRECTION('ref_axis',(1.,0.,0.));
#17118=DIRECTION('center_axis',(0.,0.,-1.));
#17119=DIRECTION('ref_axis',(0.,-1.,0.));
#17120=DIRECTION('center_axis',(0.40824829046386,0.408248290463862,-0.816496580927728));
#17121=DIRECTION('ref_axis',(0.57735026918963,0.577350269189624,0.577350269189624));
#17122=DIRECTION('center_axis',(0.,0.,-1.));
#17123=DIRECTION('ref_axis',(1.,0.,0.));
#17124=DIRECTION('center_axis',(0.,-1.,0.));
#17125=DIRECTION('ref_axis',(0.,0.,1.));
#17126=DIRECTION('center_axis',(-1.,0.,0.));
#17127=DIRECTION('ref_axis',(0.,1.,0.));
#17128=DIRECTION('center_axis',(0.,1.,0.));
#17129=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186547));
#17130=DIRECTION('',(0.,-1.,0.));
#17131=DIRECTION('',(0.,1.,0.));
#17132=DIRECTION('center_axis',(0.,0.,1.));
#17133=DIRECTION('ref_axis',(1.,0.,0.));
#17134=DIRECTION('center_axis',(1.,0.,0.));
#17135=DIRECTION('ref_axis',(0.,-1.,0.));
#17136=DIRECTION('center_axis',(0.,0.,1.));
#17137=DIRECTION('ref_axis',(-1.,0.,0.));
#17138=DIRECTION('center_axis',(0.,-1.,0.));
#17139=DIRECTION('ref_axis',(0.,0.,-1.));
#17140=DIRECTION('center_axis',(0.,0.,-1.));
#17141=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.));
#17142=DIRECTION('center_axis',(0.,0.,1.));
#17143=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.));
#17144=DIRECTION('',(0.,0.,-1.));
#17145=DIRECTION('',(0.,0.,1.));
#17146=DIRECTION('center_axis',(0.,1.,0.));
#17147=DIRECTION('ref_axis',(0.,0.,1.));
#17148=DIRECTION('center_axis',(0.,1.,0.));
#17149=DIRECTION('ref_axis',(0.,0.,1.));
#17150=DIRECTION('center_axis',(1.,0.,0.));
#17151=DIRECTION('ref_axis',(0.,-1.,0.));
#17152=DIRECTION('center_axis',(0.,-1.,0.));
#17153=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186549));
#17154=DIRECTION('center_axis',(0.,0.,-1.));
#17155=DIRECTION('ref_axis',(1.,0.,0.));
#17156=DIRECTION('center_axis',(1.,0.,0.));
#17157=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186548));
#17158=DIRECTION('',(-1.,0.,0.));
#17159=DIRECTION('',(1.,0.,0.));
#17160=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863,-0.408248290463862));
#17161=DIRECTION('ref_axis',(0.577350269189623,-0.577350269189628,-0.577350269189627));
#17162=DIRECTION('center_axis',(0.,-1.,0.));
#17163=DIRECTION('ref_axis',(1.,0.,0.));
#17164=DIRECTION('center_axis',(0.,0.,-1.));
#17165=DIRECTION('ref_axis',(0.,-1.,0.));
#17166=DIRECTION('center_axis',(1.,0.,0.));
#17167=DIRECTION('ref_axis',(0.,0.,-1.));
#17168=DIRECTION('center_axis',(0.,0.,1.));
#17169=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.));
#17170=DIRECTION('',(0.,0.,-1.));
#17171=DIRECTION('',(0.,0.,1.));
#17172=DIRECTION('center_axis',(0.,1.,0.));
#17173=DIRECTION('ref_axis',(0.,0.,1.));
#17174=DIRECTION('center_axis',(0.,1.,0.));
#17175=DIRECTION('ref_axis',(0.,0.,1.));
#17176=DIRECTION('center_axis',(1.,0.,0.));
#17177=DIRECTION('ref_axis',(0.,-1.,0.));
#17178=DIRECTION('center_axis',(0.,-1.,0.));
#17179=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547));
#17180=DIRECTION('center_axis',(0.,0.,-1.));
#17181=DIRECTION('ref_axis',(1.,0.,0.));
#17182=DIRECTION('center_axis',(1.,0.,0.));
#17183=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#17184=DIRECTION('',(-1.,0.,0.));
#17185=DIRECTION('',(1.,0.,0.));
#17186=DIRECTION('center_axis',(0.,0.,1.));
#17187=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.));
#17188=DIRECTION('center_axis',(0.707106781186549,0.,0.707106781186546));
#17189=DIRECTION('ref_axis',(0.707106781186546,0.,-0.707106781186549));
#17190=DIRECTION('',(0.,0.,1.));
#17191=DIRECTION('',(0.,0.,-1.));
#17192=DIRECTION('center_axis',(0.,1.,0.));
#17193=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547));
#17194=DIRECTION('',(0.,-1.,0.));
#17195=DIRECTION('',(0.,1.,0.));
#17196=DIRECTION('center_axis',(0.707106781186548,0.707106781186548,0.));
#17197=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17198=DIRECTION('center_axis',(0.,1.,0.));
#17199=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547));
#17200=DIRECTION('',(0.,-1.,0.));
#17201=DIRECTION('',(0.,1.,0.));
#17202=DIRECTION('center_axis',(1.,0.,0.));
#17203=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186547));
#17204=DIRECTION('',(1.,0.,0.));
#17205=DIRECTION('',(-1.,0.,0.));
#17206=DIRECTION('center_axis',(0.,1.,0.));
#17207=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17208=DIRECTION('',(0.,-1.,0.));
#17209=DIRECTION('',(0.,1.,0.));
#17210=DIRECTION('center_axis',(1.,0.,0.));
#17211=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#17212=DIRECTION('',(-1.,0.,0.));
#17213=DIRECTION('',(1.,0.,0.));
#17214=DIRECTION('center_axis',(0.,0.,-1.));
#17215=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.));
#17216=DIRECTION('center_axis',(0.,0.,-1.));
#17217=DIRECTION('ref_axis',(0.,1.,0.));
#17218=DIRECTION('',(0.,0.,1.));
#17219=DIRECTION('',(0.,0.,-1.));
#17220=DIRECTION('center_axis',(0.,-1.,0.));
#17221=DIRECTION('ref_axis',(0.,0.,-1.));
#17222=DIRECTION('center_axis',(0.,1.,0.));
#17223=DIRECTION('ref_axis',(-0.707106781186549,0.,-0.707106781186546));
#17224=DIRECTION('center_axis',(0.,-1.,0.));
#17225=DIRECTION('ref_axis',(-0.707106781186549,0.,-0.707106781186546));
#17226=DIRECTION('center_axis',(0.,1.,0.));
#17227=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17228=DIRECTION('',(0.,-1.,0.));
#17229=DIRECTION('',(0.,1.,0.));
#17230=DIRECTION('center_axis',(0.,0.,-1.));
#17231=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.));
#17232=DIRECTION('',(0.,0.,1.));
#17233=DIRECTION('',(0.,0.,-1.));
#17234=DIRECTION('center_axis',(1.,0.,0.));
#17235=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548));
#17236=DIRECTION('',(-1.,0.,0.));
#17237=DIRECTION('',(1.,0.,0.));
#17238=DIRECTION('center_axis',(0.,0.,-1.));
#17239=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.));
#17240=DIRECTION('',(0.,0.,1.));
#17241=DIRECTION('',(0.,0.,-1.));
#17242=DIRECTION('center_axis',(0.,1.,0.));
#17243=DIRECTION('ref_axis',(0.707106781186549,0.,-0.707106781186546));
#17244=DIRECTION('',(0.,-1.,0.));
#17245=DIRECTION('',(0.,1.,0.));
#17246=DIRECTION('center_axis',(0.,0.,-1.));
#17247=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.));
#17248=DIRECTION('',(0.,0.,1.));
#17249=DIRECTION('',(0.,0.,-1.));
#17250=DIRECTION('center_axis',(1.,0.,0.));
#17251=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#17252=DIRECTION('',(-1.,0.,0.));
#17253=DIRECTION('',(1.,0.,0.));
#17254=DIRECTION('center_axis',(0.,1.,0.));
#17255=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17256=DIRECTION('',(0.,-1.,0.));
#17257=DIRECTION('',(0.,1.,0.));
#17258=DIRECTION('center_axis',(1.,0.,0.));
#17259=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#17260=DIRECTION('',(-1.,0.,0.));
#17261=DIRECTION('',(1.,0.,0.));
#17262=DIRECTION('center_axis',(0.,0.,1.));
#17263=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.));
#17264=DIRECTION('',(0.,0.,-1.));
#17265=DIRECTION('',(0.,0.,1.));
#17266=DIRECTION('center_axis',(0.,1.,0.));
#17267=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17268=DIRECTION('',(0.,-1.,0.));
#17269=DIRECTION('',(0.,1.,0.));
#17270=DIRECTION('center_axis',(1.,0.,0.));
#17271=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548));
#17272=DIRECTION('',(-1.,0.,0.));
#17273=DIRECTION('',(1.,0.,0.));
#17274=DIRECTION('center_axis',(0.,1.,0.));
#17275=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17276=DIRECTION('',(0.,-1.,0.));
#17277=DIRECTION('',(0.,1.,0.));
#17278=DIRECTION('center_axis',(1.,0.,0.));
#17279=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548));
#17280=DIRECTION('',(-1.,0.,0.));
#17281=DIRECTION('',(1.,0.,0.));
#17282=DIRECTION('center_axis',(1.,0.,0.));
#17283=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#17284=DIRECTION('',(-1.,0.,0.));
#17285=DIRECTION('',(1.,0.,0.));
#17286=DIRECTION('center_axis',(0.,1.,0.));
#17287=DIRECTION('ref_axis',(1.,0.,0.));
#17288=DIRECTION('',(0.,0.,1.));
#17289=DIRECTION('',(-1.,0.,0.));
#17290=DIRECTION('',(0.,0.,-1.));
#17291=DIRECTION('center_axis',(0.,-1.,0.));
#17292=DIRECTION('ref_axis',(-1.,0.,7.37980173800682E-17));
#17293=DIRECTION('',(-1.,0.,0.));
#17294=DIRECTION('center_axis',(0.,-1.,0.));
#17295=DIRECTION('ref_axis',(0.707106781186546,0.,0.707106781186549));
#17296=DIRECTION('',(0.,0.,1.));
#17297=DIRECTION('',(-1.,0.,0.));
#17298=DIRECTION('',(-1.,0.,0.));
#17299=DIRECTION('',(0.,0.,-1.));
#17300=DIRECTION('center_axis',(0.,-1.,0.));
#17301=DIRECTION('ref_axis',(-0.707106781186546,0.,0.70710678118655));
#17302=DIRECTION('',(-1.,0.,0.));
#17303=DIRECTION('center_axis',(-1.,0.,0.));
#17304=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186548));
#17305=DIRECTION('',(1.,0.,0.));
#17306=DIRECTION('center_axis',(-1.,0.,0.));
#17307=DIRECTION('ref_axis',(0.,0.,-1.));
#17308=DIRECTION('center_axis',(1.,0.,0.));
#17309=DIRECTION('ref_axis',(0.,0.,-1.));
#17310=DIRECTION('',(0.,1.,0.));
#17311=DIRECTION('center_axis',(0.,1.,0.));
#17312=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547));
#17313=DIRECTION('',(0.,-1.,0.));
#17314=DIRECTION('center_axis',(1.,0.,0.));
#17315=DIRECTION('ref_axis',(0.,0.,-1.));
#17316=DIRECTION('center_axis',(-1.,0.,0.));
#17317=DIRECTION('ref_axis',(0.,0.,1.));
#17318=DIRECTION('center_axis',(0.,1.,0.));
#17319=DIRECTION('ref_axis',(0.,0.,1.));
#17320=DIRECTION('center_axis',(0.,0.,1.));
#17321=DIRECTION('ref_axis',(1.,0.,0.));
#17322=DIRECTION('center_axis',(0.,0.,1.));
#17323=DIRECTION('ref_axis',(1.,0.,0.));
#17324=DIRECTION('center_axis',(-1.,0.,0.));
#17325=DIRECTION('ref_axis',(0.,0.,1.));
#17326=DIRECTION('center_axis',(1.,0.,0.));
#17327=DIRECTION('ref_axis',(0.,0.,-1.));
#17328=DIRECTION('center_axis',(1.,0.,0.));
#17329=DIRECTION('ref_axis',(0.,0.,-1.));
#17330=DIRECTION('center_axis',(0.,1.,0.));
#17331=DIRECTION('ref_axis',(0.,0.,1.));
#17332=DIRECTION('center_axis',(0.,0.,1.));
#17333=DIRECTION('ref_axis',(1.,0.,0.));
#17334=DIRECTION('center_axis',(-1.,0.,0.));
#17335=DIRECTION('ref_axis',(0.,0.,1.));
#17336=DIRECTION('center_axis',(0.,0.,1.));
#17337=DIRECTION('ref_axis',(1.,0.,0.));
#17338=DIRECTION('center_axis',(0.,1.,0.));
#17339=DIRECTION('ref_axis',(0.,0.,1.));
#17340=DIRECTION('center_axis',(-1.,0.,0.));
#17341=DIRECTION('ref_axis',(0.,-0.38268343236509,0.923879532511287));
#17342=DIRECTION('',(-1.,0.,0.));
#17343=DIRECTION('center_axis',(0.70710678118655,0.707106781186545,0.));
#17344=DIRECTION('ref_axis',(0.707106781186545,-0.70710678118655,0.));
#17345=DIRECTION('',(1.,0.,0.));
#17346=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546,0.));
#17347=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.));
#17348=DIRECTION('center_axis',(1.,0.,0.));
#17349=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548));
#17350=DIRECTION('',(1.,0.,0.));
#17351=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17352=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17353=DIRECTION('',(-1.,0.,0.));
#17354=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17355=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17356=DIRECTION('center_axis',(0.,-1.,0.));
#17357=DIRECTION('ref_axis',(0.38268343236508,0.,0.923879532511291));
#17358=DIRECTION('',(0.,-1.,0.));
#17359=DIRECTION('center_axis',(-0.70710678118655,0.707106781186545,0.));
#17360=DIRECTION('ref_axis',(0.707106781186545,0.70710678118655,0.));
#17361=DIRECTION('',(0.,1.,0.));
#17362=DIRECTION('center_axis',(-1.,0.,0.));
#17363=DIRECTION('ref_axis',(0.,-0.38268343236509,0.923879532511287));
#17364=DIRECTION('',(1.,0.,0.));
#17365=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546,0.));
#17366=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.));
#17367=DIRECTION('',(-1.,0.,0.));
#17368=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.));
#17369=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.));
#17370=DIRECTION('center_axis',(0.,1.,0.));
#17371=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17372=DIRECTION('',(0.,-1.,0.));
#17373=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17374=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17375=DIRECTION('',(0.,1.,0.));
#17376=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17377=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17378=DIRECTION('center_axis',(0.707106781186547,-0.707106781186548,3.92523114670943E-15));
#17379=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,-3.92523114670944E-15));
#17380=DIRECTION('center_axis',(0.,1.,0.));
#17381=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17382=DIRECTION('',(0.,1.,0.));
#17383=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17384=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17385=DIRECTION('',(0.,-1.,0.));
#17386=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17387=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17388=DIRECTION('center_axis',(-1.,0.,0.));
#17389=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548));
#17390=DIRECTION('',(1.,0.,0.));
#17391=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17392=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17393=DIRECTION('',(-1.,0.,0.));
#17394=DIRECTION('center_axis',(1.,0.,0.));
#17395=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548));
#17396=DIRECTION('',(1.,0.,0.));
#17397=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17398=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17399=DIRECTION('',(-1.,0.,0.));
#17400=DIRECTION('center_axis',(0.,-1.,0.));
#17401=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17402=DIRECTION('',(0.,-1.,0.));
#17403=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17404=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17405=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.));
#17406=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.));
#17407=DIRECTION('',(0.,1.,0.));
#17408=DIRECTION('center_axis',(-1.,0.,0.));
#17409=DIRECTION('ref_axis',(0.,-0.38268343236509,0.923879532511287));
#17410=DIRECTION('',(1.,0.,0.));
#17411=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546,0.));
#17412=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.));
#17413=DIRECTION('',(-1.,0.,0.));
#17414=DIRECTION('center_axis',(0.,1.,0.));
#17415=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17416=DIRECTION('',(0.,-1.,0.));
#17417=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17418=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17419=DIRECTION('',(0.,1.,0.));
#17420=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17421=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17422=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.));
#17423=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.));
#17424=DIRECTION('center_axis',(0.,1.,0.));
#17425=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17426=DIRECTION('',(0.,1.,0.));
#17427=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17428=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17429=DIRECTION('',(0.,-1.,0.));
#17430=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17431=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17432=DIRECTION('center_axis',(-1.,0.,0.));
#17433=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548));
#17434=DIRECTION('',(1.,0.,0.));
#17435=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17436=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17437=DIRECTION('',(-1.,0.,0.));
#17438=DIRECTION('center_axis',(1.,0.,0.));
#17439=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548));
#17440=DIRECTION('',(1.,0.,0.));
#17441=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17442=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17443=DIRECTION('',(-1.,0.,0.));
#17444=DIRECTION('center_axis',(0.,-1.,0.));
#17445=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17446=DIRECTION('',(0.,-1.,0.));
#17447=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17448=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17449=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.));
#17450=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.));
#17451=DIRECTION('',(0.,1.,0.));
#17452=DIRECTION('center_axis',(-1.,0.,0.));
#17453=DIRECTION('ref_axis',(0.,-0.38268343236509,0.923879532511287));
#17454=DIRECTION('',(1.,0.,0.));
#17455=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546,0.));
#17456=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.));
#17457=DIRECTION('',(-1.,0.,0.));
#17458=DIRECTION('center_axis',(0.,1.,0.));
#17459=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17460=DIRECTION('',(0.,-1.,0.));
#17461=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17462=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17463=DIRECTION('',(0.,1.,0.));
#17464=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17465=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17466=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.));
#17467=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.));
#17468=DIRECTION('center_axis',(0.,1.,0.));
#17469=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17470=DIRECTION('',(0.,1.,0.));
#17471=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17472=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17473=DIRECTION('',(0.,-1.,0.));
#17474=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17475=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17476=DIRECTION('center_axis',(-1.,0.,0.));
#17477=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548));
#17478=DIRECTION('',(1.,0.,0.));
#17479=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17480=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17481=DIRECTION('',(-1.,0.,0.));
#17482=DIRECTION('center_axis',(1.,0.,0.));
#17483=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548));
#17484=DIRECTION('',(1.,0.,0.));
#17485=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17486=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17487=DIRECTION('',(-1.,0.,0.));
#17488=DIRECTION('center_axis',(0.,-1.,0.));
#17489=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17490=DIRECTION('',(0.,-1.,0.));
#17491=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17492=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17493=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.));
#17494=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.));
#17495=DIRECTION('',(0.,1.,0.));
#17496=DIRECTION('center_axis',(-1.,0.,0.));
#17497=DIRECTION('ref_axis',(0.,-0.38268343236509,0.923879532511287));
#17498=DIRECTION('',(1.,0.,0.));
#17499=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546,0.));
#17500=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.));
#17501=DIRECTION('',(-1.,0.,0.));
#17502=DIRECTION('center_axis',(0.,1.,0.));
#17503=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17504=DIRECTION('',(0.,-1.,0.));
#17505=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17506=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17507=DIRECTION('',(0.,1.,0.));
#17508=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17509=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17510=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.));
#17511=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.));
#17512=DIRECTION('center_axis',(0.,1.,0.));
#17513=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17514=DIRECTION('',(0.,1.,0.));
#17515=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17516=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17517=DIRECTION('',(0.,-1.,0.));
#17518=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17519=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17520=DIRECTION('center_axis',(-1.,0.,0.));
#17521=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548));
#17522=DIRECTION('',(1.,0.,0.));
#17523=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17524=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17525=DIRECTION('',(-1.,0.,0.));
#17526=DIRECTION('center_axis',(1.,0.,0.));
#17527=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548));
#17528=DIRECTION('',(1.,0.,0.));
#17529=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.));
#17530=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.));
#17531=DIRECTION('',(-1.,0.,0.));
#17532=DIRECTION('center_axis',(0.,-1.,0.));
#17533=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548));
#17534=DIRECTION('',(0.,-1.,0.));
#17535=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17536=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17537=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,4.9065389333868E-16));
#17538=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,-4.90653893338679E-16));
#17539=DIRECTION('',(0.,1.,0.));
#17540=DIRECTION('center_axis',(-1.,0.,0.));
#17541=DIRECTION('ref_axis',(0.,-0.38268343236509,0.923879532511287));
#17542=DIRECTION('',(1.,0.,0.));
#17543=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546,4.9065389333868E-16));
#17544=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,4.90653893338682E-16));
#17545=DIRECTION('',(-1.,0.,0.));
#17546=DIRECTION('center_axis',(0.,1.,0.));
#17547=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17548=DIRECTION('',(0.,-1.,0.));
#17549=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17550=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17551=DIRECTION('',(0.,1.,0.));
#17552=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17553=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17554=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.));
#17555=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.));
#17556=DIRECTION('center_axis',(0.,1.,0.));
#17557=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548));
#17558=DIRECTION('',(0.,1.,0.));
#17559=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547,0.));
#17560=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.));
#17561=DIRECTION('',(0.,-1.,0.));
#17562=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17563=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17564=DIRECTION('center_axis',(-1.,0.,0.));
#17565=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548));
#17566=DIRECTION('',(1.,0.,0.));
#17567=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186547,0.));
#17568=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186548,0.));
#17569=DIRECTION('',(-1.,0.,0.));
#17570=DIRECTION('center_axis',(1.,0.,0.));
#17571=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548));
#17572=DIRECTION('',(1.,0.,0.));
#17573=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17574=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17575=DIRECTION('',(-1.,0.,0.));
#17576=DIRECTION('center_axis',(0.,-1.,0.));
#17577=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17578=DIRECTION('',(0.,-1.,0.));
#17579=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17580=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17581=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.));
#17582=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.));
#17583=DIRECTION('',(0.,1.,0.));
#17584=DIRECTION('center_axis',(-1.,0.,0.));
#17585=DIRECTION('ref_axis',(0.,-0.38268343236509,0.923879532511287));
#17586=DIRECTION('',(1.,0.,0.));
#17587=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546,0.));
#17588=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.));
#17589=DIRECTION('',(-1.,0.,0.));
#17590=DIRECTION('center_axis',(0.,1.,0.));
#17591=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186548));
#17592=DIRECTION('',(0.,-1.,0.));
#17593=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,0.));
#17594=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186548,0.));
#17595=DIRECTION('',(0.,1.,0.));
#17596=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17597=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17598=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,4.9065389333868E-16));
#17599=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,-4.90653893338679E-16));
#17600=DIRECTION('center_axis',(0.,1.,0.));
#17601=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17602=DIRECTION('',(0.,1.,0.));
#17603=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17604=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17605=DIRECTION('',(0.,-1.,0.));
#17606=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17607=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17608=DIRECTION('center_axis',(-1.,0.,0.));
#17609=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548));
#17610=DIRECTION('',(1.,0.,0.));
#17611=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17612=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17613=DIRECTION('',(-1.,0.,0.));
#17614=DIRECTION('center_axis',(1.,0.,0.));
#17615=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548));
#17616=DIRECTION('',(1.,0.,0.));
#17617=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17618=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17619=DIRECTION('',(-1.,0.,0.));
#17620=DIRECTION('center_axis',(0.,-1.,0.));
#17621=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17622=DIRECTION('',(0.,-1.,0.));
#17623=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17624=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17625=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,1.96261557335472E-15));
#17626=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,-1.96261557335472E-15));
#17627=DIRECTION('',(0.,1.,0.));
#17628=DIRECTION('center_axis',(-1.,0.,0.));
#17629=DIRECTION('ref_axis',(0.,-0.38268343236509,0.923879532511287));
#17630=DIRECTION('',(1.,0.,0.));
#17631=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546,-1.96261557335472E-15));
#17632=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,-1.96261557335473E-15));
#17633=DIRECTION('',(-1.,0.,0.));
#17634=DIRECTION('center_axis',(0.,1.,0.));
#17635=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17636=DIRECTION('',(0.,-1.,0.));
#17637=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17638=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17639=DIRECTION('',(0.,1.,0.));
#17640=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17641=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17642=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.));
#17643=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.));
#17644=DIRECTION('center_axis',(0.,1.,0.));
#17645=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17646=DIRECTION('',(0.,1.,0.));
#17647=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17648=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17649=DIRECTION('',(0.,-1.,0.));
#17650=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17651=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17652=DIRECTION('center_axis',(-1.,0.,0.));
#17653=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548));
#17654=DIRECTION('',(1.,0.,0.));
#17655=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17656=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17657=DIRECTION('',(-1.,0.,0.));
#17658=DIRECTION('center_axis',(1.,0.,0.));
#17659=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548));
#17660=DIRECTION('',(1.,0.,0.));
#17661=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17662=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17663=DIRECTION('',(-1.,0.,0.));
#17664=DIRECTION('center_axis',(0.,-1.,0.));
#17665=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17666=DIRECTION('',(0.,-1.,0.));
#17667=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17668=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17669=DIRECTION('center_axis',(-0.707106781186548,0.707106781186547,1.96261557335472E-15));
#17670=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,-1.96261557335472E-15));
#17671=DIRECTION('',(0.,1.,0.));
#17672=DIRECTION('center_axis',(-1.,0.,0.));
#17673=DIRECTION('ref_axis',(0.,-0.38268343236509,0.923879532511287));
#17674=DIRECTION('',(1.,0.,0.));
#17675=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546,0.));
#17676=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.));
#17677=DIRECTION('',(-1.,0.,0.));
#17678=DIRECTION('center_axis',(0.,1.,0.));
#17679=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17680=DIRECTION('',(0.,-1.,0.));
#17681=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17682=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17683=DIRECTION('',(0.,1.,0.));
#17684=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17685=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17686=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.));
#17687=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.));
#17688=DIRECTION('center_axis',(0.,1.,0.));
#17689=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17690=DIRECTION('',(0.,1.,0.));
#17691=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17692=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17693=DIRECTION('',(0.,-1.,0.));
#17694=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17695=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17696=DIRECTION('center_axis',(-1.,0.,0.));
#17697=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548));
#17698=DIRECTION('',(1.,0.,0.));
#17699=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17700=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17701=DIRECTION('',(-1.,0.,0.));
#17702=DIRECTION('center_axis',(1.,0.,0.));
#17703=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548));
#17704=DIRECTION('',(1.,0.,0.));
#17705=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17706=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17707=DIRECTION('',(-1.,0.,0.));
#17708=DIRECTION('center_axis',(0.,-1.,0.));
#17709=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17710=DIRECTION('',(0.,-1.,0.));
#17711=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17712=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17713=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.));
#17714=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.));
#17715=DIRECTION('',(0.,1.,0.));
#17716=DIRECTION('center_axis',(-1.,0.,0.));
#17717=DIRECTION('ref_axis',(0.,-0.38268343236509,0.923879532511287));
#17718=DIRECTION('',(1.,0.,0.));
#17719=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186546,0.));
#17720=DIRECTION('ref_axis',(0.707106781186546,-0.707106781186549,0.));
#17721=DIRECTION('',(-1.,0.,0.));
#17722=DIRECTION('center_axis',(0.,1.,0.));
#17723=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17724=DIRECTION('',(0.,-1.,0.));
#17725=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17726=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17727=DIRECTION('',(0.,1.,0.));
#17728=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17729=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17730=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.));
#17731=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.));
#17732=DIRECTION('center_axis',(0.,1.,0.));
#17733=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17734=DIRECTION('',(0.,1.,0.));
#17735=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17736=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17737=DIRECTION('',(0.,-1.,0.));
#17738=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17739=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17740=DIRECTION('center_axis',(-1.,0.,0.));
#17741=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548));
#17742=DIRECTION('',(1.,0.,0.));
#17743=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17744=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17745=DIRECTION('',(-1.,0.,0.));
#17746=DIRECTION('center_axis',(1.,0.,0.));
#17747=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548));
#17748=DIRECTION('',(1.,0.,0.));
#17749=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17750=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17751=DIRECTION('',(-1.,0.,0.));
#17752=DIRECTION('center_axis',(0.,-1.,0.));
#17753=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17754=DIRECTION('',(0.,-1.,0.));
#17755=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17756=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17757=DIRECTION('center_axis',(-0.707106781186549,0.707106781186546,0.));
#17758=DIRECTION('ref_axis',(0.707106781186546,0.707106781186549,0.));
#17759=DIRECTION('',(0.,1.,0.));
#17760=DIRECTION('center_axis',(-1.,0.,0.));
#17761=DIRECTION('ref_axis',(0.,-0.38268343236509,0.923879532511287));
#17762=DIRECTION('',(1.,0.,0.));
#17763=DIRECTION('center_axis',(-0.707106781186545,0.70710678118655,0.));
#17764=DIRECTION('ref_axis',(0.70710678118655,0.707106781186545,0.));
#17765=DIRECTION('',(-1.,0.,0.));
#17766=DIRECTION('center_axis',(0.,1.,0.));
#17767=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17768=DIRECTION('',(0.,-1.,0.));
#17769=DIRECTION('center_axis',(-0.707106781186548,0.707106781186548,0.));
#17770=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#17771=DIRECTION('',(0.,1.,0.));
#17772=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17773=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17774=DIRECTION('center_axis',(0.707106781186549,-0.707106781186546,0.));
#17775=DIRECTION('ref_axis',(-0.707106781186546,-0.707106781186549,0.));
#17776=DIRECTION('center_axis',(-1.,0.,0.));
#17777=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548));
#17778=DIRECTION('',(1.,0.,0.));
#17779=DIRECTION('center_axis',(-0.707106781186548,-0.707106781186548,0.));
#17780=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#17781=DIRECTION('',(-1.,0.,0.));
#17782=DIRECTION('center_axis',(1.,0.,0.));
#17783=DIRECTION('ref_axis',(0.,0.382683432365088,0.923879532511288));
#17784=DIRECTION('',(-1.,0.,0.));
#17785=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.));
#17786=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.));
#17787=DIRECTION('',(1.,0.,0.));
#17788=DIRECTION('center_axis',(-0.707106781186544,-0.707106781186551,-1.96261557335473E-15));
#17789=DIRECTION('ref_axis',(-0.707106781186551,0.707106781186544,1.96261557335471E-15));
#17790=DIRECTION('center_axis',(0.,-1.,0.));
#17791=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17792=DIRECTION('',(0.,1.,0.));
#17793=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17794=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17795=DIRECTION('',(0.,-1.,0.));
#17796=DIRECTION('center_axis',(0.,1.,0.));
#17797=DIRECTION('ref_axis',(-0.38268343236509,0.,0.923879532511287));
#17798=DIRECTION('',(0.,-1.,0.));
#17799=DIRECTION('',(0.,1.,0.));
#17800=DIRECTION('center_axis',(1.,0.,0.));
#17801=DIRECTION('ref_axis',(0.,0.382683432365088,0.923879532511288));
#17802=DIRECTION('',(1.,0.,0.));
#17803=DIRECTION('',(-1.,0.,0.));
#17804=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.));
#17805=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.));
#17806=DIRECTION('center_axis',(0.,-1.,0.));
#17807=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17808=DIRECTION('',(0.,1.,0.));
#17809=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17810=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17811=DIRECTION('',(0.,-1.,0.));
#17812=DIRECTION('center_axis',(1.,0.,0.));
#17813=DIRECTION('ref_axis',(0.,0.382683432365088,0.923879532511288));
#17814=DIRECTION('',(1.,0.,0.));
#17815=DIRECTION('',(-1.,0.,0.));
#17816=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.));
#17817=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.));
#17818=DIRECTION('center_axis',(0.,-1.,0.));
#17819=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17820=DIRECTION('',(0.,1.,0.));
#17821=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17822=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17823=DIRECTION('',(0.,-1.,0.));
#17824=DIRECTION('center_axis',(1.,0.,0.));
#17825=DIRECTION('ref_axis',(0.,0.382683432365088,0.923879532511288));
#17826=DIRECTION('',(1.,0.,0.));
#17827=DIRECTION('',(-1.,0.,0.));
#17828=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.));
#17829=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.));
#17830=DIRECTION('center_axis',(0.,-1.,0.));
#17831=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17832=DIRECTION('',(0.,1.,0.));
#17833=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17834=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17835=DIRECTION('',(0.,-1.,0.));
#17836=DIRECTION('center_axis',(1.,0.,0.));
#17837=DIRECTION('ref_axis',(0.,0.382683432365088,0.923879532511288));
#17838=DIRECTION('',(1.,0.,0.));
#17839=DIRECTION('',(-1.,0.,0.));
#17840=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.));
#17841=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.));
#17842=DIRECTION('center_axis',(0.,-1.,0.));
#17843=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17844=DIRECTION('',(0.,1.,0.));
#17845=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17846=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17847=DIRECTION('',(0.,-1.,0.));
#17848=DIRECTION('center_axis',(1.,0.,0.));
#17849=DIRECTION('ref_axis',(0.,0.382683432365088,0.923879532511288));
#17850=DIRECTION('',(1.,0.,0.));
#17851=DIRECTION('',(-1.,0.,0.));
#17852=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,4.9065389333868E-16));
#17853=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,4.90653893338682E-16));
#17854=DIRECTION('center_axis',(0.,-1.,0.));
#17855=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186548));
#17856=DIRECTION('',(0.,1.,0.));
#17857=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17858=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17859=DIRECTION('',(0.,-1.,0.));
#17860=DIRECTION('center_axis',(1.,0.,0.));
#17861=DIRECTION('ref_axis',(0.,0.382683432365088,0.923879532511288));
#17862=DIRECTION('',(1.,0.,0.));
#17863=DIRECTION('',(-1.,0.,0.));
#17864=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.));
#17865=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.));
#17866=DIRECTION('center_axis',(0.,-1.,0.));
#17867=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17868=DIRECTION('',(0.,1.,0.));
#17869=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17870=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17871=DIRECTION('',(0.,-1.,0.));
#17872=DIRECTION('center_axis',(1.,0.,0.));
#17873=DIRECTION('ref_axis',(0.,0.382683432365088,0.923879532511288));
#17874=DIRECTION('',(1.,0.,0.));
#17875=DIRECTION('',(-1.,0.,0.));
#17876=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.));
#17877=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.));
#17878=DIRECTION('center_axis',(0.,-1.,0.));
#17879=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17880=DIRECTION('',(0.,1.,0.));
#17881=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17882=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17883=DIRECTION('',(0.,-1.,0.));
#17884=DIRECTION('center_axis',(1.,0.,0.));
#17885=DIRECTION('ref_axis',(0.,0.382683432365088,0.923879532511288));
#17886=DIRECTION('',(1.,0.,0.));
#17887=DIRECTION('',(-1.,0.,0.));
#17888=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.));
#17889=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.));
#17890=DIRECTION('center_axis',(0.,-1.,0.));
#17891=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17892=DIRECTION('',(0.,1.,0.));
#17893=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17894=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17895=DIRECTION('',(0.,-1.,0.));
#17896=DIRECTION('center_axis',(1.,0.,0.));
#17897=DIRECTION('ref_axis',(0.,0.382683432365088,0.923879532511288));
#17898=DIRECTION('',(1.,0.,0.));
#17899=DIRECTION('',(-1.,0.,0.));
#17900=DIRECTION('center_axis',(0.707106781186549,0.707106781186546,0.));
#17901=DIRECTION('ref_axis',(-0.707106781186546,0.707106781186549,0.));
#17902=DIRECTION('center_axis',(0.,-1.,0.));
#17903=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17904=DIRECTION('',(0.,1.,0.));
#17905=DIRECTION('center_axis',(0.,-0.707106781186548,-0.707106781186547));
#17906=DIRECTION('ref_axis',(0.,-0.707106781186547,0.707106781186548));
#17907=DIRECTION('',(0.,-1.,0.));
#17908=DIRECTION('center_axis',(1.,0.,0.));
#17909=DIRECTION('ref_axis',(0.,0.382683432365088,0.923879532511288));
#17910=DIRECTION('',(1.,0.,0.));
#17911=DIRECTION('',(-1.,0.,0.));
#17912=DIRECTION('center_axis',(0.,-1.,0.));
#17913=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#17914=DIRECTION('',(0.,-1.,0.));
#17915=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17916=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17917=DIRECTION('',(0.,1.,0.));
#17918=DIRECTION('center_axis',(0.,1.,0.));
#17919=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#17920=DIRECTION('',(0.,-1.,0.));
#17921=DIRECTION('center_axis',(0.,0.707106781186548,-0.707106781186547));
#17922=DIRECTION('ref_axis',(0.,0.707106781186547,0.707106781186548));
#17923=DIRECTION('',(0.,1.,0.));
#17924=DIRECTION('center_axis',(0.707106781186548,0.,0.707106781186548));
#17925=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548));
#17926=DIRECTION('',(0.577350269189626,0.577350269189625,-0.577350269189626));
#17927=DIRECTION('',(0.,1.,0.));
#17928=DIRECTION('',(0.577350269189626,-0.577350269189625,-0.577350269189626));
#17929=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547));
#17930=DIRECTION('ref_axis',(1.,0.,0.));
#17931=DIRECTION('',(0.,0.707106781186547,-0.707106781186548));
#17932=DIRECTION('',(-1.,0.,0.));
#17933=DIRECTION('center_axis',(1.,0.,0.));
#17934=DIRECTION('ref_axis',(0.,0.,1.));
#17935=DIRECTION('',(0.,0.,-1.));
#17936=DIRECTION('',(0.,1.,0.));
#17937=DIRECTION('',(0.,0.,-1.));
#17938=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#17939=DIRECTION('',(0.,0.559201312982436,0.829031900205728));
#17940=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#17941=DIRECTION('',(0.,0.,-1.));
#17942=DIRECTION('center_axis',(0.,1.,0.));
#17943=DIRECTION('ref_axis',(0.,0.,1.));
#17944=DIRECTION('',(0.,0.,1.));
#17945=DIRECTION('',(1.,0.,0.));
#17946=DIRECTION('center_axis',(-1.,0.,0.));
#17947=DIRECTION('ref_axis',(0.,0.,-1.));
#17948=DIRECTION('',(0.,-0.707106781186547,0.707106781186548));
#17949=DIRECTION('',(0.,0.,1.));
#17950=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#17951=DIRECTION('',(0.,-0.559201312982436,-0.829031900205728));
#17952=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#17953=DIRECTION('',(0.,0.,1.));
#17954=DIRECTION('',(0.,-1.,0.));
#17955=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547));
#17956=DIRECTION('ref_axis',(1.,0.,0.));
#17957=DIRECTION('',(0.,0.707106781186547,-0.707106781186548));
#17958=DIRECTION('',(-1.,0.,0.));
#17959=DIRECTION('center_axis',(1.,0.,0.));
#17960=DIRECTION('ref_axis',(0.,0.,1.));
#17961=DIRECTION('',(0.,0.,-1.));
#17962=DIRECTION('',(0.,1.,0.));
#17963=DIRECTION('',(0.,0.,-1.));
#17964=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#17965=DIRECTION('',(0.,0.559201312982436,0.829031900205728));
#17966=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#17967=DIRECTION('',(0.,0.,-1.));
#17968=DIRECTION('center_axis',(0.,1.,0.));
#17969=DIRECTION('ref_axis',(0.,0.,1.));
#17970=DIRECTION('',(0.,0.,1.));
#17971=DIRECTION('',(1.,0.,0.));
#17972=DIRECTION('center_axis',(-1.,0.,0.));
#17973=DIRECTION('ref_axis',(0.,0.,-1.));
#17974=DIRECTION('',(0.,-0.707106781186547,0.707106781186548));
#17975=DIRECTION('',(0.,0.,1.));
#17976=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#17977=DIRECTION('',(0.,-0.559201312982436,-0.829031900205728));
#17978=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#17979=DIRECTION('',(0.,0.,1.));
#17980=DIRECTION('',(0.,-1.,0.));
#17981=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547));
#17982=DIRECTION('ref_axis',(1.,0.,0.));
#17983=DIRECTION('',(0.,0.707106781186547,-0.707106781186548));
#17984=DIRECTION('',(-1.,0.,0.));
#17985=DIRECTION('center_axis',(1.,0.,0.));
#17986=DIRECTION('ref_axis',(0.,0.,1.));
#17987=DIRECTION('',(0.,0.,-1.));
#17988=DIRECTION('',(0.,1.,0.));
#17989=DIRECTION('',(0.,0.,-1.));
#17990=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#17991=DIRECTION('',(0.,0.559201312982436,0.829031900205728));
#17992=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#17993=DIRECTION('',(0.,0.,-1.));
#17994=DIRECTION('center_axis',(0.,1.,0.));
#17995=DIRECTION('ref_axis',(0.,0.,1.));
#17996=DIRECTION('',(0.,0.,1.));
#17997=DIRECTION('',(1.,0.,0.));
#17998=DIRECTION('center_axis',(-1.,0.,0.));
#17999=DIRECTION('ref_axis',(0.,0.,-1.));
#18000=DIRECTION('',(0.,-0.707106781186547,0.707106781186548));
#18001=DIRECTION('',(0.,0.,1.));
#18002=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#18003=DIRECTION('',(0.,-0.559201312982436,-0.829031900205728));
#18004=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#18005=DIRECTION('',(0.,0.,1.));
#18006=DIRECTION('',(0.,-1.,0.));
#18007=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547));
#18008=DIRECTION('ref_axis',(1.,0.,0.));
#18009=DIRECTION('',(0.,0.707106781186547,-0.707106781186548));
#18010=DIRECTION('',(-1.,0.,0.));
#18011=DIRECTION('center_axis',(1.,0.,0.));
#18012=DIRECTION('ref_axis',(0.,0.,1.));
#18013=DIRECTION('',(0.,0.,-1.));
#18014=DIRECTION('',(0.,1.,0.));
#18015=DIRECTION('',(0.,0.,-1.));
#18016=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#18017=DIRECTION('',(0.,0.559201312982436,0.829031900205728));
#18018=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#18019=DIRECTION('',(0.,0.,-1.));
#18020=DIRECTION('center_axis',(0.,1.,0.));
#18021=DIRECTION('ref_axis',(0.,0.,1.));
#18022=DIRECTION('',(0.,0.,1.));
#18023=DIRECTION('',(1.,0.,0.));
#18024=DIRECTION('center_axis',(-1.,0.,0.));
#18025=DIRECTION('ref_axis',(0.,0.,-1.));
#18026=DIRECTION('',(0.,-0.707106781186547,0.707106781186548));
#18027=DIRECTION('',(0.,0.,1.));
#18028=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#18029=DIRECTION('',(0.,-0.559201312982436,-0.829031900205728));
#18030=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#18031=DIRECTION('',(0.,0.,1.));
#18032=DIRECTION('',(0.,-1.,0.));
#18033=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547));
#18034=DIRECTION('ref_axis',(1.,0.,0.));
#18035=DIRECTION('',(0.,0.707106781186547,-0.707106781186548));
#18036=DIRECTION('',(-1.,0.,0.));
#18037=DIRECTION('center_axis',(1.,0.,0.));
#18038=DIRECTION('ref_axis',(0.,0.,1.));
#18039=DIRECTION('',(0.,0.,-1.));
#18040=DIRECTION('',(0.,1.,0.));
#18041=DIRECTION('',(0.,0.,-1.));
#18042=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#18043=DIRECTION('',(0.,0.559201312982436,0.829031900205728));
#18044=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#18045=DIRECTION('',(0.,0.,-1.));
#18046=DIRECTION('center_axis',(0.,1.,0.));
#18047=DIRECTION('ref_axis',(0.,0.,1.));
#18048=DIRECTION('',(0.,0.,1.));
#18049=DIRECTION('',(1.,0.,0.));
#18050=DIRECTION('center_axis',(-1.,0.,0.));
#18051=DIRECTION('ref_axis',(0.,0.,-1.));
#18052=DIRECTION('',(0.,-0.707106781186547,0.707106781186548));
#18053=DIRECTION('',(0.,0.,1.));
#18054=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#18055=DIRECTION('',(0.,-0.559201312982436,-0.829031900205728));
#18056=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#18057=DIRECTION('',(0.,0.,1.));
#18058=DIRECTION('',(0.,-1.,0.));
#18059=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547));
#18060=DIRECTION('ref_axis',(1.,0.,0.));
#18061=DIRECTION('',(0.,0.707106781186547,-0.707106781186548));
#18062=DIRECTION('',(-1.,0.,0.));
#18063=DIRECTION('center_axis',(1.,0.,0.));
#18064=DIRECTION('ref_axis',(0.,0.,1.));
#18065=DIRECTION('',(0.,0.,-1.));
#18066=DIRECTION('',(0.,1.,0.));
#18067=DIRECTION('',(0.,0.,-1.));
#18068=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#18069=DIRECTION('',(0.,0.559201312982436,0.829031900205728));
#18070=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#18071=DIRECTION('',(0.,0.,-1.));
#18072=DIRECTION('center_axis',(0.,1.,0.));
#18073=DIRECTION('ref_axis',(0.,0.,1.));
#18074=DIRECTION('',(0.,0.,1.));
#18075=DIRECTION('',(1.,0.,0.));
#18076=DIRECTION('center_axis',(-1.,0.,0.));
#18077=DIRECTION('ref_axis',(0.,0.,-1.));
#18078=DIRECTION('',(0.,-0.707106781186547,0.707106781186548));
#18079=DIRECTION('',(0.,0.,1.));
#18080=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#18081=DIRECTION('',(0.,-0.559201312982436,-0.829031900205728));
#18082=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#18083=DIRECTION('',(0.,0.,1.));
#18084=DIRECTION('',(0.,-1.,0.));
#18085=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547));
#18086=DIRECTION('ref_axis',(1.,0.,0.));
#18087=DIRECTION('',(0.,0.707106781186547,-0.707106781186548));
#18088=DIRECTION('',(-1.,0.,0.));
#18089=DIRECTION('center_axis',(1.,0.,0.));
#18090=DIRECTION('ref_axis',(0.,0.,1.));
#18091=DIRECTION('',(0.,0.,-1.));
#18092=DIRECTION('',(0.,1.,0.));
#18093=DIRECTION('',(0.,0.,-1.));
#18094=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#18095=DIRECTION('',(0.,0.559201312982436,0.829031900205728));
#18096=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#18097=DIRECTION('',(0.,0.,-1.));
#18098=DIRECTION('center_axis',(0.,1.,0.));
#18099=DIRECTION('ref_axis',(0.,0.,1.));
#18100=DIRECTION('',(0.,0.,1.));
#18101=DIRECTION('',(1.,0.,0.));
#18102=DIRECTION('center_axis',(-1.,0.,0.));
#18103=DIRECTION('ref_axis',(0.,0.,-1.));
#18104=DIRECTION('',(0.,-0.707106781186547,0.707106781186548));
#18105=DIRECTION('',(0.,0.,1.));
#18106=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#18107=DIRECTION('',(0.,-0.559201312982436,-0.829031900205728));
#18108=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#18109=DIRECTION('',(0.,0.,1.));
#18110=DIRECTION('',(0.,-1.,0.));
#18111=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547));
#18112=DIRECTION('ref_axis',(1.,0.,0.));
#18113=DIRECTION('',(0.,0.707106781186547,-0.707106781186548));
#18114=DIRECTION('',(-1.,0.,0.));
#18115=DIRECTION('center_axis',(1.,0.,0.));
#18116=DIRECTION('ref_axis',(0.,0.,1.));
#18117=DIRECTION('',(0.,0.,-1.));
#18118=DIRECTION('',(0.,1.,0.));
#18119=DIRECTION('',(0.,0.,-1.));
#18120=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#18121=DIRECTION('',(0.,0.559201312982436,0.829031900205728));
#18122=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#18123=DIRECTION('',(0.,0.,-1.));
#18124=DIRECTION('center_axis',(0.,1.,0.));
#18125=DIRECTION('ref_axis',(0.,0.,1.));
#18126=DIRECTION('',(0.,0.,1.));
#18127=DIRECTION('',(1.,0.,0.));
#18128=DIRECTION('center_axis',(-1.,0.,0.));
#18129=DIRECTION('ref_axis',(0.,0.,-1.));
#18130=DIRECTION('',(0.,-0.707106781186547,0.707106781186548));
#18131=DIRECTION('',(0.,0.,1.));
#18132=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#18133=DIRECTION('',(0.,-0.559201312982436,-0.829031900205728));
#18134=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#18135=DIRECTION('',(0.,0.,1.));
#18136=DIRECTION('',(0.,-1.,0.));
#18137=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547));
#18138=DIRECTION('ref_axis',(1.,0.,0.));
#18139=DIRECTION('',(0.,0.707106781186547,-0.707106781186548));
#18140=DIRECTION('',(-1.,0.,0.));
#18141=DIRECTION('center_axis',(1.,0.,0.));
#18142=DIRECTION('ref_axis',(0.,0.,1.));
#18143=DIRECTION('',(0.,0.,-1.));
#18144=DIRECTION('',(0.,1.,0.));
#18145=DIRECTION('',(0.,0.,-1.));
#18146=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#18147=DIRECTION('',(0.,0.559201312982436,0.829031900205728));
#18148=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#18149=DIRECTION('',(0.,0.,-1.));
#18150=DIRECTION('center_axis',(0.,1.,0.));
#18151=DIRECTION('ref_axis',(0.,0.,1.));
#18152=DIRECTION('',(0.,0.,1.));
#18153=DIRECTION('',(1.,0.,0.));
#18154=DIRECTION('center_axis',(-1.,0.,0.));
#18155=DIRECTION('ref_axis',(0.,0.,-1.));
#18156=DIRECTION('',(0.,-0.707106781186547,0.707106781186548));
#18157=DIRECTION('',(0.,0.,1.));
#18158=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#18159=DIRECTION('',(0.,-0.559201312982436,-0.829031900205728));
#18160=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#18161=DIRECTION('',(0.,0.,1.));
#18162=DIRECTION('',(0.,-1.,0.));
#18163=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547));
#18164=DIRECTION('ref_axis',(1.,0.,0.));
#18165=DIRECTION('',(0.,0.707106781186547,-0.707106781186548));
#18166=DIRECTION('',(-1.,0.,0.));
#18167=DIRECTION('center_axis',(1.,0.,0.));
#18168=DIRECTION('ref_axis',(0.,0.,1.));
#18169=DIRECTION('',(0.,0.,-1.));
#18170=DIRECTION('',(0.,1.,0.));
#18171=DIRECTION('',(0.,0.,-1.));
#18172=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#18173=DIRECTION('',(0.,0.559201312982436,0.829031900205728));
#18174=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#18175=DIRECTION('',(0.,0.,-1.));
#18176=DIRECTION('center_axis',(0.,1.,0.));
#18177=DIRECTION('ref_axis',(0.,0.,1.));
#18178=DIRECTION('',(0.,0.,1.));
#18179=DIRECTION('',(1.,0.,0.));
#18180=DIRECTION('center_axis',(-1.,0.,0.));
#18181=DIRECTION('ref_axis',(0.,0.,-1.));
#18182=DIRECTION('',(0.,-0.707106781186547,0.707106781186548));
#18183=DIRECTION('',(0.,0.,1.));
#18184=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#18185=DIRECTION('',(0.,-0.559201312982436,-0.829031900205728));
#18186=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#18187=DIRECTION('',(0.,0.,1.));
#18188=DIRECTION('',(0.,-1.,0.));
#18189=DIRECTION('center_axis',(0.,0.707106781186548,0.707106781186547));
#18190=DIRECTION('ref_axis',(1.,0.,0.));
#18191=DIRECTION('',(-0.577350269189626,0.577350269189625,-0.577350269189626));
#18192=DIRECTION('',(-1.,0.,0.));
#18193=DIRECTION('center_axis',(0.,0.,1.));
#18194=DIRECTION('ref_axis',(1.,0.,0.));
#18195=DIRECTION('',(0.,1.,0.));
#18196=DIRECTION('',(0.,-1.,0.));
#18197=DIRECTION('',(1.,0.,0.));
#18198=DIRECTION('center_axis',(0.408248290463862,-0.816496580927726,-0.408248290463863));
#18199=DIRECTION('ref_axis',(-0.577350269189625,-0.577350269189626,0.577350269189626));
#18200=DIRECTION('center_axis',(0.,0.,-1.));
#18201=DIRECTION('ref_axis',(-1.,0.,0.));
#18202=DIRECTION('center_axis',(0.,1.,0.));
#18203=DIRECTION('ref_axis',(0.,0.,1.));
#18204=DIRECTION('center_axis',(1.,0.,0.));
#18205=DIRECTION('ref_axis',(0.,-1.,0.));
#18206=DIRECTION('center_axis',(-0.408248290463863,-0.408248290463863,-0.816496580927726));
#18207=DIRECTION('ref_axis',(0.577350269189627,0.577350269189627,-0.577350269189624));
#18208=DIRECTION('center_axis',(0.,0.,-1.));
#18209=DIRECTION('ref_axis',(1.,0.,0.));
#18210=DIRECTION('center_axis',(0.,1.,0.));
#18211=DIRECTION('ref_axis',(0.,0.,-1.));
#18212=DIRECTION('center_axis',(1.,0.,0.));
#18213=DIRECTION('ref_axis',(0.,1.,0.));
#18214=DIRECTION('center_axis',(0.,0.,1.));
#18215=DIRECTION('ref_axis',(1.,0.,0.));
#18216=DIRECTION('center_axis',(0.,1.,0.));
#18217=DIRECTION('ref_axis',(1.,0.,0.));
#18218=DIRECTION('center_axis',(0.,0.,1.));
#18219=DIRECTION('ref_axis',(0.,-1.,0.));
#18220=DIRECTION('center_axis',(1.,0.,0.));
#18221=DIRECTION('ref_axis',(0.,0.,-1.));
#18222=DIRECTION('center_axis',(0.,0.,-1.));
#18223=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186547,0.));
#18224=DIRECTION('center_axis',(0.,0.,1.));
#18225=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.));
#18226=DIRECTION('',(0.,0.,-1.));
#18227=DIRECTION('',(0.,0.,1.));
#18228=DIRECTION('center_axis',(0.408248290463863,-0.408248290463863,-0.816496580927726));
#18229=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626));
#18230=DIRECTION('center_axis',(-1.,0.,0.));
#18231=DIRECTION('ref_axis',(0.,0.,1.));
#18232=DIRECTION('center_axis',(0.,1.,0.));
#18233=DIRECTION('ref_axis',(1.,0.,0.));
#18234=DIRECTION('center_axis',(0.,0.,-1.));
#18235=DIRECTION('ref_axis',(0.,-1.,0.));
#18236=DIRECTION('center_axis',(0.,1.,0.));
#18237=DIRECTION('ref_axis',(0.,0.,1.));
#18238=DIRECTION('center_axis',(0.,1.,0.));
#18239=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186548));
#18240=DIRECTION('center_axis',(1.,0.,0.));
#18241=DIRECTION('ref_axis',(0.,0.,-1.));
#18242=DIRECTION('center_axis',(0.,-1.,0.));
#18243=DIRECTION('ref_axis',(-1.,0.,0.));
#18244=DIRECTION('center_axis',(0.,0.,-1.));
#18245=DIRECTION('ref_axis',(0.,1.,0.));
#18246=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726,-0.408248290463863));
#18247=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626));
#18248=DIRECTION('center_axis',(-1.,0.,0.));
#18249=DIRECTION('ref_axis',(0.,0.,1.));
#18250=DIRECTION('center_axis',(0.,1.,0.));
#18251=DIRECTION('ref_axis',(1.,0.,0.));
#18252=DIRECTION('center_axis',(0.,0.,-1.));
#18253=DIRECTION('ref_axis',(0.,-1.,0.));
#18254=DIRECTION('center_axis',(0.408248290463863,-0.408248290463863,-0.816496580927726));
#18255=DIRECTION('ref_axis',(-0.577350269189627,0.577350269189627,-0.577350269189624));
#18256=DIRECTION('center_axis',(0.,1.,0.));
#18257=DIRECTION('ref_axis',(-1.,0.,0.));
#18258=DIRECTION('center_axis',(0.,0.,-1.));
#18259=DIRECTION('ref_axis',(0.,1.,0.));
#18260=DIRECTION('center_axis',(-1.,0.,0.));
#18261=DIRECTION('ref_axis',(0.,0.,-1.));
#18262=DIRECTION('center_axis',(0.,0.,1.));
#18263=DIRECTION('ref_axis',(1.,0.,0.));
#18264=DIRECTION('center_axis',(0.,0.,1.));
#18265=DIRECTION('ref_axis',(1.,0.,0.));
#18266=DIRECTION('center_axis',(0.,1.,0.));
#18267=DIRECTION('ref_axis',(0.,0.,-1.));
#18268=DIRECTION('center_axis',(0.,0.,-1.));
#18269=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186547,0.));
#18270=DIRECTION('center_axis',(-1.,0.,0.));
#18271=DIRECTION('ref_axis',(0.,1.,0.));
#18272=DIRECTION('center_axis',(0.,1.,0.));
#18273=DIRECTION('ref_axis',(0.,0.,1.));
#18274=DIRECTION('center_axis',(0.,1.,0.));
#18275=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547));
#18276=DIRECTION('center_axis',(0.,0.,-1.));
#18277=DIRECTION('ref_axis',(-1.,0.,0.));
#18278=DIRECTION('center_axis',(0.,-1.,0.));
#18279=DIRECTION('ref_axis',(0.,0.,1.));
#18280=DIRECTION('center_axis',(-1.,0.,0.));
#18281=DIRECTION('ref_axis',(0.,1.,0.));
#18282=DIRECTION('center_axis',(0.,0.,1.));
#18283=DIRECTION('ref_axis',(1.,0.,0.));
#18284=DIRECTION('center_axis',(0.,0.,1.));
#18285=DIRECTION('ref_axis',(1.,0.,0.));
#18286=DIRECTION('center_axis',(0.,1.,0.));
#18287=DIRECTION('ref_axis',(0.,0.,-1.));
#18288=DIRECTION('center_axis',(0.,0.,-1.));
#18289=DIRECTION('ref_axis',(0.707106781186549,-0.707106781186546,0.));
#18290=DIRECTION('center_axis',(-1.,0.,0.));
#18291=DIRECTION('ref_axis',(0.,1.,0.));
#18292=DIRECTION('center_axis',(0.,-1.,0.));
#18293=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547));
#18294=DIRECTION('',(0.,1.,0.));
#18295=DIRECTION('',(0.,-1.,0.));
#18296=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,-0.408248290463863));
#18297=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,0.577350269189626));
#18298=DIRECTION('center_axis',(0.,0.,-1.));
#18299=DIRECTION('ref_axis',(-1.,0.,0.));
#18300=DIRECTION('center_axis',(0.,1.,0.));
#18301=DIRECTION('ref_axis',(0.,0.,1.));
#18302=DIRECTION('center_axis',(1.,0.,0.));
#18303=DIRECTION('ref_axis',(0.,-1.,0.));
#18304=DIRECTION('center_axis',(0.,-1.,0.));
#18305=DIRECTION('ref_axis',(0.,0.,-1.));
#18306=DIRECTION('center_axis',(0.,-1.,0.));
#18307=DIRECTION('ref_axis',(0.,0.,1.));
#18308=DIRECTION('center_axis',(-1.,0.,0.));
#18309=DIRECTION('ref_axis',(0.,1.,0.));
#18310=DIRECTION('center_axis',(0.,1.,0.));
#18311=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547));
#18312=DIRECTION('center_axis',(0.,0.,-1.));
#18313=DIRECTION('ref_axis',(-1.,0.,0.));
#18314=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863,0.408248290463862));
#18315=DIRECTION('ref_axis',(-0.577350269189625,0.577350269189627,-0.577350269189626));
#18316=DIRECTION('center_axis',(0.,1.,0.));
#18317=DIRECTION('ref_axis',(-1.,0.,0.));
#18318=DIRECTION('center_axis',(0.,0.,-1.));
#18319=DIRECTION('ref_axis',(0.,1.,0.));
#18320=DIRECTION('center_axis',(-1.,-2.77555756156289E-15,0.));
#18321=DIRECTION('ref_axis',(0.,0.,-1.));
#18322=DIRECTION('center_axis',(0.,0.,1.));
#18323=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.));
#18324=DIRECTION('',(0.,0.,-1.));
#18325=DIRECTION('',(0.,0.,1.));
#18326=DIRECTION('center_axis',(0.,0.,1.));
#18327=DIRECTION('ref_axis',(1.,0.,0.));
#18328=DIRECTION('center_axis',(0.,1.,0.));
#18329=DIRECTION('ref_axis',(1.,0.,0.));
#18330=DIRECTION('center_axis',(0.,0.,1.));
#18331=DIRECTION('ref_axis',(0.,-1.,0.));
#18332=DIRECTION('center_axis',(1.,0.,0.));
#18333=DIRECTION('ref_axis',(0.,0.,-1.));
#18334=DIRECTION('center_axis',(0.,0.,-1.));
#18335=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#18336=DIRECTION('center_axis',(0.,0.,1.));
#18337=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.));
#18338=DIRECTION('',(0.,0.,-1.));
#18339=DIRECTION('',(0.,0.,1.));
#18340=DIRECTION('center_axis',(-1.,0.,0.));
#18341=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547));
#18342=DIRECTION('',(1.,0.,0.));
#18343=DIRECTION('',(-1.,0.,0.));
#18344=DIRECTION('center_axis',(0.,1.,0.));
#18345=DIRECTION('ref_axis',(0.,0.,1.));
#18346=DIRECTION('center_axis',(0.,1.,0.));
#18347=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186548));
#18348=DIRECTION('center_axis',(1.,0.,0.));
#18349=DIRECTION('ref_axis',(0.,0.,-1.));
#18350=DIRECTION('center_axis',(0.,-1.,0.));
#18351=DIRECTION('ref_axis',(-1.,0.,0.));
#18352=DIRECTION('center_axis',(0.,0.,-1.));
#18353=DIRECTION('ref_axis',(0.,1.,0.));
#18354=DIRECTION('center_axis',(-1.,0.,0.));
#18355=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186548));
#18356=DIRECTION('',(1.,0.,0.));
#18357=DIRECTION('',(-1.,0.,0.));
#18358=DIRECTION('center_axis',(0.,-1.,0.));
#18359=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#18360=DIRECTION('',(0.,1.,0.));
#18361=DIRECTION('',(0.,-1.,0.));
#18362=DIRECTION('center_axis',(0.408248290463863,-0.816496580927726,-0.408248290463863));
#18363=DIRECTION('ref_axis',(-0.577350269189626,-0.577350269189626,0.577350269189626));
#18364=DIRECTION('center_axis',(0.,0.,-1.));
#18365=DIRECTION('ref_axis',(-1.,0.,0.));
#18366=DIRECTION('center_axis',(0.,1.,0.));
#18367=DIRECTION('ref_axis',(0.,0.,1.));
#18368=DIRECTION('center_axis',(1.,0.,0.));
#18369=DIRECTION('ref_axis',(0.,-1.,0.));
#18370=DIRECTION('center_axis',(-0.408248290463864,-0.40824829046386,-0.816496580927727));
#18371=DIRECTION('ref_axis',(0.577350269189627,0.577350269189627,-0.577350269189624));
#18372=DIRECTION('center_axis',(0.,0.,-1.));
#18373=DIRECTION('ref_axis',(1.,0.,0.));
#18374=DIRECTION('center_axis',(0.,1.,0.));
#18375=DIRECTION('ref_axis',(0.,0.,-1.));
#18376=DIRECTION('center_axis',(1.,0.,-2.77555756156289E-15));
#18377=DIRECTION('ref_axis',(0.,1.,0.));
#18378=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,-0.408248290463862));
#18379=DIRECTION('ref_axis',(0.577350269189623,0.577350269189628,-0.577350269189627));
#18380=DIRECTION('center_axis',(0.,1.,0.));
#18381=DIRECTION('ref_axis',(0.,0.,-1.));
#18382=DIRECTION('center_axis',(1.,0.,0.));
#18383=DIRECTION('ref_axis',(0.,1.,0.));
#18384=DIRECTION('center_axis',(0.,0.,-1.));
#18385=DIRECTION('ref_axis',(1.,0.,0.));
#18386=DIRECTION('center_axis',(0.,0.,1.));
#18387=DIRECTION('ref_axis',(1.,0.,0.));
#18388=DIRECTION('center_axis',(0.,1.,0.));
#18389=DIRECTION('ref_axis',(1.,0.,0.));
#18390=DIRECTION('center_axis',(0.,0.,1.));
#18391=DIRECTION('ref_axis',(0.,-1.,0.));
#18392=DIRECTION('center_axis',(1.,0.,0.));
#18393=DIRECTION('ref_axis',(0.,0.,-1.));
#18394=DIRECTION('center_axis',(0.,0.,-1.));
#18395=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186546,0.));
#18396=DIRECTION('center_axis',(0.,0.,1.));
#18397=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.));
#18398=DIRECTION('',(0.,0.,-1.));
#18399=DIRECTION('',(0.,0.,1.));
#18400=DIRECTION('center_axis',(-0.408248290463863,-0.816496580927726,-0.408248290463863));
#18401=DIRECTION('ref_axis',(0.577350269189626,-0.577350269189626,0.577350269189626));
#18402=DIRECTION('center_axis',(-1.,0.,0.));
#18403=DIRECTION('ref_axis',(0.,0.,1.));
#18404=DIRECTION('center_axis',(0.,1.,0.));
#18405=DIRECTION('ref_axis',(1.,0.,0.));
#18406=DIRECTION('center_axis',(0.,0.,-1.));
#18407=DIRECTION('ref_axis',(0.,-1.,0.));
#18408=DIRECTION('center_axis',(0.,1.,0.));
#18409=DIRECTION('ref_axis',(0.,0.,1.));
#18410=DIRECTION('center_axis',(0.,1.,0.));
#18411=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186548));
#18412=DIRECTION('center_axis',(1.,0.,0.));
#18413=DIRECTION('ref_axis',(0.,0.,-1.));
#18414=DIRECTION('center_axis',(0.,-1.,0.));
#18415=DIRECTION('ref_axis',(-1.,0.,0.));
#18416=DIRECTION('center_axis',(0.,0.,-1.));
#18417=DIRECTION('ref_axis',(0.,1.,0.));
#18418=DIRECTION('center_axis',(0.,1.,0.));
#18419=DIRECTION('ref_axis',(0.,0.,1.));
#18420=DIRECTION('center_axis',(0.,1.,0.));
#18421=DIRECTION('ref_axis',(-0.707106781186547,0.,0.707106781186547));
#18422=DIRECTION('center_axis',(1.,0.,0.));
#18423=DIRECTION('ref_axis',(0.,0.,-1.));
#18424=DIRECTION('center_axis',(0.,-1.,0.));
#18425=DIRECTION('ref_axis',(-1.,0.,0.));
#18426=DIRECTION('center_axis',(0.,0.,-1.));
#18427=DIRECTION('ref_axis',(0.,1.,0.));
#18428=DIRECTION('center_axis',(-1.,0.,0.));
#18429=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547));
#18430=DIRECTION('',(1.,0.,0.));
#18431=DIRECTION('',(-1.,0.,0.));
#18432=DIRECTION('center_axis',(0.,-1.,0.));
#18433=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186547));
#18434=DIRECTION('',(0.,1.,0.));
#18435=DIRECTION('',(0.,-1.,0.));
#18436=DIRECTION('center_axis',(0.707106781186549,-0.707106781186547,0.));
#18437=DIRECTION('ref_axis',(0.707106781186547,0.707106781186548,0.));
#18438=DIRECTION('center_axis',(0.,0.,1.));
#18439=DIRECTION('ref_axis',(1.,0.,0.));
#18440=DIRECTION('center_axis',(0.,0.,1.));
#18441=DIRECTION('ref_axis',(1.,0.,0.));
#18442=DIRECTION('center_axis',(0.,1.,0.));
#18443=DIRECTION('ref_axis',(0.,0.,-1.));
#18444=DIRECTION('center_axis',(0.,0.,-1.));
#18445=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#18446=DIRECTION('center_axis',(-1.,0.,0.));
#18447=DIRECTION('ref_axis',(0.,1.,0.));
#18448=DIRECTION('center_axis',(0.,-1.,0.));
#18449=DIRECTION('ref_axis',(0.,0.,-1.));
#18450=DIRECTION('center_axis',(0.,-1.,0.));
#18451=DIRECTION('ref_axis',(0.,0.,1.));
#18452=DIRECTION('center_axis',(-1.,0.,0.));
#18453=DIRECTION('ref_axis',(0.,1.,0.));
#18454=DIRECTION('center_axis',(0.,1.,0.));
#18455=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547));
#18456=DIRECTION('center_axis',(0.,0.,-1.));
#18457=DIRECTION('ref_axis',(-1.,0.,0.));
#18458=DIRECTION('center_axis',(-1.,0.,0.));
#18459=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186548));
#18460=DIRECTION('',(1.,0.,0.));
#18461=DIRECTION('',(-1.,0.,0.));
#18462=DIRECTION('center_axis',(-0.408248290463859,-0.816496580927728,-0.408248290463864));
#18463=DIRECTION('ref_axis',(0.577350269189623,-0.577350269189627,0.577350269189627));
#18464=DIRECTION('center_axis',(0.,0.,1.));
#18465=DIRECTION('ref_axis',(1.,0.,0.));
#18466=DIRECTION('center_axis',(0.,-1.,0.));
#18467=DIRECTION('ref_axis',(0.,0.,1.));
#18468=DIRECTION('center_axis',(1.,0.,0.));
#18469=DIRECTION('ref_axis',(0.,-1.,0.));
#18470=DIRECTION('center_axis',(0.,0.,-1.));
#18471=DIRECTION('ref_axis',(-1.,0.,0.));
#18472=DIRECTION('center_axis',(0.,0.,-1.));
#18473=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186547,0.));
#18474=DIRECTION('center_axis',(1.,0.,0.));
#18475=DIRECTION('ref_axis',(0.,-1.,0.));
#18476=DIRECTION('center_axis',(0.,0.,1.));
#18477=DIRECTION('ref_axis',(-1.,0.,0.));
#18478=DIRECTION('center_axis',(0.,-1.,0.));
#18479=DIRECTION('ref_axis',(0.,0.,-1.));
#18480=DIRECTION('center_axis',(-1.,0.,0.));
#18481=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186548));
#18482=DIRECTION('',(1.,0.,0.));
#18483=DIRECTION('center_axis',(-0.816496580927726,-0.408248290463863,0.408248290463862));
#18484=DIRECTION('ref_axis',(-0.577350269189623,0.577350269189628,-0.577350269189627));
#18485=DIRECTION('center_axis',(0.,1.,0.));
#18486=DIRECTION('ref_axis',(-1.,0.,0.));
#18487=DIRECTION('center_axis',(0.,0.,-1.));
#18488=DIRECTION('ref_axis',(0.,1.,0.));
#18489=DIRECTION('center_axis',(-1.,0.,0.));
#18490=DIRECTION('ref_axis',(0.,0.,-1.));
#18491=DIRECTION('center_axis',(0.,0.,1.));
#18492=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.));
#18493=DIRECTION('',(0.,0.,-1.));
#18494=DIRECTION('',(0.,0.,1.));
#18495=DIRECTION('center_axis',(-0.408248290463859,-0.816496580927728,0.408248290463863));
#18496=DIRECTION('ref_axis',(0.577350269189623,-0.577350269189628,-0.577350269189627));
#18497=DIRECTION('center_axis',(0.,-1.,0.));
#18498=DIRECTION('ref_axis',(1.,0.,0.));
#18499=DIRECTION('center_axis',(0.,0.,-1.));
#18500=DIRECTION('ref_axis',(0.,-1.,0.));
#18501=DIRECTION('center_axis',(1.,0.,0.));
#18502=DIRECTION('ref_axis',(0.,0.,-1.));
#18503=DIRECTION('center_axis',(0.,0.,1.));
#18504=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.));
#18505=DIRECTION('',(0.,0.,-1.));
#18506=DIRECTION('',(0.,0.,1.));
#18507=DIRECTION('center_axis',(-0.906252441790109,0.353541748742681,-0.231764414104999));
#18508=DIRECTION('ref_axis',(-0.422736929720446,-0.75791361139108,0.496850526736826));
#18509=DIRECTION('center_axis',(-1.,0.,0.));
#18510=DIRECTION('ref_axis',(0.,0.,1.));
#18511=DIRECTION('center_axis',(0.525435861704413,-0.850833212348308,0.));
#18512=DIRECTION('ref_axis',(-0.850833212348308,-0.525435861704413,0.));
#18513=DIRECTION('center_axis',(0.,0.,1.));
#18514=DIRECTION('ref_axis',(0.,-1.,0.));
#18515=DIRECTION('center_axis',(0.,1.,0.));
#18516=DIRECTION('ref_axis',(0.,0.,1.));
#18517=DIRECTION('center_axis',(0.,1.,5.55111512312578E-15));
#18518=DIRECTION('ref_axis',(1.,0.,0.));
#18519=DIRECTION('center_axis',(0.,0.,1.));
#18520=DIRECTION('ref_axis',(0.,1.,0.));
#18521=DIRECTION('center_axis',(1.,0.,0.));
#18522=DIRECTION('ref_axis',(0.,0.,-1.));
#18523=DIRECTION('center_axis',(0.,0.,-1.));
#18524=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.));
#18525=DIRECTION('',(0.,0.,-1.));
#18526=DIRECTION('center_axis',(0.,0.,-1.));
#18527=DIRECTION('ref_axis',(-1.,0.,0.));
#18528=DIRECTION('center_axis',(0.,0.,-1.));
#18529=DIRECTION('ref_axis',(-0.707106781186547,-0.707106781186547,0.));
#18530=DIRECTION('center_axis',(0.,1.,0.));
#18531=DIRECTION('ref_axis',(1.,0.,0.));
#18532=DIRECTION('center_axis',(0.,0.,1.));
#18533=DIRECTION('ref_axis',(0.,-1.,0.));
#18534=DIRECTION('center_axis',(1.,0.,0.));
#18535=DIRECTION('ref_axis',(0.,0.,-1.));
#18536=DIRECTION('center_axis',(0.408248290463859,-0.816496580927728,0.408248290463864));
#18537=DIRECTION('ref_axis',(0.577350269189623,0.577350269189627,0.577350269189627));
#18538=DIRECTION('center_axis',(0.,1.,0.));
#18539=DIRECTION('ref_axis',(1.,0.,0.));
#18540=DIRECTION('center_axis',(0.,0.,1.));
#18541=DIRECTION('ref_axis',(0.,1.,0.));
#18542=DIRECTION('center_axis',(1.,0.,0.));
#18543=DIRECTION('ref_axis',(0.,0.,1.));
#18544=DIRECTION('center_axis',(0.,1.,0.));
#18545=DIRECTION('ref_axis',(0.,0.,1.));
#18546=DIRECTION('center_axis',(0.,1.,0.));
#18547=DIRECTION('ref_axis',(0.707106781186547,0.,0.707106781186547));
#18548=DIRECTION('center_axis',(0.,0.,-1.));
#18549=DIRECTION('ref_axis',(-1.,0.,0.));
#18550=DIRECTION('center_axis',(0.,-1.,0.));
#18551=DIRECTION('ref_axis',(0.,0.,1.));
#18552=DIRECTION('center_axis',(-1.,0.,0.));
#18553=DIRECTION('ref_axis',(0.,1.,0.));
#18554=DIRECTION('center_axis',(-0.906252441790107,0.353541748742683,0.231764414105002));
#18555=DIRECTION('ref_axis',(-0.422736929720448,-0.75791361139108,-0.496850526736824));
#18556=DIRECTION('center_axis',(0.525435861704413,-0.850833212348308,0.));
#18557=DIRECTION('ref_axis',(0.,0.,-1.));
#18558=DIRECTION('center_axis',(-1.,0.,0.));
#18559=DIRECTION('ref_axis',(0.,-1.,0.));
#18560=DIRECTION('center_axis',(0.,0.,-1.));
#18561=DIRECTION('ref_axis',(-0.850833212348308,-0.525435861704413,0.));
#18562=DIRECTION('center_axis',(1.,0.,0.));
#18563=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#18564=DIRECTION('',(-1.,0.,0.));
#18565=DIRECTION('',(1.,0.,0.));
#18566=DIRECTION('center_axis',(0.,0.,-1.));
#18567=DIRECTION('ref_axis',(-0.487116073588005,-0.87333723775653,0.));
#18568=DIRECTION('',(0.,0.,1.));
#18569=DIRECTION('',(0.,0.,-1.));
#18570=DIRECTION('center_axis',(0.330633251435325,0.943759319448187,3.67076648450176E-15));
#18571=DIRECTION('ref_axis',(-0.943759319448187,0.330633251435325,0.));
#18572=DIRECTION('center_axis',(-1.,0.,0.));
#18573=DIRECTION('ref_axis',(0.,1.,0.));
#18574=DIRECTION('center_axis',(0.,0.,1.));
#18575=DIRECTION('ref_axis',(0.850833212348308,0.525435861704413,0.));
#18576=DIRECTION('center_axis',(-1.,0.,0.));
#18577=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186548));
#18578=DIRECTION('',(1.,0.,0.));
#18579=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,-0.408248290463863));
#18580=DIRECTION('ref_axis',(0.577350269189623,0.577350269189628,-0.577350269189626));
#18581=DIRECTION('center_axis',(0.,1.,0.));
#18582=DIRECTION('ref_axis',(0.,0.,-1.));
#18583=DIRECTION('center_axis',(1.,0.,0.));
#18584=DIRECTION('ref_axis',(0.,1.,0.));
#18585=DIRECTION('center_axis',(0.,0.,-1.));
#18586=DIRECTION('ref_axis',(1.,0.,0.));
#18587=DIRECTION('center_axis',(0.,1.,0.));
#18588=DIRECTION('ref_axis',(0.,0.,1.));
#18589=DIRECTION('center_axis',(0.,1.,0.));
#18590=DIRECTION('ref_axis',(0.707106781186546,0.,0.70710678118655));
#18591=DIRECTION('center_axis',(0.,0.,1.));
#18592=DIRECTION('ref_axis',(-1.,0.,0.));
#18593=DIRECTION('center_axis',(0.,-1.,0.));
#18594=DIRECTION('ref_axis',(0.,0.,1.));
#18595=DIRECTION('center_axis',(1.,0.,0.));
#18596=DIRECTION('ref_axis',(0.,-1.,0.));
#18597=DIRECTION('center_axis',(0.,0.,-1.));
#18598=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.));
#18599=DIRECTION('',(0.,0.,1.));
#18600=DIRECTION('',(0.,0.,-1.));
#18601=DIRECTION('center_axis',(0.,-1.,0.));
#18602=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#18603=DIRECTION('',(0.,1.,0.));
#18604=DIRECTION('',(0.,-1.,0.));
#18605=DIRECTION('center_axis',(-0.408248290463859,-0.816496580927723,0.408248290463873));
#18606=DIRECTION('ref_axis',(-0.577350269189614,0.577350269189632,0.577350269189632));
#18607=DIRECTION('center_axis',(0.,1.,0.));
#18608=DIRECTION('ref_axis',(0.,0.,1.));
#18609=DIRECTION('center_axis',(-1.,0.,0.));
#18610=DIRECTION('ref_axis',(0.,1.,0.));
#18611=DIRECTION('center_axis',(0.,0.,1.));
#18612=DIRECTION('ref_axis',(-1.,0.,0.));
#18613=DIRECTION('center_axis',(1.,0.,0.));
#18614=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548));
#18615=DIRECTION('',(-1.,0.,0.));
#18616=DIRECTION('',(1.,0.,0.));
#18617=DIRECTION('center_axis',(0.,5.55111512312578E-15,-1.));
#18618=DIRECTION('ref_axis',(-1.,0.,0.));
#18619=DIRECTION('center_axis',(0.,0.,-1.));
#18620=DIRECTION('ref_axis',(0.487116073588,0.873337237756533,0.));
#18621=DIRECTION('center_axis',(0.525435861704413,-0.850833212348308,-4.72307311232438E-15));
#18622=DIRECTION('ref_axis',(-0.850833212348308,-0.525435861704413,0.));
#18623=DIRECTION('center_axis',(0.,0.,1.));
#18624=DIRECTION('ref_axis',(0.,1.,0.));
#18625=DIRECTION('center_axis',(-1.,0.,0.));
#18626=DIRECTION('ref_axis',(0.,0.,-1.));
#18627=DIRECTION('center_axis',(-0.525435861704415,0.850833212348307,0.));
#18628=DIRECTION('ref_axis',(-0.601629934110223,-0.371539260889788,-0.707106781186546));
#18629=DIRECTION('',(0.525435861704415,-0.850833212348307,0.));
#18630=DIRECTION('',(-0.525435861704415,0.850833212348307,0.));
#18631=DIRECTION('center_axis',(0.,0.,-1.));
#18632=DIRECTION('ref_axis',(0.487116073588005,0.87333723775653,0.));
#18633=DIRECTION('',(0.,0.,1.));
#18634=DIRECTION('center_axis',(-0.816496580927731,-0.408248290463857,0.408248290463858));
#18635=DIRECTION('ref_axis',(-0.577350269189615,0.577350269189632,-0.577350269189631));
#18636=DIRECTION('center_axis',(0.,0.,-1.));
#18637=DIRECTION('ref_axis',(0.,1.,0.));
#18638=DIRECTION('center_axis',(-1.,0.,0.));
#18639=DIRECTION('ref_axis',(0.,0.,-1.));
#18640=DIRECTION('center_axis',(0.,1.,0.));
#18641=DIRECTION('ref_axis',(-1.,0.,0.));
#18642=DIRECTION('center_axis',(-1.,0.,0.));
#18643=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547));
#18644=DIRECTION('',(1.,0.,0.));
#18645=DIRECTION('',(-1.,0.,0.));
#18646=DIRECTION('center_axis',(-1.,0.,0.));
#18647=DIRECTION('ref_axis',(0.,0.,1.));
#18648=DIRECTION('center_axis',(-1.,0.,0.));
#18649=DIRECTION('ref_axis',(0.,-0.707106781186547,-0.707106781186547));
#18650=DIRECTION('center_axis',(0.,0.,1.));
#18651=DIRECTION('ref_axis',(0.,1.,0.));
#18652=DIRECTION('center_axis',(1.,-1.11022302462516E-14,0.));
#18653=DIRECTION('ref_axis',(0.,0.,-1.));
#18654=DIRECTION('center_axis',(0.,1.,0.));
#18655=DIRECTION('ref_axis',(-1.,0.,0.));
#18656=DIRECTION('center_axis',(0.,-1.,0.));
#18657=DIRECTION('ref_axis',(0.,0.,-1.));
#18658=DIRECTION('center_axis',(0.,-1.,5.55111512312578E-15));
#18659=DIRECTION('ref_axis',(-1.,0.,0.));
#18660=DIRECTION('center_axis',(0.,0.,1.));
#18661=DIRECTION('ref_axis',(0.,-1.,0.));
#18662=DIRECTION('center_axis',(0.,1.,0.));
#18663=DIRECTION('ref_axis',(-0.707106781186546,0.,0.707106781186549));
#18664=DIRECTION('center_axis',(-1.,0.,0.));
#18665=DIRECTION('ref_axis',(0.,0.,-1.));
#18666=DIRECTION('center_axis',(0.,0.,1.));
#18667=DIRECTION('ref_axis',(1.,0.,0.));
#18668=DIRECTION('center_axis',(0.,0.,1.));
#18669=DIRECTION('ref_axis',(1.,0.,0.));
#18670=DIRECTION('center_axis',(0.,1.,0.));
#18671=DIRECTION('ref_axis',(0.,0.,-1.));
#18672=DIRECTION('center_axis',(0.,0.,-1.));
#18673=DIRECTION('ref_axis',(0.707106781186547,-0.707106781186547,0.));
#18674=DIRECTION('center_axis',(-1.,0.,0.));
#18675=DIRECTION('ref_axis',(0.,1.,0.));
#18676=DIRECTION('center_axis',(-0.408248290463859,-0.816496580927728,0.408248290463864));
#18677=DIRECTION('ref_axis',(-0.577350269189623,0.577350269189627,0.577350269189627));
#18678=DIRECTION('center_axis',(0.,0.,1.));
#18679=DIRECTION('ref_axis',(-1.,0.,0.));
#18680=DIRECTION('center_axis',(0.,1.,0.));
#18681=DIRECTION('ref_axis',(0.,0.,1.));
#18682=DIRECTION('center_axis',(-1.,0.,0.));
#18683=DIRECTION('ref_axis',(0.,1.,0.));
#18684=DIRECTION('center_axis',(0.,1.,0.));
#18685=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#18686=DIRECTION('',(0.,-1.,0.));
#18687=DIRECTION('',(0.,1.,0.));
#18688=DIRECTION('center_axis',(-0.816496580927734,0.408248290463858,0.408248290463852));
#18689=DIRECTION('ref_axis',(-0.577350269189613,-0.577350269189635,-0.57735026918963));
#18690=DIRECTION('center_axis',(-1.,0.,-1.11022302462516E-14));
#18691=DIRECTION('ref_axis',(0.,-1.,0.));
#18692=DIRECTION('center_axis',(0.,0.,-1.));
#18693=DIRECTION('ref_axis',(-1.,0.,0.));
#18694=DIRECTION('center_axis',(-1.11022302462516E-14,-1.,0.));
#18695=DIRECTION('ref_axis',(0.,0.,-1.));
#18696=DIRECTION('center_axis',(-1.,0.,0.));
#18697=DIRECTION('ref_axis',(0.,0.,1.));
#18698=DIRECTION('center_axis',(-1.,0.,1.11022302462515E-14));
#18699=DIRECTION('ref_axis',(0.,-1.,0.));
#18700=DIRECTION('center_axis',(0.,0.,1.));
#18701=DIRECTION('ref_axis',(1.,0.,0.));
#18702=DIRECTION('center_axis',(1.,0.,0.));
#18703=DIRECTION('ref_axis',(0.,-0.707106781186546,-0.707106781186549));
#18704=DIRECTION('center_axis',(0.,1.,0.));
#18705=DIRECTION('ref_axis',(0.,0.,1.));
#18706=DIRECTION('center_axis',(-0.408248290463859,-0.816496580927728,-0.408248290463863));
#18707=DIRECTION('ref_axis',(-0.577350269189623,0.577350269189628,-0.577350269189627));
#18708=DIRECTION('center_axis',(0.,1.,0.));
#18709=DIRECTION('ref_axis',(-1.,0.,0.));
#18710=DIRECTION('center_axis',(0.,0.,-1.));
#18711=DIRECTION('ref_axis',(0.,1.,0.));
#18712=DIRECTION('center_axis',(-1.,0.,0.));
#18713=DIRECTION('ref_axis',(0.,0.,-1.));
#18714=DIRECTION('center_axis',(0.,0.,1.));
#18715=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.));
#18716=DIRECTION('',(0.,0.,-1.));
#18717=DIRECTION('',(0.,0.,1.));
#18718=DIRECTION('center_axis',(0.408248290463859,-0.816496580927728,0.408248290463864));
#18719=DIRECTION('ref_axis',(0.57735026918963,0.577350269189621,0.577350269189626));
#18720=DIRECTION('center_axis',(0.,0.,1.));
#18721=DIRECTION('ref_axis',(0.,1.,0.));
#18722=DIRECTION('center_axis',(1.,0.,0.));
#18723=DIRECTION('ref_axis',(0.,0.,1.));
#18724=DIRECTION('center_axis',(0.,1.,0.));
#18725=DIRECTION('ref_axis',(1.,0.,0.));
#18726=DIRECTION('center_axis',(1.,0.,0.));
#18727=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548));
#18728=DIRECTION('',(-1.,0.,0.));
#18729=DIRECTION('',(1.,0.,0.));
#18730=DIRECTION('center_axis',(0.,-1.,0.));
#18731=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#18732=DIRECTION('',(0.,1.,0.));
#18733=DIRECTION('',(0.,-1.,0.));
#18734=DIRECTION('center_axis',(-1.,0.,0.));
#18735=DIRECTION('ref_axis',(0.,0.707106781186548,0.707106781186548));
#18736=DIRECTION('',(-1.,0.,0.));
#18737=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,-0.408248290463862));
#18738=DIRECTION('ref_axis',(0.577350269189623,0.577350269189628,-0.577350269189626));
#18739=DIRECTION('center_axis',(0.,0.,-1.));
#18740=DIRECTION('ref_axis',(1.,0.,0.));
#18741=DIRECTION('center_axis',(0.,1.,0.));
#18742=DIRECTION('ref_axis',(0.,0.,-1.));
#18743=DIRECTION('center_axis',(1.,0.,0.));
#18744=DIRECTION('ref_axis',(0.,1.,0.));
#18745=DIRECTION('center_axis',(-0.408248290463859,-0.816496580927723,-0.408248290463873));
#18746=DIRECTION('ref_axis',(0.577350269189614,-0.577350269189632,0.577350269189632));
#18747=DIRECTION('center_axis',(0.,-1.,0.));
#18748=DIRECTION('ref_axis',(0.,0.,1.));
#18749=DIRECTION('center_axis',(1.,0.,0.));
#18750=DIRECTION('ref_axis',(0.,-1.,0.));
#18751=DIRECTION('center_axis',(0.,0.,1.));
#18752=DIRECTION('ref_axis',(1.,0.,0.));
#18753=DIRECTION('center_axis',(0.,1.,0.));
#18754=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#18755=DIRECTION('',(0.,1.,0.));
#18756=DIRECTION('center_axis',(-0.40824829046386,0.40824829046386,-0.816496580927729));
#18757=DIRECTION('ref_axis',(0.577350269189631,-0.577350269189622,-0.577350269189624));
#18758=DIRECTION('center_axis',(1.,0.,0.));
#18759=DIRECTION('ref_axis',(0.,0.,-1.));
#18760=DIRECTION('center_axis',(0.,-1.,0.));
#18761=DIRECTION('ref_axis',(1.,0.,0.));
#18762=DIRECTION('center_axis',(0.,0.,-1.));
#18763=DIRECTION('ref_axis',(0.,-1.,0.));
#18764=DIRECTION('center_axis',(0.,1.,0.));
#18765=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547));
#18766=DIRECTION('',(0.,-1.,0.));
#18767=DIRECTION('',(0.,1.,0.));
#18768=DIRECTION('center_axis',(0.,0.,1.));
#18769=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.));
#18770=DIRECTION('',(0.,0.,-1.));
#18771=DIRECTION('',(0.,0.,1.));
#18772=DIRECTION('center_axis',(0.408248290463859,-0.816496580927728,-0.408248290463864));
#18773=DIRECTION('ref_axis',(-0.577350269189623,-0.577350269189627,0.577350269189627));
#18774=DIRECTION('center_axis',(0.,-1.,0.));
#18775=DIRECTION('ref_axis',(-1.,0.,0.));
#18776=DIRECTION('center_axis',(0.,0.,1.));
#18777=DIRECTION('ref_axis',(0.,-1.,0.));
#18778=DIRECTION('center_axis',(-1.,0.,0.));
#18779=DIRECTION('ref_axis',(0.,0.,1.));
#18780=DIRECTION('center_axis',(1.,0.,0.));
#18781=DIRECTION('ref_axis',(0.,0.,-1.));
#18782=DIRECTION('center_axis',(1.,0.,0.));
#18783=DIRECTION('ref_axis',(0.,0.707106781186547,-0.707106781186547));
#18784=DIRECTION('center_axis',(-1.,1.11022302462516E-14,0.));
#18785=DIRECTION('ref_axis',(0.,0.,-1.));
#18786=DIRECTION('center_axis',(0.,-1.,0.));
#18787=DIRECTION('ref_axis',(1.,0.,0.));
#18788=DIRECTION('center_axis',(-0.816496580927726,0.408248290463863,0.408248290463862));
#18789=DIRECTION('ref_axis',(-0.577350269189623,-0.577350269189628,-0.577350269189626));
#18790=DIRECTION('center_axis',(0.,-1.,0.));
#18791=DIRECTION('ref_axis',(0.,0.,-1.));
#18792=DIRECTION('center_axis',(-1.,0.,0.));
#18793=DIRECTION('ref_axis',(0.,-1.,0.));
#18794=DIRECTION('center_axis',(0.,0.,-1.));
#18795=DIRECTION('ref_axis',(-1.,0.,0.));
#18796=DIRECTION('center_axis',(1.,0.,0.));
#18797=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186547));
#18798=DIRECTION('',(-1.,0.,0.));
#18799=DIRECTION('',(1.,0.,0.));
#18800=DIRECTION('center_axis',(0.,0.,-1.));
#18801=DIRECTION('ref_axis',(-0.707106781186548,-0.707106781186548,0.));
#18802=DIRECTION('',(0.,0.,1.));
#18803=DIRECTION('',(0.,0.,-1.));
#18804=DIRECTION('center_axis',(0.,-1.,0.));
#18805=DIRECTION('ref_axis',(0.,0.,-1.));
#18806=DIRECTION('center_axis',(0.,0.,1.));
#18807=DIRECTION('ref_axis',(1.,0.,0.));
#18808=DIRECTION('center_axis',(0.,1.,0.));
#18809=DIRECTION('ref_axis',(0.,0.,1.));
#18810=DIRECTION('center_axis',(-1.,0.,0.));
#18811=DIRECTION('ref_axis',(0.,1.,0.));
#18812=DIRECTION('center_axis',(0.,0.,1.));
#18813=DIRECTION('ref_axis',(1.,0.,0.));
#18814=DIRECTION('center_axis',(0.,0.,1.));
#18815=DIRECTION('ref_axis',(0.,1.,0.));
#18816=DIRECTION('center_axis',(0.,0.,-1.));
#18817=DIRECTION('ref_axis',(0.707106781186547,0.707106781186547,0.));
#18818=DIRECTION('center_axis',(0.,-1.,0.));
#18819=DIRECTION('ref_axis',(-1.,0.,0.));
#18820=DIRECTION('center_axis',(0.,1.,0.));
#18821=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548));
#18822=DIRECTION('',(0.,-1.,0.));
#18823=DIRECTION('',(0.,1.,0.));
#18824=DIRECTION('center_axis',(0.,0.,-1.));
#18825=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.));
#18826=DIRECTION('',(0.,0.,1.));
#18827=DIRECTION('center_axis',(0.,1.,0.));
#18828=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#18829=DIRECTION('',(0.,-1.,0.));
#18830=DIRECTION('',(0.,1.,0.));
#18831=DIRECTION('center_axis',(-1.,0.,0.));
#18832=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186548));
#18833=DIRECTION('',(1.,0.,0.));
#18834=DIRECTION('center_axis',(0.,-1.,0.));
#18835=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#18836=DIRECTION('',(0.,1.,0.));
#18837=DIRECTION('',(0.,-1.,0.));
#18838=DIRECTION('center_axis',(-1.,0.,0.));
#18839=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548));
#18840=DIRECTION('',(1.,0.,0.));
#18841=DIRECTION('',(-1.,0.,0.));
#18842=DIRECTION('center_axis',(-1.,0.,0.));
#18843=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547));
#18844=DIRECTION('',(1.,0.,0.));
#18845=DIRECTION('',(-1.,0.,0.));
#18846=DIRECTION('center_axis',(0.,0.,1.));
#18847=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.));
#18848=DIRECTION('',(0.,0.,-1.));
#18849=DIRECTION('',(0.,0.,1.));
#18850=DIRECTION('center_axis',(0.,0.,1.));
#18851=DIRECTION('ref_axis',(0.70710678118654,0.707106781186555,0.));
#18852=DIRECTION('',(0.,0.,-1.));
#18853=DIRECTION('',(0.,0.,1.));
#18854=DIRECTION('center_axis',(0.,-1.,0.));
#18855=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#18856=DIRECTION('',(0.,-1.,0.));
#18857=DIRECTION('center_axis',(0.,0.,1.));
#18858=DIRECTION('ref_axis',(-0.70710678118654,-0.707106781186555,0.));
#18859=DIRECTION('',(0.,0.,-1.));
#18860=DIRECTION('center_axis',(0.,1.,0.));
#18861=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186548));
#18862=DIRECTION('',(0.,-1.,0.));
#18863=DIRECTION('',(0.,1.,0.));
#18864=DIRECTION('center_axis',(1.,0.,0.));
#18865=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186548));
#18866=DIRECTION('',(-1.,0.,0.));
#18867=DIRECTION('',(1.,0.,0.));
#18868=DIRECTION('center_axis',(0.,0.,-1.));
#18869=DIRECTION('ref_axis',(-0.70710678118654,0.707106781186555,0.));
#18870=DIRECTION('',(0.,0.,-1.));
#18871=DIRECTION('',(0.,0.,1.));
#18872=DIRECTION('center_axis',(0.,-1.,0.));
#18873=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547));
#18874=DIRECTION('',(0.,1.,0.));
#18875=DIRECTION('',(0.,-1.,0.));
#18876=DIRECTION('center_axis',(-1.,0.,0.));
#18877=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186548));
#18878=DIRECTION('',(1.,0.,0.));
#18879=DIRECTION('center_axis',(1.,0.,0.));
#18880=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186548));
#18881=DIRECTION('',(1.,0.,0.));
#18882=DIRECTION('',(-1.,0.,0.));
#18883=DIRECTION('center_axis',(0.707106781186548,0.,-0.707106781186548));
#18884=DIRECTION('ref_axis',(-0.707106781186547,0.,-0.707106781186547));
#18885=DIRECTION('center_axis',(0.,0.,-1.));
#18886=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.));
#18887=DIRECTION('',(0.,0.,1.));
#18888=DIRECTION('',(0.,0.,-1.));
#18889=DIRECTION('center_axis',(1.,0.,0.));
#18890=DIRECTION('ref_axis',(0.,-0.707106781186548,-0.707106781186548));
#18891=DIRECTION('',(-1.,0.,0.));
#18892=DIRECTION('',(1.,0.,0.));
#18893=DIRECTION('center_axis',(0.,1.,0.));
#18894=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#18895=DIRECTION('',(0.,-1.,0.));
#18896=DIRECTION('',(0.,1.,0.));
#18897=DIRECTION('center_axis',(0.,0.,1.));
#18898=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.));
#18899=DIRECTION('',(0.,0.,-1.));
#18900=DIRECTION('',(0.,0.,1.));
#18901=DIRECTION('center_axis',(0.,0.,1.));
#18902=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.));
#18903=DIRECTION('',(0.,0.,1.));
#18904=DIRECTION('',(0.,0.,-1.));
#18905=DIRECTION('center_axis',(0.,0.,-1.));
#18906=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.));
#18907=DIRECTION('',(0.,0.,1.));
#18908=DIRECTION('',(0.,0.,-1.));
#18909=DIRECTION('center_axis',(0.,-1.,0.));
#18910=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548));
#18911=DIRECTION('',(0.,1.,0.));
#18912=DIRECTION('',(0.,-1.,0.));
#18913=DIRECTION('center_axis',(0.525435861704415,-0.850833212348307,0.));
#18914=DIRECTION('ref_axis',(-0.601629934110223,-0.371539260889788,0.707106781186546));
#18915=DIRECTION('',(-0.525435861704415,0.850833212348307,0.));
#18916=DIRECTION('',(0.525435861704415,-0.850833212348307,0.));
#18917=DIRECTION('center_axis',(0.,-1.,0.));
#18918=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#18919=DIRECTION('',(0.,1.,0.));
#18920=DIRECTION('',(0.,-1.,0.));
#18921=DIRECTION('center_axis',(-0.707106781186549,-0.707106781186547,3.92523114670944E-15));
#18922=DIRECTION('ref_axis',(-0.707106781186547,0.707106781186548,3.92523114670943E-15));
#18923=DIRECTION('center_axis',(-1.,0.,0.));
#18924=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547));
#18925=DIRECTION('',(1.,0.,0.));
#18926=DIRECTION('',(-1.,0.,0.));
#18927=DIRECTION('center_axis',(1.,0.,0.));
#18928=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186548));
#18929=DIRECTION('',(-1.,0.,0.));
#18930=DIRECTION('',(1.,0.,0.));
#18931=DIRECTION('center_axis',(-0.70710678118655,0.,-0.707106781186546));
#18932=DIRECTION('ref_axis',(-0.707106781186546,0.,0.70710678118655));
#18933=DIRECTION('center_axis',(0.,-1.,0.));
#18934=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#18935=DIRECTION('',(0.,1.,0.));
#18936=DIRECTION('',(0.,-1.,0.));
#18937=DIRECTION('center_axis',(-1.,0.,0.));
#18938=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548));
#18939=DIRECTION('',(1.,0.,0.));
#18940=DIRECTION('',(-1.,0.,0.));
#18941=DIRECTION('center_axis',(0.,-1.,0.));
#18942=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186548));
#18943=DIRECTION('',(0.,1.,0.));
#18944=DIRECTION('',(0.,-1.,0.));
#18945=DIRECTION('center_axis',(0.,-1.,0.));
#18946=DIRECTION('ref_axis',(-0.707106781186548,0.,-0.707106781186547));
#18947=DIRECTION('',(0.,1.,0.));
#18948=DIRECTION('',(0.,-1.,0.));
#18949=DIRECTION('center_axis',(1.,0.,0.));
#18950=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186547));
#18951=DIRECTION('',(1.,0.,0.));
#18952=DIRECTION('',(-1.,0.,0.));
#18953=DIRECTION('center_axis',(0.,0.,-1.));
#18954=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.));
#18955=DIRECTION('',(0.,0.,1.));
#18956=DIRECTION('',(0.,0.,-1.));
#18957=DIRECTION('center_axis',(0.,-1.,0.));
#18958=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#18959=DIRECTION('',(0.,1.,0.));
#18960=DIRECTION('',(0.,-1.,0.));
#18961=DIRECTION('center_axis',(-1.,0.,0.));
#18962=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547));
#18963=DIRECTION('',(1.,0.,0.));
#18964=DIRECTION('',(-1.,0.,0.));
#18965=DIRECTION('center_axis',(0.,0.,-1.));
#18966=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.));
#18967=DIRECTION('',(0.,0.,1.));
#18968=DIRECTION('',(0.,0.,-1.));
#18969=DIRECTION('center_axis',(0.,-1.,0.));
#18970=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#18971=DIRECTION('',(0.,1.,0.));
#18972=DIRECTION('',(0.,-1.,0.));
#18973=DIRECTION('center_axis',(0.,0.,-1.));
#18974=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.));
#18975=DIRECTION('',(0.,0.,1.));
#18976=DIRECTION('',(0.,0.,-1.));
#18977=DIRECTION('center_axis',(1.,0.,0.));
#18978=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548));
#18979=DIRECTION('',(-1.,0.,0.));
#18980=DIRECTION('',(1.,0.,0.));
#18981=DIRECTION('center_axis',(0.,1.,0.));
#18982=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547));
#18983=DIRECTION('',(0.,-1.,0.));
#18984=DIRECTION('',(0.,1.,0.));
#18985=DIRECTION('center_axis',(0.,0.,-1.));
#18986=DIRECTION('ref_axis',(0.707106781186548,0.707106781186548,0.));
#18987=DIRECTION('',(0.,0.,1.));
#18988=DIRECTION('',(0.,0.,-1.));
#18989=DIRECTION('center_axis',(0.,1.,0.));
#18990=DIRECTION('ref_axis',(0.707106781186548,0.,-0.707106781186547));
#18991=DIRECTION('',(0.,-1.,0.));
#18992=DIRECTION('',(0.,1.,0.));
#18993=DIRECTION('center_axis',(-1.,0.,0.));
#18994=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547));
#18995=DIRECTION('',(1.,0.,0.));
#18996=DIRECTION('',(-1.,0.,0.));
#18997=DIRECTION('center_axis',(0.,-1.,0.));
#18998=DIRECTION('ref_axis',(-0.707106781186549,0.,-0.707106781186546));
#18999=DIRECTION('',(0.,1.,0.));
#19000=DIRECTION('',(0.,-1.,0.));
#19001=DIRECTION('center_axis',(0.,0.,1.));
#19002=DIRECTION('ref_axis',(-0.707106781186548,0.707106781186548,0.));
#19003=DIRECTION('',(0.,0.,-1.));
#19004=DIRECTION('',(0.,0.,1.));
#19005=DIRECTION('center_axis',(0.,-1.,0.));
#19006=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#19007=DIRECTION('',(0.,1.,0.));
#19008=DIRECTION('',(0.,-1.,0.));
#19009=DIRECTION('center_axis',(1.,0.,0.));
#19010=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548));
#19011=DIRECTION('',(-1.,0.,0.));
#19012=DIRECTION('',(1.,0.,0.));
#19013=DIRECTION('center_axis',(0.,0.,-1.));
#19014=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.));
#19015=DIRECTION('',(0.,0.,1.));
#19016=DIRECTION('',(0.,0.,-1.));
#19017=DIRECTION('center_axis',(0.,-1.,0.));
#19018=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#19019=DIRECTION('',(0.,1.,0.));
#19020=DIRECTION('',(0.,-1.,0.));
#19021=DIRECTION('center_axis',(-1.,0.,0.));
#19022=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186548));
#19023=DIRECTION('',(1.,0.,0.));
#19024=DIRECTION('',(-1.,0.,0.));
#19025=DIRECTION('center_axis',(-1.,0.,0.));
#19026=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547));
#19027=DIRECTION('',(1.,0.,0.));
#19028=DIRECTION('',(-1.,0.,0.));
#19029=DIRECTION('center_axis',(0.,0.,-1.));
#19030=DIRECTION('ref_axis',(0.707106781186548,-0.707106781186548,0.));
#19031=DIRECTION('',(0.,0.,1.));
#19032=DIRECTION('',(0.,0.,-1.));
#19033=DIRECTION('center_axis',(-1.,0.,0.));
#19034=DIRECTION('ref_axis',(0.,0.707106781186548,-0.707106781186547));
#19035=DIRECTION('',(1.,0.,0.));
#19036=DIRECTION('',(-1.,0.,0.));
#19037=DIRECTION('center_axis',(0.,-1.,0.));
#19038=DIRECTION('ref_axis',(-0.707106781186548,0.,0.707106781186548));
#19039=DIRECTION('',(0.,1.,0.));
#19040=DIRECTION('',(0.,-1.,0.));
#19041=DIRECTION('center_axis',(0.,0.,-1.));
#19042=DIRECTION('ref_axis',(0.707106781186549,0.707106781186546,0.));
#19043=DIRECTION('',(0.,0.,1.));
#19044=DIRECTION('',(0.,0.,-1.));
#19045=DIRECTION('center_axis',(1.,0.,0.));
#19046=DIRECTION('ref_axis',(0.,-0.707106781186548,0.707106781186548));
#19047=DIRECTION('',(-1.,0.,0.));
#19048=DIRECTION('',(1.,0.,0.));
#19049=DIRECTION('center_axis',(0.,1.,0.));
#19050=DIRECTION('ref_axis',(0.707106781186549,0.,-0.707106781186546));
#19051=DIRECTION('',(0.,-1.,0.));
#19052=DIRECTION('',(0.,1.,0.));
#19053=DIRECTION('center_axis',(1.,0.,0.));
#19054=DIRECTION('ref_axis',(0.,0.,1.));
#19055=DIRECTION('',(0.,0.,1.));
#19056=DIRECTION('',(0.,-1.,0.));
#19057=DIRECTION('center_axis',(0.,1.,0.));
#19058=DIRECTION('ref_axis',(0.,0.,1.));
#19059=DIRECTION('',(0.,0.,-1.));
#19060=DIRECTION('',(1.,0.,0.));
#19061=DIRECTION('center_axis',(-1.,0.,0.));
#19062=DIRECTION('ref_axis',(0.,0.,-1.));
#19063=DIRECTION('',(0.,1.,0.));
#19064=DIRECTION('center_axis',(0.,0.,1.));
#19065=DIRECTION('ref_axis',(1.,0.,0.));
#19066=DIRECTION('',(-1.,0.,0.));
#19067=DIRECTION('',(0.,-1.,0.));
#19068=DIRECTION('center_axis',(0.,0.,-1.));
#19069=DIRECTION('ref_axis',(1.,0.,0.));
#19070=DIRECTION('',(0.,-1.,0.));
#19071=DIRECTION('',(1.,0.,0.));
#19072=DIRECTION('',(0.,1.,0.));
#19073=DIRECTION('',(-1.,0.,0.));
#19074=DIRECTION('',(0.,-1.,0.));
#19075=DIRECTION('',(1.,0.,0.));
#19076=DIRECTION('',(0.,1.,0.));
#19077=DIRECTION('',(-1.,0.,0.));
#19078=DIRECTION('',(0.,-1.,0.));
#19079=DIRECTION('',(1.,0.,0.));
#19080=DIRECTION('',(0.,1.,0.));
#19081=DIRECTION('',(-1.,0.,0.));
#19082=DIRECTION('',(0.,-1.,0.));
#19083=DIRECTION('',(1.,0.,0.));
#19084=DIRECTION('',(0.,1.,0.));
#19085=DIRECTION('',(-1.,0.,0.));
#19086=DIRECTION('',(0.,-1.,0.));
#19087=DIRECTION('',(1.,0.,0.));
#19088=DIRECTION('',(0.,1.,0.));
#19089=DIRECTION('',(-1.,0.,0.));
#19090=DIRECTION('',(0.,-1.,0.));
#19091=DIRECTION('',(1.,0.,0.));
#19092=DIRECTION('',(0.,1.,0.));
#19093=DIRECTION('',(-1.,0.,0.));
#19094=DIRECTION('',(0.,-1.,0.));
#19095=DIRECTION('',(1.,0.,0.));
#19096=DIRECTION('',(0.,1.,0.));
#19097=DIRECTION('',(-1.,0.,0.));
#19098=DIRECTION('',(0.,-1.,0.));
#19099=DIRECTION('',(1.,0.,0.));
#19100=DIRECTION('',(0.,1.,0.));
#19101=DIRECTION('',(-1.,0.,0.));
#19102=DIRECTION('',(0.,-1.,0.));
#19103=DIRECTION('',(1.,0.,0.));
#19104=DIRECTION('',(0.,1.,0.));
#19105=DIRECTION('',(-1.,0.,0.));
#19106=DIRECTION('',(0.,-1.,0.));
#19107=DIRECTION('',(1.,0.,0.));
#19108=DIRECTION('',(0.,1.,0.));
#19109=DIRECTION('',(-1.,0.,0.));
#19110=DIRECTION('',(0.,-1.,0.));
#19111=DIRECTION('',(1.,0.,0.));
#19112=DIRECTION('',(0.,1.,0.));
#19113=DIRECTION('',(-1.,0.,0.));
#19114=DIRECTION('',(0.,-1.,0.));
#19115=DIRECTION('',(1.,0.,0.));
#19116=DIRECTION('',(0.,1.,0.));
#19117=DIRECTION('',(-1.,0.,0.));
#19118=DIRECTION('',(0.,-1.,0.));
#19119=DIRECTION('',(1.,0.,0.));
#19120=DIRECTION('',(0.,1.,0.));
#19121=DIRECTION('',(-1.,0.,0.));
#19122=DIRECTION('',(0.,-1.,0.));
#19123=DIRECTION('',(1.,0.,0.));
#19124=DIRECTION('',(0.,1.,0.));
#19125=DIRECTION('',(-1.,0.,0.));
#19126=DIRECTION('',(0.,-1.,0.));
#19127=DIRECTION('',(1.,0.,0.));
#19128=DIRECTION('',(0.,1.,0.));
#19129=DIRECTION('',(-1.,0.,0.));
#19130=DIRECTION('',(0.,-1.,0.));
#19131=DIRECTION('',(1.,0.,0.));
#19132=DIRECTION('',(0.,1.,0.));
#19133=DIRECTION('',(-1.,0.,0.));
#19134=DIRECTION('',(0.,-1.,0.));
#19135=DIRECTION('',(1.,0.,0.));
#19136=DIRECTION('',(0.,1.,0.));
#19137=DIRECTION('',(-1.,0.,0.));
#19138=DIRECTION('',(0.,-1.,0.));
#19139=DIRECTION('',(1.,0.,0.));
#19140=DIRECTION('',(0.,1.,0.));
#19141=DIRECTION('',(-1.,0.,0.));
#19142=DIRECTION('',(0.,-1.,0.));
#19143=DIRECTION('',(1.,0.,0.));
#19144=DIRECTION('',(0.,1.,0.));
#19145=DIRECTION('',(-1.,0.,0.));
#19146=DIRECTION('',(0.,-1.,0.));
#19147=DIRECTION('',(1.,0.,0.));
#19148=DIRECTION('',(0.,1.,0.));
#19149=DIRECTION('',(-1.,0.,0.));
#19150=DIRECTION('center_axis',(0.,0.,-1.));
#19151=DIRECTION('ref_axis',(1.,0.,0.));
#19152=DIRECTION('center_axis',(1.,0.,0.));
#19153=DIRECTION('ref_axis',(0.,0.,1.));
#19154=DIRECTION('center_axis',(0.,1.,0.));
#19155=DIRECTION('ref_axis',(0.,0.,1.));
#19156=DIRECTION('center_axis',(-1.,0.,0.));
#19157=DIRECTION('ref_axis',(0.,0.,-1.));
#19158=DIRECTION('center_axis',(-1.,0.,0.));
#19159=DIRECTION('ref_axis',(0.,0.,-1.));
#19160=DIRECTION('center_axis',(0.,1.,0.));
#19161=DIRECTION('ref_axis',(0.,0.,1.));
#19162=DIRECTION('center_axis',(1.,0.,0.));
#19163=DIRECTION('ref_axis',(0.,0.,1.));
#19164=DIRECTION('center_axis',(0.,0.,-1.));
#19165=DIRECTION('ref_axis',(1.,0.,0.));
#19166=DIRECTION('center_axis',(1.,0.,0.));
#19167=DIRECTION('ref_axis',(0.,0.,1.));
#19168=DIRECTION('center_axis',(0.,1.,0.));
#19169=DIRECTION('ref_axis',(0.,0.,1.));
#19170=DIRECTION('center_axis',(0.,0.,-1.));
#19171=DIRECTION('ref_axis',(1.,0.,0.));
#19172=DIRECTION('center_axis',(-1.,0.,0.));
#19173=DIRECTION('ref_axis',(0.,0.,-1.));
#19174=DIRECTION('center_axis',(0.,-1.,0.));
#19175=DIRECTION('ref_axis',(1.,0.,0.));
#19176=DIRECTION('center_axis',(-1.,0.,0.));
#19177=DIRECTION('ref_axis',(0.,1.,0.));
#19178=DIRECTION('center_axis',(0.850833212348307,0.525435861704415,0.));
#19179=DIRECTION('ref_axis',(0.525435861704415,-0.850833212348307,0.));
#19180=DIRECTION('center_axis',(0.,0.,-1.));
#19181=DIRECTION('ref_axis',(-1.,0.,0.));
#19182=DIRECTION('center_axis',(-1.,0.,0.));
#19183=DIRECTION('ref_axis',(0.,1.,0.));
#19184=DIRECTION('center_axis',(0.,0.,-1.));
#19185=DIRECTION('ref_axis',(-1.,0.,0.));
#19186=DIRECTION('center_axis',(0.,1.,0.));
#19187=DIRECTION('ref_axis',(-1.,0.,0.));
#19188=DIRECTION('center_axis',(0.,0.,-1.));
#19189=DIRECTION('ref_axis',(-1.,0.,0.));
#19190=DIRECTION('center_axis',(1.,0.,0.));
#19191=DIRECTION('ref_axis',(0.,-1.,0.));
#19192=DIRECTION('center_axis',(0.,1.,0.));
#19193=DIRECTION('ref_axis',(-1.,0.,0.));
#19194=DIRECTION('center_axis',(0.,-1.,0.));
#19195=DIRECTION('ref_axis',(1.,0.,0.));
#19196=DIRECTION('center_axis',(1.,0.,0.));
#19197=DIRECTION('ref_axis',(0.,1.,0.));
#19198=DIRECTION('center_axis',(1.,0.,0.));
#19199=DIRECTION('ref_axis',(0.,-1.,0.));
#19200=DIRECTION('center_axis',(0.,0.,-1.));
#19201=DIRECTION('ref_axis',(-1.,0.,0.));
#19202=DIRECTION('center_axis',(-1.,0.,0.));
#19203=DIRECTION('ref_axis',(0.,-1.,0.));
#19204=DIRECTION('center_axis',(0.,-1.,0.));
#19205=DIRECTION('ref_axis',(-1.,0.,0.));
#19206=DIRECTION('center_axis',(0.,0.,-1.));
#19207=DIRECTION('ref_axis',(1.,0.,0.));
#19208=DIRECTION('center_axis',(0.,0.,-1.));
#19209=DIRECTION('ref_axis',(1.,0.,0.));
#19210=DIRECTION('center_axis',(0.,0.,1.));
#19211=DIRECTION('ref_axis',(1.,0.,0.));
#19212=DIRECTION('center_axis',(0.,0.,1.));
#19213=DIRECTION('ref_axis',(1.,0.,0.));
#19214=DIRECTION('center_axis',(0.,-1.,0.));
#19215=DIRECTION('ref_axis',(-1.,0.,0.));
#19216=DIRECTION('center_axis',(0.,0.,-1.));
#19217=DIRECTION('ref_axis',(1.,0.,0.));
#19218=DIRECTION('center_axis',(0.,4.75266705747071E-17,-1.));
#19219=DIRECTION('ref_axis',(1.,-2.54607163793074E-17,0.));
#19220=DIRECTION('',(0.,0.,1.));
#19221=DIRECTION('center_axis',(0.,0.,1.));
#19222=DIRECTION('ref_axis',(1.,0.,0.));
#19223=DIRECTION('center_axis',(0.,0.,1.));
#19224=DIRECTION('ref_axis',(1.,0.,0.));
#19225=DIRECTION('center_axis',(1.22464679914735E-16,-1.,0.));
#19226=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.));
#19227=DIRECTION('center_axis',(0.,0.,-1.));
#19228=DIRECTION('ref_axis',(1.,0.,0.));
#19229=DIRECTION('center_axis',(0.,4.75266705747071E-17,-1.));
#19230=DIRECTION('ref_axis',(1.,-2.54607163793073E-17,0.));
#19231=DIRECTION('',(0.,0.,1.));
#19232=DIRECTION('center_axis',(1.22464679914735E-16,-1.,-4.75266705747071E-17));
#19233=DIRECTION('ref_axis',(-1.,-1.22464679914735E-16,0.));
#19234=DIRECTION('center_axis',(0.,-4.75266705747071E-17,1.));
#19235=DIRECTION('ref_axis',(1.,-2.54607163793073E-17,-1.21006307995539E-33));
#19236=DIRECTION('center_axis',(0.,4.75266705747071E-17,-1.));
#19237=DIRECTION('ref_axis',(-1.,0.,0.));
#19238=DIRECTION('center_axis',(0.,-1.,-4.75266705747071E-17));
#19239=DIRECTION('ref_axis',(-1.,0.,0.));
#19240=DIRECTION('center_axis',(0.,-4.75266705747071E-17,1.));
#19241=DIRECTION('ref_axis',(1.,-2.54607163793074E-17,-1.21006307995539E-33));
#19242=DIRECTION('center_axis',(0.,4.75266705747071E-17,-1.));
#19243=DIRECTION('ref_axis',(-1.,0.,0.));
#19244=DIRECTION('center_axis',(-1.,0.,0.));
#19245=DIRECTION('ref_axis',(0.,1.,0.));
#19246=DIRECTION('center_axis',(-1.,0.,0.));
#19247=DIRECTION('ref_axis',(0.,1.,0.));
#19248=DIRECTION('',(1.,0.,0.));
#19249=DIRECTION('center_axis',(1.,0.,0.));
#19250=DIRECTION('ref_axis',(0.,1.,0.));
#19251=DIRECTION('center_axis',(0.,-0.987126813215969,0.1599395342937));
#19252=DIRECTION('ref_axis',(0.,-0.1599395342937,-0.987126813215969));
#19253=DIRECTION('',(1.,0.,0.));
#19254=DIRECTION('',(0.,0.1599395342937,0.987126813215969));
#19255=DIRECTION('',(1.,0.,0.));
#19256=DIRECTION('',(0.,-0.1599395342937,-0.987126813215969));
#19257=DIRECTION('center_axis',(-1.,0.,0.));
#19258=DIRECTION('ref_axis',(0.,-1.,0.));
#19259=DIRECTION('',(0.,0.,1.));
#19260=DIRECTION('center_axis',(-1.,0.,0.));
#19261=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19262=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#19263=DIRECTION('',(0.,0.,1.));
#19264=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#19265=DIRECTION('center_axis',(1.,0.,0.));
#19266=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19267=DIRECTION('',(0.,0.159939534293214,0.987126813216048));
#19268=DIRECTION('center_axis',(-1.,0.,0.));
#19269=DIRECTION('ref_axis',(0.,-1.,0.));
#19270=DIRECTION('',(0.,0.,-1.));
#19271=DIRECTION('',(0.,-0.159939534293214,-0.987126813216048));
#19272=DIRECTION('center_axis',(-1.,0.,0.));
#19273=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19274=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#19275=DIRECTION('',(0.,0.,-1.));
#19276=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#19277=DIRECTION('center_axis',(1.,0.,0.));
#19278=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19279=DIRECTION('center_axis',(-1.,0.,0.));
#19280=DIRECTION('ref_axis',(0.,-1.,0.));
#19281=DIRECTION('center_axis',(1.,0.,0.));
#19282=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19283=DIRECTION('',(0.,1.,4.75266705747071E-17));
#19284=DIRECTION('',(0.,0.,-1.));
#19285=DIRECTION('',(0.,-1.,-4.7526670574707E-17));
#19286=DIRECTION('center_axis',(0.,0.829031900205727,-0.559201312982436));
#19287=DIRECTION('ref_axis',(0.,0.559201312982436,0.829031900205728));
#19288=DIRECTION('',(-1.,0.,0.));
#19289=DIRECTION('',(1.,0.,0.));
#19290=DIRECTION('center_axis',(0.,0.559201312991723,0.829031900199463));
#19291=DIRECTION('ref_axis',(0.,-0.829031900199463,0.559201312991723));
#19292=DIRECTION('',(1.,0.,0.));
#19293=DIRECTION('center_axis',(-1.,0.,0.));
#19294=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19295=DIRECTION('',(1.,0.,0.));
#19296=DIRECTION('center_axis',(0.,0.987126813216048,-0.159939534293214));
#19297=DIRECTION('ref_axis',(0.,0.159939534293214,0.987126813216048));
#19298=DIRECTION('',(-1.,0.,0.));
#19299=DIRECTION('center_axis',(0.,4.7526670574707E-17,-1.));
#19300=DIRECTION('ref_axis',(0.,1.,4.7526670574707E-17));
#19301=DIRECTION('',(1.,0.,0.));
#19302=DIRECTION('',(0.,1.,4.7526670574707E-17));
#19303=DIRECTION('center_axis',(0.,1.,0.));
#19304=DIRECTION('ref_axis',(0.,0.,1.));
#19305=DIRECTION('',(1.,0.,0.));
#19306=DIRECTION('',(0.,0.,1.));
#19307=DIRECTION('center_axis',(0.,-4.75266705747071E-17,1.));
#19308=DIRECTION('ref_axis',(0.,-1.,-4.75266705747071E-17));
#19309=DIRECTION('',(1.,0.,0.));
#19310=DIRECTION('',(0.,-1.,-4.75266705747071E-17));
#19311=DIRECTION('center_axis',(-1.,0.,0.));
#19312=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19313=DIRECTION('center_axis',(-1.,0.,0.));
#19314=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19315=DIRECTION('center_axis',(-1.,0.,0.));
#19316=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19317=DIRECTION('',(-1.,0.,0.));
#19318=DIRECTION('',(1.,0.,0.));
#19319=DIRECTION('center_axis',(0.,-0.559201312999764,-0.829031900194039));
#19320=DIRECTION('ref_axis',(0.,0.829031900194039,-0.559201312999764));
#19321=DIRECTION('center_axis',(-1.,0.,0.));
#19322=DIRECTION('ref_axis',(0.,-1.,0.));
#19323=DIRECTION('center_axis',(0.,0.,1.));
#19324=DIRECTION('ref_axis',(0.,-1.,0.));
#19325=DIRECTION('',(1.,0.,0.));
#19326=DIRECTION('center_axis',(-1.,0.,0.));
#19327=DIRECTION('ref_axis',(0.,1.,0.));
#19328=DIRECTION('center_axis',(-1.,0.,0.));
#19329=DIRECTION('ref_axis',(0.,1.,0.));
#19330=DIRECTION('',(1.,0.,0.));
#19331=DIRECTION('center_axis',(1.,0.,0.));
#19332=DIRECTION('ref_axis',(0.,1.,0.));
#19333=DIRECTION('center_axis',(0.,-0.987126813215969,0.1599395342937));
#19334=DIRECTION('ref_axis',(0.,-0.1599395342937,-0.987126813215969));
#19335=DIRECTION('',(1.,0.,0.));
#19336=DIRECTION('',(0.,0.1599395342937,0.987126813215969));
#19337=DIRECTION('',(1.,0.,0.));
#19338=DIRECTION('',(0.,-0.1599395342937,-0.987126813215969));
#19339=DIRECTION('center_axis',(-1.,0.,0.));
#19340=DIRECTION('ref_axis',(0.,-1.,0.));
#19341=DIRECTION('',(0.,0.,1.));
#19342=DIRECTION('center_axis',(-1.,0.,0.));
#19343=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19344=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#19345=DIRECTION('',(0.,0.,1.));
#19346=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#19347=DIRECTION('center_axis',(1.,0.,0.));
#19348=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19349=DIRECTION('',(0.,0.159939534293214,0.987126813216048));
#19350=DIRECTION('center_axis',(-1.,0.,0.));
#19351=DIRECTION('ref_axis',(0.,-1.,0.));
#19352=DIRECTION('',(0.,0.,-1.));
#19353=DIRECTION('',(0.,-0.159939534293214,-0.987126813216048));
#19354=DIRECTION('center_axis',(-1.,0.,0.));
#19355=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19356=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#19357=DIRECTION('',(0.,0.,-1.));
#19358=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#19359=DIRECTION('center_axis',(1.,0.,0.));
#19360=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19361=DIRECTION('center_axis',(-1.,0.,0.));
#19362=DIRECTION('ref_axis',(0.,-1.,0.));
#19363=DIRECTION('center_axis',(1.,0.,0.));
#19364=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19365=DIRECTION('',(0.,1.,4.75266705747071E-17));
#19366=DIRECTION('',(0.,0.,-1.));
#19367=DIRECTION('',(0.,-1.,-4.7526670574707E-17));
#19368=DIRECTION('center_axis',(0.,0.829031900205727,-0.559201312982436));
#19369=DIRECTION('ref_axis',(0.,0.559201312982436,0.829031900205728));
#19370=DIRECTION('',(-1.,0.,0.));
#19371=DIRECTION('',(1.,0.,0.));
#19372=DIRECTION('center_axis',(0.,0.559201312991723,0.829031900199463));
#19373=DIRECTION('ref_axis',(0.,-0.829031900199463,0.559201312991723));
#19374=DIRECTION('',(1.,0.,0.));
#19375=DIRECTION('center_axis',(-1.,0.,0.));
#19376=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19377=DIRECTION('',(1.,0.,0.));
#19378=DIRECTION('center_axis',(0.,0.987126813216048,-0.159939534293214));
#19379=DIRECTION('ref_axis',(0.,0.159939534293214,0.987126813216048));
#19380=DIRECTION('',(-1.,0.,0.));
#19381=DIRECTION('center_axis',(0.,4.7526670574707E-17,-1.));
#19382=DIRECTION('ref_axis',(0.,1.,4.7526670574707E-17));
#19383=DIRECTION('',(1.,0.,0.));
#19384=DIRECTION('',(0.,1.,4.7526670574707E-17));
#19385=DIRECTION('center_axis',(0.,1.,0.));
#19386=DIRECTION('ref_axis',(0.,0.,1.));
#19387=DIRECTION('',(1.,0.,0.));
#19388=DIRECTION('',(0.,0.,1.));
#19389=DIRECTION('center_axis',(0.,-4.75266705747071E-17,1.));
#19390=DIRECTION('ref_axis',(0.,-1.,-4.75266705747071E-17));
#19391=DIRECTION('',(1.,0.,0.));
#19392=DIRECTION('',(0.,-1.,-4.75266705747071E-17));
#19393=DIRECTION('center_axis',(-1.,0.,0.));
#19394=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19395=DIRECTION('center_axis',(-1.,0.,0.));
#19396=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19397=DIRECTION('center_axis',(-1.,0.,0.));
#19398=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19399=DIRECTION('',(-1.,0.,0.));
#19400=DIRECTION('',(1.,0.,0.));
#19401=DIRECTION('center_axis',(0.,-0.559201312999764,-0.829031900194039));
#19402=DIRECTION('ref_axis',(0.,0.829031900194039,-0.559201312999764));
#19403=DIRECTION('center_axis',(-1.,0.,0.));
#19404=DIRECTION('ref_axis',(0.,-1.,0.));
#19405=DIRECTION('center_axis',(0.,0.,1.));
#19406=DIRECTION('ref_axis',(0.,-1.,0.));
#19407=DIRECTION('',(1.,0.,0.));
#19408=DIRECTION('center_axis',(-1.,0.,0.));
#19409=DIRECTION('ref_axis',(0.,1.,0.));
#19410=DIRECTION('center_axis',(-1.,0.,0.));
#19411=DIRECTION('ref_axis',(0.,1.,0.));
#19412=DIRECTION('',(1.,0.,0.));
#19413=DIRECTION('center_axis',(1.,0.,0.));
#19414=DIRECTION('ref_axis',(0.,1.,0.));
#19415=DIRECTION('center_axis',(0.,-0.987126813215969,0.1599395342937));
#19416=DIRECTION('ref_axis',(0.,-0.1599395342937,-0.987126813215969));
#19417=DIRECTION('',(1.,0.,0.));
#19418=DIRECTION('',(0.,0.1599395342937,0.987126813215969));
#19419=DIRECTION('',(1.,0.,0.));
#19420=DIRECTION('',(0.,-0.1599395342937,-0.987126813215969));
#19421=DIRECTION('center_axis',(-1.,0.,0.));
#19422=DIRECTION('ref_axis',(0.,-1.,0.));
#19423=DIRECTION('',(0.,0.,1.));
#19424=DIRECTION('center_axis',(-1.,0.,0.));
#19425=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19426=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#19427=DIRECTION('',(0.,0.,1.));
#19428=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#19429=DIRECTION('center_axis',(1.,0.,0.));
#19430=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19431=DIRECTION('',(0.,0.159939534293214,0.987126813216048));
#19432=DIRECTION('center_axis',(-1.,0.,0.));
#19433=DIRECTION('ref_axis',(0.,-1.,0.));
#19434=DIRECTION('',(0.,0.,-1.));
#19435=DIRECTION('',(0.,-0.159939534293214,-0.987126813216048));
#19436=DIRECTION('center_axis',(-1.,0.,0.));
#19437=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19438=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#19439=DIRECTION('',(0.,0.,-1.));
#19440=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#19441=DIRECTION('center_axis',(1.,0.,0.));
#19442=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19443=DIRECTION('center_axis',(-1.,0.,0.));
#19444=DIRECTION('ref_axis',(0.,-1.,0.));
#19445=DIRECTION('center_axis',(1.,0.,0.));
#19446=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19447=DIRECTION('',(0.,1.,4.75266705747071E-17));
#19448=DIRECTION('',(0.,0.,-1.));
#19449=DIRECTION('',(0.,-1.,-4.7526670574707E-17));
#19450=DIRECTION('center_axis',(0.,0.829031900205727,-0.559201312982436));
#19451=DIRECTION('ref_axis',(0.,0.559201312982436,0.829031900205728));
#19452=DIRECTION('',(-1.,0.,0.));
#19453=DIRECTION('',(1.,0.,0.));
#19454=DIRECTION('center_axis',(0.,0.559201312991723,0.829031900199463));
#19455=DIRECTION('ref_axis',(0.,-0.829031900199463,0.559201312991723));
#19456=DIRECTION('',(1.,0.,0.));
#19457=DIRECTION('center_axis',(-1.,0.,0.));
#19458=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19459=DIRECTION('',(1.,0.,0.));
#19460=DIRECTION('center_axis',(0.,0.987126813216048,-0.159939534293214));
#19461=DIRECTION('ref_axis',(0.,0.159939534293214,0.987126813216048));
#19462=DIRECTION('',(-1.,0.,0.));
#19463=DIRECTION('center_axis',(0.,4.7526670574707E-17,-1.));
#19464=DIRECTION('ref_axis',(0.,1.,4.7526670574707E-17));
#19465=DIRECTION('',(1.,0.,0.));
#19466=DIRECTION('',(0.,1.,4.7526670574707E-17));
#19467=DIRECTION('center_axis',(0.,1.,0.));
#19468=DIRECTION('ref_axis',(0.,0.,1.));
#19469=DIRECTION('',(1.,0.,0.));
#19470=DIRECTION('',(0.,0.,1.));
#19471=DIRECTION('center_axis',(0.,-4.75266705747071E-17,1.));
#19472=DIRECTION('ref_axis',(0.,-1.,-4.75266705747071E-17));
#19473=DIRECTION('',(1.,0.,0.));
#19474=DIRECTION('',(0.,-1.,-4.75266705747071E-17));
#19475=DIRECTION('center_axis',(-1.,0.,0.));
#19476=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19477=DIRECTION('center_axis',(-1.,0.,0.));
#19478=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19479=DIRECTION('center_axis',(-1.,0.,0.));
#19480=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19481=DIRECTION('',(-1.,0.,0.));
#19482=DIRECTION('',(1.,0.,0.));
#19483=DIRECTION('center_axis',(0.,-0.559201312999764,-0.829031900194039));
#19484=DIRECTION('ref_axis',(0.,0.829031900194039,-0.559201312999764));
#19485=DIRECTION('center_axis',(-1.,0.,0.));
#19486=DIRECTION('ref_axis',(0.,-1.,0.));
#19487=DIRECTION('center_axis',(0.,0.,1.));
#19488=DIRECTION('ref_axis',(0.,-1.,0.));
#19489=DIRECTION('',(1.,0.,0.));
#19490=DIRECTION('center_axis',(-1.,0.,0.));
#19491=DIRECTION('ref_axis',(0.,1.,0.));
#19492=DIRECTION('center_axis',(-1.,0.,0.));
#19493=DIRECTION('ref_axis',(0.,1.,0.));
#19494=DIRECTION('',(1.,0.,0.));
#19495=DIRECTION('center_axis',(1.,0.,0.));
#19496=DIRECTION('ref_axis',(0.,1.,0.));
#19497=DIRECTION('center_axis',(0.,-0.987126813215969,0.1599395342937));
#19498=DIRECTION('ref_axis',(0.,-0.1599395342937,-0.987126813215969));
#19499=DIRECTION('',(1.,0.,0.));
#19500=DIRECTION('',(0.,0.1599395342937,0.987126813215969));
#19501=DIRECTION('',(1.,0.,0.));
#19502=DIRECTION('',(0.,-0.1599395342937,-0.987126813215969));
#19503=DIRECTION('center_axis',(-1.,0.,0.));
#19504=DIRECTION('ref_axis',(0.,-1.,0.));
#19505=DIRECTION('',(0.,0.,1.));
#19506=DIRECTION('center_axis',(-1.,0.,0.));
#19507=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19508=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#19509=DIRECTION('',(0.,0.,1.));
#19510=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#19511=DIRECTION('center_axis',(1.,0.,0.));
#19512=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19513=DIRECTION('',(0.,0.159939534293214,0.987126813216048));
#19514=DIRECTION('center_axis',(-1.,0.,0.));
#19515=DIRECTION('ref_axis',(0.,-1.,0.));
#19516=DIRECTION('',(0.,0.,-1.));
#19517=DIRECTION('',(0.,-0.159939534293214,-0.987126813216048));
#19518=DIRECTION('center_axis',(-1.,0.,0.));
#19519=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19520=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#19521=DIRECTION('',(0.,0.,-1.));
#19522=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#19523=DIRECTION('center_axis',(1.,0.,0.));
#19524=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19525=DIRECTION('center_axis',(-1.,0.,0.));
#19526=DIRECTION('ref_axis',(0.,-1.,0.));
#19527=DIRECTION('center_axis',(1.,0.,0.));
#19528=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19529=DIRECTION('',(0.,1.,4.75266705747071E-17));
#19530=DIRECTION('',(0.,0.,-1.));
#19531=DIRECTION('',(0.,-1.,-4.7526670574707E-17));
#19532=DIRECTION('center_axis',(0.,0.829031900205727,-0.559201312982436));
#19533=DIRECTION('ref_axis',(0.,0.559201312982436,0.829031900205728));
#19534=DIRECTION('',(-1.,0.,0.));
#19535=DIRECTION('',(1.,0.,0.));
#19536=DIRECTION('center_axis',(0.,0.559201312991723,0.829031900199463));
#19537=DIRECTION('ref_axis',(0.,-0.829031900199463,0.559201312991723));
#19538=DIRECTION('',(1.,0.,0.));
#19539=DIRECTION('center_axis',(-1.,0.,0.));
#19540=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19541=DIRECTION('',(1.,0.,0.));
#19542=DIRECTION('center_axis',(0.,0.987126813216048,-0.159939534293214));
#19543=DIRECTION('ref_axis',(0.,0.159939534293214,0.987126813216048));
#19544=DIRECTION('',(-1.,0.,0.));
#19545=DIRECTION('center_axis',(0.,4.7526670574707E-17,-1.));
#19546=DIRECTION('ref_axis',(0.,1.,4.7526670574707E-17));
#19547=DIRECTION('',(1.,0.,0.));
#19548=DIRECTION('',(0.,1.,4.7526670574707E-17));
#19549=DIRECTION('center_axis',(0.,1.,0.));
#19550=DIRECTION('ref_axis',(0.,0.,1.));
#19551=DIRECTION('',(1.,0.,0.));
#19552=DIRECTION('',(0.,0.,1.));
#19553=DIRECTION('center_axis',(0.,-4.75266705747071E-17,1.));
#19554=DIRECTION('ref_axis',(0.,-1.,-4.75266705747071E-17));
#19555=DIRECTION('',(1.,0.,0.));
#19556=DIRECTION('',(0.,-1.,-4.75266705747071E-17));
#19557=DIRECTION('center_axis',(-1.,0.,0.));
#19558=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19559=DIRECTION('center_axis',(-1.,0.,0.));
#19560=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19561=DIRECTION('center_axis',(-1.,0.,0.));
#19562=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19563=DIRECTION('',(-1.,0.,0.));
#19564=DIRECTION('',(1.,0.,0.));
#19565=DIRECTION('center_axis',(0.,-0.559201312999764,-0.829031900194039));
#19566=DIRECTION('ref_axis',(0.,0.829031900194039,-0.559201312999764));
#19567=DIRECTION('center_axis',(-1.,0.,0.));
#19568=DIRECTION('ref_axis',(0.,-1.,0.));
#19569=DIRECTION('center_axis',(0.,0.,1.));
#19570=DIRECTION('ref_axis',(0.,-1.,0.));
#19571=DIRECTION('',(1.,0.,0.));
#19572=DIRECTION('center_axis',(-1.,0.,0.));
#19573=DIRECTION('ref_axis',(0.,1.,0.));
#19574=DIRECTION('center_axis',(-1.,0.,0.));
#19575=DIRECTION('ref_axis',(0.,1.,0.));
#19576=DIRECTION('',(1.,0.,0.));
#19577=DIRECTION('center_axis',(1.,0.,0.));
#19578=DIRECTION('ref_axis',(0.,1.,0.));
#19579=DIRECTION('center_axis',(0.,-0.987126813215969,0.1599395342937));
#19580=DIRECTION('ref_axis',(0.,-0.1599395342937,-0.987126813215969));
#19581=DIRECTION('',(1.,0.,0.));
#19582=DIRECTION('',(0.,0.1599395342937,0.987126813215969));
#19583=DIRECTION('',(1.,0.,0.));
#19584=DIRECTION('',(0.,-0.1599395342937,-0.987126813215969));
#19585=DIRECTION('center_axis',(-1.,0.,0.));
#19586=DIRECTION('ref_axis',(0.,-1.,0.));
#19587=DIRECTION('',(0.,0.,1.));
#19588=DIRECTION('center_axis',(-1.,0.,0.));
#19589=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19590=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#19591=DIRECTION('',(0.,0.,1.));
#19592=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#19593=DIRECTION('center_axis',(1.,0.,0.));
#19594=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19595=DIRECTION('',(0.,0.159939534293214,0.987126813216048));
#19596=DIRECTION('center_axis',(-1.,0.,0.));
#19597=DIRECTION('ref_axis',(0.,-1.,0.));
#19598=DIRECTION('',(0.,0.,-1.));
#19599=DIRECTION('',(0.,-0.159939534293214,-0.987126813216048));
#19600=DIRECTION('center_axis',(-1.,0.,0.));
#19601=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19602=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#19603=DIRECTION('',(0.,0.,-1.));
#19604=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#19605=DIRECTION('center_axis',(1.,0.,0.));
#19606=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19607=DIRECTION('center_axis',(-1.,0.,0.));
#19608=DIRECTION('ref_axis',(0.,-1.,0.));
#19609=DIRECTION('center_axis',(1.,0.,0.));
#19610=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19611=DIRECTION('',(0.,1.,4.75266705747071E-17));
#19612=DIRECTION('',(0.,0.,-1.));
#19613=DIRECTION('',(0.,-1.,-4.7526670574707E-17));
#19614=DIRECTION('center_axis',(0.,0.829031900205727,-0.559201312982436));
#19615=DIRECTION('ref_axis',(0.,0.559201312982436,0.829031900205728));
#19616=DIRECTION('',(-1.,0.,0.));
#19617=DIRECTION('',(1.,0.,0.));
#19618=DIRECTION('center_axis',(0.,0.559201312991723,0.829031900199463));
#19619=DIRECTION('ref_axis',(0.,-0.829031900199463,0.559201312991723));
#19620=DIRECTION('',(1.,0.,0.));
#19621=DIRECTION('center_axis',(-1.,0.,0.));
#19622=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19623=DIRECTION('',(1.,0.,0.));
#19624=DIRECTION('center_axis',(0.,0.987126813216048,-0.159939534293214));
#19625=DIRECTION('ref_axis',(0.,0.159939534293214,0.987126813216048));
#19626=DIRECTION('',(-1.,0.,0.));
#19627=DIRECTION('center_axis',(0.,4.7526670574707E-17,-1.));
#19628=DIRECTION('ref_axis',(0.,1.,4.7526670574707E-17));
#19629=DIRECTION('',(1.,0.,0.));
#19630=DIRECTION('',(0.,1.,4.7526670574707E-17));
#19631=DIRECTION('center_axis',(0.,1.,0.));
#19632=DIRECTION('ref_axis',(0.,0.,1.));
#19633=DIRECTION('',(1.,0.,0.));
#19634=DIRECTION('',(0.,0.,1.));
#19635=DIRECTION('center_axis',(0.,-4.75266705747071E-17,1.));
#19636=DIRECTION('ref_axis',(0.,-1.,-4.75266705747071E-17));
#19637=DIRECTION('',(1.,0.,0.));
#19638=DIRECTION('',(0.,-1.,-4.75266705747071E-17));
#19639=DIRECTION('center_axis',(-1.,0.,0.));
#19640=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19641=DIRECTION('center_axis',(-1.,0.,0.));
#19642=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19643=DIRECTION('center_axis',(-1.,0.,0.));
#19644=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19645=DIRECTION('',(-1.,0.,0.));
#19646=DIRECTION('',(1.,0.,0.));
#19647=DIRECTION('center_axis',(0.,-0.559201312999764,-0.829031900194039));
#19648=DIRECTION('ref_axis',(0.,0.829031900194039,-0.559201312999764));
#19649=DIRECTION('center_axis',(-1.,0.,0.));
#19650=DIRECTION('ref_axis',(0.,-1.,0.));
#19651=DIRECTION('center_axis',(0.,0.,1.));
#19652=DIRECTION('ref_axis',(0.,-1.,0.));
#19653=DIRECTION('',(1.,0.,0.));
#19654=DIRECTION('center_axis',(-1.,0.,0.));
#19655=DIRECTION('ref_axis',(0.,1.,0.));
#19656=DIRECTION('center_axis',(-1.,0.,0.));
#19657=DIRECTION('ref_axis',(0.,1.,0.));
#19658=DIRECTION('',(1.,0.,0.));
#19659=DIRECTION('center_axis',(1.,0.,0.));
#19660=DIRECTION('ref_axis',(0.,1.,0.));
#19661=DIRECTION('center_axis',(0.,-0.987126813215969,0.1599395342937));
#19662=DIRECTION('ref_axis',(0.,-0.1599395342937,-0.987126813215969));
#19663=DIRECTION('',(1.,0.,0.));
#19664=DIRECTION('',(0.,0.1599395342937,0.987126813215969));
#19665=DIRECTION('',(1.,0.,0.));
#19666=DIRECTION('',(0.,-0.1599395342937,-0.987126813215969));
#19667=DIRECTION('center_axis',(-1.,0.,0.));
#19668=DIRECTION('ref_axis',(0.,-1.,0.));
#19669=DIRECTION('',(0.,0.,1.));
#19670=DIRECTION('center_axis',(-1.,0.,0.));
#19671=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19672=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#19673=DIRECTION('',(0.,0.,1.));
#19674=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#19675=DIRECTION('center_axis',(1.,0.,0.));
#19676=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19677=DIRECTION('',(0.,0.159939534293214,0.987126813216048));
#19678=DIRECTION('center_axis',(-1.,0.,0.));
#19679=DIRECTION('ref_axis',(0.,-1.,0.));
#19680=DIRECTION('',(0.,0.,-1.));
#19681=DIRECTION('',(0.,-0.159939534293214,-0.987126813216048));
#19682=DIRECTION('center_axis',(-1.,0.,0.));
#19683=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19684=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#19685=DIRECTION('',(0.,0.,-1.));
#19686=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#19687=DIRECTION('center_axis',(1.,0.,0.));
#19688=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19689=DIRECTION('center_axis',(-1.,0.,0.));
#19690=DIRECTION('ref_axis',(0.,-1.,0.));
#19691=DIRECTION('center_axis',(1.,0.,0.));
#19692=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19693=DIRECTION('',(0.,1.,4.75266705747071E-17));
#19694=DIRECTION('',(0.,0.,-1.));
#19695=DIRECTION('',(0.,-1.,-4.7526670574707E-17));
#19696=DIRECTION('center_axis',(0.,0.829031900205727,-0.559201312982436));
#19697=DIRECTION('ref_axis',(0.,0.559201312982436,0.829031900205728));
#19698=DIRECTION('',(-1.,0.,0.));
#19699=DIRECTION('',(1.,0.,0.));
#19700=DIRECTION('center_axis',(0.,0.559201312991723,0.829031900199463));
#19701=DIRECTION('ref_axis',(0.,-0.829031900199463,0.559201312991723));
#19702=DIRECTION('',(1.,0.,0.));
#19703=DIRECTION('center_axis',(-1.,0.,0.));
#19704=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19705=DIRECTION('',(1.,0.,0.));
#19706=DIRECTION('center_axis',(0.,0.987126813216048,-0.159939534293214));
#19707=DIRECTION('ref_axis',(0.,0.159939534293214,0.987126813216048));
#19708=DIRECTION('',(-1.,0.,0.));
#19709=DIRECTION('center_axis',(0.,4.7526670574707E-17,-1.));
#19710=DIRECTION('ref_axis',(0.,1.,4.7526670574707E-17));
#19711=DIRECTION('',(1.,0.,0.));
#19712=DIRECTION('',(0.,1.,4.7526670574707E-17));
#19713=DIRECTION('center_axis',(0.,1.,0.));
#19714=DIRECTION('ref_axis',(0.,0.,1.));
#19715=DIRECTION('',(1.,0.,0.));
#19716=DIRECTION('',(0.,0.,1.));
#19717=DIRECTION('center_axis',(0.,-4.75266705747071E-17,1.));
#19718=DIRECTION('ref_axis',(0.,-1.,-4.75266705747071E-17));
#19719=DIRECTION('',(1.,0.,0.));
#19720=DIRECTION('',(0.,-1.,-4.75266705747071E-17));
#19721=DIRECTION('center_axis',(-1.,0.,0.));
#19722=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19723=DIRECTION('center_axis',(-1.,0.,0.));
#19724=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19725=DIRECTION('center_axis',(-1.,0.,0.));
#19726=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19727=DIRECTION('',(-1.,0.,0.));
#19728=DIRECTION('',(1.,0.,0.));
#19729=DIRECTION('center_axis',(0.,-0.559201312999764,-0.829031900194039));
#19730=DIRECTION('ref_axis',(0.,0.829031900194039,-0.559201312999764));
#19731=DIRECTION('center_axis',(-1.,0.,0.));
#19732=DIRECTION('ref_axis',(0.,-1.,0.));
#19733=DIRECTION('center_axis',(0.,0.,1.));
#19734=DIRECTION('ref_axis',(0.,-1.,0.));
#19735=DIRECTION('',(1.,0.,0.));
#19736=DIRECTION('center_axis',(-1.,0.,0.));
#19737=DIRECTION('ref_axis',(0.,1.,0.));
#19738=DIRECTION('center_axis',(-1.,0.,0.));
#19739=DIRECTION('ref_axis',(0.,1.,0.));
#19740=DIRECTION('',(1.,0.,0.));
#19741=DIRECTION('center_axis',(1.,0.,0.));
#19742=DIRECTION('ref_axis',(0.,1.,0.));
#19743=DIRECTION('center_axis',(0.,-0.987126813215969,0.1599395342937));
#19744=DIRECTION('ref_axis',(0.,-0.1599395342937,-0.987126813215969));
#19745=DIRECTION('',(1.,0.,0.));
#19746=DIRECTION('',(0.,0.1599395342937,0.987126813215969));
#19747=DIRECTION('',(1.,0.,0.));
#19748=DIRECTION('',(0.,-0.1599395342937,-0.987126813215969));
#19749=DIRECTION('center_axis',(-1.,0.,0.));
#19750=DIRECTION('ref_axis',(0.,-1.,0.));
#19751=DIRECTION('',(0.,0.,1.));
#19752=DIRECTION('center_axis',(-1.,0.,0.));
#19753=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19754=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#19755=DIRECTION('',(0.,0.,1.));
#19756=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#19757=DIRECTION('center_axis',(1.,0.,0.));
#19758=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19759=DIRECTION('',(0.,0.159939534293214,0.987126813216048));
#19760=DIRECTION('center_axis',(-1.,0.,0.));
#19761=DIRECTION('ref_axis',(0.,-1.,0.));
#19762=DIRECTION('',(0.,0.,-1.));
#19763=DIRECTION('',(0.,-0.159939534293214,-0.987126813216048));
#19764=DIRECTION('center_axis',(-1.,0.,0.));
#19765=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19766=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#19767=DIRECTION('',(0.,0.,-1.));
#19768=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#19769=DIRECTION('center_axis',(1.,0.,0.));
#19770=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19771=DIRECTION('center_axis',(-1.,0.,0.));
#19772=DIRECTION('ref_axis',(0.,-1.,0.));
#19773=DIRECTION('center_axis',(1.,0.,0.));
#19774=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19775=DIRECTION('',(0.,1.,4.75266705747071E-17));
#19776=DIRECTION('',(0.,0.,-1.));
#19777=DIRECTION('',(0.,-1.,-4.7526670574707E-17));
#19778=DIRECTION('center_axis',(0.,0.829031900205727,-0.559201312982436));
#19779=DIRECTION('ref_axis',(0.,0.559201312982436,0.829031900205728));
#19780=DIRECTION('',(-1.,0.,0.));
#19781=DIRECTION('',(1.,0.,0.));
#19782=DIRECTION('center_axis',(0.,0.559201312991723,0.829031900199463));
#19783=DIRECTION('ref_axis',(0.,-0.829031900199463,0.559201312991723));
#19784=DIRECTION('',(1.,0.,0.));
#19785=DIRECTION('center_axis',(-1.,0.,0.));
#19786=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19787=DIRECTION('',(1.,0.,0.));
#19788=DIRECTION('center_axis',(0.,0.987126813216048,-0.159939534293214));
#19789=DIRECTION('ref_axis',(0.,0.159939534293214,0.987126813216048));
#19790=DIRECTION('',(-1.,0.,0.));
#19791=DIRECTION('center_axis',(0.,4.7526670574707E-17,-1.));
#19792=DIRECTION('ref_axis',(0.,1.,4.7526670574707E-17));
#19793=DIRECTION('',(1.,0.,0.));
#19794=DIRECTION('',(0.,1.,4.7526670574707E-17));
#19795=DIRECTION('center_axis',(0.,1.,0.));
#19796=DIRECTION('ref_axis',(0.,0.,1.));
#19797=DIRECTION('',(1.,0.,0.));
#19798=DIRECTION('',(0.,0.,1.));
#19799=DIRECTION('center_axis',(0.,-4.75266705747071E-17,1.));
#19800=DIRECTION('ref_axis',(0.,-1.,-4.75266705747071E-17));
#19801=DIRECTION('',(1.,0.,0.));
#19802=DIRECTION('',(0.,-1.,-4.75266705747071E-17));
#19803=DIRECTION('center_axis',(-1.,0.,0.));
#19804=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19805=DIRECTION('center_axis',(-1.,0.,0.));
#19806=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19807=DIRECTION('center_axis',(-1.,0.,0.));
#19808=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19809=DIRECTION('',(-1.,0.,0.));
#19810=DIRECTION('',(1.,0.,0.));
#19811=DIRECTION('center_axis',(0.,-0.559201312999764,-0.829031900194039));
#19812=DIRECTION('ref_axis',(0.,0.829031900194039,-0.559201312999764));
#19813=DIRECTION('center_axis',(-1.,0.,0.));
#19814=DIRECTION('ref_axis',(0.,-1.,0.));
#19815=DIRECTION('center_axis',(0.,0.,1.));
#19816=DIRECTION('ref_axis',(0.,-1.,0.));
#19817=DIRECTION('',(1.,0.,0.));
#19818=DIRECTION('center_axis',(-1.,0.,0.));
#19819=DIRECTION('ref_axis',(0.,1.,0.));
#19820=DIRECTION('center_axis',(-1.,0.,0.));
#19821=DIRECTION('ref_axis',(0.,1.,0.));
#19822=DIRECTION('',(1.,0.,0.));
#19823=DIRECTION('center_axis',(1.,0.,0.));
#19824=DIRECTION('ref_axis',(0.,1.,0.));
#19825=DIRECTION('center_axis',(0.,-0.987126813215969,0.1599395342937));
#19826=DIRECTION('ref_axis',(0.,-0.1599395342937,-0.987126813215969));
#19827=DIRECTION('',(1.,0.,0.));
#19828=DIRECTION('',(0.,0.1599395342937,0.987126813215969));
#19829=DIRECTION('',(1.,0.,0.));
#19830=DIRECTION('',(0.,-0.1599395342937,-0.987126813215969));
#19831=DIRECTION('center_axis',(-1.,0.,0.));
#19832=DIRECTION('ref_axis',(0.,-1.,0.));
#19833=DIRECTION('',(0.,0.,1.));
#19834=DIRECTION('center_axis',(-1.,0.,0.));
#19835=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19836=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#19837=DIRECTION('',(0.,0.,1.));
#19838=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#19839=DIRECTION('center_axis',(1.,0.,0.));
#19840=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19841=DIRECTION('',(0.,0.159939534293214,0.987126813216048));
#19842=DIRECTION('center_axis',(-1.,0.,0.));
#19843=DIRECTION('ref_axis',(0.,-1.,0.));
#19844=DIRECTION('',(0.,0.,-1.));
#19845=DIRECTION('',(0.,-0.159939534293214,-0.987126813216048));
#19846=DIRECTION('center_axis',(-1.,0.,0.));
#19847=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19848=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#19849=DIRECTION('',(0.,0.,-1.));
#19850=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#19851=DIRECTION('center_axis',(1.,0.,0.));
#19852=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19853=DIRECTION('center_axis',(-1.,0.,0.));
#19854=DIRECTION('ref_axis',(0.,-1.,0.));
#19855=DIRECTION('center_axis',(1.,0.,0.));
#19856=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19857=DIRECTION('',(0.,1.,4.75266705747071E-17));
#19858=DIRECTION('',(0.,0.,-1.));
#19859=DIRECTION('',(0.,-1.,-4.7526670574707E-17));
#19860=DIRECTION('center_axis',(0.,0.829031900205727,-0.559201312982436));
#19861=DIRECTION('ref_axis',(0.,0.559201312982436,0.829031900205728));
#19862=DIRECTION('',(-1.,0.,0.));
#19863=DIRECTION('',(1.,0.,0.));
#19864=DIRECTION('center_axis',(0.,0.559201312991723,0.829031900199463));
#19865=DIRECTION('ref_axis',(0.,-0.829031900199463,0.559201312991723));
#19866=DIRECTION('',(1.,0.,0.));
#19867=DIRECTION('center_axis',(-1.,0.,0.));
#19868=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19869=DIRECTION('',(1.,0.,0.));
#19870=DIRECTION('center_axis',(0.,0.987126813216048,-0.159939534293214));
#19871=DIRECTION('ref_axis',(0.,0.159939534293214,0.987126813216048));
#19872=DIRECTION('',(-1.,0.,0.));
#19873=DIRECTION('center_axis',(0.,4.7526670574707E-17,-1.));
#19874=DIRECTION('ref_axis',(0.,1.,4.7526670574707E-17));
#19875=DIRECTION('',(1.,0.,0.));
#19876=DIRECTION('',(0.,1.,4.7526670574707E-17));
#19877=DIRECTION('center_axis',(0.,1.,0.));
#19878=DIRECTION('ref_axis',(0.,0.,1.));
#19879=DIRECTION('',(1.,0.,0.));
#19880=DIRECTION('',(0.,0.,1.));
#19881=DIRECTION('center_axis',(0.,-4.75266705747071E-17,1.));
#19882=DIRECTION('ref_axis',(0.,-1.,-4.75266705747071E-17));
#19883=DIRECTION('',(1.,0.,0.));
#19884=DIRECTION('',(0.,-1.,-4.75266705747071E-17));
#19885=DIRECTION('center_axis',(-1.,0.,0.));
#19886=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19887=DIRECTION('center_axis',(-1.,0.,0.));
#19888=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19889=DIRECTION('center_axis',(-1.,0.,0.));
#19890=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19891=DIRECTION('',(-1.,0.,0.));
#19892=DIRECTION('',(1.,0.,0.));
#19893=DIRECTION('center_axis',(0.,-0.559201312999764,-0.829031900194039));
#19894=DIRECTION('ref_axis',(0.,0.829031900194039,-0.559201312999764));
#19895=DIRECTION('center_axis',(-1.,0.,0.));
#19896=DIRECTION('ref_axis',(0.,-1.,0.));
#19897=DIRECTION('center_axis',(0.,0.,1.));
#19898=DIRECTION('ref_axis',(0.,-1.,0.));
#19899=DIRECTION('',(1.,0.,0.));
#19900=DIRECTION('center_axis',(-1.,0.,0.));
#19901=DIRECTION('ref_axis',(0.,1.,0.));
#19902=DIRECTION('center_axis',(-1.,0.,0.));
#19903=DIRECTION('ref_axis',(0.,1.,0.));
#19904=DIRECTION('',(1.,0.,0.));
#19905=DIRECTION('center_axis',(1.,0.,0.));
#19906=DIRECTION('ref_axis',(0.,1.,0.));
#19907=DIRECTION('center_axis',(0.,-0.987126813215969,0.1599395342937));
#19908=DIRECTION('ref_axis',(0.,-0.1599395342937,-0.987126813215969));
#19909=DIRECTION('',(1.,0.,0.));
#19910=DIRECTION('',(0.,0.1599395342937,0.987126813215969));
#19911=DIRECTION('',(1.,0.,0.));
#19912=DIRECTION('',(0.,-0.1599395342937,-0.987126813215969));
#19913=DIRECTION('center_axis',(-1.,0.,0.));
#19914=DIRECTION('ref_axis',(0.,-1.,0.));
#19915=DIRECTION('',(0.,0.,1.));
#19916=DIRECTION('center_axis',(-1.,0.,0.));
#19917=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19918=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#19919=DIRECTION('',(0.,0.,1.));
#19920=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#19921=DIRECTION('center_axis',(1.,0.,0.));
#19922=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19923=DIRECTION('',(0.,0.159939534293214,0.987126813216048));
#19924=DIRECTION('center_axis',(-1.,0.,0.));
#19925=DIRECTION('ref_axis',(0.,-1.,0.));
#19926=DIRECTION('',(0.,0.,-1.));
#19927=DIRECTION('',(0.,-0.159939534293214,-0.987126813216048));
#19928=DIRECTION('center_axis',(-1.,0.,0.));
#19929=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19930=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#19931=DIRECTION('',(0.,0.,-1.));
#19932=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#19933=DIRECTION('center_axis',(1.,0.,0.));
#19934=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19935=DIRECTION('center_axis',(-1.,0.,0.));
#19936=DIRECTION('ref_axis',(0.,-1.,0.));
#19937=DIRECTION('center_axis',(1.,0.,0.));
#19938=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19939=DIRECTION('',(0.,1.,4.75266705747071E-17));
#19940=DIRECTION('',(0.,0.,-1.));
#19941=DIRECTION('',(0.,-1.,-4.7526670574707E-17));
#19942=DIRECTION('center_axis',(0.,0.829031900205727,-0.559201312982436));
#19943=DIRECTION('ref_axis',(0.,0.559201312982436,0.829031900205728));
#19944=DIRECTION('',(-1.,0.,0.));
#19945=DIRECTION('',(1.,0.,0.));
#19946=DIRECTION('center_axis',(0.,0.559201312991723,0.829031900199463));
#19947=DIRECTION('ref_axis',(0.,-0.829031900199463,0.559201312991723));
#19948=DIRECTION('',(1.,0.,0.));
#19949=DIRECTION('center_axis',(-1.,0.,0.));
#19950=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#19951=DIRECTION('',(1.,0.,0.));
#19952=DIRECTION('center_axis',(0.,0.987126813216048,-0.159939534293214));
#19953=DIRECTION('ref_axis',(0.,0.159939534293214,0.987126813216048));
#19954=DIRECTION('',(-1.,0.,0.));
#19955=DIRECTION('center_axis',(0.,4.7526670574707E-17,-1.));
#19956=DIRECTION('ref_axis',(0.,1.,4.7526670574707E-17));
#19957=DIRECTION('',(1.,0.,0.));
#19958=DIRECTION('',(0.,1.,4.7526670574707E-17));
#19959=DIRECTION('center_axis',(0.,1.,0.));
#19960=DIRECTION('ref_axis',(0.,0.,1.));
#19961=DIRECTION('',(1.,0.,0.));
#19962=DIRECTION('',(0.,0.,1.));
#19963=DIRECTION('center_axis',(0.,-4.75266705747071E-17,1.));
#19964=DIRECTION('ref_axis',(0.,-1.,-4.75266705747071E-17));
#19965=DIRECTION('',(1.,0.,0.));
#19966=DIRECTION('',(0.,-1.,-4.75266705747071E-17));
#19967=DIRECTION('center_axis',(-1.,0.,0.));
#19968=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19969=DIRECTION('center_axis',(-1.,0.,0.));
#19970=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#19971=DIRECTION('center_axis',(-1.,0.,0.));
#19972=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#19973=DIRECTION('',(-1.,0.,0.));
#19974=DIRECTION('',(1.,0.,0.));
#19975=DIRECTION('center_axis',(0.,-0.559201312999764,-0.829031900194039));
#19976=DIRECTION('ref_axis',(0.,0.829031900194039,-0.559201312999764));
#19977=DIRECTION('center_axis',(-1.,0.,0.));
#19978=DIRECTION('ref_axis',(0.,-1.,0.));
#19979=DIRECTION('center_axis',(0.,0.,1.));
#19980=DIRECTION('ref_axis',(0.,-1.,0.));
#19981=DIRECTION('',(1.,0.,0.));
#19982=DIRECTION('center_axis',(-1.,0.,0.));
#19983=DIRECTION('ref_axis',(0.,1.,0.));
#19984=DIRECTION('center_axis',(-1.,0.,0.));
#19985=DIRECTION('ref_axis',(0.,1.,0.));
#19986=DIRECTION('',(1.,0.,0.));
#19987=DIRECTION('center_axis',(1.,0.,0.));
#19988=DIRECTION('ref_axis',(0.,1.,0.));
#19989=DIRECTION('center_axis',(0.,-0.987126813215969,0.1599395342937));
#19990=DIRECTION('ref_axis',(0.,-0.1599395342937,-0.987126813215969));
#19991=DIRECTION('',(1.,0.,0.));
#19992=DIRECTION('',(0.,0.1599395342937,0.987126813215969));
#19993=DIRECTION('',(1.,0.,0.));
#19994=DIRECTION('',(0.,-0.1599395342937,-0.987126813215969));
#19995=DIRECTION('center_axis',(-1.,0.,0.));
#19996=DIRECTION('ref_axis',(0.,-1.,0.));
#19997=DIRECTION('',(0.,0.,1.));
#19998=DIRECTION('center_axis',(-1.,0.,0.));
#19999=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#20000=DIRECTION('',(0.,0.829031900194039,-0.559201312999764));
#20001=DIRECTION('',(0.,0.,1.));
#20002=DIRECTION('',(0.,-0.829031900199463,0.559201312991723));
#20003=DIRECTION('center_axis',(1.,0.,0.));
#20004=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#20005=DIRECTION('',(0.,0.159939534293214,0.987126813216048));
#20006=DIRECTION('center_axis',(-1.,0.,0.));
#20007=DIRECTION('ref_axis',(0.,-1.,0.));
#20008=DIRECTION('',(0.,0.,-1.));
#20009=DIRECTION('',(0.,-0.159939534293214,-0.987126813216048));
#20010=DIRECTION('center_axis',(-1.,0.,0.));
#20011=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#20012=DIRECTION('',(0.,0.829031900199463,-0.559201312991723));
#20013=DIRECTION('',(0.,0.,-1.));
#20014=DIRECTION('',(0.,-0.829031900194039,0.559201312999764));
#20015=DIRECTION('center_axis',(1.,0.,0.));
#20016=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#20017=DIRECTION('center_axis',(-1.,0.,0.));
#20018=DIRECTION('ref_axis',(0.,-1.,0.));
#20019=DIRECTION('center_axis',(1.,0.,0.));
#20020=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#20021=DIRECTION('',(0.,1.,4.75266705747071E-17));
#20022=DIRECTION('',(0.,0.,-1.));
#20023=DIRECTION('',(0.,-1.,-4.7526670574707E-17));
#20024=DIRECTION('center_axis',(0.,0.829031900205727,-0.559201312982436));
#20025=DIRECTION('ref_axis',(0.,0.559201312982436,0.829031900205728));
#20026=DIRECTION('',(-1.,0.,0.));
#20027=DIRECTION('',(1.,0.,0.));
#20028=DIRECTION('center_axis',(0.,0.559201312991723,0.829031900199463));
#20029=DIRECTION('ref_axis',(0.,-0.829031900199463,0.559201312991723));
#20030=DIRECTION('',(1.,0.,0.));
#20031=DIRECTION('center_axis',(-1.,0.,0.));
#20032=DIRECTION('ref_axis',(0.,0.559201312982407,0.829031900205747));
#20033=DIRECTION('',(1.,0.,0.));
#20034=DIRECTION('center_axis',(0.,0.987126813216048,-0.159939534293214));
#20035=DIRECTION('ref_axis',(0.,0.159939534293214,0.987126813216048));
#20036=DIRECTION('',(-1.,0.,0.));
#20037=DIRECTION('center_axis',(0.,4.7526670574707E-17,-1.));
#20038=DIRECTION('ref_axis',(0.,1.,4.7526670574707E-17));
#20039=DIRECTION('',(1.,0.,0.));
#20040=DIRECTION('',(0.,1.,4.7526670574707E-17));
#20041=DIRECTION('center_axis',(0.,1.,0.));
#20042=DIRECTION('ref_axis',(0.,0.,1.));
#20043=DIRECTION('',(1.,0.,0.));
#20044=DIRECTION('',(0.,0.,1.));
#20045=DIRECTION('center_axis',(0.,-4.75266705747071E-17,1.));
#20046=DIRECTION('ref_axis',(0.,-1.,-4.75266705747071E-17));
#20047=DIRECTION('',(1.,0.,0.));
#20048=DIRECTION('',(0.,-1.,-4.75266705747071E-17));
#20049=DIRECTION('center_axis',(-1.,0.,0.));
#20050=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#20051=DIRECTION('center_axis',(-1.,0.,0.));
#20052=DIRECTION('ref_axis',(0.,1.,1.09865820145198E-15));
#20053=DIRECTION('center_axis',(-1.,0.,0.));
#20054=DIRECTION('ref_axis',(0.,0.55920131298396,0.8290319002047));
#20055=DIRECTION('',(-1.,0.,0.));
#20056=DIRECTION('',(1.,0.,0.));
#20057=DIRECTION('center_axis',(0.,-0.559201312999764,-0.829031900194039));
#20058=DIRECTION('ref_axis',(0.,0.829031900194039,-0.559201312999764));
#20059=DIRECTION('center_axis',(-1.,0.,0.));
#20060=DIRECTION('ref_axis',(0.,-1.,0.));
#20061=DIRECTION('center_axis',(0.,0.,1.));
#20062=DIRECTION('ref_axis',(0.,-1.,0.));
#20063=DIRECTION('',(1.,0.,0.));
#20064=DIRECTION('center_axis',(0.,0.987126813216048,0.159939534293214));
#20065=DIRECTION('ref_axis',(0.,-0.159939534293214,0.987126813216048));
#20066=DIRECTION('',(1.,0.,0.));
#20067=DIRECTION('',(0.,0.159939534293214,-0.987126813216048));
#20068=DIRECTION('',(1.,0.,0.));
#20069=DIRECTION('',(0.,-0.159939534293214,0.987126813216048));
#20070=DIRECTION('center_axis',(1.,0.,0.));
#20071=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20072=DIRECTION('center_axis',(-1.,0.,0.));
#20073=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20074=DIRECTION('',(1.,0.,0.));
#20075=DIRECTION('center_axis',(1.,0.,0.));
#20076=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20077=DIRECTION('center_axis',(1.,0.,0.));
#20078=DIRECTION('ref_axis',(0.,1.,0.));
#20079=DIRECTION('center_axis',(1.,0.,0.));
#20080=DIRECTION('ref_axis',(0.,-1.,0.));
#20081=DIRECTION('',(0.,1.,-4.7526670574707E-17));
#20082=DIRECTION('',(0.,0.,-1.));
#20083=DIRECTION('',(0.,-1.,4.75266705747071E-17));
#20084=DIRECTION('center_axis',(1.,0.,0.));
#20085=DIRECTION('ref_axis',(0.,1.,0.));
#20086=DIRECTION('',(0.,0.,1.));
#20087=DIRECTION('',(0.,-0.1599395342937,0.987126813215969));
#20088=DIRECTION('center_axis',(-1.,0.,0.));
#20089=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20090=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#20091=DIRECTION('',(0.,0.,1.));
#20092=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#20093=DIRECTION('center_axis',(1.,0.,0.));
#20094=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20095=DIRECTION('center_axis',(1.,0.,0.));
#20096=DIRECTION('ref_axis',(0.,1.,0.));
#20097=DIRECTION('',(0.,1.,-4.75266705747071E-17));
#20098=DIRECTION('',(0.,0.,1.));
#20099=DIRECTION('',(0.,-1.,4.7526670574707E-17));
#20100=DIRECTION('center_axis',(-1.,0.,0.));
#20101=DIRECTION('ref_axis',(0.,-1.,0.));
#20102=DIRECTION('center_axis',(0.,0.829031900205727,0.559201312982436));
#20103=DIRECTION('ref_axis',(0.,-0.559201312982436,0.829031900205728));
#20104=DIRECTION('',(-1.,0.,0.));
#20105=DIRECTION('',(0.,-0.559201312982436,0.829031900205728));
#20106=DIRECTION('',(1.,0.,0.));
#20107=DIRECTION('',(0.,0.559201312982436,-0.829031900205728));
#20108=DIRECTION('center_axis',(0.,0.559201312991723,-0.829031900199463));
#20109=DIRECTION('ref_axis',(0.,0.829031900199463,0.559201312991723));
#20110=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#20111=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#20112=DIRECTION('',(1.,0.,0.));
#20113=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#20114=DIRECTION('center_axis',(1.,0.,0.));
#20115=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20116=DIRECTION('center_axis',(-1.,0.,0.));
#20117=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20118=DIRECTION('center_axis',(1.,0.,0.));
#20119=DIRECTION('ref_axis',(0.,-1.,0.));
#20120=DIRECTION('',(1.,0.,0.));
#20121=DIRECTION('center_axis',(0.,4.7526670574707E-17,1.));
#20122=DIRECTION('ref_axis',(0.,-1.,4.7526670574707E-17));
#20123=DIRECTION('',(1.,0.,0.));
#20124=DIRECTION('center_axis',(0.,1.,0.));
#20125=DIRECTION('ref_axis',(0.,0.,1.));
#20126=DIRECTION('',(1.,0.,0.));
#20127=DIRECTION('center_axis',(0.,-4.75266705747071E-17,-1.));
#20128=DIRECTION('ref_axis',(0.,1.,-4.75266705747071E-17));
#20129=DIRECTION('center_axis',(0.,-0.987126813215969,-0.1599395342937));
#20130=DIRECTION('ref_axis',(0.,0.1599395342937,-0.987126813215969));
#20131=DIRECTION('',(-1.,0.,0.));
#20132=DIRECTION('',(0.,0.1599395342937,-0.987126813215969));
#20133=DIRECTION('',(1.,0.,0.));
#20134=DIRECTION('center_axis',(1.,0.,0.));
#20135=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20136=DIRECTION('center_axis',(1.,0.,0.));
#20137=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20138=DIRECTION('',(1.,0.,0.));
#20139=DIRECTION('',(-1.,0.,0.));
#20140=DIRECTION('center_axis',(0.,-0.559201312999764,0.829031900194039));
#20141=DIRECTION('ref_axis',(0.,-0.829031900194039,-0.559201312999764));
#20142=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#20143=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#20144=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#20145=DIRECTION('center_axis',(1.,0.,0.));
#20146=DIRECTION('ref_axis',(0.,1.,0.));
#20147=DIRECTION('',(0.,0.,-1.));
#20148=DIRECTION('',(0.,0.,-1.));
#20149=DIRECTION('center_axis',(0.,0.,-1.));
#20150=DIRECTION('ref_axis',(0.,1.,0.));
#20151=DIRECTION('',(-1.,0.,0.));
#20152=DIRECTION('',(0.,1.,0.));
#20153=DIRECTION('',(1.,0.,0.));
#20154=DIRECTION('',(0.,-1.,0.));
#20155=DIRECTION('center_axis',(-1.,0.,0.));
#20156=DIRECTION('ref_axis',(0.,0.,1.));
#20157=DIRECTION('',(0.,0.707106781186547,0.707106781186548));
#20158=DIRECTION('',(0.,0.,1.));
#20159=DIRECTION('',(0.,0.,1.));
#20160=DIRECTION('',(0.,0.,-1.));
#20161=DIRECTION('center_axis',(0.,1.,0.));
#20162=DIRECTION('ref_axis',(0.,0.,1.));
#20163=DIRECTION('',(0.,0.,1.));
#20164=DIRECTION('center_axis',(1.,0.,0.));
#20165=DIRECTION('ref_axis',(0.,0.,-1.));
#20166=DIRECTION('',(0.,0.,-1.));
#20167=DIRECTION('',(0.,0.,-1.));
#20168=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548));
#20169=DIRECTION('center_axis',(0.,1.,0.));
#20170=DIRECTION('ref_axis',(1.,0.,0.));
#20171=DIRECTION('',(1.,0.,0.));
#20172=DIRECTION('',(0.,0.,-1.));
#20173=DIRECTION('',(0.,0.,-1.));
#20174=DIRECTION('',(0.,0.,-1.));
#20175=DIRECTION('',(-1.,0.,0.));
#20176=DIRECTION('',(0.,0.,1.));
#20177=DIRECTION('',(0.,0.,1.));
#20178=DIRECTION('',(0.,0.,1.));
#20179=DIRECTION('',(1.,0.,0.));
#20180=DIRECTION('',(0.,0.,-1.));
#20181=DIRECTION('',(0.,0.,-1.));
#20182=DIRECTION('',(0.,0.,-1.));
#20183=DIRECTION('',(-1.,0.,0.));
#20184=DIRECTION('',(0.,0.,1.));
#20185=DIRECTION('',(0.,0.,1.));
#20186=DIRECTION('',(0.,0.,1.));
#20187=DIRECTION('',(1.,0.,0.));
#20188=DIRECTION('',(0.,0.,-1.));
#20189=DIRECTION('',(0.,0.,-1.));
#20190=DIRECTION('',(0.,0.,-1.));
#20191=DIRECTION('',(-1.,0.,0.));
#20192=DIRECTION('',(0.,0.,1.));
#20193=DIRECTION('',(0.,0.,1.));
#20194=DIRECTION('',(0.,0.,1.));
#20195=DIRECTION('',(1.,0.,0.));
#20196=DIRECTION('',(0.,0.,-1.));
#20197=DIRECTION('',(0.,0.,-1.));
#20198=DIRECTION('',(0.,0.,-1.));
#20199=DIRECTION('',(-1.,0.,0.));
#20200=DIRECTION('',(0.,0.,1.));
#20201=DIRECTION('',(0.,0.,1.));
#20202=DIRECTION('',(0.,0.,1.));
#20203=DIRECTION('',(1.,0.,0.));
#20204=DIRECTION('',(0.,0.,-1.));
#20205=DIRECTION('',(0.,0.,-1.));
#20206=DIRECTION('',(0.,0.,-1.));
#20207=DIRECTION('',(-1.,0.,0.));
#20208=DIRECTION('',(0.,0.,1.));
#20209=DIRECTION('',(0.,0.,1.));
#20210=DIRECTION('',(0.,0.,1.));
#20211=DIRECTION('',(1.,0.,0.));
#20212=DIRECTION('',(0.,0.,-1.));
#20213=DIRECTION('',(0.,0.,-1.));
#20214=DIRECTION('',(0.,0.,-1.));
#20215=DIRECTION('',(-1.,0.,0.));
#20216=DIRECTION('',(0.,0.,1.));
#20217=DIRECTION('',(0.,0.,1.));
#20218=DIRECTION('',(0.,0.,1.));
#20219=DIRECTION('',(1.,0.,0.));
#20220=DIRECTION('',(0.,0.,-1.));
#20221=DIRECTION('',(0.,0.,-1.));
#20222=DIRECTION('',(0.,0.,-1.));
#20223=DIRECTION('',(-1.,0.,0.));
#20224=DIRECTION('',(0.,0.,1.));
#20225=DIRECTION('',(0.,0.,1.));
#20226=DIRECTION('',(0.,0.,1.));
#20227=DIRECTION('',(1.,0.,0.));
#20228=DIRECTION('',(0.,0.,-1.));
#20229=DIRECTION('',(0.,0.,-1.));
#20230=DIRECTION('',(0.,0.,-1.));
#20231=DIRECTION('',(-1.,0.,0.));
#20232=DIRECTION('',(0.,0.,1.));
#20233=DIRECTION('',(0.,0.,1.));
#20234=DIRECTION('',(0.,0.,1.));
#20235=DIRECTION('',(1.,0.,0.));
#20236=DIRECTION('',(0.,0.,-1.));
#20237=DIRECTION('',(0.,0.,-1.));
#20238=DIRECTION('',(0.,0.,-1.));
#20239=DIRECTION('',(-1.,0.,0.));
#20240=DIRECTION('',(0.,0.,1.));
#20241=DIRECTION('',(0.,0.,1.));
#20242=DIRECTION('',(0.,0.,1.));
#20243=DIRECTION('',(1.,0.,0.));
#20244=DIRECTION('',(0.,0.,-1.));
#20245=DIRECTION('',(1.,0.,0.));
#20246=DIRECTION('',(0.,0.,-1.));
#20247=DIRECTION('',(1.,0.,0.));
#20248=DIRECTION('',(0.,0.,1.));
#20249=DIRECTION('',(1.,0.,0.));
#20250=DIRECTION('',(0.,0.,-1.));
#20251=DIRECTION('',(-1.,0.,0.));
#20252=DIRECTION('',(0.,0.,1.));
#20253=DIRECTION('',(1.,0.,0.));
#20254=DIRECTION('',(0.,0.,-1.));
#20255=DIRECTION('',(-1.,0.,0.));
#20256=DIRECTION('',(0.,0.,1.));
#20257=DIRECTION('',(1.,0.,0.));
#20258=DIRECTION('',(0.,0.,-1.));
#20259=DIRECTION('',(-1.,0.,0.));
#20260=DIRECTION('',(0.,0.,1.));
#20261=DIRECTION('',(1.,0.,0.));
#20262=DIRECTION('',(0.,0.,-1.));
#20263=DIRECTION('',(-1.,0.,0.));
#20264=DIRECTION('',(0.,0.,1.));
#20265=DIRECTION('',(1.,0.,0.));
#20266=DIRECTION('',(0.,0.,-1.));
#20267=DIRECTION('',(-1.,0.,0.));
#20268=DIRECTION('',(0.,0.,1.));
#20269=DIRECTION('',(1.,0.,0.));
#20270=DIRECTION('',(0.,0.,-1.));
#20271=DIRECTION('',(-1.,0.,0.));
#20272=DIRECTION('',(0.,0.,1.));
#20273=DIRECTION('',(1.,0.,0.));
#20274=DIRECTION('',(0.,0.,-1.));
#20275=DIRECTION('',(-1.,0.,0.));
#20276=DIRECTION('',(0.,0.,1.));
#20277=DIRECTION('',(1.,0.,0.));
#20278=DIRECTION('',(0.,0.,-1.));
#20279=DIRECTION('',(-1.,0.,0.));
#20280=DIRECTION('',(0.,0.,1.));
#20281=DIRECTION('',(1.,0.,0.));
#20282=DIRECTION('',(0.,0.,-1.));
#20283=DIRECTION('',(-1.,0.,0.));
#20284=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186547));
#20285=DIRECTION('ref_axis',(1.,0.,0.));
#20286=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548));
#20287=DIRECTION('center_axis',(0.,0.987126813216048,0.159939534293214));
#20288=DIRECTION('ref_axis',(0.,-0.159939534293214,0.987126813216048));
#20289=DIRECTION('',(0.,0.159939534293214,-0.987126813216048));
#20290=DIRECTION('',(1.,0.,0.));
#20291=DIRECTION('',(0.,-0.159939534293214,0.987126813216048));
#20292=DIRECTION('center_axis',(1.,0.,0.));
#20293=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20294=DIRECTION('center_axis',(-1.,0.,0.));
#20295=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20296=DIRECTION('',(1.,0.,0.));
#20297=DIRECTION('center_axis',(1.,0.,0.));
#20298=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20299=DIRECTION('center_axis',(1.,0.,0.));
#20300=DIRECTION('ref_axis',(0.,1.,0.));
#20301=DIRECTION('center_axis',(1.,0.,0.));
#20302=DIRECTION('ref_axis',(0.,-1.,0.));
#20303=DIRECTION('',(0.,1.,-4.7526670574707E-17));
#20304=DIRECTION('',(0.,0.,-1.));
#20305=DIRECTION('',(0.,-1.,4.75266705747071E-17));
#20306=DIRECTION('center_axis',(1.,0.,0.));
#20307=DIRECTION('ref_axis',(0.,1.,0.));
#20308=DIRECTION('',(0.,-0.1599395342937,0.987126813215969));
#20309=DIRECTION('center_axis',(-1.,0.,0.));
#20310=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20311=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#20312=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#20313=DIRECTION('center_axis',(1.,0.,0.));
#20314=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20315=DIRECTION('center_axis',(1.,0.,0.));
#20316=DIRECTION('ref_axis',(0.,1.,0.));
#20317=DIRECTION('',(0.,1.,-4.75266705747071E-17));
#20318=DIRECTION('',(0.,0.,1.));
#20319=DIRECTION('',(0.,-1.,4.7526670574707E-17));
#20320=DIRECTION('center_axis',(-1.,0.,0.));
#20321=DIRECTION('ref_axis',(0.,-1.,0.));
#20322=DIRECTION('center_axis',(0.,0.829031900205727,0.559201312982436));
#20323=DIRECTION('ref_axis',(0.,-0.559201312982436,0.829031900205728));
#20324=DIRECTION('',(-1.,0.,0.));
#20325=DIRECTION('',(0.,-0.559201312982436,0.829031900205728));
#20326=DIRECTION('',(1.,0.,0.));
#20327=DIRECTION('',(0.,0.559201312982436,-0.829031900205728));
#20328=DIRECTION('center_axis',(0.,0.559201312991723,-0.829031900199463));
#20329=DIRECTION('ref_axis',(0.,0.829031900199463,0.559201312991723));
#20330=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#20331=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#20332=DIRECTION('',(1.,0.,0.));
#20333=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#20334=DIRECTION('center_axis',(1.,0.,0.));
#20335=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20336=DIRECTION('center_axis',(-1.,0.,0.));
#20337=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20338=DIRECTION('center_axis',(1.,0.,0.));
#20339=DIRECTION('ref_axis',(0.,-1.,0.));
#20340=DIRECTION('',(1.,0.,0.));
#20341=DIRECTION('center_axis',(0.,4.7526670574707E-17,1.));
#20342=DIRECTION('ref_axis',(0.,-1.,4.7526670574707E-17));
#20343=DIRECTION('',(1.,0.,0.));
#20344=DIRECTION('center_axis',(0.,1.,0.));
#20345=DIRECTION('ref_axis',(0.,0.,1.));
#20346=DIRECTION('',(1.,0.,0.));
#20347=DIRECTION('center_axis',(0.,-4.75266705747071E-17,-1.));
#20348=DIRECTION('ref_axis',(0.,1.,-4.75266705747071E-17));
#20349=DIRECTION('center_axis',(0.,-0.987126813215969,-0.1599395342937));
#20350=DIRECTION('ref_axis',(0.,0.1599395342937,-0.987126813215969));
#20351=DIRECTION('',(0.,0.1599395342937,-0.987126813215969));
#20352=DIRECTION('',(1.,0.,0.));
#20353=DIRECTION('center_axis',(1.,0.,0.));
#20354=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20355=DIRECTION('center_axis',(1.,0.,0.));
#20356=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20357=DIRECTION('',(1.,0.,0.));
#20358=DIRECTION('',(-1.,0.,0.));
#20359=DIRECTION('center_axis',(0.,-0.559201312999764,0.829031900194039));
#20360=DIRECTION('ref_axis',(0.,-0.829031900194039,-0.559201312999764));
#20361=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#20362=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#20363=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#20364=DIRECTION('center_axis',(1.,0.,0.));
#20365=DIRECTION('ref_axis',(0.,1.,0.));
#20366=DIRECTION('center_axis',(0.,0.,-1.));
#20367=DIRECTION('ref_axis',(0.,1.,0.));
#20368=DIRECTION('',(0.,1.,0.));
#20369=DIRECTION('',(1.,0.,0.));
#20370=DIRECTION('',(0.,-1.,0.));
#20371=DIRECTION('center_axis',(-1.,0.,0.));
#20372=DIRECTION('ref_axis',(0.,0.,1.));
#20373=DIRECTION('',(0.,0.707106781186547,0.707106781186548));
#20374=DIRECTION('',(0.,0.,-1.));
#20375=DIRECTION('center_axis',(0.,1.,0.));
#20376=DIRECTION('ref_axis',(0.,0.,1.));
#20377=DIRECTION('',(0.,0.,1.));
#20378=DIRECTION('center_axis',(1.,0.,0.));
#20379=DIRECTION('ref_axis',(0.,0.,-1.));
#20380=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186547));
#20381=DIRECTION('ref_axis',(1.,0.,0.));
#20382=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548));
#20383=DIRECTION('center_axis',(0.,0.987126813216048,0.159939534293214));
#20384=DIRECTION('ref_axis',(0.,-0.159939534293214,0.987126813216048));
#20385=DIRECTION('',(0.,0.159939534293214,-0.987126813216048));
#20386=DIRECTION('',(1.,0.,0.));
#20387=DIRECTION('',(0.,-0.159939534293214,0.987126813216048));
#20388=DIRECTION('center_axis',(1.,0.,0.));
#20389=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20390=DIRECTION('center_axis',(-1.,0.,0.));
#20391=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20392=DIRECTION('',(1.,0.,0.));
#20393=DIRECTION('center_axis',(1.,0.,0.));
#20394=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20395=DIRECTION('center_axis',(1.,0.,0.));
#20396=DIRECTION('ref_axis',(0.,1.,0.));
#20397=DIRECTION('center_axis',(1.,0.,0.));
#20398=DIRECTION('ref_axis',(0.,-1.,0.));
#20399=DIRECTION('',(0.,1.,-4.7526670574707E-17));
#20400=DIRECTION('',(0.,0.,-1.));
#20401=DIRECTION('',(0.,-1.,4.75266705747071E-17));
#20402=DIRECTION('center_axis',(1.,0.,0.));
#20403=DIRECTION('ref_axis',(0.,1.,0.));
#20404=DIRECTION('',(0.,-0.1599395342937,0.987126813215969));
#20405=DIRECTION('center_axis',(-1.,0.,0.));
#20406=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20407=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#20408=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#20409=DIRECTION('center_axis',(1.,0.,0.));
#20410=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20411=DIRECTION('center_axis',(1.,0.,0.));
#20412=DIRECTION('ref_axis',(0.,1.,0.));
#20413=DIRECTION('',(0.,1.,-4.75266705747071E-17));
#20414=DIRECTION('',(0.,0.,1.));
#20415=DIRECTION('',(0.,-1.,4.7526670574707E-17));
#20416=DIRECTION('center_axis',(-1.,0.,0.));
#20417=DIRECTION('ref_axis',(0.,-1.,0.));
#20418=DIRECTION('center_axis',(0.,0.829031900205727,0.559201312982436));
#20419=DIRECTION('ref_axis',(0.,-0.559201312982436,0.829031900205728));
#20420=DIRECTION('',(-1.,0.,0.));
#20421=DIRECTION('',(0.,-0.559201312982436,0.829031900205728));
#20422=DIRECTION('',(1.,0.,0.));
#20423=DIRECTION('',(0.,0.559201312982436,-0.829031900205728));
#20424=DIRECTION('center_axis',(0.,0.559201312991723,-0.829031900199463));
#20425=DIRECTION('ref_axis',(0.,0.829031900199463,0.559201312991723));
#20426=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#20427=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#20428=DIRECTION('',(1.,0.,0.));
#20429=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#20430=DIRECTION('center_axis',(1.,0.,0.));
#20431=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20432=DIRECTION('center_axis',(-1.,0.,0.));
#20433=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20434=DIRECTION('center_axis',(1.,0.,0.));
#20435=DIRECTION('ref_axis',(0.,-1.,0.));
#20436=DIRECTION('',(1.,0.,0.));
#20437=DIRECTION('center_axis',(0.,4.7526670574707E-17,1.));
#20438=DIRECTION('ref_axis',(0.,-1.,4.7526670574707E-17));
#20439=DIRECTION('',(1.,0.,0.));
#20440=DIRECTION('center_axis',(0.,1.,0.));
#20441=DIRECTION('ref_axis',(0.,0.,1.));
#20442=DIRECTION('',(1.,0.,0.));
#20443=DIRECTION('center_axis',(0.,-4.75266705747071E-17,-1.));
#20444=DIRECTION('ref_axis',(0.,1.,-4.75266705747071E-17));
#20445=DIRECTION('center_axis',(0.,-0.987126813215969,-0.1599395342937));
#20446=DIRECTION('ref_axis',(0.,0.1599395342937,-0.987126813215969));
#20447=DIRECTION('',(0.,0.1599395342937,-0.987126813215969));
#20448=DIRECTION('',(1.,0.,0.));
#20449=DIRECTION('center_axis',(1.,0.,0.));
#20450=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20451=DIRECTION('center_axis',(1.,0.,0.));
#20452=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20453=DIRECTION('',(1.,0.,0.));
#20454=DIRECTION('',(-1.,0.,0.));
#20455=DIRECTION('center_axis',(0.,-0.559201312999764,0.829031900194039));
#20456=DIRECTION('ref_axis',(0.,-0.829031900194039,-0.559201312999764));
#20457=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#20458=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#20459=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#20460=DIRECTION('center_axis',(1.,0.,0.));
#20461=DIRECTION('ref_axis',(0.,1.,0.));
#20462=DIRECTION('center_axis',(0.,0.,-1.));
#20463=DIRECTION('ref_axis',(0.,1.,0.));
#20464=DIRECTION('',(0.,1.,0.));
#20465=DIRECTION('',(1.,0.,0.));
#20466=DIRECTION('',(0.,-1.,0.));
#20467=DIRECTION('center_axis',(-1.,0.,0.));
#20468=DIRECTION('ref_axis',(0.,0.,1.));
#20469=DIRECTION('',(0.,0.707106781186547,0.707106781186548));
#20470=DIRECTION('',(0.,0.,-1.));
#20471=DIRECTION('center_axis',(0.,1.,0.));
#20472=DIRECTION('ref_axis',(0.,0.,1.));
#20473=DIRECTION('',(0.,0.,1.));
#20474=DIRECTION('center_axis',(1.,0.,0.));
#20475=DIRECTION('ref_axis',(0.,0.,-1.));
#20476=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186547));
#20477=DIRECTION('ref_axis',(1.,0.,0.));
#20478=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548));
#20479=DIRECTION('center_axis',(0.,0.987126813216048,0.159939534293214));
#20480=DIRECTION('ref_axis',(0.,-0.159939534293214,0.987126813216048));
#20481=DIRECTION('',(0.,0.159939534293214,-0.987126813216048));
#20482=DIRECTION('',(1.,0.,0.));
#20483=DIRECTION('',(0.,-0.159939534293214,0.987126813216048));
#20484=DIRECTION('center_axis',(1.,0.,0.));
#20485=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20486=DIRECTION('center_axis',(-1.,0.,0.));
#20487=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20488=DIRECTION('',(1.,0.,0.));
#20489=DIRECTION('center_axis',(1.,0.,0.));
#20490=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20491=DIRECTION('center_axis',(1.,0.,0.));
#20492=DIRECTION('ref_axis',(0.,1.,0.));
#20493=DIRECTION('center_axis',(1.,0.,0.));
#20494=DIRECTION('ref_axis',(0.,-1.,0.));
#20495=DIRECTION('',(0.,1.,-4.7526670574707E-17));
#20496=DIRECTION('',(0.,0.,-1.));
#20497=DIRECTION('',(0.,-1.,4.75266705747071E-17));
#20498=DIRECTION('center_axis',(1.,0.,0.));
#20499=DIRECTION('ref_axis',(0.,1.,0.));
#20500=DIRECTION('',(0.,-0.1599395342937,0.987126813215969));
#20501=DIRECTION('center_axis',(-1.,0.,0.));
#20502=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20503=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#20504=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#20505=DIRECTION('center_axis',(1.,0.,0.));
#20506=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20507=DIRECTION('center_axis',(1.,0.,0.));
#20508=DIRECTION('ref_axis',(0.,1.,0.));
#20509=DIRECTION('',(0.,1.,-4.75266705747071E-17));
#20510=DIRECTION('',(0.,0.,1.));
#20511=DIRECTION('',(0.,-1.,4.7526670574707E-17));
#20512=DIRECTION('center_axis',(-1.,0.,0.));
#20513=DIRECTION('ref_axis',(0.,-1.,0.));
#20514=DIRECTION('center_axis',(0.,0.829031900205727,0.559201312982436));
#20515=DIRECTION('ref_axis',(0.,-0.559201312982436,0.829031900205728));
#20516=DIRECTION('',(-1.,0.,0.));
#20517=DIRECTION('',(0.,-0.559201312982436,0.829031900205728));
#20518=DIRECTION('',(1.,0.,0.));
#20519=DIRECTION('',(0.,0.559201312982436,-0.829031900205728));
#20520=DIRECTION('center_axis',(0.,0.559201312991723,-0.829031900199463));
#20521=DIRECTION('ref_axis',(0.,0.829031900199463,0.559201312991723));
#20522=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#20523=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#20524=DIRECTION('',(1.,0.,0.));
#20525=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#20526=DIRECTION('center_axis',(1.,0.,0.));
#20527=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20528=DIRECTION('center_axis',(-1.,0.,0.));
#20529=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20530=DIRECTION('center_axis',(1.,0.,0.));
#20531=DIRECTION('ref_axis',(0.,-1.,0.));
#20532=DIRECTION('',(1.,0.,0.));
#20533=DIRECTION('center_axis',(0.,4.7526670574707E-17,1.));
#20534=DIRECTION('ref_axis',(0.,-1.,4.7526670574707E-17));
#20535=DIRECTION('',(1.,0.,0.));
#20536=DIRECTION('center_axis',(0.,1.,0.));
#20537=DIRECTION('ref_axis',(0.,0.,1.));
#20538=DIRECTION('',(1.,0.,0.));
#20539=DIRECTION('center_axis',(0.,-4.75266705747071E-17,-1.));
#20540=DIRECTION('ref_axis',(0.,1.,-4.75266705747071E-17));
#20541=DIRECTION('center_axis',(0.,-0.987126813215969,-0.1599395342937));
#20542=DIRECTION('ref_axis',(0.,0.1599395342937,-0.987126813215969));
#20543=DIRECTION('',(0.,0.1599395342937,-0.987126813215969));
#20544=DIRECTION('',(1.,0.,0.));
#20545=DIRECTION('center_axis',(1.,0.,0.));
#20546=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20547=DIRECTION('center_axis',(1.,0.,0.));
#20548=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20549=DIRECTION('',(1.,0.,0.));
#20550=DIRECTION('',(-1.,0.,0.));
#20551=DIRECTION('center_axis',(0.,-0.559201312999764,0.829031900194039));
#20552=DIRECTION('ref_axis',(0.,-0.829031900194039,-0.559201312999764));
#20553=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#20554=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#20555=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#20556=DIRECTION('center_axis',(1.,0.,0.));
#20557=DIRECTION('ref_axis',(0.,1.,0.));
#20558=DIRECTION('center_axis',(0.,0.,-1.));
#20559=DIRECTION('ref_axis',(0.,1.,0.));
#20560=DIRECTION('',(0.,1.,0.));
#20561=DIRECTION('',(1.,0.,0.));
#20562=DIRECTION('',(0.,-1.,0.));
#20563=DIRECTION('center_axis',(-1.,0.,0.));
#20564=DIRECTION('ref_axis',(0.,0.,1.));
#20565=DIRECTION('',(0.,0.707106781186547,0.707106781186548));
#20566=DIRECTION('',(0.,0.,-1.));
#20567=DIRECTION('center_axis',(0.,1.,0.));
#20568=DIRECTION('ref_axis',(0.,0.,1.));
#20569=DIRECTION('',(0.,0.,1.));
#20570=DIRECTION('center_axis',(1.,0.,0.));
#20571=DIRECTION('ref_axis',(0.,0.,-1.));
#20572=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186547));
#20573=DIRECTION('ref_axis',(1.,0.,0.));
#20574=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548));
#20575=DIRECTION('center_axis',(0.,0.987126813216048,0.159939534293214));
#20576=DIRECTION('ref_axis',(0.,-0.159939534293214,0.987126813216048));
#20577=DIRECTION('',(0.,0.159939534293214,-0.987126813216048));
#20578=DIRECTION('',(1.,0.,0.));
#20579=DIRECTION('',(0.,-0.159939534293214,0.987126813216048));
#20580=DIRECTION('center_axis',(1.,0.,0.));
#20581=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20582=DIRECTION('center_axis',(-1.,0.,0.));
#20583=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20584=DIRECTION('',(1.,0.,0.));
#20585=DIRECTION('center_axis',(1.,0.,0.));
#20586=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20587=DIRECTION('center_axis',(1.,0.,0.));
#20588=DIRECTION('ref_axis',(0.,1.,0.));
#20589=DIRECTION('center_axis',(1.,0.,0.));
#20590=DIRECTION('ref_axis',(0.,-1.,0.));
#20591=DIRECTION('',(0.,1.,-4.7526670574707E-17));
#20592=DIRECTION('',(0.,0.,-1.));
#20593=DIRECTION('',(0.,-1.,4.75266705747071E-17));
#20594=DIRECTION('center_axis',(1.,0.,0.));
#20595=DIRECTION('ref_axis',(0.,1.,0.));
#20596=DIRECTION('',(0.,-0.1599395342937,0.987126813215969));
#20597=DIRECTION('center_axis',(-1.,0.,0.));
#20598=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20599=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#20600=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#20601=DIRECTION('center_axis',(1.,0.,0.));
#20602=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20603=DIRECTION('center_axis',(1.,0.,0.));
#20604=DIRECTION('ref_axis',(0.,1.,0.));
#20605=DIRECTION('',(0.,1.,-4.75266705747071E-17));
#20606=DIRECTION('',(0.,0.,1.));
#20607=DIRECTION('',(0.,-1.,4.7526670574707E-17));
#20608=DIRECTION('center_axis',(-1.,0.,0.));
#20609=DIRECTION('ref_axis',(0.,-1.,0.));
#20610=DIRECTION('center_axis',(0.,0.829031900205727,0.559201312982436));
#20611=DIRECTION('ref_axis',(0.,-0.559201312982436,0.829031900205728));
#20612=DIRECTION('',(-1.,0.,0.));
#20613=DIRECTION('',(0.,-0.559201312982436,0.829031900205728));
#20614=DIRECTION('',(1.,0.,0.));
#20615=DIRECTION('',(0.,0.559201312982436,-0.829031900205728));
#20616=DIRECTION('center_axis',(0.,0.559201312991723,-0.829031900199463));
#20617=DIRECTION('ref_axis',(0.,0.829031900199463,0.559201312991723));
#20618=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#20619=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#20620=DIRECTION('',(1.,0.,0.));
#20621=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#20622=DIRECTION('center_axis',(1.,0.,0.));
#20623=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20624=DIRECTION('center_axis',(-1.,0.,0.));
#20625=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20626=DIRECTION('center_axis',(1.,0.,0.));
#20627=DIRECTION('ref_axis',(0.,-1.,0.));
#20628=DIRECTION('',(1.,0.,0.));
#20629=DIRECTION('center_axis',(0.,4.7526670574707E-17,1.));
#20630=DIRECTION('ref_axis',(0.,-1.,4.7526670574707E-17));
#20631=DIRECTION('',(1.,0.,0.));
#20632=DIRECTION('center_axis',(0.,1.,0.));
#20633=DIRECTION('ref_axis',(0.,0.,1.));
#20634=DIRECTION('',(1.,0.,0.));
#20635=DIRECTION('center_axis',(0.,-4.75266705747071E-17,-1.));
#20636=DIRECTION('ref_axis',(0.,1.,-4.75266705747071E-17));
#20637=DIRECTION('center_axis',(0.,-0.987126813215969,-0.1599395342937));
#20638=DIRECTION('ref_axis',(0.,0.1599395342937,-0.987126813215969));
#20639=DIRECTION('',(0.,0.1599395342937,-0.987126813215969));
#20640=DIRECTION('',(1.,0.,0.));
#20641=DIRECTION('center_axis',(1.,0.,0.));
#20642=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20643=DIRECTION('center_axis',(1.,0.,0.));
#20644=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20645=DIRECTION('',(1.,0.,0.));
#20646=DIRECTION('',(-1.,0.,0.));
#20647=DIRECTION('center_axis',(0.,-0.559201312999764,0.829031900194039));
#20648=DIRECTION('ref_axis',(0.,-0.829031900194039,-0.559201312999764));
#20649=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#20650=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#20651=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#20652=DIRECTION('center_axis',(1.,0.,0.));
#20653=DIRECTION('ref_axis',(0.,1.,0.));
#20654=DIRECTION('center_axis',(0.,0.,-1.));
#20655=DIRECTION('ref_axis',(0.,1.,0.));
#20656=DIRECTION('',(0.,1.,0.));
#20657=DIRECTION('',(1.,0.,0.));
#20658=DIRECTION('',(0.,-1.,0.));
#20659=DIRECTION('center_axis',(-1.,0.,0.));
#20660=DIRECTION('ref_axis',(0.,0.,1.));
#20661=DIRECTION('',(0.,0.707106781186547,0.707106781186548));
#20662=DIRECTION('',(0.,0.,-1.));
#20663=DIRECTION('center_axis',(0.,1.,0.));
#20664=DIRECTION('ref_axis',(0.,0.,1.));
#20665=DIRECTION('',(0.,0.,1.));
#20666=DIRECTION('center_axis',(1.,0.,0.));
#20667=DIRECTION('ref_axis',(0.,0.,-1.));
#20668=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186547));
#20669=DIRECTION('ref_axis',(1.,0.,0.));
#20670=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548));
#20671=DIRECTION('center_axis',(0.,0.987126813216048,0.159939534293214));
#20672=DIRECTION('ref_axis',(0.,-0.159939534293214,0.987126813216048));
#20673=DIRECTION('',(0.,0.159939534293214,-0.987126813216048));
#20674=DIRECTION('',(1.,0.,0.));
#20675=DIRECTION('',(0.,-0.159939534293214,0.987126813216048));
#20676=DIRECTION('center_axis',(1.,0.,0.));
#20677=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20678=DIRECTION('center_axis',(-1.,0.,0.));
#20679=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20680=DIRECTION('',(1.,0.,0.));
#20681=DIRECTION('center_axis',(1.,0.,0.));
#20682=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20683=DIRECTION('center_axis',(1.,0.,0.));
#20684=DIRECTION('ref_axis',(0.,1.,0.));
#20685=DIRECTION('center_axis',(1.,0.,0.));
#20686=DIRECTION('ref_axis',(0.,-1.,0.));
#20687=DIRECTION('',(0.,1.,-4.7526670574707E-17));
#20688=DIRECTION('',(0.,0.,-1.));
#20689=DIRECTION('',(0.,-1.,4.75266705747071E-17));
#20690=DIRECTION('center_axis',(1.,0.,0.));
#20691=DIRECTION('ref_axis',(0.,1.,0.));
#20692=DIRECTION('',(0.,-0.1599395342937,0.987126813215969));
#20693=DIRECTION('center_axis',(-1.,0.,0.));
#20694=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20695=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#20696=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#20697=DIRECTION('center_axis',(1.,0.,0.));
#20698=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20699=DIRECTION('center_axis',(1.,0.,0.));
#20700=DIRECTION('ref_axis',(0.,1.,0.));
#20701=DIRECTION('',(0.,1.,-4.75266705747071E-17));
#20702=DIRECTION('',(0.,0.,1.));
#20703=DIRECTION('',(0.,-1.,4.7526670574707E-17));
#20704=DIRECTION('center_axis',(-1.,0.,0.));
#20705=DIRECTION('ref_axis',(0.,-1.,0.));
#20706=DIRECTION('center_axis',(0.,0.829031900205727,0.559201312982436));
#20707=DIRECTION('ref_axis',(0.,-0.559201312982436,0.829031900205728));
#20708=DIRECTION('',(-1.,0.,0.));
#20709=DIRECTION('',(0.,-0.559201312982436,0.829031900205728));
#20710=DIRECTION('',(1.,0.,0.));
#20711=DIRECTION('',(0.,0.559201312982436,-0.829031900205728));
#20712=DIRECTION('center_axis',(0.,0.559201312991723,-0.829031900199463));
#20713=DIRECTION('ref_axis',(0.,0.829031900199463,0.559201312991723));
#20714=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#20715=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#20716=DIRECTION('',(1.,0.,0.));
#20717=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#20718=DIRECTION('center_axis',(1.,0.,0.));
#20719=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20720=DIRECTION('center_axis',(-1.,0.,0.));
#20721=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20722=DIRECTION('center_axis',(1.,0.,0.));
#20723=DIRECTION('ref_axis',(0.,-1.,0.));
#20724=DIRECTION('',(1.,0.,0.));
#20725=DIRECTION('center_axis',(0.,4.7526670574707E-17,1.));
#20726=DIRECTION('ref_axis',(0.,-1.,4.7526670574707E-17));
#20727=DIRECTION('',(1.,0.,0.));
#20728=DIRECTION('center_axis',(0.,1.,0.));
#20729=DIRECTION('ref_axis',(0.,0.,1.));
#20730=DIRECTION('',(1.,0.,0.));
#20731=DIRECTION('center_axis',(0.,-4.75266705747071E-17,-1.));
#20732=DIRECTION('ref_axis',(0.,1.,-4.75266705747071E-17));
#20733=DIRECTION('center_axis',(0.,-0.987126813215969,-0.1599395342937));
#20734=DIRECTION('ref_axis',(0.,0.1599395342937,-0.987126813215969));
#20735=DIRECTION('',(0.,0.1599395342937,-0.987126813215969));
#20736=DIRECTION('',(1.,0.,0.));
#20737=DIRECTION('center_axis',(1.,0.,0.));
#20738=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20739=DIRECTION('center_axis',(1.,0.,0.));
#20740=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20741=DIRECTION('',(1.,0.,0.));
#20742=DIRECTION('',(-1.,0.,0.));
#20743=DIRECTION('center_axis',(0.,-0.559201312999764,0.829031900194039));
#20744=DIRECTION('ref_axis',(0.,-0.829031900194039,-0.559201312999764));
#20745=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#20746=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#20747=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#20748=DIRECTION('center_axis',(1.,0.,0.));
#20749=DIRECTION('ref_axis',(0.,1.,0.));
#20750=DIRECTION('center_axis',(0.,0.,-1.));
#20751=DIRECTION('ref_axis',(0.,1.,0.));
#20752=DIRECTION('',(0.,1.,0.));
#20753=DIRECTION('',(1.,0.,0.));
#20754=DIRECTION('',(0.,-1.,0.));
#20755=DIRECTION('center_axis',(-1.,0.,0.));
#20756=DIRECTION('ref_axis',(0.,0.,1.));
#20757=DIRECTION('',(0.,0.707106781186547,0.707106781186548));
#20758=DIRECTION('',(0.,0.,-1.));
#20759=DIRECTION('center_axis',(0.,1.,0.));
#20760=DIRECTION('ref_axis',(0.,0.,1.));
#20761=DIRECTION('',(0.,0.,1.));
#20762=DIRECTION('center_axis',(1.,0.,0.));
#20763=DIRECTION('ref_axis',(0.,0.,-1.));
#20764=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186547));
#20765=DIRECTION('ref_axis',(1.,0.,0.));
#20766=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548));
#20767=DIRECTION('center_axis',(0.,0.987126813216048,0.159939534293214));
#20768=DIRECTION('ref_axis',(0.,-0.159939534293214,0.987126813216048));
#20769=DIRECTION('',(0.,0.159939534293214,-0.987126813216048));
#20770=DIRECTION('',(1.,0.,0.));
#20771=DIRECTION('',(0.,-0.159939534293214,0.987126813216048));
#20772=DIRECTION('center_axis',(1.,0.,0.));
#20773=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20774=DIRECTION('center_axis',(-1.,0.,0.));
#20775=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20776=DIRECTION('',(1.,0.,0.));
#20777=DIRECTION('center_axis',(1.,0.,0.));
#20778=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20779=DIRECTION('center_axis',(1.,0.,0.));
#20780=DIRECTION('ref_axis',(0.,1.,0.));
#20781=DIRECTION('center_axis',(1.,0.,0.));
#20782=DIRECTION('ref_axis',(0.,-1.,0.));
#20783=DIRECTION('',(0.,1.,-4.7526670574707E-17));
#20784=DIRECTION('',(0.,0.,-1.));
#20785=DIRECTION('',(0.,-1.,4.75266705747071E-17));
#20786=DIRECTION('center_axis',(1.,0.,0.));
#20787=DIRECTION('ref_axis',(0.,1.,0.));
#20788=DIRECTION('',(0.,-0.1599395342937,0.987126813215969));
#20789=DIRECTION('center_axis',(-1.,0.,0.));
#20790=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20791=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#20792=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#20793=DIRECTION('center_axis',(1.,0.,0.));
#20794=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20795=DIRECTION('center_axis',(1.,0.,0.));
#20796=DIRECTION('ref_axis',(0.,1.,0.));
#20797=DIRECTION('',(0.,1.,-4.75266705747071E-17));
#20798=DIRECTION('',(0.,0.,1.));
#20799=DIRECTION('',(0.,-1.,4.7526670574707E-17));
#20800=DIRECTION('center_axis',(-1.,0.,0.));
#20801=DIRECTION('ref_axis',(0.,-1.,0.));
#20802=DIRECTION('center_axis',(0.,0.829031900205727,0.559201312982436));
#20803=DIRECTION('ref_axis',(0.,-0.559201312982436,0.829031900205728));
#20804=DIRECTION('',(-1.,0.,0.));
#20805=DIRECTION('',(0.,-0.559201312982436,0.829031900205728));
#20806=DIRECTION('',(1.,0.,0.));
#20807=DIRECTION('',(0.,0.559201312982436,-0.829031900205728));
#20808=DIRECTION('center_axis',(0.,0.559201312991723,-0.829031900199463));
#20809=DIRECTION('ref_axis',(0.,0.829031900199463,0.559201312991723));
#20810=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#20811=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#20812=DIRECTION('',(1.,0.,0.));
#20813=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#20814=DIRECTION('center_axis',(1.,0.,0.));
#20815=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20816=DIRECTION('center_axis',(-1.,0.,0.));
#20817=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20818=DIRECTION('center_axis',(1.,0.,0.));
#20819=DIRECTION('ref_axis',(0.,-1.,0.));
#20820=DIRECTION('',(1.,0.,0.));
#20821=DIRECTION('center_axis',(0.,4.7526670574707E-17,1.));
#20822=DIRECTION('ref_axis',(0.,-1.,4.7526670574707E-17));
#20823=DIRECTION('',(1.,0.,0.));
#20824=DIRECTION('center_axis',(0.,1.,0.));
#20825=DIRECTION('ref_axis',(0.,0.,1.));
#20826=DIRECTION('',(1.,0.,0.));
#20827=DIRECTION('center_axis',(0.,-4.75266705747071E-17,-1.));
#20828=DIRECTION('ref_axis',(0.,1.,-4.75266705747071E-17));
#20829=DIRECTION('center_axis',(0.,-0.987126813215969,-0.1599395342937));
#20830=DIRECTION('ref_axis',(0.,0.1599395342937,-0.987126813215969));
#20831=DIRECTION('',(0.,0.1599395342937,-0.987126813215969));
#20832=DIRECTION('',(1.,0.,0.));
#20833=DIRECTION('center_axis',(1.,0.,0.));
#20834=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20835=DIRECTION('center_axis',(1.,0.,0.));
#20836=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20837=DIRECTION('',(1.,0.,0.));
#20838=DIRECTION('',(-1.,0.,0.));
#20839=DIRECTION('center_axis',(0.,-0.559201312999764,0.829031900194039));
#20840=DIRECTION('ref_axis',(0.,-0.829031900194039,-0.559201312999764));
#20841=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#20842=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#20843=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#20844=DIRECTION('center_axis',(1.,0.,0.));
#20845=DIRECTION('ref_axis',(0.,1.,0.));
#20846=DIRECTION('center_axis',(0.,0.,-1.));
#20847=DIRECTION('ref_axis',(0.,1.,0.));
#20848=DIRECTION('',(0.,1.,0.));
#20849=DIRECTION('',(1.,0.,0.));
#20850=DIRECTION('',(0.,-1.,0.));
#20851=DIRECTION('center_axis',(-1.,0.,0.));
#20852=DIRECTION('ref_axis',(0.,0.,1.));
#20853=DIRECTION('',(0.,0.707106781186547,0.707106781186548));
#20854=DIRECTION('',(0.,0.,-1.));
#20855=DIRECTION('center_axis',(0.,1.,0.));
#20856=DIRECTION('ref_axis',(0.,0.,1.));
#20857=DIRECTION('',(0.,0.,1.));
#20858=DIRECTION('center_axis',(1.,0.,0.));
#20859=DIRECTION('ref_axis',(0.,0.,-1.));
#20860=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186547));
#20861=DIRECTION('ref_axis',(1.,0.,0.));
#20862=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548));
#20863=DIRECTION('center_axis',(0.,0.987126813216048,0.159939534293214));
#20864=DIRECTION('ref_axis',(0.,-0.159939534293214,0.987126813216048));
#20865=DIRECTION('',(0.,0.159939534293214,-0.987126813216048));
#20866=DIRECTION('',(1.,0.,0.));
#20867=DIRECTION('',(0.,-0.159939534293214,0.987126813216048));
#20868=DIRECTION('center_axis',(1.,0.,0.));
#20869=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20870=DIRECTION('center_axis',(-1.,0.,0.));
#20871=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20872=DIRECTION('',(1.,0.,0.));
#20873=DIRECTION('center_axis',(1.,0.,0.));
#20874=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20875=DIRECTION('center_axis',(1.,0.,0.));
#20876=DIRECTION('ref_axis',(0.,1.,0.));
#20877=DIRECTION('center_axis',(1.,0.,0.));
#20878=DIRECTION('ref_axis',(0.,-1.,0.));
#20879=DIRECTION('',(0.,1.,-4.7526670574707E-17));
#20880=DIRECTION('',(0.,0.,-1.));
#20881=DIRECTION('',(0.,-1.,4.75266705747071E-17));
#20882=DIRECTION('center_axis',(1.,0.,0.));
#20883=DIRECTION('ref_axis',(0.,1.,0.));
#20884=DIRECTION('',(0.,-0.1599395342937,0.987126813215969));
#20885=DIRECTION('center_axis',(-1.,0.,0.));
#20886=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20887=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#20888=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#20889=DIRECTION('center_axis',(1.,0.,0.));
#20890=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20891=DIRECTION('center_axis',(1.,0.,0.));
#20892=DIRECTION('ref_axis',(0.,1.,0.));
#20893=DIRECTION('',(0.,1.,-4.75266705747071E-17));
#20894=DIRECTION('',(0.,0.,1.));
#20895=DIRECTION('',(0.,-1.,4.7526670574707E-17));
#20896=DIRECTION('center_axis',(-1.,0.,0.));
#20897=DIRECTION('ref_axis',(0.,-1.,0.));
#20898=DIRECTION('center_axis',(0.,0.829031900205727,0.559201312982436));
#20899=DIRECTION('ref_axis',(0.,-0.559201312982436,0.829031900205728));
#20900=DIRECTION('',(-1.,0.,0.));
#20901=DIRECTION('',(0.,-0.559201312982436,0.829031900205728));
#20902=DIRECTION('',(1.,0.,0.));
#20903=DIRECTION('',(0.,0.559201312982436,-0.829031900205728));
#20904=DIRECTION('center_axis',(0.,0.559201312991723,-0.829031900199463));
#20905=DIRECTION('ref_axis',(0.,0.829031900199463,0.559201312991723));
#20906=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#20907=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#20908=DIRECTION('',(1.,0.,0.));
#20909=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#20910=DIRECTION('center_axis',(1.,0.,0.));
#20911=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20912=DIRECTION('center_axis',(-1.,0.,0.));
#20913=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20914=DIRECTION('center_axis',(1.,0.,0.));
#20915=DIRECTION('ref_axis',(0.,-1.,0.));
#20916=DIRECTION('',(1.,0.,0.));
#20917=DIRECTION('center_axis',(0.,4.7526670574707E-17,1.));
#20918=DIRECTION('ref_axis',(0.,-1.,4.7526670574707E-17));
#20919=DIRECTION('',(1.,0.,0.));
#20920=DIRECTION('center_axis',(0.,1.,0.));
#20921=DIRECTION('ref_axis',(0.,0.,1.));
#20922=DIRECTION('',(1.,0.,0.));
#20923=DIRECTION('center_axis',(0.,-4.75266705747071E-17,-1.));
#20924=DIRECTION('ref_axis',(0.,1.,-4.75266705747071E-17));
#20925=DIRECTION('center_axis',(0.,-0.987126813215969,-0.1599395342937));
#20926=DIRECTION('ref_axis',(0.,0.1599395342937,-0.987126813215969));
#20927=DIRECTION('',(0.,0.1599395342937,-0.987126813215969));
#20928=DIRECTION('',(1.,0.,0.));
#20929=DIRECTION('center_axis',(1.,0.,0.));
#20930=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20931=DIRECTION('center_axis',(1.,0.,0.));
#20932=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20933=DIRECTION('',(1.,0.,0.));
#20934=DIRECTION('',(-1.,0.,0.));
#20935=DIRECTION('center_axis',(0.,-0.559201312999764,0.829031900194039));
#20936=DIRECTION('ref_axis',(0.,-0.829031900194039,-0.559201312999764));
#20937=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#20938=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#20939=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#20940=DIRECTION('center_axis',(1.,0.,0.));
#20941=DIRECTION('ref_axis',(0.,1.,0.));
#20942=DIRECTION('center_axis',(0.,0.,-1.));
#20943=DIRECTION('ref_axis',(0.,1.,0.));
#20944=DIRECTION('',(0.,1.,0.));
#20945=DIRECTION('',(1.,0.,0.));
#20946=DIRECTION('',(0.,-1.,0.));
#20947=DIRECTION('center_axis',(-1.,0.,0.));
#20948=DIRECTION('ref_axis',(0.,0.,1.));
#20949=DIRECTION('',(0.,0.707106781186547,0.707106781186548));
#20950=DIRECTION('',(0.,0.,-1.));
#20951=DIRECTION('center_axis',(0.,1.,0.));
#20952=DIRECTION('ref_axis',(0.,0.,1.));
#20953=DIRECTION('',(0.,0.,1.));
#20954=DIRECTION('center_axis',(1.,0.,0.));
#20955=DIRECTION('ref_axis',(0.,0.,-1.));
#20956=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186547));
#20957=DIRECTION('ref_axis',(1.,0.,0.));
#20958=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548));
#20959=DIRECTION('center_axis',(0.,0.987126813216048,0.159939534293214));
#20960=DIRECTION('ref_axis',(0.,-0.159939534293214,0.987126813216048));
#20961=DIRECTION('',(0.,0.159939534293214,-0.987126813216048));
#20962=DIRECTION('',(1.,0.,0.));
#20963=DIRECTION('',(0.,-0.159939534293214,0.987126813216048));
#20964=DIRECTION('center_axis',(1.,0.,0.));
#20965=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20966=DIRECTION('center_axis',(-1.,0.,0.));
#20967=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20968=DIRECTION('',(1.,0.,0.));
#20969=DIRECTION('center_axis',(1.,0.,0.));
#20970=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#20971=DIRECTION('center_axis',(1.,0.,0.));
#20972=DIRECTION('ref_axis',(0.,1.,0.));
#20973=DIRECTION('center_axis',(1.,0.,0.));
#20974=DIRECTION('ref_axis',(0.,-1.,0.));
#20975=DIRECTION('',(0.,1.,-4.7526670574707E-17));
#20976=DIRECTION('',(0.,0.,-1.));
#20977=DIRECTION('',(0.,-1.,4.75266705747071E-17));
#20978=DIRECTION('center_axis',(1.,0.,0.));
#20979=DIRECTION('ref_axis',(0.,1.,0.));
#20980=DIRECTION('',(0.,-0.1599395342937,0.987126813215969));
#20981=DIRECTION('center_axis',(-1.,0.,0.));
#20982=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#20983=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#20984=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#20985=DIRECTION('center_axis',(1.,0.,0.));
#20986=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#20987=DIRECTION('center_axis',(1.,0.,0.));
#20988=DIRECTION('ref_axis',(0.,1.,0.));
#20989=DIRECTION('',(0.,1.,-4.75266705747071E-17));
#20990=DIRECTION('',(0.,0.,1.));
#20991=DIRECTION('',(0.,-1.,4.7526670574707E-17));
#20992=DIRECTION('center_axis',(-1.,0.,0.));
#20993=DIRECTION('ref_axis',(0.,-1.,0.));
#20994=DIRECTION('center_axis',(0.,0.829031900205727,0.559201312982436));
#20995=DIRECTION('ref_axis',(0.,-0.559201312982436,0.829031900205728));
#20996=DIRECTION('',(-1.,0.,0.));
#20997=DIRECTION('',(0.,-0.559201312982436,0.829031900205728));
#20998=DIRECTION('',(1.,0.,0.));
#20999=DIRECTION('',(0.,0.559201312982436,-0.829031900205728));
#21000=DIRECTION('center_axis',(0.,0.559201312991723,-0.829031900199463));
#21001=DIRECTION('ref_axis',(0.,0.829031900199463,0.559201312991723));
#21002=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#21003=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#21004=DIRECTION('',(1.,0.,0.));
#21005=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#21006=DIRECTION('center_axis',(1.,0.,0.));
#21007=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#21008=DIRECTION('center_axis',(-1.,0.,0.));
#21009=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#21010=DIRECTION('center_axis',(1.,0.,0.));
#21011=DIRECTION('ref_axis',(0.,-1.,0.));
#21012=DIRECTION('',(1.,0.,0.));
#21013=DIRECTION('center_axis',(0.,4.7526670574707E-17,1.));
#21014=DIRECTION('ref_axis',(0.,-1.,4.7526670574707E-17));
#21015=DIRECTION('',(1.,0.,0.));
#21016=DIRECTION('center_axis',(0.,1.,0.));
#21017=DIRECTION('ref_axis',(0.,0.,1.));
#21018=DIRECTION('',(1.,0.,0.));
#21019=DIRECTION('center_axis',(0.,-4.75266705747071E-17,-1.));
#21020=DIRECTION('ref_axis',(0.,1.,-4.75266705747071E-17));
#21021=DIRECTION('center_axis',(0.,-0.987126813215969,-0.1599395342937));
#21022=DIRECTION('ref_axis',(0.,0.1599395342937,-0.987126813215969));
#21023=DIRECTION('',(0.,0.1599395342937,-0.987126813215969));
#21024=DIRECTION('',(1.,0.,0.));
#21025=DIRECTION('center_axis',(1.,0.,0.));
#21026=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#21027=DIRECTION('center_axis',(1.,0.,0.));
#21028=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#21029=DIRECTION('',(1.,0.,0.));
#21030=DIRECTION('',(-1.,0.,0.));
#21031=DIRECTION('center_axis',(0.,-0.559201312999764,0.829031900194039));
#21032=DIRECTION('ref_axis',(0.,-0.829031900194039,-0.559201312999764));
#21033=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#21034=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#21035=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#21036=DIRECTION('center_axis',(1.,0.,0.));
#21037=DIRECTION('ref_axis',(0.,1.,0.));
#21038=DIRECTION('center_axis',(0.,0.,-1.));
#21039=DIRECTION('ref_axis',(0.,1.,0.));
#21040=DIRECTION('',(0.,1.,0.));
#21041=DIRECTION('',(1.,0.,0.));
#21042=DIRECTION('',(0.,-1.,0.));
#21043=DIRECTION('center_axis',(-1.,0.,0.));
#21044=DIRECTION('ref_axis',(0.,0.,1.));
#21045=DIRECTION('',(0.,0.707106781186547,0.707106781186548));
#21046=DIRECTION('',(0.,0.,-1.));
#21047=DIRECTION('center_axis',(0.,1.,0.));
#21048=DIRECTION('ref_axis',(0.,0.,1.));
#21049=DIRECTION('',(0.,0.,1.));
#21050=DIRECTION('center_axis',(1.,0.,0.));
#21051=DIRECTION('ref_axis',(0.,0.,-1.));
#21052=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186547));
#21053=DIRECTION('ref_axis',(1.,0.,0.));
#21054=DIRECTION('',(0.,-0.707106781186547,-0.707106781186548));
#21055=DIRECTION('center_axis',(1.,0.,0.));
#21056=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#21057=DIRECTION('center_axis',(-1.,0.,0.));
#21058=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#21059=DIRECTION('',(1.,0.,0.));
#21060=DIRECTION('center_axis',(1.,0.,0.));
#21061=DIRECTION('ref_axis',(0.,-1.,1.09865820145198E-15));
#21062=DIRECTION('center_axis',(0.,0.987126813216048,0.159939534293214));
#21063=DIRECTION('ref_axis',(0.,-0.159939534293214,0.987126813216048));
#21064=DIRECTION('',(0.,0.159939534293214,-0.987126813216048));
#21065=DIRECTION('',(1.,0.,0.));
#21066=DIRECTION('',(0.,-0.159939534293214,0.987126813216048));
#21067=DIRECTION('center_axis',(1.,0.,0.));
#21068=DIRECTION('ref_axis',(0.,1.,0.));
#21069=DIRECTION('',(0.,-0.1599395342937,0.987126813215969));
#21070=DIRECTION('center_axis',(-1.,0.,0.));
#21071=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#21072=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#21073=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#21074=DIRECTION('center_axis',(1.,0.,0.));
#21075=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#21076=DIRECTION('center_axis',(1.,0.,0.));
#21077=DIRECTION('ref_axis',(0.,1.,0.));
#21078=DIRECTION('center_axis',(1.,0.,0.));
#21079=DIRECTION('ref_axis',(0.,-1.,0.));
#21080=DIRECTION('',(0.,1.,-4.7526670574707E-17));
#21081=DIRECTION('',(0.,0.,-1.));
#21082=DIRECTION('',(0.,-1.,4.75266705747071E-17));
#21083=DIRECTION('center_axis',(1.,0.,0.));
#21084=DIRECTION('ref_axis',(0.,1.,0.));
#21085=DIRECTION('center_axis',(-1.,0.,0.));
#21086=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#21087=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#21088=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#21089=DIRECTION('center_axis',(1.,0.,0.));
#21090=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#21091=DIRECTION('',(0.,0.1599395342937,-0.987126813215969));
#21092=DIRECTION('center_axis',(0.,0.829031900205727,0.559201312982436));
#21093=DIRECTION('ref_axis',(0.,-0.559201312982436,0.829031900205728));
#21094=DIRECTION('',(-1.,0.,0.));
#21095=DIRECTION('',(0.,-0.559201312982436,0.829031900205728));
#21096=DIRECTION('',(1.,0.,0.));
#21097=DIRECTION('',(0.,0.559201312982436,-0.829031900205728));
#21098=DIRECTION('center_axis',(0.,0.559201312991723,-0.829031900199463));
#21099=DIRECTION('ref_axis',(0.,0.829031900199463,0.559201312991723));
#21100=DIRECTION('',(0.,0.829031900199463,0.559201312991723));
#21101=DIRECTION('',(1.,0.,0.));
#21102=DIRECTION('',(0.,-0.829031900199463,-0.559201312991723));
#21103=DIRECTION('center_axis',(1.,0.,0.));
#21104=DIRECTION('ref_axis',(0.,-0.559201312982407,0.829031900205747));
#21105=DIRECTION('center_axis',(1.,0.,0.));
#21106=DIRECTION('ref_axis',(0.,-1.,0.));
#21107=DIRECTION('center_axis',(-1.,0.,0.));
#21108=DIRECTION('ref_axis',(0.,-1.,0.));
#21109=DIRECTION('',(1.,0.,0.));
#21110=DIRECTION('center_axis',(0.,4.7526670574707E-17,1.));
#21111=DIRECTION('ref_axis',(0.,-1.,4.7526670574707E-17));
#21112=DIRECTION('',(0.,-1.,4.7526670574707E-17));
#21113=DIRECTION('',(1.,0.,0.));
#21114=DIRECTION('center_axis',(0.,1.,0.));
#21115=DIRECTION('ref_axis',(0.,0.,1.));
#21116=DIRECTION('',(0.,0.,1.));
#21117=DIRECTION('',(1.,0.,0.));
#21118=DIRECTION('center_axis',(0.,-4.75266705747071E-17,-1.));
#21119=DIRECTION('ref_axis',(0.,1.,-4.75266705747071E-17));
#21120=DIRECTION('',(0.,1.,-4.75266705747071E-17));
#21121=DIRECTION('center_axis',(0.,-0.987126813215969,-0.1599395342937));
#21122=DIRECTION('ref_axis',(0.,0.1599395342937,-0.987126813215969));
#21123=DIRECTION('',(1.,0.,0.));
#21124=DIRECTION('center_axis',(1.,0.,0.));
#21125=DIRECTION('ref_axis',(0.,-0.55920131298396,0.8290319002047));
#21126=DIRECTION('',(1.,0.,0.));
#21127=DIRECTION('',(-1.,0.,0.));
#21128=DIRECTION('center_axis',(0.,-0.559201312999764,0.829031900194039));
#21129=DIRECTION('ref_axis',(0.,-0.829031900194039,-0.559201312999764));
#21130=DIRECTION('',(0.,-0.829031900194039,-0.559201312999764));
#21131=DIRECTION('',(0.,0.829031900194039,0.559201312999764));
#21132=DIRECTION('center_axis',(1.,0.,0.));
#21133=DIRECTION('ref_axis',(0.,1.,0.));
#21134=DIRECTION('center_axis',(-1.,0.,0.));
#21135=DIRECTION('ref_axis',(0.,0.,1.));
#21136=DIRECTION('',(0.,0.707106781186547,0.707106781186548));
#21137=DIRECTION('',(0.,-1.,0.));
#21138=DIRECTION('',(0.,0.,-1.));
#21139=DIRECTION('center_axis',(0.,1.,0.));
#21140=DIRECTION('ref_axis',(0.,0.,1.));
#21141=DIRECTION('',(1.,0.,0.));
#21142=DIRECTION('',(0.,0.,1.));
#21143=DIRECTION('center_axis',(0.,0.,-1.));
#21144=DIRECTION('ref_axis',(0.,1.,0.));
#21145=DIRECTION('',(0.,1.,0.));
#21146=DIRECTION('center_axis',(1.,0.,0.));
#21147=DIRECTION('ref_axis',(0.,0.,-1.));
#21148=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186547));
#21149=DIRECTION('ref_axis',(1.,0.,0.));
#21150=DIRECTION('',(0.577350269189626,0.577350269189625,0.577350269189626));
#21151=DIRECTION('center_axis',(0.,-0.707106781186548,0.707106781186547));
#21152=DIRECTION('ref_axis',(1.,0.,0.));
#21153=DIRECTION('center_axis',(-1.,0.,0.));
#21154=DIRECTION('ref_axis',(0.,0.,1.));
#21155=DIRECTION('',(0.,0.,1.));
#21156=DIRECTION('center_axis',(0.,1.,0.));
#21157=DIRECTION('ref_axis',(0.,0.,1.));
#21158=DIRECTION('center_axis',(-0.707106781186548,0.,0.707106781186548));
#21159=DIRECTION('ref_axis',(0.707106781186548,0.,0.707106781186548));
#21160=DIRECTION('',(0.,-1.,0.));
#21161=DIRECTION('center_axis',(1.,0.,0.));
#21162=DIRECTION('ref_axis',(0.,-1.,0.));
#21163=DIRECTION('',(0.,-1.,0.));
#21164=DIRECTION('',(0.,0.,-1.));
#21165=DIRECTION('center_axis',(-1.,0.,0.));
#21166=DIRECTION('ref_axis',(0.,1.,0.));
#21167=DIRECTION('',(0.,0.,-1.));
#21168=DIRECTION('',(0.,1.,0.));
#21169=DIRECTION('center_axis',(0.,-1.,0.));
#21170=DIRECTION('ref_axis',(-1.,0.,0.));
#21171=DIRECTION('',(-1.,0.,0.));
#21172=DIRECTION('center_axis',(0.,0.,-1.));
#21173=DIRECTION('ref_axis',(-1.,0.,0.));
#21174=CARTESIAN_POINT('',(0.,0.,0.));
#21175=CARTESIAN_POINT('Origin',(-6.1,2.7,5.98));
#21176=CARTESIAN_POINT('',(-6.2,2.7,7.98));
#21177=CARTESIAN_POINT('',(-6.3,2.7,7.88));
#21178=CARTESIAN_POINT('Origin',(-6.2,2.7,7.88));
#21179=CARTESIAN_POINT('',(-5.9,2.7,7.98));
#21180=CARTESIAN_POINT('',(2.5,2.7,7.98));
#21181=CARTESIAN_POINT('',(-6.3,2.7,3.98));
#21182=CARTESIAN_POINT('',(-6.3,2.7,3.98));
#21183=CARTESIAN_POINT('',(-6.3,2.7,4.08));
#21184=CARTESIAN_POINT('Origin',(-5.9,2.8,7.98));
#21185=CARTESIAN_POINT('',(-5.91,2.8,7.88));
#21186=CARTESIAN_POINT('Origin',(-5.91,2.7,7.88));
#21187=CARTESIAN_POINT('',(-6.2,2.8,4.97999999999999));
#21188=CARTESIAN_POINT('',(-5.8460396039604,2.8,8.51960396039604));
#21189=CARTESIAN_POINT('Origin',(-6.2,2.7,4.97999999999999));
#21190=CARTESIAN_POINT('Origin',(6.3,2.8,3.98));
#21191=CARTESIAN_POINT('',(5.9,2.7,7.98));
#21192=CARTESIAN_POINT('',(5.91,2.8,7.88));
#21193=CARTESIAN_POINT('Origin',(5.91,2.7,7.88));
#21194=CARTESIAN_POINT('',(6.3,2.7,3.98));
#21195=CARTESIAN_POINT('',(6.3,2.7,3.98));
#21196=CARTESIAN_POINT('',(6.2,2.8,4.97999999999999));
#21197=CARTESIAN_POINT('Origin',(6.2,2.7,4.97999999999999));
#21198=CARTESIAN_POINT('',(6.09554455445544,2.8,6.02455445544555));
#21199=CARTESIAN_POINT('Origin',(6.1,2.7,5.98));
#21200=CARTESIAN_POINT('',(6.3,2.7,7.88));
#21201=CARTESIAN_POINT('',(6.2,2.7,7.98));
#21202=CARTESIAN_POINT('Origin',(6.2,2.7,7.88));
#21203=CARTESIAN_POINT('',(6.3,2.7,4.08));
#21204=CARTESIAN_POINT('',(2.5,2.7,7.98));
#21205=CARTESIAN_POINT('Origin',(-4.30724220490345,-2.8,6.215150545723));
#21206=CARTESIAN_POINT('',(-5.05122889902666,-2.8,7.88));
#21207=CARTESIAN_POINT('',(-5.09591682514586,-2.7,7.98));
#21208=CARTESIAN_POINT('Origin',(-5.05122889902666,-2.7,7.88));
#21209=CARTESIAN_POINT('',(-4.30724220490345,-2.8,6.215150545723));
#21210=CARTESIAN_POINT('',(-4.69359640430729,-2.8,7.07971113950236));
#21211=CARTESIAN_POINT('',(-4.30724220490345,-2.7,6.215150545723));
#21212=CARTESIAN_POINT('',(-4.30724220490345,-2.8,6.215150545723));
#21213=CARTESIAN_POINT('',(-4.30724220490345,-2.7,6.215150545723));
#21214=CARTESIAN_POINT('Origin',(-3.51856758466104,-2.8,7.98));
#21215=CARTESIAN_POINT('',(-3.51856758466104,-2.7,7.98));
#21216=CARTESIAN_POINT('',(-3.56325551078024,-2.8,7.88));
#21217=CARTESIAN_POINT('Origin',(-3.56325551078024,-2.7,7.88));
#21218=CARTESIAN_POINT('',(-3.51856758466104,-2.7,7.98));
#21219=CARTESIAN_POINT('',(-3.64186648424372,-2.8,7.70408900951502));
#21220=CARTESIAN_POINT('Origin',(-4.30724220490345,-2.7,7.0975752728615));
#21221=CARTESIAN_POINT('',(-2.5,-2.7,7.98));
#21222=CARTESIAN_POINT('Origin',(-2.5,-2.7,7.88));
#21223=CARTESIAN_POINT('',(5.91,-2.8,7.88));
#21224=CARTESIAN_POINT('',(-2.5,-2.8,7.88));
#21225=CARTESIAN_POINT('',(5.9,-2.7,7.98));
#21226=CARTESIAN_POINT('Origin',(5.91,-2.7,7.88));
#21227=CARTESIAN_POINT('',(-2.5,-2.7,7.98));
#21228=CARTESIAN_POINT('Origin',(-2.5,-2.7,7.88));
#21229=CARTESIAN_POINT('',(-5.9,-2.7,7.98));
#21230=CARTESIAN_POINT('',(-2.5,-2.7,7.98));
#21231=CARTESIAN_POINT('',(-5.91,-2.8,7.88));
#21232=CARTESIAN_POINT('Origin',(-5.91,-2.7,7.88));
#21233=CARTESIAN_POINT('',(-2.5,-2.8,7.88));
#21234=CARTESIAN_POINT('Origin',(-6.1,-2.7,5.98));
#21235=CARTESIAN_POINT('',(-6.3,-2.7,7.88));
#21236=CARTESIAN_POINT('',(-6.2,-2.7,7.98));
#21237=CARTESIAN_POINT('Origin',(-6.2,-2.7,7.88));
#21238=CARTESIAN_POINT('',(-6.3,-2.7,3.98));
#21239=CARTESIAN_POINT('',(-6.3,-2.7,4.08));
#21240=CARTESIAN_POINT('',(-6.3,-2.7,3.98));
#21241=CARTESIAN_POINT('',(-2.5,-2.7,7.98));
#21242=CARTESIAN_POINT('Origin',(-5.9,-2.8,7.98));
#21243=CARTESIAN_POINT('',(-6.2,-2.8,4.97999999999999));
#21244=CARTESIAN_POINT('Origin',(-6.2,-2.7,4.97999999999999));
#21245=CARTESIAN_POINT('',(-5.89554455445545,-2.8,8.02455445544554));
#21246=CARTESIAN_POINT('Origin',(6.1,-2.7,5.98));
#21247=CARTESIAN_POINT('',(6.2,-2.7,7.98));
#21248=CARTESIAN_POINT('',(6.3,-2.7,7.88));
#21249=CARTESIAN_POINT('Origin',(6.2,-2.7,7.88));
#21250=CARTESIAN_POINT('',(-2.5,-2.7,7.98));
#21251=CARTESIAN_POINT('',(6.3,-2.7,3.98));
#21252=CARTESIAN_POINT('',(6.3,-2.7,3.98));
#21253=CARTESIAN_POINT('',(6.3,-2.7,4.08));
#21254=CARTESIAN_POINT('Origin',(6.3,-2.8,3.98));
#21255=CARTESIAN_POINT('',(6.2,-2.8,4.97999999999999));
#21256=CARTESIAN_POINT('',(6.0460396039604,-2.8,6.51960396039604));
#21257=CARTESIAN_POINT('Origin',(6.2,-2.7,4.97999999999999));
#21258=CARTESIAN_POINT('Origin',(6.2,-2.7,4.08));
#21259=CARTESIAN_POINT('',(6.2,-2.8,3.88));
#21260=CARTESIAN_POINT('',(6.2,-2.8,4.08));
#21261=CARTESIAN_POINT('',(6.3,-2.7,3.88));
#21262=CARTESIAN_POINT('Origin',(6.2,-2.7,3.88));
#21263=CARTESIAN_POINT('',(6.3,-2.7,4.08));
#21264=CARTESIAN_POINT('Origin',(0.9,-2.15,2.11));
#21265=CARTESIAN_POINT('',(1.,-2.15,2.11));
#21266=CARTESIAN_POINT('',(0.9,-2.05,2.11));
#21267=CARTESIAN_POINT('Origin',(0.9,-2.15,2.11));
#21268=CARTESIAN_POINT('',(0.9,-2.15,2.21));
#21269=CARTESIAN_POINT('Origin',(0.9,-2.15,2.11));
#21270=CARTESIAN_POINT('Origin',(0.9,-2.15,2.11));
#21271=CARTESIAN_POINT('Origin',(1.1,-2.7,0.78));
#21272=CARTESIAN_POINT('',(1.1,-2.7,0.68));
#21273=CARTESIAN_POINT('',(1.,-2.7,0.78));
#21274=CARTESIAN_POINT('Origin',(1.1,-2.7,0.78));
#21275=CARTESIAN_POINT('',(1.1,-2.8,0.78));
#21276=CARTESIAN_POINT('Origin',(1.1,-2.7,0.78));
#21277=CARTESIAN_POINT('Origin',(1.1,-2.7,0.78));
#21278=CARTESIAN_POINT('Origin',(2.1,-2.15,2.11));
#21279=CARTESIAN_POINT('',(2.1,-2.15,2.21));
#21280=CARTESIAN_POINT('',(2.1,-2.05,2.11));
#21281=CARTESIAN_POINT('Origin',(2.1,-2.15,2.11));
#21282=CARTESIAN_POINT('',(2.,-2.15,2.11));
#21283=CARTESIAN_POINT('Origin',(2.1,-2.15,2.11));
#21284=CARTESIAN_POINT('Origin',(2.1,-2.15,2.11));
#21285=CARTESIAN_POINT('Origin',(1.9,-2.7,0.78));
#21286=CARTESIAN_POINT('',(2.,-2.7,0.78));
#21287=CARTESIAN_POINT('',(1.9,-2.7,0.68));
#21288=CARTESIAN_POINT('Origin',(1.9,-2.7,0.78));
#21289=CARTESIAN_POINT('',(1.9,-2.8,0.78));
#21290=CARTESIAN_POINT('Origin',(1.9,-2.7,0.78));
#21291=CARTESIAN_POINT('Origin',(1.9,-2.7,0.78));
#21292=CARTESIAN_POINT('Origin',(0.9,-2.15,0.78));
#21293=CARTESIAN_POINT('',(0.9,-1.95,0.68));
#21294=CARTESIAN_POINT('',(1.1,-2.15,0.68));
#21295=CARTESIAN_POINT('Origin',(0.9,-2.15,0.68));
#21296=CARTESIAN_POINT('',(1.,-2.15,0.78));
#21297=CARTESIAN_POINT('Origin',(1.1,-2.15,0.78));
#21298=CARTESIAN_POINT('',(0.9,-2.05,0.78));
#21299=CARTESIAN_POINT('Origin',(0.9,-2.15,0.78));
#21300=CARTESIAN_POINT('Origin',(0.9,-1.95,0.78));
#21301=CARTESIAN_POINT('Origin',(1.1,-2.8,0.78));
#21302=CARTESIAN_POINT('',(1.,-2.8,0.78));
#21303=CARTESIAN_POINT('',(1.1,-2.8,0.68));
#21304=CARTESIAN_POINT('Origin',(0.9,-2.15,1.7025));
#21305=CARTESIAN_POINT('',(1.,-2.15,1.7025));
#21306=CARTESIAN_POINT('',(0.9,-2.05,1.7025));
#21307=CARTESIAN_POINT('Origin',(-0.9,-2.15,2.11));
#21308=CARTESIAN_POINT('',(-0.9,-2.15,2.21));
#21309=CARTESIAN_POINT('',(-0.9,-2.05,2.11));
#21310=CARTESIAN_POINT('Origin',(-0.9,-2.15,2.11));
#21311=CARTESIAN_POINT('',(-1.,-2.15,2.11));
#21312=CARTESIAN_POINT('Origin',(-0.9,-2.15,2.11));
#21313=CARTESIAN_POINT('Origin',(-0.9,-2.15,2.11));
#21314=CARTESIAN_POINT('Origin',(0.9,-2.7,2.11));
#21315=CARTESIAN_POINT('',(1.,-2.7,2.11));
#21316=CARTESIAN_POINT('',(0.9,-2.7,2.21));
#21317=CARTESIAN_POINT('Origin',(0.9,-2.7,2.11));
#21318=CARTESIAN_POINT('',(1.1,-2.8,2.11));
#21319=CARTESIAN_POINT('Origin',(1.1,-2.7,2.11));
#21320=CARTESIAN_POINT('',(0.9,-2.8,2.31));
#21321=CARTESIAN_POINT('Origin',(0.9,-2.8,2.11));
#21322=CARTESIAN_POINT('Origin',(0.9,-2.7,2.31));
#21323=CARTESIAN_POINT('Origin',(1.1,-2.7,5.095));
#21324=CARTESIAN_POINT('',(1.1,-2.8,5.095));
#21325=CARTESIAN_POINT('',(1.,-2.7,5.095));
#21326=CARTESIAN_POINT('Origin',(2.1,-2.15,0.78));
#21327=CARTESIAN_POINT('',(2.1,-2.05,0.78));
#21328=CARTESIAN_POINT('',(2.1,-1.95,0.68));
#21329=CARTESIAN_POINT('Origin',(2.1,-1.95,0.78));
#21330=CARTESIAN_POINT('',(2.,-2.15,0.78));
#21331=CARTESIAN_POINT('Origin',(2.1,-2.15,0.78));
#21332=CARTESIAN_POINT('',(1.9,-2.15,0.68));
#21333=CARTESIAN_POINT('Origin',(1.9,-2.15,0.78));
#21334=CARTESIAN_POINT('Origin',(2.1,-2.15,0.68));
#21335=CARTESIAN_POINT('Origin',(2.1,-2.15,0.6875));
#21336=CARTESIAN_POINT('',(2.,-2.15,0.6875));
#21337=CARTESIAN_POINT('',(2.1,-2.05,0.6875));
#21338=CARTESIAN_POINT('Origin',(1.9,-2.8,0.78));
#21339=CARTESIAN_POINT('',(2.,-2.8,0.78));
#21340=CARTESIAN_POINT('',(1.9,-2.8,0.68));
#21341=CARTESIAN_POINT('Origin',(3.9,-2.15,2.11));
#21342=CARTESIAN_POINT('',(4.,-2.15,2.11));
#21343=CARTESIAN_POINT('',(3.9,-2.05,2.11));
#21344=CARTESIAN_POINT('Origin',(3.9,-2.15,2.11));
#21345=CARTESIAN_POINT('',(3.9,-2.15,2.21));
#21346=CARTESIAN_POINT('Origin',(3.9,-2.15,2.11));
#21347=CARTESIAN_POINT('Origin',(3.9,-2.15,2.11));
#21348=CARTESIAN_POINT('Origin',(2.1,-2.7,2.11));
#21349=CARTESIAN_POINT('',(2.1,-2.7,2.21));
#21350=CARTESIAN_POINT('',(2.,-2.7,2.11));
#21351=CARTESIAN_POINT('Origin',(2.1,-2.7,2.11));
#21352=CARTESIAN_POINT('',(2.1,-2.8,2.31));
#21353=CARTESIAN_POINT('Origin',(2.1,-2.7,2.31));
#21354=CARTESIAN_POINT('',(1.9,-2.8,2.11));
#21355=CARTESIAN_POINT('Origin',(2.1,-2.8,2.11));
#21356=CARTESIAN_POINT('Origin',(1.9,-2.7,2.11));
#21357=CARTESIAN_POINT('Origin',(-0.9,-2.15,0.78));
#21358=CARTESIAN_POINT('',(-0.9,-2.05,0.78));
#21359=CARTESIAN_POINT('',(-0.9,-1.95,0.68));
#21360=CARTESIAN_POINT('Origin',(-0.9,-1.95,0.78));
#21361=CARTESIAN_POINT('',(-1.,-2.15,0.78));
#21362=CARTESIAN_POINT('Origin',(-0.9,-2.15,0.78));
#21363=CARTESIAN_POINT('',(-1.1,-2.15,0.68));
#21364=CARTESIAN_POINT('Origin',(-1.1,-2.15,0.78));
#21365=CARTESIAN_POINT('Origin',(-0.9,-2.15,0.68));
#21366=CARTESIAN_POINT('Origin',(-0.9,-2.15,0.6875));
#21367=CARTESIAN_POINT('',(-1.,-2.15,0.6875));
#21368=CARTESIAN_POINT('',(-0.9,-2.05,0.6875));
#21369=CARTESIAN_POINT('Origin',(-0.9,-2.7,2.11));
#21370=CARTESIAN_POINT('',(-0.9,-2.7,2.21));
#21371=CARTESIAN_POINT('',(-1.,-2.7,2.11));
#21372=CARTESIAN_POINT('Origin',(-0.9,-2.7,2.11));
#21373=CARTESIAN_POINT('',(-0.9,-2.8,2.31));
#21374=CARTESIAN_POINT('Origin',(-0.9,-2.7,2.31));
#21375=CARTESIAN_POINT('',(-1.1,-2.8,2.11));
#21376=CARTESIAN_POINT('Origin',(-0.9,-2.8,2.11));
#21377=CARTESIAN_POINT('Origin',(-1.1,-2.7,2.11));
#21378=CARTESIAN_POINT('Origin',(-3.,-2.7,2.31));
#21379=CARTESIAN_POINT('',(-3.,-2.8,2.31));
#21380=CARTESIAN_POINT('',(-3.,-2.7,2.21));
#21381=CARTESIAN_POINT('Origin',(3.9,-2.15,0.78));
#21382=CARTESIAN_POINT('',(3.9,-1.95,0.68));
#21383=CARTESIAN_POINT('',(4.1,-2.15,0.68));
#21384=CARTESIAN_POINT('Origin',(3.9,-2.15,0.68));
#21385=CARTESIAN_POINT('',(4.,-2.15,0.78));
#21386=CARTESIAN_POINT('Origin',(4.1,-2.15,0.78));
#21387=CARTESIAN_POINT('',(3.9,-2.05,0.78));
#21388=CARTESIAN_POINT('Origin',(3.9,-2.15,0.78));
#21389=CARTESIAN_POINT('Origin',(3.9,-1.95,0.78));
#21390=CARTESIAN_POINT('Origin',(3.9,-2.7,2.11));
#21391=CARTESIAN_POINT('',(4.1,-2.8,2.11));
#21392=CARTESIAN_POINT('',(3.9,-2.8,2.31));
#21393=CARTESIAN_POINT('Origin',(3.9,-2.8,2.11));
#21394=CARTESIAN_POINT('',(3.9,-2.7,2.21));
#21395=CARTESIAN_POINT('Origin',(3.9,-2.7,2.31));
#21396=CARTESIAN_POINT('',(4.,-2.7,2.11));
#21397=CARTESIAN_POINT('Origin',(3.9,-2.7,2.11));
#21398=CARTESIAN_POINT('Origin',(4.1,-2.7,2.11));
#21399=CARTESIAN_POINT('Origin',(-1.5,-2.7,2.31));
#21400=CARTESIAN_POINT('',(-1.5,-2.8,2.31));
#21401=CARTESIAN_POINT('',(-1.5,-2.7,2.21));
#21402=CARTESIAN_POINT('Origin',(3.9,-2.8,2.11));
#21403=CARTESIAN_POINT('',(4.,-2.8,2.11));
#21404=CARTESIAN_POINT('',(3.9,-2.8,2.21));
#21405=CARTESIAN_POINT('Origin',(-1.1,-2.7,0.78));
#21406=CARTESIAN_POINT('',(-1.,-2.7,0.78));
#21407=CARTESIAN_POINT('',(-1.1,-2.7,0.68));
#21408=CARTESIAN_POINT('Origin',(-1.1,-2.7,0.78));
#21409=CARTESIAN_POINT('',(-1.1,-2.8,0.78));
#21410=CARTESIAN_POINT('Origin',(-1.1,-2.7,0.78));
#21411=CARTESIAN_POINT('Origin',(-1.1,-2.7,0.78));
#21412=CARTESIAN_POINT('Origin',(-1.1,-2.7,4.08));
#21413=CARTESIAN_POINT('',(-1.1,-2.8,4.08));
#21414=CARTESIAN_POINT('',(-1.,-2.7,4.08));
#21415=CARTESIAN_POINT('Origin',(4.1,-2.7,0.78));
#21416=CARTESIAN_POINT('',(4.,-2.7,0.78));
#21417=CARTESIAN_POINT('',(4.1,-2.8,0.78));
#21418=CARTESIAN_POINT('Origin',(4.1,-2.7,0.78));
#21419=CARTESIAN_POINT('',(4.1,-2.7,0.68));
#21420=CARTESIAN_POINT('Origin',(4.1,-2.7,0.78));
#21421=CARTESIAN_POINT('Origin',(4.1,-2.7,0.78));
#21422=CARTESIAN_POINT('Origin',(4.1,-2.8,0.78));
#21423=CARTESIAN_POINT('',(4.,-2.8,0.78));
#21424=CARTESIAN_POINT('',(4.1,-2.8,0.68));
#21425=CARTESIAN_POINT('Origin',(-2.1,-2.15,2.11));
#21426=CARTESIAN_POINT('',(-2.,-2.15,2.11));
#21427=CARTESIAN_POINT('',(-2.1,-2.05,2.11));
#21428=CARTESIAN_POINT('Origin',(-2.1,-2.15,2.11));
#21429=CARTESIAN_POINT('',(-2.1,-2.15,2.21));
#21430=CARTESIAN_POINT('Origin',(-2.1,-2.15,2.11));
#21431=CARTESIAN_POINT('Origin',(-2.1,-2.15,2.11));
#21432=CARTESIAN_POINT('Origin',(-1.9,-2.7,0.78));
#21433=CARTESIAN_POINT('',(-1.9,-2.7,0.68));
#21434=CARTESIAN_POINT('',(-2.,-2.7,0.78));
#21435=CARTESIAN_POINT('Origin',(-1.9,-2.7,0.78));
#21436=CARTESIAN_POINT('',(-1.9,-2.8,0.78));
#21437=CARTESIAN_POINT('Origin',(-1.9,-2.7,0.78));
#21438=CARTESIAN_POINT('Origin',(-1.9,-2.7,0.78));
#21439=CARTESIAN_POINT('Origin',(-4.75,-2.7,0.78));
#21440=CARTESIAN_POINT('',(-4.75,-2.8,0.78));
#21441=CARTESIAN_POINT('',(-4.75,-2.7,0.68));
#21442=CARTESIAN_POINT('Origin',(4.4,-2.7,0.58));
#21443=CARTESIAN_POINT('',(4.5,-2.7,0.58));
#21444=CARTESIAN_POINT('',(4.4,-2.7,0.68));
#21445=CARTESIAN_POINT('Origin',(4.4,-2.7,0.58));
#21446=CARTESIAN_POINT('',(4.6,-2.8,0.58));
#21447=CARTESIAN_POINT('Origin',(4.6,-2.7,0.58));
#21448=CARTESIAN_POINT('',(4.4,-2.8,0.78));
#21449=CARTESIAN_POINT('Origin',(4.4,-2.8,0.58));
#21450=CARTESIAN_POINT('Origin',(4.4,-2.7,0.78));
#21451=CARTESIAN_POINT('Origin',(4.6,-2.7,4.33));
#21452=CARTESIAN_POINT('',(4.5,-2.7,0.28));
#21453=CARTESIAN_POINT('',(4.6,-2.8,0.28));
#21454=CARTESIAN_POINT('Origin',(4.6,-2.7,0.28));
#21455=CARTESIAN_POINT('',(4.6,-2.8,4.33));
#21456=CARTESIAN_POINT('',(4.5,-2.7,4.33));
#21457=CARTESIAN_POINT('Origin',(4.6,-2.7,0.28));
#21458=CARTESIAN_POINT('',(4.6,-2.7,0.18));
#21459=CARTESIAN_POINT('Origin',(4.6,-2.7,0.28));
#21460=CARTESIAN_POINT('Origin',(4.6,-2.7,0.28));
#21461=CARTESIAN_POINT('Origin',(-4.75,-2.7,0.78));
#21462=CARTESIAN_POINT('',(-4.75,-2.8,0.78));
#21463=CARTESIAN_POINT('',(-4.75,-2.7,0.68));
#21464=CARTESIAN_POINT('Origin',(-2.1,-2.15,0.78));
#21465=CARTESIAN_POINT('',(-2.1,-1.95,0.68));
#21466=CARTESIAN_POINT('',(-1.9,-2.15,0.68));
#21467=CARTESIAN_POINT('Origin',(-2.1,-2.15,0.68));
#21468=CARTESIAN_POINT('',(-2.,-2.15,0.78));
#21469=CARTESIAN_POINT('Origin',(-1.9,-2.15,0.78));
#21470=CARTESIAN_POINT('',(-2.1,-2.05,0.78));
#21471=CARTESIAN_POINT('Origin',(-2.1,-2.15,0.78));
#21472=CARTESIAN_POINT('Origin',(-2.1,-1.95,0.78));
#21473=CARTESIAN_POINT('Origin',(-1.9,-2.8,0.78));
#21474=CARTESIAN_POINT('',(-2.,-2.8,0.78));
#21475=CARTESIAN_POINT('',(-1.9,-2.8,0.68));
#21476=CARTESIAN_POINT('Origin',(-2.1,-2.15,1.7025));
#21477=CARTESIAN_POINT('',(-2.,-2.15,1.7025));
#21478=CARTESIAN_POINT('',(-2.1,-2.05,1.7025));
#21479=CARTESIAN_POINT('Origin',(-3.9,-2.15,2.11));
#21480=CARTESIAN_POINT('',(-3.9,-2.15,2.21));
#21481=CARTESIAN_POINT('',(-3.9,-2.05,2.11));
#21482=CARTESIAN_POINT('Origin',(-3.9,-2.15,2.11));
#21483=CARTESIAN_POINT('',(-4.,-2.15,2.11));
#21484=CARTESIAN_POINT('Origin',(-3.9,-2.15,2.11));
#21485=CARTESIAN_POINT('Origin',(-3.9,-2.15,2.11));
#21486=CARTESIAN_POINT('Origin',(-2.1,-2.7,2.11));
#21487=CARTESIAN_POINT('',(-1.9,-2.8,2.11));
#21488=CARTESIAN_POINT('',(-2.1,-2.8,2.31));
#21489=CARTESIAN_POINT('Origin',(-2.1,-2.8,2.11));
#21490=CARTESIAN_POINT('',(-2.1,-2.7,2.21));
#21491=CARTESIAN_POINT('Origin',(-2.1,-2.7,2.31));
#21492=CARTESIAN_POINT('',(-2.,-2.7,2.11));
#21493=CARTESIAN_POINT('Origin',(-2.1,-2.7,2.11));
#21494=CARTESIAN_POINT('Origin',(-1.9,-2.7,2.11));
#21495=CARTESIAN_POINT('Origin',(4.4,-1.9,0.58));
#21496=CARTESIAN_POINT('',(4.5,-1.9,0.58));
#21497=CARTESIAN_POINT('',(4.4,-1.8,0.58));
#21498=CARTESIAN_POINT('Origin',(4.4,-1.9,0.58));
#21499=CARTESIAN_POINT('',(4.4,-1.9,0.68));
#21500=CARTESIAN_POINT('Origin',(4.4,-1.9,0.58));
#21501=CARTESIAN_POINT('Origin',(4.4,-1.9,0.58));
#21502=CARTESIAN_POINT('Origin',(4.4,-2.8,0.58));
#21503=CARTESIAN_POINT('',(4.5,-2.8,0.58));
#21504=CARTESIAN_POINT('',(4.4,-2.8,0.68));
#21505=CARTESIAN_POINT('Origin',(-3.9,-2.15,0.78));
#21506=CARTESIAN_POINT('',(-3.9,-2.05,0.78));
#21507=CARTESIAN_POINT('',(-3.9,-1.95,0.68));
#21508=CARTESIAN_POINT('Origin',(-3.9,-1.95,0.78));
#21509=CARTESIAN_POINT('',(-4.,-2.15,0.78));
#21510=CARTESIAN_POINT('Origin',(-3.9,-2.15,0.78));
#21511=CARTESIAN_POINT('',(-4.1,-2.15,0.68));
#21512=CARTESIAN_POINT('Origin',(-4.1,-2.15,0.78));
#21513=CARTESIAN_POINT('Origin',(-3.9,-2.15,0.68));
#21514=CARTESIAN_POINT('Origin',(-3.9,-2.15,0.6875));
#21515=CARTESIAN_POINT('',(-4.,-2.15,0.6875));
#21516=CARTESIAN_POINT('',(-3.9,-2.05,0.6875));
#21517=CARTESIAN_POINT('Origin',(-3.9,-2.7,2.11));
#21518=CARTESIAN_POINT('',(-3.9,-2.7,2.21));
#21519=CARTESIAN_POINT('',(-4.,-2.7,2.11));
#21520=CARTESIAN_POINT('Origin',(-3.9,-2.7,2.11));
#21521=CARTESIAN_POINT('',(-3.9,-2.8,2.31));
#21522=CARTESIAN_POINT('Origin',(-3.9,-2.7,2.31));
#21523=CARTESIAN_POINT('',(-4.1,-2.8,2.11));
#21524=CARTESIAN_POINT('Origin',(-3.9,-2.8,2.11));
#21525=CARTESIAN_POINT('Origin',(-4.1,-2.7,2.11));
#21526=CARTESIAN_POINT('Origin',(-4.5,-2.7,2.31));
#21527=CARTESIAN_POINT('',(-4.5,-2.8,2.31));
#21528=CARTESIAN_POINT('',(-4.5,-2.7,2.21));
#21529=CARTESIAN_POINT('Origin',(-4.1,-2.7,0.78));
#21530=CARTESIAN_POINT('',(-4.,-2.7,0.78));
#21531=CARTESIAN_POINT('',(-4.1,-2.7,0.68));
#21532=CARTESIAN_POINT('Origin',(-4.1,-2.7,0.78));
#21533=CARTESIAN_POINT('',(-4.1,-2.8,0.78));
#21534=CARTESIAN_POINT('Origin',(-4.1,-2.7,0.78));
#21535=CARTESIAN_POINT('Origin',(-4.1,-2.7,0.78));
#21536=CARTESIAN_POINT('Origin',(-4.1,-2.7,4.08));
#21537=CARTESIAN_POINT('',(-4.1,-2.8,4.08));
#21538=CARTESIAN_POINT('',(-4.,-2.7,4.08));
#21539=CARTESIAN_POINT('Origin',(-4.4,-2.7,0.58));
#21540=CARTESIAN_POINT('',(-4.4,-2.7,0.68));
#21541=CARTESIAN_POINT('',(-4.5,-2.7,0.58));
#21542=CARTESIAN_POINT('Origin',(-4.4,-2.7,0.58));
#21543=CARTESIAN_POINT('',(-4.4,-2.8,0.78));
#21544=CARTESIAN_POINT('Origin',(-4.4,-2.7,0.78));
#21545=CARTESIAN_POINT('',(-4.6,-2.8,0.58));
#21546=CARTESIAN_POINT('Origin',(-4.4,-2.8,0.58));
#21547=CARTESIAN_POINT('Origin',(-4.6,-2.7,0.58));
#21548=CARTESIAN_POINT('Origin',(-4.75,-2.7,0.78));
#21549=CARTESIAN_POINT('',(-4.75,-2.8,0.78));
#21550=CARTESIAN_POINT('',(-4.75,-2.7,0.68));
#21551=CARTESIAN_POINT('Origin',(-4.6,-2.7,4.08));
#21552=CARTESIAN_POINT('',(-4.6,-2.8,0.28));
#21553=CARTESIAN_POINT('',(-4.5,-2.7,0.18));
#21554=CARTESIAN_POINT('Origin',(-4.6,-2.7,0.280000000000001));
#21555=CARTESIAN_POINT('',(-4.5,-2.7,4.08));
#21556=CARTESIAN_POINT('',(-4.6,-2.8,4.08));
#21557=CARTESIAN_POINT('Origin',(-4.4,-2.8,0.58));
#21558=CARTESIAN_POINT('',(-4.4,-1.9,0.68));
#21559=CARTESIAN_POINT('',(-4.4,-2.8,0.68));
#21560=CARTESIAN_POINT('',(-4.5,-1.8,0.58));
#21561=CARTESIAN_POINT('',(-4.5,-2.8,0.58));
#21562=CARTESIAN_POINT('Origin',(-4.4,-1.9,0.58));
#21563=CARTESIAN_POINT('Origin',(-4.1,-2.8,0.78));
#21564=CARTESIAN_POINT('',(-4.,-2.8,0.78));
#21565=CARTESIAN_POINT('',(-4.1,-2.8,0.68));
#21566=CARTESIAN_POINT('Origin',(-2.25,-1.9,0.58));
#21567=CARTESIAN_POINT('',(-2.25,-1.8,0.58));
#21568=CARTESIAN_POINT('',(-2.25,-1.9,0.68));
#21569=CARTESIAN_POINT('Origin',(-3.9,-2.8,2.11));
#21570=CARTESIAN_POINT('',(-3.9,-2.8,2.21));
#21571=CARTESIAN_POINT('',(-4.,-2.8,2.11));
#21572=CARTESIAN_POINT('Origin',(-3.75,-1.95,0.78));
#21573=CARTESIAN_POINT('',(-3.75,-2.05,0.78));
#21574=CARTESIAN_POINT('',(-3.75,-1.95,0.68));
#21575=CARTESIAN_POINT('Origin',(4.4,-1.9,0.555));
#21576=CARTESIAN_POINT('',(4.4,-1.8,0.28));
#21577=CARTESIAN_POINT('',(4.5,-1.9,0.28));
#21578=CARTESIAN_POINT('Origin',(4.4,-1.9,0.28));
#21579=CARTESIAN_POINT('',(4.5,-1.9,0.555));
#21580=CARTESIAN_POINT('',(4.4,-1.8,0.555));
#21581=CARTESIAN_POINT('Origin',(4.6,-1.9,0.28));
#21582=CARTESIAN_POINT('',(4.42679491924311,-1.8,0.18));
#21583=CARTESIAN_POINT('',(4.6,-1.9,0.18));
#21584=CARTESIAN_POINT('Ctrl Pts',(4.42679491924311,-1.8,0.18));
#21585=CARTESIAN_POINT('Ctrl Pts',(4.45228266143067,-1.8,0.18));
#21586=CARTESIAN_POINT('Ctrl Pts',(4.48152695268491,-1.80895719998978,0.18));
#21587=CARTESIAN_POINT('Ctrl Pts',(4.5384776727796,-1.83960872838113,0.18));
#21588=CARTESIAN_POINT('Ctrl Pts',(4.5722901777919,-1.87229017779191,0.18));
#21589=CARTESIAN_POINT('Ctrl Pts',(4.6,-1.9,0.18));
#21590=CARTESIAN_POINT('Origin',(4.6,-1.9,0.28));
#21591=CARTESIAN_POINT('Origin',(4.6,-1.8,0.28));
#21592=CARTESIAN_POINT('Origin',(-2.1,-2.8,2.11));
#21593=CARTESIAN_POINT('',(-2.,-2.8,2.11));
#21594=CARTESIAN_POINT('',(-2.1,-2.8,2.21));
#21595=CARTESIAN_POINT('Origin',(-1.9,-2.7,5.095));
#21596=CARTESIAN_POINT('',(-1.9,-2.8,5.095));
#21597=CARTESIAN_POINT('',(-2.,-2.7,5.095));
#21598=CARTESIAN_POINT('Origin',(-3.5,-2.15,2.11));
#21599=CARTESIAN_POINT('',(-3.5,-2.15,2.21));
#21600=CARTESIAN_POINT('',(-3.5,-2.05,2.11));
#21601=CARTESIAN_POINT('Origin',(4.1,-2.7,5.095));
#21602=CARTESIAN_POINT('',(4.1,-2.8,5.095));
#21603=CARTESIAN_POINT('',(4.,-2.7,5.095));
#21604=CARTESIAN_POINT('Origin',(-1.1,-2.8,0.78));
#21605=CARTESIAN_POINT('',(-1.,-2.8,0.78));
#21606=CARTESIAN_POINT('',(-1.1,-2.8,0.68));
#21607=CARTESIAN_POINT('Origin',(3.9,-2.15,1.7025));
#21608=CARTESIAN_POINT('',(4.,-2.15,1.7025));
#21609=CARTESIAN_POINT('',(3.9,-2.05,1.7025));
#21610=CARTESIAN_POINT('Origin',(-0.75,-1.95,0.78));
#21611=CARTESIAN_POINT('',(-0.75,-2.05,0.78));
#21612=CARTESIAN_POINT('',(-0.75,-1.95,0.68));
#21613=CARTESIAN_POINT('Origin',(-0.9,-2.8,2.11));
#21614=CARTESIAN_POINT('',(-0.9,-2.8,2.21));
#21615=CARTESIAN_POINT('',(-1.,-2.8,2.11));
#21616=CARTESIAN_POINT('Origin',(-2.25,-1.95,0.78));
#21617=CARTESIAN_POINT('',(-2.25,-2.05,0.78));
#21618=CARTESIAN_POINT('',(-2.25,-1.95,0.68));
#21619=CARTESIAN_POINT('Origin',(1.9,-2.7,4.08));
#21620=CARTESIAN_POINT('',(1.9,-2.8,4.08));
#21621=CARTESIAN_POINT('',(2.,-2.7,4.08));
#21622=CARTESIAN_POINT('Origin',(2.1,-2.8,2.11));
#21623=CARTESIAN_POINT('',(2.1,-2.8,2.21));
#21624=CARTESIAN_POINT('',(2.,-2.8,2.11));
#21625=CARTESIAN_POINT('Origin',(2.5,-2.15,2.11));
#21626=CARTESIAN_POINT('',(2.5,-2.15,2.21));
#21627=CARTESIAN_POINT('',(2.5,-2.05,2.11));
#21628=CARTESIAN_POINT('Origin',(0.9,-2.8,2.11));
#21629=CARTESIAN_POINT('',(1.,-2.8,2.11));
#21630=CARTESIAN_POINT('',(0.9,-2.8,2.21));
#21631=CARTESIAN_POINT('Origin',(-0.5,-2.15,2.11));
#21632=CARTESIAN_POINT('',(-0.5,-2.15,2.21));
#21633=CARTESIAN_POINT('',(-0.5,-2.05,2.11));
#21634=CARTESIAN_POINT('Origin',(-4.75,-2.7,0.78));
#21635=CARTESIAN_POINT('',(-4.75,-2.8,0.78));
#21636=CARTESIAN_POINT('',(-4.75,-2.7,0.68));
#21637=CARTESIAN_POINT('Origin',(-5.,-2.8,7.98));
#21638=CARTESIAN_POINT('',(-6.2,-2.8,0.28));
#21639=CARTESIAN_POINT('',(-6.2,-2.8,4.08));
#21640=CARTESIAN_POINT('',(-6.13806453602878,-2.8,0.28));
#21641=CARTESIAN_POINT('',(-2.5,-2.8,0.28));
#21642=CARTESIAN_POINT('',(-6.13806453602878,-2.8,3.68));
#21643=CARTESIAN_POINT('',(-6.13806453602878,-2.8,5.88));
#21644=CARTESIAN_POINT('',(-5.90300083952826,-2.8,3.88));
#21645=CARTESIAN_POINT('Origin',(-5.90300083952826,-2.8,3.68));
#21646=CARTESIAN_POINT('',(-5.1,-2.8,3.88));
#21647=CARTESIAN_POINT('',(-2.5,-2.8,3.88));
#21648=CARTESIAN_POINT('',(-4.9,-2.8,3.68));
#21649=CARTESIAN_POINT('Origin',(-5.1,-2.8,3.68));
#21650=CARTESIAN_POINT('',(-4.9,-2.8,0.28));
#21651=CARTESIAN_POINT('',(-4.9,-2.8,7.98));
#21652=CARTESIAN_POINT('',(-2.5,-2.8,0.28));
#21653=CARTESIAN_POINT('',(4.9,-2.8,0.28));
#21654=CARTESIAN_POINT('',(-2.5,-2.8,0.28));
#21655=CARTESIAN_POINT('',(4.9,-2.8,3.68));
#21656=CARTESIAN_POINT('',(4.9,-2.8,7.98));
#21657=CARTESIAN_POINT('',(5.1,-2.8,3.88));
#21658=CARTESIAN_POINT('Origin',(5.1,-2.8,3.68));
#21659=CARTESIAN_POINT('',(-2.5,-2.8,3.88));
#21660=CARTESIAN_POINT('Origin',(-2.5,-2.7,0.28));
#21661=CARTESIAN_POINT('',(4.9,-2.7,0.18));
#21662=CARTESIAN_POINT('',(-2.5,-2.7,0.18));
#21663=CARTESIAN_POINT('Origin',(4.9,-2.7,0.28));
#21664=CARTESIAN_POINT('Origin',(4.5,-2.8,0.68));
#21665=CARTESIAN_POINT('',(4.5,-1.4,0.28));
#21666=CARTESIAN_POINT('Origin',(4.6,-1.4,0.28));
#21667=CARTESIAN_POINT('',(4.6,-1.4,0.18));
#21668=CARTESIAN_POINT('Origin',(1.,-2.8,2.21));
#21669=CARTESIAN_POINT('Origin',(2.,-2.8,0.18));
#21670=CARTESIAN_POINT('Origin',(0.,-2.05,1.195));
#21671=CARTESIAN_POINT('Origin',(-1.,-2.8,2.21));
#21672=CARTESIAN_POINT('Origin',(2.,-2.8,2.21));
#21673=CARTESIAN_POINT('Origin',(-1.,-2.8,0.18));
#21674=CARTESIAN_POINT('Origin',(4.,-2.8,2.21));
#21675=CARTESIAN_POINT('Origin',(-2.,-2.8,2.21));
#21676=CARTESIAN_POINT('Origin',(-3.,-2.05,1.195));
#21677=CARTESIAN_POINT('Origin',(-4.,-2.8,2.21));
#21678=CARTESIAN_POINT('Origin',(-4.,-2.8,0.18));
#21679=CARTESIAN_POINT('Origin',(-4.5,-2.8,0.68));
#21680=CARTESIAN_POINT('Origin',(3.,-2.05,1.195));
#21681=CARTESIAN_POINT('Origin',(-1.175,1.41642135623731,7.88));
#21682=CARTESIAN_POINT('',(-3.85,1.41642135623731,7.98));
#21683=CARTESIAN_POINT('',(-5.24142135623731,1.41642135623731,7.98));
#21684=CARTESIAN_POINT('',(-1.175,1.41642135623731,7.98));
#21685=CARTESIAN_POINT('',(-5.17071067811866,1.34571067811865,7.95071067811866));
#21686=CARTESIAN_POINT('Origin',(-5.24142135623731,1.41642135623731,7.88));
#21687=CARTESIAN_POINT('',(-3.77928932188135,1.34571067811865,7.95071067811866));
#21688=CARTESIAN_POINT('',(-1.175,1.34571067811865,7.95071067811866));
#21689=CARTESIAN_POINT('Origin',(-3.85,1.41642135623731,7.88));
#21690=CARTESIAN_POINT('Origin',(-1.8,2.14414892056306,7.88));
#21691=CARTESIAN_POINT('',(-3.75,2.04414892056306,7.88));
#21692=CARTESIAN_POINT('',(-3.45,2.04414892056306,7.88));
#21693=CARTESIAN_POINT('',(-1.8,2.04414892056306,7.88));
#21694=CARTESIAN_POINT('',(-3.35,2.14414892056306,7.98));
#21695=CARTESIAN_POINT('Origin',(-3.35,2.14414892056306,7.88));
#21696=CARTESIAN_POINT('',(-3.85,2.14414892056306,7.98));
#21697=CARTESIAN_POINT('',(-1.8,2.14414892056306,7.98));
#21698=CARTESIAN_POINT('Origin',(-3.85,2.14414892056306,7.88));
#21699=CARTESIAN_POINT('Origin',(-5.24142135623731,-0.21875,7.88));
#21700=CARTESIAN_POINT('',(-5.24142135623731,-1.41642135623731,7.98));
#21701=CARTESIAN_POINT('',(-5.24142135623731,-0.21875,7.98));
#21702=CARTESIAN_POINT('',(-5.17071067811866,-1.34571067811865,7.95071067811865));
#21703=CARTESIAN_POINT('Origin',(-5.24142135623731,-1.41642135623731,7.88));
#21704=CARTESIAN_POINT('',(-5.17071067811866,-0.21875,7.95071067811865));
#21705=CARTESIAN_POINT('Origin',(-1.175,1.41642135623731,7.88));
#21706=CARTESIAN_POINT('',(-3.42071067811866,1.34571067811865,7.95071067811866));
#21707=CARTESIAN_POINT('',(-2.97928932188135,1.34571067811865,7.95071067811866));
#21708=CARTESIAN_POINT('',(-1.175,1.34571067811865,7.95071067811866));
#21709=CARTESIAN_POINT('',(-3.05,1.41642135623731,7.98));
#21710=CARTESIAN_POINT('Origin',(-3.05,1.41642135623731,7.88));
#21711=CARTESIAN_POINT('',(-3.35,1.41642135623731,7.98));
#21712=CARTESIAN_POINT('',(-1.175,1.41642135623731,7.98));
#21713=CARTESIAN_POINT('Origin',(-3.35,1.41642135623731,7.88));
#21714=CARTESIAN_POINT('Origin',(-3.85,-0.581238438711841,7.88));
#21715=CARTESIAN_POINT('',(-3.85,-1.41642135623731,7.98));
#21716=CARTESIAN_POINT('',(-3.85,-2.14414892056306,7.98));
#21717=CARTESIAN_POINT('',(-3.85,-0.581238438711841,7.98));
#21718=CARTESIAN_POINT('',(-3.75,-2.04414892056306,7.88));
#21719=CARTESIAN_POINT('Origin',(-3.85,-2.14414892056306,7.88));
#21720=CARTESIAN_POINT('',(-3.75,-1.275,7.88));
#21721=CARTESIAN_POINT('',(-3.75,-0.581238438711841,7.88));
#21722=CARTESIAN_POINT('',(-3.77928932188135,-1.34571067811865,7.95071067811866));
#21723=CARTESIAN_POINT('Origin',(-3.85,-1.275,7.88));
#21724=CARTESIAN_POINT('Origin',(-3.85,-1.41642135623731,7.88));
#21725=CARTESIAN_POINT('Origin',(-3.05,0.581238438711841,7.88));
#21726=CARTESIAN_POINT('',(-2.95,1.275,7.88));
#21727=CARTESIAN_POINT('',(-2.95,2.04414892056306,7.88));
#21728=CARTESIAN_POINT('',(-2.95,0.581238438711841,7.88));
#21729=CARTESIAN_POINT('',(-3.05,2.14414892056306,7.98));
#21730=CARTESIAN_POINT('Origin',(-3.05,2.14414892056306,7.88));
#21731=CARTESIAN_POINT('',(-3.05,0.581238438711841,7.98));
#21732=CARTESIAN_POINT('Origin',(-3.05,1.275,7.88));
#21733=CARTESIAN_POINT('Origin',(-1.8,-2.14414892056306,7.88));
#21734=CARTESIAN_POINT('',(-3.35,-2.14414892056306,7.98));
#21735=CARTESIAN_POINT('',(-1.8,-2.14414892056306,7.98));
#21736=CARTESIAN_POINT('',(-3.45,-2.04414892056306,7.88));
#21737=CARTESIAN_POINT('Origin',(-3.35,-2.14414892056306,7.88));
#21738=CARTESIAN_POINT('',(-1.8,-2.04414892056306,7.88));
#21739=CARTESIAN_POINT('Origin',(-1.4,2.14414892056306,7.88));
#21740=CARTESIAN_POINT('',(-2.65,2.04414892056306,7.88));
#21741=CARTESIAN_POINT('',(-1.4,2.04414892056306,7.88));
#21742=CARTESIAN_POINT('',(-2.55,2.14414892056306,7.98));
#21743=CARTESIAN_POINT('Origin',(-2.55,2.14414892056306,7.88));
#21744=CARTESIAN_POINT('',(-1.4,2.14414892056306,7.98));
#21745=CARTESIAN_POINT('Origin',(-2.55,0.581238438711841,7.88));
#21746=CARTESIAN_POINT('',(-2.65,1.275,7.88));
#21747=CARTESIAN_POINT('',(-2.65,0.581238438711841,7.88));
#21748=CARTESIAN_POINT('',(-2.62071067811866,1.34571067811865,7.95071067811866));
#21749=CARTESIAN_POINT('Origin',(-2.55,1.275,7.88));
#21750=CARTESIAN_POINT('',(-2.55,1.41642135623731,7.98));
#21751=CARTESIAN_POINT('Origin',(-2.55,1.41642135623731,7.88));
#21752=CARTESIAN_POINT('',(-2.55,0.581238438711841,7.98));
#21753=CARTESIAN_POINT('Origin',(-1.175,1.41642135623731,7.88));
#21754=CARTESIAN_POINT('',(-2.17928932188135,1.34571067811865,7.95071067811866));
#21755=CARTESIAN_POINT('',(-1.175,1.34571067811865,7.95071067811866));
#21756=CARTESIAN_POINT('',(-2.25,1.41642135623731,7.98));
#21757=CARTESIAN_POINT('Origin',(-2.25,1.41642135623731,7.88));
#21758=CARTESIAN_POINT('',(-1.175,1.41642135623731,7.98));
#21759=CARTESIAN_POINT('Origin',(-3.05,-0.581238438711841,7.88));
#21760=CARTESIAN_POINT('',(-3.05,-1.41642135623731,7.98));
#21761=CARTESIAN_POINT('',(-3.05,-2.14414892056306,7.98));
#21762=CARTESIAN_POINT('',(-3.05,-0.581238438711841,7.98));
#21763=CARTESIAN_POINT('',(-2.95,-2.04414892056306,7.88));
#21764=CARTESIAN_POINT('Origin',(-3.05,-2.14414892056306,7.88));
#21765=CARTESIAN_POINT('',(-2.95,-1.275,7.88));
#21766=CARTESIAN_POINT('',(-2.95,-0.581238438711841,7.88));
#21767=CARTESIAN_POINT('',(-2.97928932188135,-1.34571067811865,7.95071067811866));
#21768=CARTESIAN_POINT('Origin',(-3.05,-1.275,7.88));
#21769=CARTESIAN_POINT('Origin',(-3.05,-1.41642135623731,7.88));
#21770=CARTESIAN_POINT('Origin',(-2.25,0.581238438711841,7.88));
#21771=CARTESIAN_POINT('',(-2.15,1.275,7.88));
#21772=CARTESIAN_POINT('',(-2.15,2.04414892056306,7.88));
#21773=CARTESIAN_POINT('',(-2.15,0.581238438711841,7.88));
#21774=CARTESIAN_POINT('',(-2.25,2.14414892056306,7.98));
#21775=CARTESIAN_POINT('Origin',(-2.25,2.14414892056306,7.88));
#21776=CARTESIAN_POINT('',(-2.25,0.581238438711841,7.98));
#21777=CARTESIAN_POINT('Origin',(-2.25,1.275,7.88));
#21778=CARTESIAN_POINT('Origin',(-1.4,-2.14414892056306,7.88));
#21779=CARTESIAN_POINT('',(-2.55,-2.14414892056306,7.98));
#21780=CARTESIAN_POINT('',(-1.4,-2.14414892056306,7.98));
#21781=CARTESIAN_POINT('',(-2.65,-2.04414892056306,7.88));
#21782=CARTESIAN_POINT('Origin',(-2.55,-2.14414892056306,7.88));
#21783=CARTESIAN_POINT('',(-1.4,-2.04414892056306,7.88));
#21784=CARTESIAN_POINT('Origin',(-1.,2.14414892056306,7.88));
#21785=CARTESIAN_POINT('',(-1.85,2.04414892056306,7.88));
#21786=CARTESIAN_POINT('',(-1.,2.04414892056306,7.88));
#21787=CARTESIAN_POINT('',(-1.75,2.14414892056306,7.98));
#21788=CARTESIAN_POINT('Origin',(-1.75,2.14414892056306,7.88));
#21789=CARTESIAN_POINT('',(-1.,2.14414892056306,7.98));
#21790=CARTESIAN_POINT('Origin',(-1.75,0.581238438711841,7.88));
#21791=CARTESIAN_POINT('',(-1.85,1.275,7.88));
#21792=CARTESIAN_POINT('',(-1.85,0.581238438711841,7.88));
#21793=CARTESIAN_POINT('',(-1.82071067811866,1.34571067811865,7.95071067811866));
#21794=CARTESIAN_POINT('Origin',(-1.75,1.275,7.88));
#21795=CARTESIAN_POINT('',(-1.75,1.41642135623731,7.98));
#21796=CARTESIAN_POINT('Origin',(-1.75,1.41642135623731,7.88));
#21797=CARTESIAN_POINT('',(-1.75,0.581238438711841,7.98));
#21798=CARTESIAN_POINT('Origin',(-1.175,1.41642135623731,7.88));
#21799=CARTESIAN_POINT('',(-1.37928932188135,1.34571067811865,7.95071067811866));
#21800=CARTESIAN_POINT('',(-1.175,1.34571067811865,7.95071067811866));
#21801=CARTESIAN_POINT('',(-1.45,1.41642135623731,7.98));
#21802=CARTESIAN_POINT('Origin',(-1.45,1.41642135623731,7.88));
#21803=CARTESIAN_POINT('',(-1.175,1.41642135623731,7.98));
#21804=CARTESIAN_POINT('Origin',(-2.25,-0.581238438711841,7.88));
#21805=CARTESIAN_POINT('',(-2.25,-1.41642135623731,7.98));
#21806=CARTESIAN_POINT('',(-2.25,-2.14414892056306,7.98));
#21807=CARTESIAN_POINT('',(-2.25,-0.581238438711841,7.98));
#21808=CARTESIAN_POINT('',(-2.15,-2.04414892056306,7.88));
#21809=CARTESIAN_POINT('Origin',(-2.25,-2.14414892056306,7.88));
#21810=CARTESIAN_POINT('',(-2.15,-1.275,7.88));
#21811=CARTESIAN_POINT('',(-2.15,-0.581238438711841,7.88));
#21812=CARTESIAN_POINT('',(-2.17928932188135,-1.34571067811865,7.95071067811866));
#21813=CARTESIAN_POINT('Origin',(-2.25,-1.275,7.88));
#21814=CARTESIAN_POINT('Origin',(-2.25,-1.41642135623731,7.88));
#21815=CARTESIAN_POINT('Origin',(-1.45,0.581238438711841,7.88));
#21816=CARTESIAN_POINT('',(-1.35,1.275,7.88));
#21817=CARTESIAN_POINT('',(-1.35,2.04414892056306,7.88));
#21818=CARTESIAN_POINT('',(-1.35,0.581238438711841,7.88));
#21819=CARTESIAN_POINT('',(-1.45,2.14414892056306,7.98));
#21820=CARTESIAN_POINT('Origin',(-1.45,2.14414892056306,7.88));
#21821=CARTESIAN_POINT('',(-1.45,0.581238438711841,7.98));
#21822=CARTESIAN_POINT('Origin',(-1.45,1.275,7.88));
#21823=CARTESIAN_POINT('Origin',(-1.,-2.14414892056306,7.88));
#21824=CARTESIAN_POINT('',(-1.75,-2.14414892056306,7.98));
#21825=CARTESIAN_POINT('',(-1.,-2.14414892056306,7.98));
#21826=CARTESIAN_POINT('',(-1.85,-2.04414892056306,7.88));
#21827=CARTESIAN_POINT('Origin',(-1.75,-2.14414892056306,7.88));
#21828=CARTESIAN_POINT('',(-1.,-2.04414892056306,7.88));
#21829=CARTESIAN_POINT('Origin',(-0.6,2.14414892056306,7.88));
#21830=CARTESIAN_POINT('',(-1.05,2.04414892056306,7.88));
#21831=CARTESIAN_POINT('',(-0.6,2.04414892056306,7.88));
#21832=CARTESIAN_POINT('',(-0.95,2.14414892056306,7.98));
#21833=CARTESIAN_POINT('Origin',(-0.95,2.14414892056306,7.88));
#21834=CARTESIAN_POINT('',(-0.6,2.14414892056306,7.98));
#21835=CARTESIAN_POINT('Origin',(-0.95,0.581238438711841,7.88));
#21836=CARTESIAN_POINT('',(-1.05,1.275,7.88));
#21837=CARTESIAN_POINT('',(-1.05,0.581238438711841,7.88));
#21838=CARTESIAN_POINT('',(-1.02071067811865,1.34571067811865,7.95071067811866));
#21839=CARTESIAN_POINT('Origin',(-0.95,1.275,7.88));
#21840=CARTESIAN_POINT('',(-0.95,1.41642135623731,7.98));
#21841=CARTESIAN_POINT('Origin',(-0.95,1.41642135623731,7.88));
#21842=CARTESIAN_POINT('',(-0.95,0.581238438711841,7.98));
#21843=CARTESIAN_POINT('Origin',(-1.175,1.41642135623731,7.88));
#21844=CARTESIAN_POINT('',(-0.579289321881346,1.34571067811865,7.95071067811866));
#21845=CARTESIAN_POINT('',(-1.175,1.34571067811865,7.95071067811866));
#21846=CARTESIAN_POINT('',(-0.650000000000001,1.41642135623731,7.98));
#21847=CARTESIAN_POINT('Origin',(-0.650000000000001,1.41642135623731,7.88));
#21848=CARTESIAN_POINT('',(-1.175,1.41642135623731,7.98));
#21849=CARTESIAN_POINT('Origin',(-1.45,-0.581238438711841,7.88));
#21850=CARTESIAN_POINT('',(-1.45,-1.41642135623731,7.98));
#21851=CARTESIAN_POINT('',(-1.45,-2.14414892056306,7.98));
#21852=CARTESIAN_POINT('',(-1.45,-0.581238438711841,7.98));
#21853=CARTESIAN_POINT('',(-1.35,-2.04414892056306,7.88));
#21854=CARTESIAN_POINT('Origin',(-1.45,-2.14414892056306,7.88));
#21855=CARTESIAN_POINT('',(-1.35,-1.275,7.88));
#21856=CARTESIAN_POINT('',(-1.35,-0.581238438711841,7.88));
#21857=CARTESIAN_POINT('',(-1.37928932188135,-1.34571067811865,7.95071067811866));
#21858=CARTESIAN_POINT('Origin',(-1.45,-1.275,7.88));
#21859=CARTESIAN_POINT('Origin',(-1.45,-1.41642135623731,7.88));
#21860=CARTESIAN_POINT('Origin',(-0.650000000000001,0.581238438711841,7.88));
#21861=CARTESIAN_POINT('',(-0.55,1.275,7.88));
#21862=CARTESIAN_POINT('',(-0.55,2.04414892056306,7.88));
#21863=CARTESIAN_POINT('',(-0.55,0.581238438711841,7.88));
#21864=CARTESIAN_POINT('',(-0.650000000000001,2.14414892056306,7.98));
#21865=CARTESIAN_POINT('Origin',(-0.650000000000001,2.14414892056306,7.88));
#21866=CARTESIAN_POINT('',(-0.650000000000001,0.581238438711841,7.98));
#21867=CARTESIAN_POINT('Origin',(-0.650000000000001,1.275,7.88));
#21868=CARTESIAN_POINT('Origin',(-0.6,-2.14414892056306,7.88));
#21869=CARTESIAN_POINT('',(-0.95,-2.14414892056306,7.98));
#21870=CARTESIAN_POINT('',(-0.6,-2.14414892056306,7.98));
#21871=CARTESIAN_POINT('',(-1.05,-2.04414892056306,7.88));
#21872=CARTESIAN_POINT('Origin',(-0.95,-2.14414892056306,7.88));
#21873=CARTESIAN_POINT('',(-0.6,-2.04414892056306,7.88));
#21874=CARTESIAN_POINT('Origin',(-0.2,2.14414892056306,7.88));
#21875=CARTESIAN_POINT('',(-0.25,2.04414892056306,7.88));
#21876=CARTESIAN_POINT('',(-0.2,2.04414892056306,7.88));
#21877=CARTESIAN_POINT('',(-0.15,2.14414892056306,7.98));
#21878=CARTESIAN_POINT('Origin',(-0.15,2.14414892056306,7.88));
#21879=CARTESIAN_POINT('',(-0.2,2.14414892056306,7.98));
#21880=CARTESIAN_POINT('Origin',(-0.15,0.581238438711841,7.88));
#21881=CARTESIAN_POINT('',(-0.25,1.275,7.88));
#21882=CARTESIAN_POINT('',(-0.25,0.581238438711841,7.88));
#21883=CARTESIAN_POINT('',(-0.220710678118655,1.34571067811865,7.95071067811866));
#21884=CARTESIAN_POINT('Origin',(-0.15,1.275,7.88));
#21885=CARTESIAN_POINT('',(-0.15,1.41642135623731,7.98));
#21886=CARTESIAN_POINT('Origin',(-0.15,1.41642135623731,7.88));
#21887=CARTESIAN_POINT('',(-0.15,0.581238438711841,7.98));
#21888=CARTESIAN_POINT('Origin',(-1.175,1.41642135623731,7.88));
#21889=CARTESIAN_POINT('',(0.220710678118654,1.34571067811865,7.95071067811866));
#21890=CARTESIAN_POINT('',(-1.175,1.34571067811865,7.95071067811866));
#21891=CARTESIAN_POINT('',(0.15,1.41642135623731,7.98));
#21892=CARTESIAN_POINT('Origin',(0.15,1.41642135623731,7.88));
#21893=CARTESIAN_POINT('',(-1.175,1.41642135623731,7.98));
#21894=CARTESIAN_POINT('Origin',(-0.650000000000001,-0.581238438711841,
7.88));
#21895=CARTESIAN_POINT('',(-0.650000000000001,-1.41642135623731,7.98));
#21896=CARTESIAN_POINT('',(-0.650000000000001,-2.14414892056306,7.98));
#21897=CARTESIAN_POINT('',(-0.650000000000001,-0.581238438711841,7.98));
#21898=CARTESIAN_POINT('',(-0.55,-2.04414892056306,7.88));
#21899=CARTESIAN_POINT('Origin',(-0.650000000000001,-2.14414892056306,7.88));
#21900=CARTESIAN_POINT('',(-0.55,-1.275,7.88));
#21901=CARTESIAN_POINT('',(-0.55,-0.581238438711841,7.88));
#21902=CARTESIAN_POINT('',(-0.579289321881346,-1.34571067811865,7.95071067811866));
#21903=CARTESIAN_POINT('Origin',(-0.650000000000001,-1.275,7.88));
#21904=CARTESIAN_POINT('Origin',(-0.650000000000001,-1.41642135623731,7.88));
#21905=CARTESIAN_POINT('Origin',(0.15,0.581238438711841,7.88));
#21906=CARTESIAN_POINT('',(0.25,1.275,7.88));
#21907=CARTESIAN_POINT('',(0.25,2.04414892056306,7.88));
#21908=CARTESIAN_POINT('',(0.25,0.581238438711841,7.88));
#21909=CARTESIAN_POINT('',(0.15,2.14414892056306,7.98));
#21910=CARTESIAN_POINT('Origin',(0.15,2.14414892056306,7.88));
#21911=CARTESIAN_POINT('',(0.15,0.581238438711841,7.98));
#21912=CARTESIAN_POINT('Origin',(0.15,1.275,7.88));
#21913=CARTESIAN_POINT('Origin',(-0.2,-2.14414892056306,7.88));
#21914=CARTESIAN_POINT('',(-0.15,-2.14414892056306,7.98));
#21915=CARTESIAN_POINT('',(-0.2,-2.14414892056306,7.98));
#21916=CARTESIAN_POINT('',(-0.25,-2.04414892056306,7.88));
#21917=CARTESIAN_POINT('Origin',(-0.15,-2.14414892056306,7.88));
#21918=CARTESIAN_POINT('',(-0.2,-2.04414892056306,7.88));
#21919=CARTESIAN_POINT('Origin',(0.2,2.14414892056306,7.88));
#21920=CARTESIAN_POINT('',(0.55,2.04414892056306,7.88));
#21921=CARTESIAN_POINT('',(0.2,2.04414892056306,7.88));
#21922=CARTESIAN_POINT('',(0.65,2.14414892056306,7.98));
#21923=CARTESIAN_POINT('Origin',(0.65,2.14414892056306,7.88));
#21924=CARTESIAN_POINT('',(0.2,2.14414892056306,7.98));
#21925=CARTESIAN_POINT('Origin',(0.65,0.581238438711841,7.88));
#21926=CARTESIAN_POINT('',(0.55,1.275,7.88));
#21927=CARTESIAN_POINT('',(0.55,0.581238438711841,7.88));
#21928=CARTESIAN_POINT('',(0.579289321881346,1.34571067811865,7.95071067811866));
#21929=CARTESIAN_POINT('Origin',(0.65,1.275,7.88));
#21930=CARTESIAN_POINT('',(0.65,1.41642135623731,7.98));
#21931=CARTESIAN_POINT('Origin',(0.65,1.41642135623731,7.88));
#21932=CARTESIAN_POINT('',(0.65,0.581238438711841,7.98));
#21933=CARTESIAN_POINT('Origin',(-1.175,1.41642135623731,7.88));
#21934=CARTESIAN_POINT('',(1.02071067811865,1.34571067811865,7.95071067811866));
#21935=CARTESIAN_POINT('',(-1.175,1.34571067811865,7.95071067811866));
#21936=CARTESIAN_POINT('',(0.95,1.41642135623731,7.98));
#21937=CARTESIAN_POINT('Origin',(0.95,1.41642135623731,7.88));
#21938=CARTESIAN_POINT('',(-1.175,1.41642135623731,7.98));
#21939=CARTESIAN_POINT('Origin',(0.15,-0.581238438711841,7.88));
#21940=CARTESIAN_POINT('',(0.15,-1.41642135623731,7.98));
#21941=CARTESIAN_POINT('',(0.15,-2.14414892056306,7.98));
#21942=CARTESIAN_POINT('',(0.15,-0.581238438711841,7.98));
#21943=CARTESIAN_POINT('',(0.25,-2.04414892056306,7.88));
#21944=CARTESIAN_POINT('Origin',(0.15,-2.14414892056306,7.88));
#21945=CARTESIAN_POINT('',(0.25,-1.275,7.88));
#21946=CARTESIAN_POINT('',(0.25,-0.581238438711841,7.88));
#21947=CARTESIAN_POINT('',(0.220710678118654,-1.34571067811865,7.95071067811866));
#21948=CARTESIAN_POINT('Origin',(0.15,-1.275,7.88));
#21949=CARTESIAN_POINT('Origin',(0.15,-1.41642135623731,7.88));
#21950=CARTESIAN_POINT('Origin',(0.95,0.581238438711841,7.88));
#21951=CARTESIAN_POINT('',(1.05,1.275,7.88));
#21952=CARTESIAN_POINT('',(1.05,2.04414892056306,7.88));
#21953=CARTESIAN_POINT('',(1.05,0.581238438711841,7.88));
#21954=CARTESIAN_POINT('',(0.95,2.14414892056306,7.98));
#21955=CARTESIAN_POINT('Origin',(0.95,2.14414892056306,7.88));
#21956=CARTESIAN_POINT('',(0.95,0.581238438711841,7.98));
#21957=CARTESIAN_POINT('Origin',(0.95,1.275,7.88));
#21958=CARTESIAN_POINT('Origin',(0.2,-2.14414892056306,7.88));
#21959=CARTESIAN_POINT('',(0.65,-2.14414892056306,7.98));
#21960=CARTESIAN_POINT('',(0.2,-2.14414892056306,7.98));
#21961=CARTESIAN_POINT('',(0.55,-2.04414892056306,7.88));
#21962=CARTESIAN_POINT('Origin',(0.65,-2.14414892056306,7.88));
#21963=CARTESIAN_POINT('',(0.2,-2.04414892056306,7.88));
#21964=CARTESIAN_POINT('Origin',(0.6,2.14414892056306,7.88));
#21965=CARTESIAN_POINT('',(1.35,2.04414892056306,7.88));
#21966=CARTESIAN_POINT('',(0.6,2.04414892056306,7.88));
#21967=CARTESIAN_POINT('',(1.45,2.14414892056306,7.98));
#21968=CARTESIAN_POINT('Origin',(1.45,2.14414892056306,7.88));
#21969=CARTESIAN_POINT('',(0.6,2.14414892056306,7.98));
#21970=CARTESIAN_POINT('Origin',(1.45,0.581238438711841,7.88));
#21971=CARTESIAN_POINT('',(1.35,1.275,7.88));
#21972=CARTESIAN_POINT('',(1.35,0.581238438711841,7.88));
#21973=CARTESIAN_POINT('',(1.37928932188135,1.34571067811865,7.95071067811866));
#21974=CARTESIAN_POINT('Origin',(1.45,1.275,7.88));
#21975=CARTESIAN_POINT('',(1.45,1.41642135623731,7.98));
#21976=CARTESIAN_POINT('Origin',(1.45,1.41642135623731,7.88));
#21977=CARTESIAN_POINT('',(1.45,0.581238438711841,7.98));
#21978=CARTESIAN_POINT('Origin',(-1.175,1.41642135623731,7.88));
#21979=CARTESIAN_POINT('',(1.82071067811865,1.34571067811865,7.95071067811866));
#21980=CARTESIAN_POINT('',(-1.175,1.34571067811865,7.95071067811866));
#21981=CARTESIAN_POINT('',(1.75,1.41642135623731,7.98));
#21982=CARTESIAN_POINT('Origin',(1.75,1.41642135623731,7.88));
#21983=CARTESIAN_POINT('',(-1.175,1.41642135623731,7.98));
#21984=CARTESIAN_POINT('Origin',(0.95,-0.581238438711841,7.88));
#21985=CARTESIAN_POINT('',(0.95,-1.41642135623731,7.98));
#21986=CARTESIAN_POINT('',(0.95,-2.14414892056306,7.98));
#21987=CARTESIAN_POINT('',(0.95,-0.581238438711841,7.98));
#21988=CARTESIAN_POINT('',(1.05,-2.04414892056306,7.88));
#21989=CARTESIAN_POINT('Origin',(0.95,-2.14414892056306,7.88));
#21990=CARTESIAN_POINT('',(1.05,-1.275,7.88));
#21991=CARTESIAN_POINT('',(1.05,-0.581238438711841,7.88));
#21992=CARTESIAN_POINT('',(1.02071067811865,-1.34571067811865,7.95071067811866));
#21993=CARTESIAN_POINT('Origin',(0.95,-1.275,7.88));
#21994=CARTESIAN_POINT('Origin',(0.95,-1.41642135623731,7.88));
#21995=CARTESIAN_POINT('Origin',(1.75,0.581238438711841,7.88));
#21996=CARTESIAN_POINT('',(1.85,1.275,7.88));
#21997=CARTESIAN_POINT('',(1.85,2.04414892056306,7.88));
#21998=CARTESIAN_POINT('',(1.85,0.581238438711841,7.88));
#21999=CARTESIAN_POINT('',(1.75,2.14414892056306,7.98));
#22000=CARTESIAN_POINT('Origin',(1.75,2.14414892056306,7.88));
#22001=CARTESIAN_POINT('',(1.75,0.581238438711841,7.98));
#22002=CARTESIAN_POINT('Origin',(1.75,1.275,7.88));
#22003=CARTESIAN_POINT('Origin',(0.6,-2.14414892056306,7.88));
#22004=CARTESIAN_POINT('',(1.45,-2.14414892056306,7.98));
#22005=CARTESIAN_POINT('',(0.6,-2.14414892056306,7.98));
#22006=CARTESIAN_POINT('',(1.35,-2.04414892056306,7.88));
#22007=CARTESIAN_POINT('Origin',(1.45,-2.14414892056306,7.88));
#22008=CARTESIAN_POINT('',(0.6,-2.04414892056306,7.88));
#22009=CARTESIAN_POINT('Origin',(1.,2.14414892056306,7.88));
#22010=CARTESIAN_POINT('',(2.15,2.04414892056306,7.88));
#22011=CARTESIAN_POINT('',(1.,2.04414892056306,7.88));
#22012=CARTESIAN_POINT('',(2.25,2.14414892056306,7.98));
#22013=CARTESIAN_POINT('Origin',(2.25,2.14414892056306,7.88));
#22014=CARTESIAN_POINT('',(1.,2.14414892056306,7.98));
#22015=CARTESIAN_POINT('Origin',(2.25,0.581238438711841,7.88));
#22016=CARTESIAN_POINT('',(2.15,1.275,7.88));
#22017=CARTESIAN_POINT('',(2.15,0.581238438711841,7.88));
#22018=CARTESIAN_POINT('',(2.17928932188134,1.34571067811865,7.95071067811866));
#22019=CARTESIAN_POINT('Origin',(2.25,1.275,7.88));
#22020=CARTESIAN_POINT('',(2.25,1.41642135623731,7.98));
#22021=CARTESIAN_POINT('Origin',(2.25,1.41642135623731,7.88));
#22022=CARTESIAN_POINT('',(2.25,0.581238438711841,7.98));
#22023=CARTESIAN_POINT('Origin',(-1.175,1.41642135623731,7.88));
#22024=CARTESIAN_POINT('',(2.62071067811865,1.34571067811865,7.95071067811866));
#22025=CARTESIAN_POINT('',(-1.175,1.34571067811865,7.95071067811866));
#22026=CARTESIAN_POINT('',(2.55,1.41642135623731,7.98));
#22027=CARTESIAN_POINT('Origin',(2.55,1.41642135623731,7.88));
#22028=CARTESIAN_POINT('',(-1.175,1.41642135623731,7.98));
#22029=CARTESIAN_POINT('Origin',(1.75,-0.581238438711841,7.88));
#22030=CARTESIAN_POINT('',(1.75,-1.41642135623731,7.98));
#22031=CARTESIAN_POINT('',(1.75,-2.14414892056306,7.98));
#22032=CARTESIAN_POINT('',(1.75,-0.581238438711841,7.98));
#22033=CARTESIAN_POINT('',(1.85,-2.04414892056306,7.88));
#22034=CARTESIAN_POINT('Origin',(1.75,-2.14414892056306,7.88));
#22035=CARTESIAN_POINT('',(1.85,-1.275,7.88));
#22036=CARTESIAN_POINT('',(1.85,-0.581238438711841,7.88));
#22037=CARTESIAN_POINT('',(1.82071067811865,-1.34571067811865,7.95071067811866));
#22038=CARTESIAN_POINT('Origin',(1.75,-1.275,7.88));
#22039=CARTESIAN_POINT('Origin',(1.75,-1.41642135623731,7.88));
#22040=CARTESIAN_POINT('Origin',(2.55,0.581238438711841,7.88));
#22041=CARTESIAN_POINT('',(2.65,1.275,7.88));
#22042=CARTESIAN_POINT('',(2.65,2.04414892056306,7.88));
#22043=CARTESIAN_POINT('',(2.65,0.581238438711841,7.88));
#22044=CARTESIAN_POINT('',(2.55,2.14414892056306,7.98));
#22045=CARTESIAN_POINT('Origin',(2.55,2.14414892056306,7.88));
#22046=CARTESIAN_POINT('',(2.55,0.581238438711841,7.98));
#22047=CARTESIAN_POINT('Origin',(2.55,1.275,7.88));
#22048=CARTESIAN_POINT('Origin',(1.,-2.14414892056306,7.88));
#22049=CARTESIAN_POINT('',(2.25,-2.14414892056306,7.98));
#22050=CARTESIAN_POINT('',(1.,-2.14414892056306,7.98));
#22051=CARTESIAN_POINT('',(2.15,-2.04414892056306,7.88));
#22052=CARTESIAN_POINT('Origin',(2.25,-2.14414892056306,7.88));
#22053=CARTESIAN_POINT('',(1.,-2.04414892056306,7.88));
#22054=CARTESIAN_POINT('Origin',(1.4,2.14414892056306,7.88));
#22055=CARTESIAN_POINT('',(2.95,2.04414892056306,7.88));
#22056=CARTESIAN_POINT('',(1.4,2.04414892056306,7.88));
#22057=CARTESIAN_POINT('',(3.05,2.14414892056306,7.98));
#22058=CARTESIAN_POINT('Origin',(3.05,2.14414892056306,7.88));
#22059=CARTESIAN_POINT('',(1.4,2.14414892056306,7.98));
#22060=CARTESIAN_POINT('Origin',(3.05,0.581238438711841,7.88));
#22061=CARTESIAN_POINT('',(2.95,1.275,7.88));
#22062=CARTESIAN_POINT('',(2.95,0.581238438711841,7.88));
#22063=CARTESIAN_POINT('',(2.97928932188135,1.34571067811865,7.95071067811866));
#22064=CARTESIAN_POINT('Origin',(3.05,1.275,7.88));
#22065=CARTESIAN_POINT('',(3.05,1.41642135623731,7.98));
#22066=CARTESIAN_POINT('Origin',(3.05,1.41642135623731,7.88));
#22067=CARTESIAN_POINT('',(3.05,0.581238438711841,7.98));
#22068=CARTESIAN_POINT('Origin',(-1.175,1.41642135623731,7.88));
#22069=CARTESIAN_POINT('',(3.42071067811866,1.34571067811865,7.95071067811866));
#22070=CARTESIAN_POINT('',(-1.175,1.34571067811865,7.95071067811866));
#22071=CARTESIAN_POINT('',(3.35,1.41642135623731,7.98));
#22072=CARTESIAN_POINT('Origin',(3.35,1.41642135623731,7.88));
#22073=CARTESIAN_POINT('',(-1.175,1.41642135623731,7.98));
#22074=CARTESIAN_POINT('Origin',(2.55,-0.581238438711841,7.88));
#22075=CARTESIAN_POINT('',(2.55,-1.41642135623731,7.98));
#22076=CARTESIAN_POINT('',(2.55,-2.14414892056306,7.98));
#22077=CARTESIAN_POINT('',(2.55,-0.581238438711841,7.98));
#22078=CARTESIAN_POINT('',(2.65,-2.04414892056306,7.88));
#22079=CARTESIAN_POINT('Origin',(2.55,-2.14414892056306,7.88));
#22080=CARTESIAN_POINT('',(2.65,-1.275,7.88));
#22081=CARTESIAN_POINT('',(2.65,-0.581238438711841,7.88));
#22082=CARTESIAN_POINT('',(2.62071067811865,-1.34571067811865,7.95071067811866));
#22083=CARTESIAN_POINT('Origin',(2.55,-1.275,7.88));
#22084=CARTESIAN_POINT('Origin',(2.55,-1.41642135623731,7.88));
#22085=CARTESIAN_POINT('Origin',(3.35,0.581238438711841,7.88));
#22086=CARTESIAN_POINT('',(3.45,1.275,7.88));
#22087=CARTESIAN_POINT('',(3.45,2.04414892056306,7.88));
#22088=CARTESIAN_POINT('',(3.45,0.581238438711841,7.88));
#22089=CARTESIAN_POINT('',(3.35,2.14414892056306,7.98));
#22090=CARTESIAN_POINT('Origin',(3.35,2.14414892056306,7.88));
#22091=CARTESIAN_POINT('',(3.35,0.581238438711841,7.98));
#22092=CARTESIAN_POINT('Origin',(3.35,1.275,7.88));
#22093=CARTESIAN_POINT('Origin',(1.4,-2.14414892056306,7.88));
#22094=CARTESIAN_POINT('',(3.05,-2.14414892056306,7.98));
#22095=CARTESIAN_POINT('',(1.4,-2.14414892056306,7.98));
#22096=CARTESIAN_POINT('',(2.95,-2.04414892056306,7.88));
#22097=CARTESIAN_POINT('Origin',(3.05,-2.14414892056306,7.88));
#22098=CARTESIAN_POINT('',(1.4,-2.04414892056306,7.88));
#22099=CARTESIAN_POINT('Origin',(1.8,2.14414892056306,7.88));
#22100=CARTESIAN_POINT('',(3.75,2.04414892056306,7.88));
#22101=CARTESIAN_POINT('',(1.8,2.04414892056306,7.88));
#22102=CARTESIAN_POINT('',(3.85,2.14414892056306,7.98));
#22103=CARTESIAN_POINT('Origin',(3.85,2.14414892056306,7.88));
#22104=CARTESIAN_POINT('',(1.8,2.14414892056306,7.98));
#22105=CARTESIAN_POINT('Origin',(3.85,0.581238438711841,7.88));
#22106=CARTESIAN_POINT('',(3.75,1.275,7.88));
#22107=CARTESIAN_POINT('',(3.75,0.581238438711841,7.88));
#22108=CARTESIAN_POINT('',(3.77928932188135,1.34571067811865,7.95071067811866));
#22109=CARTESIAN_POINT('Origin',(3.85,1.275,7.88));
#22110=CARTESIAN_POINT('',(3.85,1.41642135623731,7.98));
#22111=CARTESIAN_POINT('Origin',(3.85,1.41642135623731,7.88));
#22112=CARTESIAN_POINT('',(3.85,0.581238438711841,7.98));
#22113=CARTESIAN_POINT('Origin',(-1.175,1.41642135623731,7.88));
#22114=CARTESIAN_POINT('',(5.17071067811866,1.34571067811865,7.95071067811866));
#22115=CARTESIAN_POINT('',(-1.175,1.34571067811865,7.95071067811866));
#22116=CARTESIAN_POINT('',(5.24142135623731,1.41642135623731,7.98));
#22117=CARTESIAN_POINT('Origin',(5.24142135623731,1.41642135623731,7.88));
#22118=CARTESIAN_POINT('',(-1.175,1.41642135623731,7.98));
#22119=CARTESIAN_POINT('Origin',(3.35,-0.581238438711841,7.88));
#22120=CARTESIAN_POINT('',(3.35,-1.41642135623731,7.98));
#22121=CARTESIAN_POINT('',(3.35,-2.14414892056306,7.98));
#22122=CARTESIAN_POINT('',(3.35,-0.581238438711841,7.98));
#22123=CARTESIAN_POINT('',(3.45,-2.04414892056306,7.88));
#22124=CARTESIAN_POINT('Origin',(3.35,-2.14414892056306,7.88));
#22125=CARTESIAN_POINT('',(3.45,-1.275,7.88));
#22126=CARTESIAN_POINT('',(3.45,-0.581238438711841,7.88));
#22127=CARTESIAN_POINT('',(3.42071067811866,-1.34571067811865,7.95071067811866));
#22128=CARTESIAN_POINT('Origin',(3.35,-1.275,7.88));
#22129=CARTESIAN_POINT('Origin',(3.35,-1.41642135623731,7.88));
#22130=CARTESIAN_POINT('Origin',(1.8,-2.14414892056306,7.88));
#22131=CARTESIAN_POINT('',(3.85,-2.14414892056306,7.98));
#22132=CARTESIAN_POINT('',(1.8,-2.14414892056306,7.98));
#22133=CARTESIAN_POINT('',(3.75,-2.04414892056306,7.88));
#22134=CARTESIAN_POINT('Origin',(3.85,-2.14414892056306,7.88));
#22135=CARTESIAN_POINT('',(1.8,-2.04414892056306,7.88));
#22136=CARTESIAN_POINT('Origin',(1.175,-1.41642135623731,7.88));
#22137=CARTESIAN_POINT('',(5.17071067811866,-1.34571067811865,7.95071067811866));
#22138=CARTESIAN_POINT('',(3.77928932188135,-1.34571067811865,7.95071067811866));
#22139=CARTESIAN_POINT('',(1.175,-1.34571067811865,7.95071067811866));
#22140=CARTESIAN_POINT('',(3.85,-1.41642135623731,7.98));
#22141=CARTESIAN_POINT('Origin',(3.85,-1.41642135623731,7.88));
#22142=CARTESIAN_POINT('',(5.24142135623731,-1.41642135623731,7.98));
#22143=CARTESIAN_POINT('',(1.175,-1.41642135623731,7.98));
#22144=CARTESIAN_POINT('Origin',(5.24142135623731,-1.41642135623731,7.88));
#22145=CARTESIAN_POINT('Origin',(3.85,-0.581238438711841,7.88));
#22146=CARTESIAN_POINT('',(3.85,-0.581238438711841,7.98));
#22147=CARTESIAN_POINT('',(3.75,-1.275,7.88));
#22148=CARTESIAN_POINT('Origin',(3.85,-1.275,7.88));
#22149=CARTESIAN_POINT('',(3.75,-0.581238438711841,7.88));
#22150=CARTESIAN_POINT('Origin',(5.24142135623731,0.21875,7.88));
#22151=CARTESIAN_POINT('',(5.17071067811866,0.21875,7.95071067811866));
#22152=CARTESIAN_POINT('',(5.24142135623731,0.21875,7.98));
#22153=CARTESIAN_POINT('Origin',(1.175,-1.41642135623731,7.88));
#22154=CARTESIAN_POINT('',(3.05,-1.41642135623731,7.98));
#22155=CARTESIAN_POINT('',(1.175,-1.41642135623731,7.98));
#22156=CARTESIAN_POINT('',(2.97928932188135,-1.34571067811865,7.95071067811866));
#22157=CARTESIAN_POINT('',(1.175,-1.34571067811865,7.95071067811866));
#22158=CARTESIAN_POINT('Origin',(3.05,-1.41642135623731,7.88));
#22159=CARTESIAN_POINT('Origin',(3.05,-0.581238438711841,7.88));
#22160=CARTESIAN_POINT('',(3.05,-0.581238438711841,7.98));
#22161=CARTESIAN_POINT('',(2.95,-1.275,7.88));
#22162=CARTESIAN_POINT('Origin',(3.05,-1.275,7.88));
#22163=CARTESIAN_POINT('',(2.95,-0.581238438711841,7.88));
#22164=CARTESIAN_POINT('Origin',(1.175,-1.41642135623731,7.88));
#22165=CARTESIAN_POINT('',(2.25,-1.41642135623731,7.98));
#22166=CARTESIAN_POINT('',(1.175,-1.41642135623731,7.98));
#22167=CARTESIAN_POINT('',(2.17928932188135,-1.34571067811865,7.95071067811866));
#22168=CARTESIAN_POINT('',(1.175,-1.34571067811865,7.95071067811866));
#22169=CARTESIAN_POINT('Origin',(2.25,-1.41642135623731,7.88));
#22170=CARTESIAN_POINT('Origin',(2.25,-0.581238438711841,7.88));
#22171=CARTESIAN_POINT('',(2.25,-0.581238438711841,7.98));
#22172=CARTESIAN_POINT('',(2.15,-1.275,7.88));
#22173=CARTESIAN_POINT('Origin',(2.25,-1.275,7.88));
#22174=CARTESIAN_POINT('',(2.15,-0.581238438711841,7.88));
#22175=CARTESIAN_POINT('Origin',(1.175,-1.41642135623731,7.88));
#22176=CARTESIAN_POINT('',(1.45,-1.41642135623731,7.98));
#22177=CARTESIAN_POINT('',(1.175,-1.41642135623731,7.98));
#22178=CARTESIAN_POINT('',(1.37928932188135,-1.34571067811865,7.95071067811866));
#22179=CARTESIAN_POINT('',(1.175,-1.34571067811865,7.95071067811866));
#22180=CARTESIAN_POINT('Origin',(1.45,-1.41642135623731,7.88));
#22181=CARTESIAN_POINT('Origin',(1.45,-0.581238438711841,7.88));
#22182=CARTESIAN_POINT('',(1.45,-0.581238438711841,7.98));
#22183=CARTESIAN_POINT('',(1.35,-1.275,7.88));
#22184=CARTESIAN_POINT('Origin',(1.45,-1.275,7.88));
#22185=CARTESIAN_POINT('',(1.35,-0.581238438711841,7.88));
#22186=CARTESIAN_POINT('Origin',(1.175,-1.41642135623731,7.88));
#22187=CARTESIAN_POINT('',(0.65,-1.41642135623731,7.98));
#22188=CARTESIAN_POINT('',(1.175,-1.41642135623731,7.98));
#22189=CARTESIAN_POINT('',(0.579289321881346,-1.34571067811865,7.95071067811866));
#22190=CARTESIAN_POINT('',(1.175,-1.34571067811865,7.95071067811866));
#22191=CARTESIAN_POINT('Origin',(0.65,-1.41642135623731,7.88));
#22192=CARTESIAN_POINT('Origin',(0.65,-0.581238438711841,7.88));
#22193=CARTESIAN_POINT('',(0.65,-0.581238438711841,7.98));
#22194=CARTESIAN_POINT('',(0.55,-1.275,7.88));
#22195=CARTESIAN_POINT('Origin',(0.65,-1.275,7.88));
#22196=CARTESIAN_POINT('',(0.55,-0.581238438711841,7.88));
#22197=CARTESIAN_POINT('Origin',(1.175,-1.41642135623731,7.88));
#22198=CARTESIAN_POINT('',(-0.15,-1.41642135623731,7.98));
#22199=CARTESIAN_POINT('',(1.175,-1.41642135623731,7.98));
#22200=CARTESIAN_POINT('',(-0.220710678118655,-1.34571067811865,7.95071067811866));
#22201=CARTESIAN_POINT('',(1.175,-1.34571067811865,7.95071067811866));
#22202=CARTESIAN_POINT('Origin',(-0.15,-1.41642135623731,7.88));
#22203=CARTESIAN_POINT('Origin',(-0.15,-0.581238438711841,7.88));
#22204=CARTESIAN_POINT('',(-0.15,-0.581238438711841,7.98));
#22205=CARTESIAN_POINT('',(-0.25,-1.275,7.88));
#22206=CARTESIAN_POINT('Origin',(-0.15,-1.275,7.88));
#22207=CARTESIAN_POINT('',(-0.25,-0.581238438711841,7.88));
#22208=CARTESIAN_POINT('Origin',(1.175,-1.41642135623731,7.88));
#22209=CARTESIAN_POINT('',(-0.95,-1.41642135623731,7.98));
#22210=CARTESIAN_POINT('',(1.175,-1.41642135623731,7.98));
#22211=CARTESIAN_POINT('',(-1.02071067811865,-1.34571067811865,7.95071067811866));
#22212=CARTESIAN_POINT('',(1.175,-1.34571067811865,7.95071067811866));
#22213=CARTESIAN_POINT('Origin',(-0.95,-1.41642135623731,7.88));
#22214=CARTESIAN_POINT('Origin',(-0.95,-0.581238438711841,7.88));
#22215=CARTESIAN_POINT('',(-0.95,-0.581238438711841,7.98));
#22216=CARTESIAN_POINT('',(-1.05,-1.275,7.88));
#22217=CARTESIAN_POINT('Origin',(-0.95,-1.275,7.88));
#22218=CARTESIAN_POINT('',(-1.05,-0.581238438711841,7.88));
#22219=CARTESIAN_POINT('Origin',(1.175,-1.41642135623731,7.88));
#22220=CARTESIAN_POINT('',(-1.75,-1.41642135623731,7.98));
#22221=CARTESIAN_POINT('',(1.175,-1.41642135623731,7.98));
#22222=CARTESIAN_POINT('',(-1.82071067811866,-1.34571067811865,7.95071067811866));
#22223=CARTESIAN_POINT('',(1.175,-1.34571067811865,7.95071067811866));
#22224=CARTESIAN_POINT('Origin',(-1.75,-1.41642135623731,7.88));
#22225=CARTESIAN_POINT('Origin',(-1.75,-0.581238438711841,7.88));
#22226=CARTESIAN_POINT('',(-1.75,-0.581238438711841,7.98));
#22227=CARTESIAN_POINT('',(-1.85,-1.275,7.88));
#22228=CARTESIAN_POINT('Origin',(-1.75,-1.275,7.88));
#22229=CARTESIAN_POINT('',(-1.85,-0.581238438711841,7.88));
#22230=CARTESIAN_POINT('Origin',(1.175,-1.41642135623731,7.88));
#22231=CARTESIAN_POINT('',(-2.55,-1.41642135623731,7.98));
#22232=CARTESIAN_POINT('',(1.175,-1.41642135623731,7.98));
#22233=CARTESIAN_POINT('',(-2.62071067811866,-1.34571067811865,7.95071067811866));
#22234=CARTESIAN_POINT('',(1.175,-1.34571067811865,7.95071067811866));
#22235=CARTESIAN_POINT('Origin',(-2.55,-1.41642135623731,7.88));
#22236=CARTESIAN_POINT('Origin',(-2.55,-0.581238438711841,7.88));
#22237=CARTESIAN_POINT('',(-2.55,-0.581238438711841,7.98));
#22238=CARTESIAN_POINT('',(-2.65,-1.275,7.88));
#22239=CARTESIAN_POINT('Origin',(-2.55,-1.275,7.88));
#22240=CARTESIAN_POINT('',(-2.65,-0.581238438711841,7.88));
#22241=CARTESIAN_POINT('Origin',(1.175,-1.41642135623731,7.88));
#22242=CARTESIAN_POINT('',(-3.35,-1.41642135623731,7.98));
#22243=CARTESIAN_POINT('',(1.175,-1.41642135623731,7.98));
#22244=CARTESIAN_POINT('',(-3.42071067811866,-1.34571067811865,7.95071067811866));
#22245=CARTESIAN_POINT('',(1.175,-1.34571067811865,7.95071067811866));
#22246=CARTESIAN_POINT('Origin',(-3.35,-1.41642135623731,7.88));
#22247=CARTESIAN_POINT('Origin',(-3.35,-0.581238438711841,7.88));
#22248=CARTESIAN_POINT('',(-3.35,-0.581238438711841,7.98));
#22249=CARTESIAN_POINT('',(-3.45,-1.275,7.88));
#22250=CARTESIAN_POINT('Origin',(-3.35,-1.275,7.88));
#22251=CARTESIAN_POINT('',(-3.45,-0.581238438711841,7.88));
#22252=CARTESIAN_POINT('Origin',(1.175,-1.41642135623731,7.88));
#22253=CARTESIAN_POINT('',(1.175,-1.41642135623731,7.98));
#22254=CARTESIAN_POINT('',(1.175,-1.34571067811865,7.95071067811866));
#22255=CARTESIAN_POINT('Origin',(-3.35,0.581238438711841,7.88));
#22256=CARTESIAN_POINT('',(-3.45,1.275,7.88));
#22257=CARTESIAN_POINT('',(-3.45,0.581238438711841,7.88));
#22258=CARTESIAN_POINT('Origin',(-3.35,1.275,7.88));
#22259=CARTESIAN_POINT('',(-3.35,0.581238438711841,7.98));
#22260=CARTESIAN_POINT('Origin',(-3.85,0.581238438711841,7.88));
#22261=CARTESIAN_POINT('',(-3.85,0.581238438711841,7.98));
#22262=CARTESIAN_POINT('',(-3.75,1.275,7.88));
#22263=CARTESIAN_POINT('Origin',(-3.85,1.275,7.88));
#22264=CARTESIAN_POINT('',(-3.75,0.581238438711841,7.88));
#22265=CARTESIAN_POINT('Origin',(-4.95,-0.4375,7.73));
#22266=CARTESIAN_POINT('',(-4.7,-0.875,7.48));
#22267=CARTESIAN_POINT('',(-5.2,-1.375,7.98));
#22268=CARTESIAN_POINT('',(-4.7,0.875,7.48));
#22269=CARTESIAN_POINT('',(-4.7,-0.4375,7.48));
#22270=CARTESIAN_POINT('',(-5.2,1.375,7.98));
#22271=CARTESIAN_POINT('Origin',(2.35,-1.125,7.73));
#22272=CARTESIAN_POINT('',(-3.75,-0.875,7.48));
#22273=CARTESIAN_POINT('',(-3.75,-1.07167354886992,7.67667354886992));
#22274=CARTESIAN_POINT('',(2.35,-0.875,7.48));
#22275=CARTESIAN_POINT('Origin',(-3.75,-1.16247687742368,7.479217318056));
#22276=CARTESIAN_POINT('',(-3.75,-2.04414892056306,5.87617723962076));
#22277=CARTESIAN_POINT('',(-3.75,-2.04414892056306,9.08225739649124));
#22278=CARTESIAN_POINT('',(-3.75,-0.875,5.87617723962076));
#22279=CARTESIAN_POINT('',(-3.75,-2.04414892056306,5.87617723962076));
#22280=CARTESIAN_POINT('',(-3.75,-0.875,6.19247483184773));
#22281=CARTESIAN_POINT('',(-3.75,-0.875,7.729608659028));
#22282=CARTESIAN_POINT('',(-3.75,-2.0425285294224,6.98000000000015));
#22283=CARTESIAN_POINT('',(-3.75,-1.24710886480248,6.44347092225552));
#22284=CARTESIAN_POINT('',(-3.75,-1.98660839812384,7.06290319002119));
#22285=CARTESIAN_POINT('',(-3.75,-1.78921225798813,7.35554859937688));
#22286=CARTESIAN_POINT('',(-3.75,-0.875,6.31309745199479));
#22287=CARTESIAN_POINT('',(-3.75,-1.79989884356837,6.93696324967319));
#22288=CARTESIAN_POINT('',(-3.75,-0.875,7.729608659028));
#22289=CARTESIAN_POINT('Origin',(-3.6,-2.04414892056306,5.87617723962076));
#22290=CARTESIAN_POINT('',(-3.45,-2.04414892056306,5.87617723962076));
#22291=CARTESIAN_POINT('',(-3.45,-2.04414892056306,9.08225739649124));
#22292=CARTESIAN_POINT('',(-3.6,-2.04414892056306,5.87617723962076));
#22293=CARTESIAN_POINT('Origin',(-3.45,-1.16247687742368,7.479217318056));
#22294=CARTESIAN_POINT('',(-3.45,-0.875,7.48));
#22295=CARTESIAN_POINT('',(-3.45,-1.07167354886992,7.67667354886992));
#22296=CARTESIAN_POINT('',(-3.45,-0.875,6.31309745199479));
#22297=CARTESIAN_POINT('',(-3.45,-0.875,7.729608659028));
#22298=CARTESIAN_POINT('',(-3.45,-1.98660839812384,7.06290319002119));
#22299=CARTESIAN_POINT('',(-3.45,-1.79989884356837,6.93696324967319));
#22300=CARTESIAN_POINT('',(-3.45,-2.0425285294224,6.98000000000015));
#22301=CARTESIAN_POINT('',(-3.45,-1.78921225798813,7.35554859937688));
#22302=CARTESIAN_POINT('',(-3.45,-0.875,6.19247483184773));
#22303=CARTESIAN_POINT('',(-3.45,-1.24710886480248,6.44347092225552));
#22304=CARTESIAN_POINT('',(-3.45,-0.875,5.87617723962076));
#22305=CARTESIAN_POINT('',(-3.45,-0.875,7.729608659028));
#22306=CARTESIAN_POINT('',(-3.45,-2.04414892056306,5.87617723962076));
#22307=CARTESIAN_POINT('Origin',(2.35,-1.125,7.73));
#22308=CARTESIAN_POINT('',(-2.95,-0.875,7.48));
#22309=CARTESIAN_POINT('',(-2.95,-1.07167354886992,7.67667354886992));
#22310=CARTESIAN_POINT('',(2.35,-0.875,7.48));
#22311=CARTESIAN_POINT('Origin',(-2.95,-1.16247687742368,7.479217318056));
#22312=CARTESIAN_POINT('',(-2.95,-2.04414892056306,5.87617723962076));
#22313=CARTESIAN_POINT('',(-2.95,-2.04414892056306,9.08225739649124));
#22314=CARTESIAN_POINT('',(-2.95,-0.875,5.87617723962076));
#22315=CARTESIAN_POINT('',(-2.95,-2.04414892056306,5.87617723962076));
#22316=CARTESIAN_POINT('',(-2.95,-0.875,6.19247483184773));
#22317=CARTESIAN_POINT('',(-2.95,-0.875,7.729608659028));
#22318=CARTESIAN_POINT('',(-2.95,-2.0425285294224,6.98000000000015));
#22319=CARTESIAN_POINT('',(-2.95,-1.24710886480248,6.44347092225552));
#22320=CARTESIAN_POINT('',(-2.95,-1.98660839812384,7.06290319002119));
#22321=CARTESIAN_POINT('',(-2.95,-1.78921225798813,7.35554859937688));
#22322=CARTESIAN_POINT('',(-2.95,-0.875,6.31309745199479));
#22323=CARTESIAN_POINT('',(-2.95,-1.79989884356837,6.93696324967319));
#22324=CARTESIAN_POINT('',(-2.95,-0.875,7.729608659028));
#22325=CARTESIAN_POINT('Origin',(-2.8,-2.04414892056306,5.87617723962076));
#22326=CARTESIAN_POINT('',(-2.65,-2.04414892056306,5.87617723962076));
#22327=CARTESIAN_POINT('',(-2.65,-2.04414892056306,9.08225739649124));
#22328=CARTESIAN_POINT('',(-2.8,-2.04414892056306,5.87617723962076));
#22329=CARTESIAN_POINT('Origin',(-2.65,-1.16247687742368,7.479217318056));
#22330=CARTESIAN_POINT('',(-2.65,-0.875,7.48));
#22331=CARTESIAN_POINT('',(-2.65,-1.07167354886992,7.67667354886992));
#22332=CARTESIAN_POINT('',(-2.65,-0.875,6.31309745199479));
#22333=CARTESIAN_POINT('',(-2.65,-0.875,7.729608659028));
#22334=CARTESIAN_POINT('',(-2.65,-1.98660839812384,7.06290319002119));
#22335=CARTESIAN_POINT('',(-2.65,-1.79989884356837,6.93696324967319));
#22336=CARTESIAN_POINT('',(-2.65,-2.0425285294224,6.98000000000015));
#22337=CARTESIAN_POINT('',(-2.65,-1.78921225798813,7.35554859937688));
#22338=CARTESIAN_POINT('',(-2.65,-0.875,6.19247483184773));
#22339=CARTESIAN_POINT('',(-2.65,-1.24710886480248,6.44347092225552));
#22340=CARTESIAN_POINT('',(-2.65,-0.875,5.87617723962076));
#22341=CARTESIAN_POINT('',(-2.65,-0.875,7.729608659028));
#22342=CARTESIAN_POINT('',(-2.65,-2.04414892056306,5.87617723962076));
#22343=CARTESIAN_POINT('Origin',(2.35,-1.125,7.73));
#22344=CARTESIAN_POINT('',(-2.15,-0.875,7.48));
#22345=CARTESIAN_POINT('',(-2.15,-1.07167354886992,7.67667354886992));
#22346=CARTESIAN_POINT('',(2.35,-0.875,7.48));
#22347=CARTESIAN_POINT('Origin',(-2.15,-1.16247687742368,7.479217318056));
#22348=CARTESIAN_POINT('',(-2.15,-2.04414892056306,5.87617723962076));
#22349=CARTESIAN_POINT('',(-2.15,-2.04414892056306,9.08225739649124));
#22350=CARTESIAN_POINT('',(-2.15,-0.875,5.87617723962076));
#22351=CARTESIAN_POINT('',(-2.15,-2.04414892056306,5.87617723962076));
#22352=CARTESIAN_POINT('',(-2.15,-0.875,6.19247483184773));
#22353=CARTESIAN_POINT('',(-2.15,-0.875,7.729608659028));
#22354=CARTESIAN_POINT('',(-2.15,-2.0425285294224,6.98000000000015));
#22355=CARTESIAN_POINT('',(-2.15,-1.24710886480248,6.44347092225552));
#22356=CARTESIAN_POINT('',(-2.15,-1.98660839812384,7.06290319002119));
#22357=CARTESIAN_POINT('',(-2.15,-1.78921225798813,7.35554859937688));
#22358=CARTESIAN_POINT('',(-2.15,-0.875,6.31309745199479));
#22359=CARTESIAN_POINT('',(-2.15,-1.79989884356837,6.93696324967319));
#22360=CARTESIAN_POINT('',(-2.15,-0.875,7.729608659028));
#22361=CARTESIAN_POINT('Origin',(-2.,-2.04414892056306,5.87617723962076));
#22362=CARTESIAN_POINT('',(-1.85,-2.04414892056306,5.87617723962076));
#22363=CARTESIAN_POINT('',(-1.85,-2.04414892056306,9.08225739649124));
#22364=CARTESIAN_POINT('',(-2.,-2.04414892056306,5.87617723962076));
#22365=CARTESIAN_POINT('Origin',(-1.85,-1.16247687742368,7.479217318056));
#22366=CARTESIAN_POINT('',(-1.85,-0.875,7.48));
#22367=CARTESIAN_POINT('',(-1.85,-1.07167354886992,7.67667354886992));
#22368=CARTESIAN_POINT('',(-1.85,-0.875,6.31309745199479));
#22369=CARTESIAN_POINT('',(-1.85,-0.875,7.729608659028));
#22370=CARTESIAN_POINT('',(-1.85,-1.98660839812384,7.06290319002119));
#22371=CARTESIAN_POINT('',(-1.85,-1.79989884356837,6.93696324967319));
#22372=CARTESIAN_POINT('',(-1.85,-2.0425285294224,6.98000000000015));
#22373=CARTESIAN_POINT('',(-1.85,-1.78921225798813,7.35554859937688));
#22374=CARTESIAN_POINT('',(-1.85,-0.875,6.19247483184773));
#22375=CARTESIAN_POINT('',(-1.85,-1.24710886480248,6.44347092225552));
#22376=CARTESIAN_POINT('',(-1.85,-0.875,5.87617723962076));
#22377=CARTESIAN_POINT('',(-1.85,-0.875,7.729608659028));
#22378=CARTESIAN_POINT('',(-1.85,-2.04414892056306,5.87617723962076));
#22379=CARTESIAN_POINT('Origin',(2.35,-1.125,7.73));
#22380=CARTESIAN_POINT('',(-1.35,-0.875,7.48));
#22381=CARTESIAN_POINT('',(-1.35,-1.07167354886992,7.67667354886992));
#22382=CARTESIAN_POINT('',(2.35,-0.875,7.48));
#22383=CARTESIAN_POINT('Origin',(-1.35,-1.16247687742368,7.479217318056));
#22384=CARTESIAN_POINT('',(-1.35,-2.04414892056306,5.87617723962076));
#22385=CARTESIAN_POINT('',(-1.35,-2.04414892056306,9.08225739649124));
#22386=CARTESIAN_POINT('',(-1.35,-0.875,5.87617723962076));
#22387=CARTESIAN_POINT('',(-1.35,-2.04414892056306,5.87617723962076));
#22388=CARTESIAN_POINT('',(-1.35,-0.875,6.19247483184773));
#22389=CARTESIAN_POINT('',(-1.35,-0.875,7.729608659028));
#22390=CARTESIAN_POINT('',(-1.35,-2.0425285294224,6.98000000000015));
#22391=CARTESIAN_POINT('',(-1.35,-1.24710886480248,6.44347092225552));
#22392=CARTESIAN_POINT('',(-1.35,-1.98660839812384,7.06290319002119));
#22393=CARTESIAN_POINT('',(-1.35,-1.78921225798813,7.35554859937688));
#22394=CARTESIAN_POINT('',(-1.35,-0.875,6.31309745199479));
#22395=CARTESIAN_POINT('',(-1.35,-1.79989884356837,6.93696324967319));
#22396=CARTESIAN_POINT('',(-1.35,-0.875,7.729608659028));
#22397=CARTESIAN_POINT('Origin',(-1.2,-2.04414892056306,5.87617723962076));
#22398=CARTESIAN_POINT('',(-1.05,-2.04414892056306,5.87617723962076));
#22399=CARTESIAN_POINT('',(-1.05,-2.04414892056306,9.08225739649124));
#22400=CARTESIAN_POINT('',(-1.2,-2.04414892056306,5.87617723962076));
#22401=CARTESIAN_POINT('Origin',(-1.05,-1.16247687742368,7.479217318056));
#22402=CARTESIAN_POINT('',(-1.05,-0.875,7.48));
#22403=CARTESIAN_POINT('',(-1.05,-1.07167354886992,7.67667354886992));
#22404=CARTESIAN_POINT('',(-1.05,-0.875,6.31309745199479));
#22405=CARTESIAN_POINT('',(-1.05,-0.875,7.729608659028));
#22406=CARTESIAN_POINT('',(-1.05,-1.98660839812384,7.06290319002119));
#22407=CARTESIAN_POINT('',(-1.05,-1.79989884356837,6.93696324967319));
#22408=CARTESIAN_POINT('',(-1.05,-2.0425285294224,6.98000000000015));
#22409=CARTESIAN_POINT('',(-1.05,-1.78921225798813,7.35554859937688));
#22410=CARTESIAN_POINT('',(-1.05,-0.875,6.19247483184773));
#22411=CARTESIAN_POINT('',(-1.05,-1.24710886480248,6.44347092225552));
#22412=CARTESIAN_POINT('',(-1.05,-0.875,5.87617723962076));
#22413=CARTESIAN_POINT('',(-1.05,-0.875,7.729608659028));
#22414=CARTESIAN_POINT('',(-1.05,-2.04414892056306,5.87617723962076));
#22415=CARTESIAN_POINT('Origin',(2.35,-1.125,7.73));
#22416=CARTESIAN_POINT('',(-0.55,-0.875,7.48));
#22417=CARTESIAN_POINT('',(-0.55,-1.07167354886992,7.67667354886992));
#22418=CARTESIAN_POINT('',(2.35,-0.875,7.48));
#22419=CARTESIAN_POINT('Origin',(-0.55,-1.16247687742368,7.479217318056));
#22420=CARTESIAN_POINT('',(-0.55,-2.04414892056306,5.87617723962076));
#22421=CARTESIAN_POINT('',(-0.55,-2.04414892056306,9.08225739649124));
#22422=CARTESIAN_POINT('',(-0.55,-0.875,5.87617723962076));
#22423=CARTESIAN_POINT('',(-0.55,-2.04414892056306,5.87617723962076));
#22424=CARTESIAN_POINT('',(-0.55,-0.875,6.19247483184773));
#22425=CARTESIAN_POINT('',(-0.55,-0.875,7.729608659028));
#22426=CARTESIAN_POINT('',(-0.55,-2.0425285294224,6.98000000000015));
#22427=CARTESIAN_POINT('',(-0.55,-1.24710886480248,6.44347092225552));
#22428=CARTESIAN_POINT('',(-0.55,-1.98660839812384,7.06290319002119));
#22429=CARTESIAN_POINT('',(-0.55,-1.78921225798813,7.35554859937688));
#22430=CARTESIAN_POINT('',(-0.55,-0.875,6.31309745199479));
#22431=CARTESIAN_POINT('',(-0.55,-1.79989884356837,6.93696324967319));
#22432=CARTESIAN_POINT('',(-0.55,-0.875,7.729608659028));
#22433=CARTESIAN_POINT('Origin',(-0.4,-2.04414892056306,5.87617723962076));
#22434=CARTESIAN_POINT('',(-0.25,-2.04414892056306,5.87617723962076));
#22435=CARTESIAN_POINT('',(-0.25,-2.04414892056306,9.08225739649124));
#22436=CARTESIAN_POINT('',(-0.4,-2.04414892056306,5.87617723962076));
#22437=CARTESIAN_POINT('Origin',(-0.25,-1.16247687742368,7.479217318056));
#22438=CARTESIAN_POINT('',(-0.25,-0.875,7.48));
#22439=CARTESIAN_POINT('',(-0.25,-1.07167354886992,7.67667354886992));
#22440=CARTESIAN_POINT('',(-0.25,-0.875,6.31309745199479));
#22441=CARTESIAN_POINT('',(-0.25,-0.875,7.729608659028));
#22442=CARTESIAN_POINT('',(-0.25,-1.98660839812384,7.06290319002119));
#22443=CARTESIAN_POINT('',(-0.25,-1.79989884356837,6.93696324967319));
#22444=CARTESIAN_POINT('',(-0.25,-2.0425285294224,6.98000000000015));
#22445=CARTESIAN_POINT('',(-0.25,-1.78921225798813,7.35554859937688));
#22446=CARTESIAN_POINT('',(-0.25,-0.875,6.19247483184773));
#22447=CARTESIAN_POINT('',(-0.25,-1.24710886480248,6.44347092225552));
#22448=CARTESIAN_POINT('',(-0.25,-0.875,5.87617723962076));
#22449=CARTESIAN_POINT('',(-0.25,-0.875,7.729608659028));
#22450=CARTESIAN_POINT('',(-0.25,-2.04414892056306,5.87617723962076));
#22451=CARTESIAN_POINT('Origin',(2.35,-1.125,7.73));
#22452=CARTESIAN_POINT('',(0.25,-0.875,7.48));
#22453=CARTESIAN_POINT('',(0.25,-1.07167354886992,7.67667354886992));
#22454=CARTESIAN_POINT('',(2.35,-0.875,7.48));
#22455=CARTESIAN_POINT('Origin',(0.25,-1.16247687742368,7.479217318056));
#22456=CARTESIAN_POINT('',(0.25,-2.04414892056306,5.87617723962076));
#22457=CARTESIAN_POINT('',(0.25,-2.04414892056306,9.08225739649124));
#22458=CARTESIAN_POINT('',(0.25,-0.875,5.87617723962076));
#22459=CARTESIAN_POINT('',(0.25,-2.04414892056306,5.87617723962076));
#22460=CARTESIAN_POINT('',(0.25,-0.875,6.19247483184773));
#22461=CARTESIAN_POINT('',(0.25,-0.875,7.729608659028));
#22462=CARTESIAN_POINT('',(0.25,-2.0425285294224,6.98000000000015));
#22463=CARTESIAN_POINT('',(0.25,-1.24710886480248,6.44347092225552));
#22464=CARTESIAN_POINT('',(0.25,-1.98660839812384,7.06290319002119));
#22465=CARTESIAN_POINT('',(0.25,-1.78921225798813,7.35554859937688));
#22466=CARTESIAN_POINT('',(0.25,-0.875,6.31309745199479));
#22467=CARTESIAN_POINT('',(0.25,-1.79989884356837,6.93696324967319));
#22468=CARTESIAN_POINT('',(0.25,-0.875,7.729608659028));
#22469=CARTESIAN_POINT('Origin',(0.4,-2.04414892056306,5.87617723962076));
#22470=CARTESIAN_POINT('',(0.55,-2.04414892056306,5.87617723962076));
#22471=CARTESIAN_POINT('',(0.55,-2.04414892056306,9.08225739649124));
#22472=CARTESIAN_POINT('',(0.4,-2.04414892056306,5.87617723962076));
#22473=CARTESIAN_POINT('Origin',(0.55,-1.16247687742368,7.479217318056));
#22474=CARTESIAN_POINT('',(0.55,-0.875,7.48));
#22475=CARTESIAN_POINT('',(0.55,-1.07167354886992,7.67667354886992));
#22476=CARTESIAN_POINT('',(0.55,-0.875,6.31309745199479));
#22477=CARTESIAN_POINT('',(0.55,-0.875,7.729608659028));
#22478=CARTESIAN_POINT('',(0.55,-1.98660839812384,7.06290319002119));
#22479=CARTESIAN_POINT('',(0.55,-1.79989884356837,6.93696324967319));
#22480=CARTESIAN_POINT('',(0.55,-2.0425285294224,6.98000000000015));
#22481=CARTESIAN_POINT('',(0.55,-1.78921225798813,7.35554859937688));
#22482=CARTESIAN_POINT('',(0.55,-0.875,6.19247483184773));
#22483=CARTESIAN_POINT('',(0.55,-1.24710886480248,6.44347092225552));
#22484=CARTESIAN_POINT('',(0.55,-0.875,5.87617723962076));
#22485=CARTESIAN_POINT('',(0.55,-0.875,7.729608659028));
#22486=CARTESIAN_POINT('',(0.55,-2.04414892056306,5.87617723962076));
#22487=CARTESIAN_POINT('Origin',(2.35,-1.125,7.73));
#22488=CARTESIAN_POINT('',(1.05,-0.875,7.48));
#22489=CARTESIAN_POINT('',(1.05,-1.07167354886992,7.67667354886992));
#22490=CARTESIAN_POINT('',(2.35,-0.875,7.48));
#22491=CARTESIAN_POINT('Origin',(1.05,-1.16247687742368,7.479217318056));
#22492=CARTESIAN_POINT('',(1.05,-2.04414892056306,5.87617723962076));
#22493=CARTESIAN_POINT('',(1.05,-2.04414892056306,9.08225739649124));
#22494=CARTESIAN_POINT('',(1.05,-0.875,5.87617723962076));
#22495=CARTESIAN_POINT('',(1.05,-2.04414892056306,5.87617723962076));
#22496=CARTESIAN_POINT('',(1.05,-0.875,6.19247483184773));
#22497=CARTESIAN_POINT('',(1.05,-0.875,7.729608659028));
#22498=CARTESIAN_POINT('',(1.05,-2.0425285294224,6.98000000000015));
#22499=CARTESIAN_POINT('',(1.05,-1.24710886480248,6.44347092225552));
#22500=CARTESIAN_POINT('',(1.05,-1.98660839812384,7.06290319002119));
#22501=CARTESIAN_POINT('',(1.05,-1.78921225798813,7.35554859937688));
#22502=CARTESIAN_POINT('',(1.05,-0.875,6.31309745199479));
#22503=CARTESIAN_POINT('',(1.05,-1.79989884356837,6.93696324967319));
#22504=CARTESIAN_POINT('',(1.05,-0.875,7.729608659028));
#22505=CARTESIAN_POINT('Origin',(1.2,-2.04414892056306,5.87617723962076));
#22506=CARTESIAN_POINT('',(1.35,-2.04414892056306,5.87617723962076));
#22507=CARTESIAN_POINT('',(1.35,-2.04414892056306,9.08225739649124));
#22508=CARTESIAN_POINT('',(1.2,-2.04414892056306,5.87617723962076));
#22509=CARTESIAN_POINT('Origin',(1.35,-1.16247687742368,7.479217318056));
#22510=CARTESIAN_POINT('',(1.35,-0.875,7.48));
#22511=CARTESIAN_POINT('',(1.35,-1.07167354886992,7.67667354886992));
#22512=CARTESIAN_POINT('',(1.35,-0.875,6.31309745199479));
#22513=CARTESIAN_POINT('',(1.35,-0.875,7.729608659028));
#22514=CARTESIAN_POINT('',(1.35,-1.98660839812384,7.06290319002119));
#22515=CARTESIAN_POINT('',(1.35,-1.79989884356837,6.93696324967319));
#22516=CARTESIAN_POINT('',(1.35,-2.0425285294224,6.98000000000015));
#22517=CARTESIAN_POINT('',(1.35,-1.78921225798813,7.35554859937688));
#22518=CARTESIAN_POINT('',(1.35,-0.875,6.19247483184773));
#22519=CARTESIAN_POINT('',(1.35,-1.24710886480248,6.44347092225552));
#22520=CARTESIAN_POINT('',(1.35,-0.875,5.87617723962076));
#22521=CARTESIAN_POINT('',(1.35,-0.875,7.729608659028));
#22522=CARTESIAN_POINT('',(1.35,-2.04414892056306,5.87617723962076));
#22523=CARTESIAN_POINT('Origin',(2.35,-1.125,7.73));
#22524=CARTESIAN_POINT('',(1.85,-0.875,7.48));
#22525=CARTESIAN_POINT('',(1.85,-1.07167354886992,7.67667354886992));
#22526=CARTESIAN_POINT('',(2.35,-0.875,7.48));
#22527=CARTESIAN_POINT('Origin',(1.85,-1.16247687742368,7.479217318056));
#22528=CARTESIAN_POINT('',(1.85,-2.04414892056306,5.87617723962076));
#22529=CARTESIAN_POINT('',(1.85,-2.04414892056306,9.08225739649124));
#22530=CARTESIAN_POINT('',(1.85,-0.875,5.87617723962076));
#22531=CARTESIAN_POINT('',(1.85,-2.04414892056306,5.87617723962076));
#22532=CARTESIAN_POINT('',(1.85,-0.875,6.19247483184773));
#22533=CARTESIAN_POINT('',(1.85,-0.875,7.729608659028));
#22534=CARTESIAN_POINT('',(1.85,-2.0425285294224,6.98000000000015));
#22535=CARTESIAN_POINT('',(1.85,-1.24710886480248,6.44347092225552));
#22536=CARTESIAN_POINT('',(1.85,-1.98660839812384,7.06290319002119));
#22537=CARTESIAN_POINT('',(1.85,-1.78921225798813,7.35554859937688));
#22538=CARTESIAN_POINT('',(1.85,-0.875,6.31309745199479));
#22539=CARTESIAN_POINT('',(1.85,-1.79989884356837,6.93696324967319));
#22540=CARTESIAN_POINT('',(1.85,-0.875,7.729608659028));
#22541=CARTESIAN_POINT('Origin',(2.,-2.04414892056306,5.87617723962076));
#22542=CARTESIAN_POINT('',(2.15,-2.04414892056306,5.87617723962076));
#22543=CARTESIAN_POINT('',(2.15,-2.04414892056306,9.08225739649124));
#22544=CARTESIAN_POINT('',(2.,-2.04414892056306,5.87617723962076));
#22545=CARTESIAN_POINT('Origin',(2.15,-1.16247687742368,7.479217318056));
#22546=CARTESIAN_POINT('',(2.15,-0.875,7.48));
#22547=CARTESIAN_POINT('',(2.15,-1.07167354886992,7.67667354886992));
#22548=CARTESIAN_POINT('',(2.15,-0.875,6.31309745199479));
#22549=CARTESIAN_POINT('',(2.15,-0.875,7.729608659028));
#22550=CARTESIAN_POINT('',(2.15,-1.98660839812384,7.06290319002119));
#22551=CARTESIAN_POINT('',(2.15,-1.79989884356837,6.93696324967319));
#22552=CARTESIAN_POINT('',(2.15,-2.0425285294224,6.98000000000015));
#22553=CARTESIAN_POINT('',(2.15,-1.78921225798813,7.35554859937688));
#22554=CARTESIAN_POINT('',(2.15,-0.875,6.19247483184773));
#22555=CARTESIAN_POINT('',(2.15,-1.24710886480248,6.44347092225552));
#22556=CARTESIAN_POINT('',(2.15,-0.875,5.87617723962076));
#22557=CARTESIAN_POINT('',(2.15,-0.875,7.729608659028));
#22558=CARTESIAN_POINT('',(2.15,-2.04414892056306,5.87617723962076));
#22559=CARTESIAN_POINT('Origin',(2.35,-1.125,7.73));
#22560=CARTESIAN_POINT('',(2.65,-0.875,7.48));
#22561=CARTESIAN_POINT('',(2.65,-1.07167354886992,7.67667354886992));
#22562=CARTESIAN_POINT('',(2.35,-0.875,7.48));
#22563=CARTESIAN_POINT('Origin',(2.65,-1.16247687742368,7.479217318056));
#22564=CARTESIAN_POINT('',(2.65,-2.04414892056306,5.87617723962076));
#22565=CARTESIAN_POINT('',(2.65,-2.04414892056306,9.08225739649124));
#22566=CARTESIAN_POINT('',(2.65,-0.875,5.87617723962076));
#22567=CARTESIAN_POINT('',(2.65,-2.04414892056306,5.87617723962076));
#22568=CARTESIAN_POINT('',(2.65,-0.875,6.19247483184773));
#22569=CARTESIAN_POINT('',(2.65,-0.875,7.729608659028));
#22570=CARTESIAN_POINT('',(2.65,-2.0425285294224,6.98000000000015));
#22571=CARTESIAN_POINT('',(2.65,-1.24710886480248,6.44347092225552));
#22572=CARTESIAN_POINT('',(2.65,-1.98660839812384,7.06290319002119));
#22573=CARTESIAN_POINT('',(2.65,-1.78921225798813,7.35554859937688));
#22574=CARTESIAN_POINT('',(2.65,-0.875,6.31309745199479));
#22575=CARTESIAN_POINT('',(2.65,-1.79989884356837,6.93696324967319));
#22576=CARTESIAN_POINT('',(2.65,-0.875,7.729608659028));
#22577=CARTESIAN_POINT('Origin',(2.8,-2.04414892056306,5.87617723962076));
#22578=CARTESIAN_POINT('',(2.95,-2.04414892056306,5.87617723962076));
#22579=CARTESIAN_POINT('',(2.95,-2.04414892056306,9.08225739649124));
#22580=CARTESIAN_POINT('',(2.8,-2.04414892056306,5.87617723962076));
#22581=CARTESIAN_POINT('Origin',(2.95,-1.16247687742368,7.479217318056));
#22582=CARTESIAN_POINT('',(2.95,-0.875,7.48));
#22583=CARTESIAN_POINT('',(2.95,-1.07167354886992,7.67667354886992));
#22584=CARTESIAN_POINT('',(2.95,-0.875,6.31309745199479));
#22585=CARTESIAN_POINT('',(2.95,-0.875,7.729608659028));
#22586=CARTESIAN_POINT('',(2.95,-1.98660839812384,7.06290319002119));
#22587=CARTESIAN_POINT('',(2.95,-1.79989884356837,6.93696324967319));
#22588=CARTESIAN_POINT('',(2.95,-2.0425285294224,6.98000000000015));
#22589=CARTESIAN_POINT('',(2.95,-1.78921225798813,7.35554859937688));
#22590=CARTESIAN_POINT('',(2.95,-0.875,6.19247483184773));
#22591=CARTESIAN_POINT('',(2.95,-1.24710886480248,6.44347092225552));
#22592=CARTESIAN_POINT('',(2.95,-0.875,5.87617723962076));
#22593=CARTESIAN_POINT('',(2.95,-0.875,7.729608659028));
#22594=CARTESIAN_POINT('',(2.95,-2.04414892056306,5.87617723962076));
#22595=CARTESIAN_POINT('Origin',(2.35,-1.125,7.73));
#22596=CARTESIAN_POINT('',(3.45,-0.875,7.48));
#22597=CARTESIAN_POINT('',(3.45,-1.07167354886992,7.67667354886992));
#22598=CARTESIAN_POINT('',(2.35,-0.875,7.48));
#22599=CARTESIAN_POINT('Origin',(3.45,-1.16247687742368,7.479217318056));
#22600=CARTESIAN_POINT('',(3.45,-2.04414892056306,5.87617723962076));
#22601=CARTESIAN_POINT('',(3.45,-2.04414892056306,9.08225739649124));
#22602=CARTESIAN_POINT('',(3.45,-0.875,5.87617723962076));
#22603=CARTESIAN_POINT('',(3.45,-2.04414892056306,5.87617723962076));
#22604=CARTESIAN_POINT('',(3.45,-0.875,6.19247483184773));
#22605=CARTESIAN_POINT('',(3.45,-0.875,7.729608659028));
#22606=CARTESIAN_POINT('',(3.45,-2.0425285294224,6.98000000000015));
#22607=CARTESIAN_POINT('',(3.45,-1.24710886480248,6.44347092225552));
#22608=CARTESIAN_POINT('',(3.45,-1.98660839812384,7.06290319002119));
#22609=CARTESIAN_POINT('',(3.45,-1.78921225798813,7.35554859937688));
#22610=CARTESIAN_POINT('',(3.45,-0.875,6.31309745199479));
#22611=CARTESIAN_POINT('',(3.45,-1.79989884356837,6.93696324967319));
#22612=CARTESIAN_POINT('',(3.45,-0.875,7.729608659028));
#22613=CARTESIAN_POINT('Origin',(3.6,-2.04414892056306,5.87617723962076));
#22614=CARTESIAN_POINT('',(3.75,-2.04414892056306,5.87617723962076));
#22615=CARTESIAN_POINT('',(3.75,-2.04414892056306,9.08225739649124));
#22616=CARTESIAN_POINT('',(3.6,-2.04414892056306,5.87617723962076));
#22617=CARTESIAN_POINT('Origin',(3.75,-1.16247687742368,7.479217318056));
#22618=CARTESIAN_POINT('',(3.75,-0.875,7.48));
#22619=CARTESIAN_POINT('',(3.75,-1.07167354886992,7.67667354886992));
#22620=CARTESIAN_POINT('',(3.75,-0.875,6.31309745199479));
#22621=CARTESIAN_POINT('',(3.75,-0.875,7.729608659028));
#22622=CARTESIAN_POINT('',(3.75,-1.98660839812384,7.06290319002119));
#22623=CARTESIAN_POINT('',(3.75,-1.79989884356837,6.93696324967319));
#22624=CARTESIAN_POINT('',(3.75,-2.0425285294224,6.98000000000015));
#22625=CARTESIAN_POINT('',(3.75,-1.78921225798813,7.35554859937688));
#22626=CARTESIAN_POINT('',(3.75,-0.875,6.19247483184773));
#22627=CARTESIAN_POINT('',(3.75,-1.24710886480248,6.44347092225552));
#22628=CARTESIAN_POINT('',(3.75,-0.875,5.87617723962076));
#22629=CARTESIAN_POINT('',(3.75,-0.875,7.729608659028));
#22630=CARTESIAN_POINT('',(3.75,-2.04414892056306,5.87617723962076));
#22631=CARTESIAN_POINT('Origin',(2.35,-1.125,7.73));
#22632=CARTESIAN_POINT('',(4.7,-0.875,7.48));
#22633=CARTESIAN_POINT('',(5.2,-1.375,7.98));
#22634=CARTESIAN_POINT('',(2.35,-0.875,7.48));
#22635=CARTESIAN_POINT('Origin',(0.,5.55111512312578E-16,7.98));
#22636=CARTESIAN_POINT('',(-6.2,1.75,7.98));
#22637=CARTESIAN_POINT('',(6.2,-1.75,7.98));
#22638=CARTESIAN_POINT('',(2.5,2.7,7.98));
#22639=CARTESIAN_POINT('Origin',(-0.9,2.15,2.11));
#22640=CARTESIAN_POINT('',(-1.,2.15,2.11));
#22641=CARTESIAN_POINT('',(-0.9,2.05,2.11));
#22642=CARTESIAN_POINT('Origin',(-0.9,2.15,2.11));
#22643=CARTESIAN_POINT('',(-0.9,2.15,2.21));
#22644=CARTESIAN_POINT('Origin',(-0.9,2.15,2.11));
#22645=CARTESIAN_POINT('Origin',(-0.9,2.15,2.11));
#22646=CARTESIAN_POINT('Origin',(-1.1,2.7,0.78));
#22647=CARTESIAN_POINT('',(-1.,2.7,0.78));
#22648=CARTESIAN_POINT('',(-1.1,2.8,0.78));
#22649=CARTESIAN_POINT('Origin',(-1.1,2.7,0.78));
#22650=CARTESIAN_POINT('',(-1.1,2.7,0.68));
#22651=CARTESIAN_POINT('Origin',(-1.1,2.7,0.78));
#22652=CARTESIAN_POINT('Origin',(-1.1,2.7,0.78));
#22653=CARTESIAN_POINT('Origin',(-0.9,2.15,0.78));
#22654=CARTESIAN_POINT('',(-1.,2.15,0.78));
#22655=CARTESIAN_POINT('',(-1.1,2.15,0.68));
#22656=CARTESIAN_POINT('Origin',(-1.1,2.15,0.78));
#22657=CARTESIAN_POINT('',(-0.9,2.05,0.78));
#22658=CARTESIAN_POINT('Origin',(-0.9,2.15,0.78));
#22659=CARTESIAN_POINT('',(-0.9,1.95,0.68));
#22660=CARTESIAN_POINT('Origin',(-0.9,1.95,0.78));
#22661=CARTESIAN_POINT('Origin',(-0.9,2.15,0.68));
#22662=CARTESIAN_POINT('Origin',(-0.9,2.15,0.6875));
#22663=CARTESIAN_POINT('',(-0.9,2.05,0.6875));
#22664=CARTESIAN_POINT('',(-1.,2.15,0.6875));
#22665=CARTESIAN_POINT('Origin',(0.9,2.15,2.11));
#22666=CARTESIAN_POINT('',(0.9,2.15,2.21));
#22667=CARTESIAN_POINT('',(0.9,2.05,2.11));
#22668=CARTESIAN_POINT('Origin',(0.9,2.15,2.11));
#22669=CARTESIAN_POINT('',(1.,2.15,2.11));
#22670=CARTESIAN_POINT('Origin',(0.9,2.15,2.11));
#22671=CARTESIAN_POINT('Origin',(0.9,2.15,2.11));
#22672=CARTESIAN_POINT('Origin',(-0.9,2.7,2.11));
#22673=CARTESIAN_POINT('',(-1.1,2.8,2.11));
#22674=CARTESIAN_POINT('',(-0.9,2.8,2.31));
#22675=CARTESIAN_POINT('Origin',(-0.9,2.8,2.11));
#22676=CARTESIAN_POINT('',(-0.9,2.7,2.21));
#22677=CARTESIAN_POINT('Origin',(-0.9,2.7,2.31));
#22678=CARTESIAN_POINT('',(-1.,2.7,2.11));
#22679=CARTESIAN_POINT('Origin',(-0.9,2.7,2.11));
#22680=CARTESIAN_POINT('Origin',(-1.1,2.7,2.11));
#22681=CARTESIAN_POINT('Origin',(-2.1,2.15,2.11));
#22682=CARTESIAN_POINT('',(-2.1,2.15,2.21));
#22683=CARTESIAN_POINT('',(-2.1,2.05,2.11));
#22684=CARTESIAN_POINT('Origin',(-2.1,2.15,2.11));
#22685=CARTESIAN_POINT('',(-2.,2.15,2.11));
#22686=CARTESIAN_POINT('Origin',(-2.1,2.15,2.11));
#22687=CARTESIAN_POINT('Origin',(-2.1,2.15,2.11));
#22688=CARTESIAN_POINT('Origin',(-1.9,2.7,0.78));
#22689=CARTESIAN_POINT('',(-2.,2.7,0.78));
#22690=CARTESIAN_POINT('',(-1.9,2.7,0.68));
#22691=CARTESIAN_POINT('Origin',(-1.9,2.7,0.78));
#22692=CARTESIAN_POINT('',(-1.9,2.8,0.78));
#22693=CARTESIAN_POINT('Origin',(-1.9,2.7,0.78));
#22694=CARTESIAN_POINT('Origin',(-1.9,2.7,0.78));
#22695=CARTESIAN_POINT('Origin',(0.9,2.15,0.78));
#22696=CARTESIAN_POINT('',(1.,2.15,0.78));
#22697=CARTESIAN_POINT('',(0.9,2.05,0.78));
#22698=CARTESIAN_POINT('Origin',(0.9,2.15,0.78));
#22699=CARTESIAN_POINT('',(1.1,2.15,0.68));
#22700=CARTESIAN_POINT('Origin',(1.1,2.15,0.78));
#22701=CARTESIAN_POINT('',(0.9,1.95,0.68));
#22702=CARTESIAN_POINT('Origin',(0.9,2.15,0.68));
#22703=CARTESIAN_POINT('Origin',(0.9,1.95,0.78));
#22704=CARTESIAN_POINT('Origin',(0.9,2.7,2.11));
#22705=CARTESIAN_POINT('',(0.9,2.8,2.31));
#22706=CARTESIAN_POINT('',(1.1,2.8,2.11));
#22707=CARTESIAN_POINT('Origin',(0.9,2.8,2.11));
#22708=CARTESIAN_POINT('',(1.,2.7,2.11));
#22709=CARTESIAN_POINT('Origin',(1.1,2.7,2.11));
#22710=CARTESIAN_POINT('',(0.9,2.7,2.21));
#22711=CARTESIAN_POINT('Origin',(0.9,2.7,2.11));
#22712=CARTESIAN_POINT('Origin',(0.9,2.7,2.31));
#22713=CARTESIAN_POINT('Origin',(-2.1,2.15,0.78));
#22714=CARTESIAN_POINT('',(-2.,2.15,0.78));
#22715=CARTESIAN_POINT('',(-2.1,2.05,0.78));
#22716=CARTESIAN_POINT('Origin',(-2.1,2.15,0.78));
#22717=CARTESIAN_POINT('',(-1.9,2.15,0.68));
#22718=CARTESIAN_POINT('Origin',(-1.9,2.15,0.78));
#22719=CARTESIAN_POINT('',(-2.1,1.95,0.68));
#22720=CARTESIAN_POINT('Origin',(-2.1,2.15,0.68));
#22721=CARTESIAN_POINT('Origin',(-2.1,1.95,0.78));
#22722=CARTESIAN_POINT('Origin',(-1.9,2.8,0.78));
#22723=CARTESIAN_POINT('',(-2.,2.8,0.78));
#22724=CARTESIAN_POINT('',(-1.9,2.8,0.68));
#22725=CARTESIAN_POINT('Origin',(-3.9,2.15,2.11));
#22726=CARTESIAN_POINT('',(-4.,2.15,2.11));
#22727=CARTESIAN_POINT('',(-3.9,2.05,2.11));
#22728=CARTESIAN_POINT('Origin',(-3.9,2.15,2.11));
#22729=CARTESIAN_POINT('',(-3.9,2.15,2.21));
#22730=CARTESIAN_POINT('Origin',(-3.9,2.15,2.11));
#22731=CARTESIAN_POINT('Origin',(-3.9,2.15,2.11));
#22732=CARTESIAN_POINT('Origin',(-2.1,2.7,2.11));
#22733=CARTESIAN_POINT('',(-2.1,2.7,2.21));
#22734=CARTESIAN_POINT('',(-2.,2.7,2.11));
#22735=CARTESIAN_POINT('Origin',(-2.1,2.7,2.11));
#22736=CARTESIAN_POINT('',(-2.1,2.8,2.31));
#22737=CARTESIAN_POINT('Origin',(-2.1,2.7,2.31));
#22738=CARTESIAN_POINT('',(-1.9,2.8,2.11));
#22739=CARTESIAN_POINT('Origin',(-2.1,2.8,2.11));
#22740=CARTESIAN_POINT('Origin',(-1.9,2.7,2.11));
#22741=CARTESIAN_POINT('Origin',(1.1,2.7,0.78));
#22742=CARTESIAN_POINT('',(1.,2.7,0.78));
#22743=CARTESIAN_POINT('',(1.1,2.7,0.68));
#22744=CARTESIAN_POINT('Origin',(1.1,2.7,0.78));
#22745=CARTESIAN_POINT('',(1.1,2.8,0.78));
#22746=CARTESIAN_POINT('Origin',(1.1,2.7,0.78));
#22747=CARTESIAN_POINT('Origin',(1.1,2.7,0.78));
#22748=CARTESIAN_POINT('Origin',(1.1,2.7,5.095));
#22749=CARTESIAN_POINT('',(1.1,2.8,5.095));
#22750=CARTESIAN_POINT('',(1.,2.7,5.095));
#22751=CARTESIAN_POINT('Origin',(-3.9,2.15,0.78));
#22752=CARTESIAN_POINT('',(-4.,2.15,0.78));
#22753=CARTESIAN_POINT('',(-4.1,2.15,0.68));
#22754=CARTESIAN_POINT('Origin',(-4.1,2.15,0.78));
#22755=CARTESIAN_POINT('',(-3.9,2.05,0.78));
#22756=CARTESIAN_POINT('Origin',(-3.9,2.15,0.78));
#22757=CARTESIAN_POINT('',(-3.9,1.95,0.68));
#22758=CARTESIAN_POINT('Origin',(-3.9,1.95,0.78));
#22759=CARTESIAN_POINT('Origin',(-3.9,2.15,0.68));
#22760=CARTESIAN_POINT('Origin',(-3.9,2.15,0.6875));
#22761=CARTESIAN_POINT('',(-3.9,2.05,0.6875));
#22762=CARTESIAN_POINT('',(-4.,2.15,0.6875));
#22763=CARTESIAN_POINT('Origin',(-3.75,1.95,0.78));
#22764=CARTESIAN_POINT('',(-3.75,2.05,0.78));
#22765=CARTESIAN_POINT('',(-3.75,1.95,0.68));
#22766=CARTESIAN_POINT('Origin',(-3.9,2.7,2.11));
#22767=CARTESIAN_POINT('',(-4.1,2.8,2.11));
#22768=CARTESIAN_POINT('',(-3.9,2.8,2.31));
#22769=CARTESIAN_POINT('Origin',(-3.9,2.8,2.11));
#22770=CARTESIAN_POINT('',(-3.9,2.7,2.21));
#22771=CARTESIAN_POINT('Origin',(-3.9,2.7,2.31));
#22772=CARTESIAN_POINT('',(-4.,2.7,2.11));
#22773=CARTESIAN_POINT('Origin',(-3.9,2.7,2.11));
#22774=CARTESIAN_POINT('Origin',(-4.1,2.7,2.11));
#22775=CARTESIAN_POINT('Origin',(0.5,2.7,2.31));
#22776=CARTESIAN_POINT('',(0.5,2.8,2.31));
#22777=CARTESIAN_POINT('',(0.5,2.7,2.21));
#22778=CARTESIAN_POINT('Origin',(-3.9,2.8,2.11));
#22779=CARTESIAN_POINT('',(-4.,2.8,2.11));
#22780=CARTESIAN_POINT('',(-3.9,2.8,2.21));
#22781=CARTESIAN_POINT('Origin',(2.1,2.15,2.11));
#22782=CARTESIAN_POINT('',(2.,2.15,2.11));
#22783=CARTESIAN_POINT('',(2.1,2.05,2.11));
#22784=CARTESIAN_POINT('Origin',(2.1,2.15,2.11));
#22785=CARTESIAN_POINT('',(2.1,2.15,2.21));
#22786=CARTESIAN_POINT('Origin',(2.1,2.15,2.11));
#22787=CARTESIAN_POINT('Origin',(2.1,2.15,2.11));
#22788=CARTESIAN_POINT('Origin',(1.9,2.7,0.78));
#22789=CARTESIAN_POINT('',(2.,2.7,0.78));
#22790=CARTESIAN_POINT('',(1.9,2.8,0.78));
#22791=CARTESIAN_POINT('Origin',(1.9,2.7,0.78));
#22792=CARTESIAN_POINT('',(1.9,2.7,0.68));
#22793=CARTESIAN_POINT('Origin',(1.9,2.7,0.78));
#22794=CARTESIAN_POINT('Origin',(1.9,2.7,0.78));
#22795=CARTESIAN_POINT('Origin',(-4.1,2.7,0.78));
#22796=CARTESIAN_POINT('',(-4.1,2.7,0.68));
#22797=CARTESIAN_POINT('',(-4.,2.7,0.78));
#22798=CARTESIAN_POINT('Origin',(-4.1,2.7,0.78));
#22799=CARTESIAN_POINT('',(-4.1,2.8,0.78));
#22800=CARTESIAN_POINT('Origin',(-4.1,2.7,0.78));
#22801=CARTESIAN_POINT('Origin',(-4.1,2.7,0.78));
#22802=CARTESIAN_POINT('Origin',(2.1,2.15,0.78));
#22803=CARTESIAN_POINT('',(2.,2.15,0.78));
#22804=CARTESIAN_POINT('',(1.9,2.15,0.68));
#22805=CARTESIAN_POINT('Origin',(1.9,2.15,0.78));
#22806=CARTESIAN_POINT('',(2.1,2.05,0.78));
#22807=CARTESIAN_POINT('Origin',(2.1,2.15,0.78));
#22808=CARTESIAN_POINT('',(2.1,1.95,0.68));
#22809=CARTESIAN_POINT('Origin',(2.1,1.95,0.78));
#22810=CARTESIAN_POINT('Origin',(2.1,2.15,0.68));
#22811=CARTESIAN_POINT('Origin',(2.1,2.15,0.6875));
#22812=CARTESIAN_POINT('',(2.1,2.05,0.6875));
#22813=CARTESIAN_POINT('',(2.,2.15,0.6875));
#22814=CARTESIAN_POINT('Origin',(3.9,2.15,2.11));
#22815=CARTESIAN_POINT('',(3.9,2.15,2.21));
#22816=CARTESIAN_POINT('',(3.9,2.05,2.11));
#22817=CARTESIAN_POINT('Origin',(3.9,2.15,2.11));
#22818=CARTESIAN_POINT('',(4.,2.15,2.11));
#22819=CARTESIAN_POINT('Origin',(3.9,2.15,2.11));
#22820=CARTESIAN_POINT('Origin',(3.9,2.15,2.11));
#22821=CARTESIAN_POINT('Origin',(2.1,2.7,2.11));
#22822=CARTESIAN_POINT('',(1.9,2.8,2.11));
#22823=CARTESIAN_POINT('',(2.1,2.8,2.31));
#22824=CARTESIAN_POINT('Origin',(2.1,2.8,2.11));
#22825=CARTESIAN_POINT('',(2.1,2.7,2.21));
#22826=CARTESIAN_POINT('Origin',(2.1,2.7,2.31));
#22827=CARTESIAN_POINT('',(2.,2.7,2.11));
#22828=CARTESIAN_POINT('Origin',(2.1,2.7,2.11));
#22829=CARTESIAN_POINT('Origin',(1.9,2.7,2.11));
#22830=CARTESIAN_POINT('Origin',(-4.4,2.7,0.58));
#22831=CARTESIAN_POINT('',(-4.6,2.8,0.58));
#22832=CARTESIAN_POINT('',(-4.4,2.8,0.78));
#22833=CARTESIAN_POINT('Origin',(-4.4,2.8,0.58));
#22834=CARTESIAN_POINT('',(-4.4,2.7,0.68));
#22835=CARTESIAN_POINT('Origin',(-4.4,2.7,0.78));
#22836=CARTESIAN_POINT('',(-4.5,2.7,0.58));
#22837=CARTESIAN_POINT('Origin',(-4.4,2.7,0.58));
#22838=CARTESIAN_POINT('Origin',(-4.6,2.7,0.58));
#22839=CARTESIAN_POINT('Origin',(0.25,2.7,0.78));
#22840=CARTESIAN_POINT('',(0.25,2.8,0.78));
#22841=CARTESIAN_POINT('',(0.25,2.7,0.68));
#22842=CARTESIAN_POINT('Origin',(-4.4,2.8,0.58));
#22843=CARTESIAN_POINT('',(-4.5,1.8,0.58));
#22844=CARTESIAN_POINT('',(-4.5,2.8,0.58));
#22845=CARTESIAN_POINT('',(-4.4,1.9,0.68));
#22846=CARTESIAN_POINT('',(-4.4,2.8,0.68));
#22847=CARTESIAN_POINT('Origin',(-4.4,1.9,0.58));
#22848=CARTESIAN_POINT('Origin',(3.9,2.15,0.78));
#22849=CARTESIAN_POINT('',(4.,2.15,0.78));
#22850=CARTESIAN_POINT('',(3.9,2.05,0.78));
#22851=CARTESIAN_POINT('Origin',(3.9,2.15,0.78));
#22852=CARTESIAN_POINT('',(4.1,2.15,0.68));
#22853=CARTESIAN_POINT('Origin',(4.1,2.15,0.78));
#22854=CARTESIAN_POINT('',(3.9,1.95,0.68));
#22855=CARTESIAN_POINT('Origin',(3.9,2.15,0.68));
#22856=CARTESIAN_POINT('Origin',(3.9,1.95,0.78));
#22857=CARTESIAN_POINT('Origin',(3.9,2.7,2.11));
#22858=CARTESIAN_POINT('',(3.9,2.7,2.21));
#22859=CARTESIAN_POINT('',(4.,2.7,2.11));
#22860=CARTESIAN_POINT('Origin',(3.9,2.7,2.11));
#22861=CARTESIAN_POINT('',(3.9,2.8,2.31));
#22862=CARTESIAN_POINT('Origin',(3.9,2.7,2.31));
#22863=CARTESIAN_POINT('',(4.1,2.8,2.11));
#22864=CARTESIAN_POINT('Origin',(3.9,2.8,2.11));
#22865=CARTESIAN_POINT('Origin',(4.1,2.7,2.11));
#22866=CARTESIAN_POINT('Origin',(3.5,2.7,2.31));
#22867=CARTESIAN_POINT('',(3.5,2.8,2.31));
#22868=CARTESIAN_POINT('',(3.5,2.7,2.21));
#22869=CARTESIAN_POINT('Origin',(-5.1,-3.4,3.68));
#22870=CARTESIAN_POINT('',(-5.,-3.4,3.68));
#22871=CARTESIAN_POINT('',(-5.1,-3.5,3.68));
#22872=CARTESIAN_POINT('Origin',(-5.1,-3.4,3.68));
#22873=CARTESIAN_POINT('',(-5.1,-3.4,3.78));
#22874=CARTESIAN_POINT('Origin',(-5.1,-3.4,3.68));
#22875=CARTESIAN_POINT('Origin',(-5.1,-3.4,3.68));
#22876=CARTESIAN_POINT('Origin',(-4.9,-2.9,0.28));
#22877=CARTESIAN_POINT('',(-5.1,-2.9,0.18));
#22878=CARTESIAN_POINT('',(-4.9,-2.7,0.18));
#22879=CARTESIAN_POINT('Origin',(-4.9,-2.9,0.18));
#22880=CARTESIAN_POINT('Origin',(-4.9,-2.7,0.28));
#22881=CARTESIAN_POINT('',(-5.,-2.9,0.28));
#22882=CARTESIAN_POINT('Origin',(-4.9,-2.9,0.28));
#22883=CARTESIAN_POINT('Origin',(-5.1,-2.9,0.28));
#22884=CARTESIAN_POINT('Origin',(-2.5,-2.7,0.28));
#22885=CARTESIAN_POINT('',(-2.5,-2.7,0.18));
#22886=CARTESIAN_POINT('Origin',(4.1,2.7,0.78));
#22887=CARTESIAN_POINT('',(4.,2.7,0.78));
#22888=CARTESIAN_POINT('',(4.1,2.7,0.68));
#22889=CARTESIAN_POINT('Origin',(4.1,2.7,0.78));
#22890=CARTESIAN_POINT('',(4.1,2.8,0.78));
#22891=CARTESIAN_POINT('Origin',(4.1,2.7,0.78));
#22892=CARTESIAN_POINT('Origin',(4.1,2.7,0.78));
#22893=CARTESIAN_POINT('Origin',(4.1,2.7,5.095));
#22894=CARTESIAN_POINT('',(4.1,2.8,5.095));
#22895=CARTESIAN_POINT('',(4.,2.7,5.095));
#22896=CARTESIAN_POINT('Origin',(-5.1,-3.4,0.28));
#22897=CARTESIAN_POINT('',(-5.,-3.4,0.28));
#22898=CARTESIAN_POINT('',(-5.1,-3.4,0.18));
#22899=CARTESIAN_POINT('Origin',(-5.1,-3.4,0.28));
#22900=CARTESIAN_POINT('',(-5.1,-3.5,0.28));
#22901=CARTESIAN_POINT('Origin',(-5.1,-3.4,0.28));
#22902=CARTESIAN_POINT('Origin',(-5.1,-3.4,0.28));
#22903=CARTESIAN_POINT('Origin',(-5.1,-3.4,4.08));
#22904=CARTESIAN_POINT('',(-5.1,-3.5,4.08));
#22905=CARTESIAN_POINT('',(-5.,-3.4,4.08));
#22906=CARTESIAN_POINT('Origin',(-5.59422359971283,-3.4,3.68));
#22907=CARTESIAN_POINT('',(-5.59422359971283,-3.4,3.78));
#22908=CARTESIAN_POINT('',(-5.59422359971283,-3.5,3.68));
#22909=CARTESIAN_POINT('Origin',(-5.59422359971283,-3.4,3.68));
#22910=CARTESIAN_POINT('',(-5.67930692094766,-3.45254358617044,3.68));
#22911=CARTESIAN_POINT('Origin',(-5.59422359971283,-3.4,3.68));
#22912=CARTESIAN_POINT('Origin',(-5.59422359971283,-3.4,3.68));
#22913=CARTESIAN_POINT('Origin',(-5.1,-2.9,3.68));
#22914=CARTESIAN_POINT('',(-5.,-2.9,3.68));
#22915=CARTESIAN_POINT('',(-5.1,-2.9,3.78));
#22916=CARTESIAN_POINT('Origin',(-5.1,-2.9,3.68));
#22917=CARTESIAN_POINT('Origin',(-4.9,-2.9,3.68));
#22918=CARTESIAN_POINT('Origin',(-5.1,-2.9,3.88));
#22919=CARTESIAN_POINT('Origin',(-4.9,-2.9,7.98));
#22920=CARTESIAN_POINT('',(-5.,-2.9,7.98));
#22921=CARTESIAN_POINT('Origin',(-4.9,2.9,0.28));
#22922=CARTESIAN_POINT('',(-4.9,2.7,0.18));
#22923=CARTESIAN_POINT('',(-5.1,2.9,0.18));
#22924=CARTESIAN_POINT('Origin',(-4.9,2.9,0.18));
#22925=CARTESIAN_POINT('',(-5.,2.9,0.28));
#22926=CARTESIAN_POINT('Origin',(-5.1,2.9,0.28));
#22927=CARTESIAN_POINT('',(-4.9,2.8,0.28));
#22928=CARTESIAN_POINT('Origin',(-4.9,2.9,0.28));
#22929=CARTESIAN_POINT('Origin',(-4.9,2.7,0.28));
#22930=CARTESIAN_POINT('Origin',(-5.1,3.4,3.68));
#22931=CARTESIAN_POINT('',(-5.,3.4,3.68));
#22932=CARTESIAN_POINT('',(-5.1,3.4,3.78));
#22933=CARTESIAN_POINT('Origin',(-5.1,3.4,3.68));
#22934=CARTESIAN_POINT('',(-5.1,3.5,3.68));
#22935=CARTESIAN_POINT('Origin',(-5.1,3.4,3.68));
#22936=CARTESIAN_POINT('Origin',(-5.1,3.4,3.68));
#22937=CARTESIAN_POINT('Origin',(4.4,2.7,0.58));
#22938=CARTESIAN_POINT('',(4.4,2.8,0.78));
#22939=CARTESIAN_POINT('',(4.6,2.8,0.58));
#22940=CARTESIAN_POINT('Origin',(4.4,2.8,0.58));
#22941=CARTESIAN_POINT('',(4.5,2.7,0.58));
#22942=CARTESIAN_POINT('Origin',(4.6,2.7,0.58));
#22943=CARTESIAN_POINT('',(4.4,2.7,0.68));
#22944=CARTESIAN_POINT('Origin',(4.4,2.7,0.58));
#22945=CARTESIAN_POINT('Origin',(4.4,2.7,0.78));
#22946=CARTESIAN_POINT('Origin',(-5.59422359971283,-3.4,0.28));
#22947=CARTESIAN_POINT('',(-5.59422359971283,-3.4,0.18));
#22948=CARTESIAN_POINT('',(-5.67930692094766,-3.45254358617044,0.28));
#22949=CARTESIAN_POINT('Origin',(-5.59422359971283,-3.4,0.28));
#22950=CARTESIAN_POINT('',(-5.59422359971283,-3.5,0.28));
#22951=CARTESIAN_POINT('Origin',(-5.59422359971283,-3.4,0.28));
#22952=CARTESIAN_POINT('Origin',(-5.59422359971283,-3.4,0.28));
#22953=CARTESIAN_POINT('Origin',(-3.15,-3.4,0.28));
#22954=CARTESIAN_POINT('',(-3.15,-3.5,0.28));
#22955=CARTESIAN_POINT('',(-3.15,-3.4,0.18));
#22956=CARTESIAN_POINT('Origin',(-5.59422359971283,-3.4,1.98));
#22957=CARTESIAN_POINT('',(-5.59422359971283,-3.5,1.98));
#22958=CARTESIAN_POINT('',(-5.67930692094766,-3.45254358617044,1.98));
#22959=CARTESIAN_POINT('Ctrl Pts',(-6.13806453602878,-2.8,3.68));
#22960=CARTESIAN_POINT('Ctrl Pts',(-6.13806453602878,-2.8,3.73235987755983));
#22961=CARTESIAN_POINT('Ctrl Pts',(-6.08718948527357,-2.8,3.83671381713756));
#22962=CARTESIAN_POINT('Ctrl Pts',(-5.9645403713659,-2.8,3.88));
#22963=CARTESIAN_POINT('Ctrl Pts',(-5.90300083952826,-2.8,3.88));
#22964=CARTESIAN_POINT('Ctrl Pts',(-6.11868226901231,-2.8,3.68));
#22965=CARTESIAN_POINT('Ctrl Pts',(-6.11868226901231,-2.8,3.72639145712509));
#22966=CARTESIAN_POINT('Ctrl Pts',(-6.07084428460252,-2.79996845635044,
3.81880508049537));
#22967=CARTESIAN_POINT('Ctrl Pts',(-5.95784690210026,-2.80010797095612,
3.85275702680479));
#22968=CARTESIAN_POINT('Ctrl Pts',(-5.90300083952826,-2.8,3.85008006996581));
#22969=CARTESIAN_POINT('Ctrl Pts',(-6.08482386389872,-2.81014976872958,
3.68));
#22970=CARTESIAN_POINT('Ctrl Pts',(-6.08482386389872,-2.81014976872958,
3.71598093533481));
#22971=CARTESIAN_POINT('Ctrl Pts',(-6.04228253513008,-2.81276687613823,
3.78755668135018));
#22972=CARTESIAN_POINT('Ctrl Pts',(-5.9463494923204,-2.82039774099928,3.80519222882762));
#22973=CARTESIAN_POINT('Ctrl Pts',(-5.90300083952826,-2.82509125165926,
3.79825401048931));
#22974=CARTESIAN_POINT('Ctrl Pts',(-6.06061931842263,-2.8350881064484,3.68));
#22975=CARTESIAN_POINT('Ctrl Pts',(-6.06061931842263,-2.8350881064484,3.70852652442573));
#22976=CARTESIAN_POINT('Ctrl Pts',(-6.02490147172149,-2.84369300414149,
3.76527165632083));
#22977=CARTESIAN_POINT('Ctrl Pts',(-5.94224750626417,-2.86574109267667,
3.78311596803872));
#22978=CARTESIAN_POINT('Ctrl Pts',(-5.90300083952826,-2.87756005247436,
3.78));
#22979=CARTESIAN_POINT('Ctrl Pts',(-6.05298121479395,-2.84745641382956,
3.68));
#22980=CARTESIAN_POINT('Ctrl Pts',(-6.05298121479395,-2.84745641382956,
3.70617993877992));
#22981=CARTESIAN_POINT('Ctrl Pts',(-6.02052082504631,-2.85882847022567,
3.75835690856878));
#22982=CARTESIAN_POINT('Ctrl Pts',(-5.94226560995471,-2.88624412130781,
3.78));
#22983=CARTESIAN_POINT('Ctrl Pts',(-5.90300083952826,-2.9,3.78));
#22984=CARTESIAN_POINT('',(-5.90300083952826,-2.9,3.78));
#22985=CARTESIAN_POINT('',(-6.05298121479395,-2.84745641382956,3.68));
#22986=CARTESIAN_POINT('Origin',(-5.90300083952826,-2.9,3.68));
#22987=CARTESIAN_POINT('Origin',(-5.90300083952826,-2.9,3.88));
#22988=CARTESIAN_POINT('Origin',(-6.13806453602878,-2.9,3.68));
#22989=CARTESIAN_POINT('Origin',(-2.5,-2.9,3.88));
#22990=CARTESIAN_POINT('',(-2.5,-2.9,3.78));
#22991=CARTESIAN_POINT('Origin',(-5.1,3.4,0.28));
#22992=CARTESIAN_POINT('',(-5.1,3.4,0.18));
#22993=CARTESIAN_POINT('',(-5.,3.4,0.28));
#22994=CARTESIAN_POINT('Origin',(-5.1,3.4,0.28));
#22995=CARTESIAN_POINT('',(-5.1,3.5,0.28));
#22996=CARTESIAN_POINT('Origin',(-5.1,3.4,0.28));
#22997=CARTESIAN_POINT('Origin',(-5.1,3.4,0.28));
#22998=CARTESIAN_POINT('Origin',(-5.1,2.9,3.68));
#22999=CARTESIAN_POINT('',(-5.1,2.8,3.88));
#23000=CARTESIAN_POINT('',(-4.9,2.8,3.68));
#23001=CARTESIAN_POINT('Origin',(-5.1,2.8,3.68));
#23002=CARTESIAN_POINT('',(-5.,2.9,3.68));
#23003=CARTESIAN_POINT('Origin',(-4.9,2.9,3.68));
#23004=CARTESIAN_POINT('',(-5.1,2.9,3.78));
#23005=CARTESIAN_POINT('Origin',(-5.1,2.9,3.68));
#23006=CARTESIAN_POINT('Origin',(-5.1,2.9,3.88));
#23007=CARTESIAN_POINT('Origin',(-4.9,2.9,7.98));
#23008=CARTESIAN_POINT('',(-5.,2.9,7.98));
#23009=CARTESIAN_POINT('',(-4.9,2.8,7.98));
#23010=CARTESIAN_POINT('Origin',(-5.1,1.4,3.68));
#23011=CARTESIAN_POINT('',(-5.,1.4,3.68));
#23012=CARTESIAN_POINT('',(-5.1,1.4,3.78));
#23013=CARTESIAN_POINT('Origin',(-6.2,3.4,3.68));
#23014=CARTESIAN_POINT('',(-6.2,3.4,3.78));
#23015=CARTESIAN_POINT('',(-6.3,3.4,3.68));
#23016=CARTESIAN_POINT('Origin',(-6.2,3.4,3.68));
#23017=CARTESIAN_POINT('',(-6.2,3.5,3.68));
#23018=CARTESIAN_POINT('Origin',(-6.2,3.4,3.68));
#23019=CARTESIAN_POINT('Origin',(-6.2,3.4,3.68));
#23020=CARTESIAN_POINT('Origin',(3.15,3.4,3.68));
#23021=CARTESIAN_POINT('',(3.15,3.5,3.68));
#23022=CARTESIAN_POINT('',(3.15,3.4,3.78));
#23023=CARTESIAN_POINT('Origin',(-6.13806453602878,-2.9,0.279999999999999));
#23024=CARTESIAN_POINT('',(-6.13806453602878,-2.7,0.18));
#23025=CARTESIAN_POINT('',(-5.96789789355912,-2.79491282765912,0.18));
#23026=CARTESIAN_POINT('Origin',(-6.13806453602878,-2.9,0.18));
#23027=CARTESIAN_POINT('',(-6.05298121479395,-2.84745641382956,0.28));
#23028=CARTESIAN_POINT('Origin',(-5.96789789355912,-2.79491282765912,0.28));
#23029=CARTESIAN_POINT('Origin',(-6.13806453602878,-2.9,0.28));
#23030=CARTESIAN_POINT('Origin',(-6.13806453602878,-2.7,0.28));
#23031=CARTESIAN_POINT('Origin',(-5.78347870384437,-3.09354103909235,0.28));
#23032=CARTESIAN_POINT('',(-5.78347870384437,-3.09354103909235,0.18));
#23033=CARTESIAN_POINT('',(-5.8685620250792,-3.14608462526279,0.28));
#23034=CARTESIAN_POINT('Origin',(-6.13806453602878,-2.9,5.88));
#23035=CARTESIAN_POINT('',(-6.05298121479395,-2.84745641382956,5.88));
#23036=CARTESIAN_POINT('Origin',(-6.2,3.4,0.28));
#23037=CARTESIAN_POINT('',(-6.2,3.5,0.28));
#23038=CARTESIAN_POINT('',(-6.3,3.4,0.28));
#23039=CARTESIAN_POINT('Origin',(-6.2,3.4,0.28));
#23040=CARTESIAN_POINT('',(-6.2,3.4,0.18));
#23041=CARTESIAN_POINT('Origin',(-6.2,3.4,0.28));
#23042=CARTESIAN_POINT('Origin',(-6.2,3.4,0.28));
#23043=CARTESIAN_POINT('Origin',(3.15,3.4,0.28));
#23044=CARTESIAN_POINT('',(3.15,3.5,0.28));
#23045=CARTESIAN_POINT('',(3.15,3.4,0.18));
#23046=CARTESIAN_POINT('Origin',(-6.2,2.9,3.88));
#23047=CARTESIAN_POINT('',(-6.3,2.9,3.68));
#23048=CARTESIAN_POINT('',(-6.3,2.7,3.88));
#23049=CARTESIAN_POINT('Origin',(-6.3,2.9,3.88));
#23050=CARTESIAN_POINT('',(-6.2,2.8,3.88));
#23051=CARTESIAN_POINT('Origin',(-6.2,2.7,3.88));
#23052=CARTESIAN_POINT('',(-6.2,2.9,3.78));
#23053=CARTESIAN_POINT('Origin',(-6.2,2.9,3.88));
#23054=CARTESIAN_POINT('Origin',(-6.2,2.9,3.68));
#23055=CARTESIAN_POINT('Origin',(5.1,2.9,3.68));
#23056=CARTESIAN_POINT('',(5.,2.9,3.68));
#23057=CARTESIAN_POINT('',(5.1,2.9,3.78));
#23058=CARTESIAN_POINT('Origin',(5.1,2.9,3.68));
#23059=CARTESIAN_POINT('',(4.9,2.8,3.68));
#23060=CARTESIAN_POINT('Origin',(4.9,2.9,3.68));
#23061=CARTESIAN_POINT('',(5.1,2.8,3.88));
#23062=CARTESIAN_POINT('Origin',(5.1,2.8,3.68));
#23063=CARTESIAN_POINT('Origin',(5.1,2.9,3.88));
#23064=CARTESIAN_POINT('Origin',(4.9,2.9,0.28));
#23065=CARTESIAN_POINT('',(5.,2.9,0.28));
#23066=CARTESIAN_POINT('',(4.9,2.8,0.28));
#23067=CARTESIAN_POINT('Origin',(4.9,2.9,0.28));
#23068=CARTESIAN_POINT('',(5.1,2.9,0.18));
#23069=CARTESIAN_POINT('Origin',(5.1,2.9,0.28));
#23070=CARTESIAN_POINT('',(4.9,2.7,0.18));
#23071=CARTESIAN_POINT('Origin',(4.9,2.9,0.18));
#23072=CARTESIAN_POINT('Origin',(4.9,2.7,0.28));
#23073=CARTESIAN_POINT('Origin',(5.1,3.4,3.68));
#23074=CARTESIAN_POINT('',(5.,3.4,3.68));
#23075=CARTESIAN_POINT('',(5.1,3.5,3.68));
#23076=CARTESIAN_POINT('Origin',(5.1,3.4,3.68));
#23077=CARTESIAN_POINT('',(5.1,3.4,3.78));
#23078=CARTESIAN_POINT('Origin',(5.1,3.4,3.68));
#23079=CARTESIAN_POINT('Origin',(5.1,3.4,3.68));
#23080=CARTESIAN_POINT('Origin',(5.1,1.75,3.68));
#23081=CARTESIAN_POINT('',(5.,1.75,3.68));
#23082=CARTESIAN_POINT('',(5.1,1.75,3.78));
#23083=CARTESIAN_POINT('Origin',(-6.2,-2.7,0.28));
#23084=CARTESIAN_POINT('',(-6.2,-2.7,0.18));
#23085=CARTESIAN_POINT('Origin',(-6.2,-2.7,0.28));
#23086=CARTESIAN_POINT('',(-6.3,-2.7,0.28));
#23087=CARTESIAN_POINT('Origin',(-6.2,-2.7,0.28));
#23088=CARTESIAN_POINT('Origin',(-6.2,-2.7,0.28));
#23089=CARTESIAN_POINT('Origin',(6.2,2.9,3.88));
#23090=CARTESIAN_POINT('',(6.2,2.8,3.88));
#23091=CARTESIAN_POINT('',(6.2,2.9,3.78));
#23092=CARTESIAN_POINT('Origin',(6.2,2.9,3.88));
#23093=CARTESIAN_POINT('',(6.3,2.7,3.88));
#23094=CARTESIAN_POINT('Origin',(6.2,2.7,3.88));
#23095=CARTESIAN_POINT('',(6.3,2.9,3.68));
#23096=CARTESIAN_POINT('Origin',(6.3,2.9,3.88));
#23097=CARTESIAN_POINT('Origin',(6.2,2.9,3.68));
#23098=CARTESIAN_POINT('Origin',(5.1,3.4,0.28));
#23099=CARTESIAN_POINT('',(5.,3.4,0.28));
#23100=CARTESIAN_POINT('',(5.1,3.4,0.18));
#23101=CARTESIAN_POINT('Origin',(5.1,3.4,0.28));
#23102=CARTESIAN_POINT('',(5.1,3.5,0.28));
#23103=CARTESIAN_POINT('Origin',(5.1,3.4,0.28));
#23104=CARTESIAN_POINT('Origin',(5.1,3.4,0.28));
#23105=CARTESIAN_POINT('Origin',(5.1,3.4,4.08));
#23106=CARTESIAN_POINT('',(5.1,3.5,4.08));
#23107=CARTESIAN_POINT('',(5.,3.4,4.08));
#23108=CARTESIAN_POINT('Origin',(6.2,3.4,3.68));
#23109=CARTESIAN_POINT('',(6.2,3.5,3.68));
#23110=CARTESIAN_POINT('',(6.3,3.4,3.68));
#23111=CARTESIAN_POINT('Origin',(6.2,3.4,3.68));
#23112=CARTESIAN_POINT('',(6.2,3.4,3.78));
#23113=CARTESIAN_POINT('Origin',(6.2,3.4,3.68));
#23114=CARTESIAN_POINT('Origin',(6.2,3.4,3.68));
#23115=CARTESIAN_POINT('Origin',(3.15,3.4,3.68));
#23116=CARTESIAN_POINT('',(3.15,3.5,3.68));
#23117=CARTESIAN_POINT('',(3.15,3.4,3.78));
#23118=CARTESIAN_POINT('Origin',(6.2,-1.75,3.68));
#23119=CARTESIAN_POINT('',(6.3,-1.75,3.68));
#23120=CARTESIAN_POINT('',(6.2,-1.75,3.78));
#23121=CARTESIAN_POINT('Origin',(2.5,2.7,7.88));
#23122=CARTESIAN_POINT('',(2.5,2.8,7.88));
#23123=CARTESIAN_POINT('Origin',(6.2,3.4,0.28));
#23124=CARTESIAN_POINT('',(6.3,3.4,0.28));
#23125=CARTESIAN_POINT('',(6.2,3.5,0.28));
#23126=CARTESIAN_POINT('Origin',(6.2,3.4,0.28));
#23127=CARTESIAN_POINT('',(6.2,3.4,0.18));
#23128=CARTESIAN_POINT('Origin',(6.2,3.4,0.28));
#23129=CARTESIAN_POINT('Origin',(6.2,3.4,0.28));
#23130=CARTESIAN_POINT('Origin',(6.2,-3.4,3.68));
#23131=CARTESIAN_POINT('',(6.2,-3.4,3.78));
#23132=CARTESIAN_POINT('',(6.3,-3.4,3.68));
#23133=CARTESIAN_POINT('Origin',(6.2,-3.4,3.68));
#23134=CARTESIAN_POINT('',(6.2,-3.5,3.68));
#23135=CARTESIAN_POINT('Origin',(6.2,-3.4,3.68));
#23136=CARTESIAN_POINT('Origin',(6.2,-3.4,3.68));
#23137=CARTESIAN_POINT('Origin',(6.2,-1.75,7.88));
#23138=CARTESIAN_POINT('',(6.3,-1.75,7.88));
#23139=CARTESIAN_POINT('Origin',(6.2,-3.4,0.28));
#23140=CARTESIAN_POINT('',(6.2,-3.4,0.18));
#23141=CARTESIAN_POINT('',(6.2,-3.5,0.28));
#23142=CARTESIAN_POINT('Origin',(6.2,-3.4,0.28));
#23143=CARTESIAN_POINT('',(6.3,-3.4,0.28));
#23144=CARTESIAN_POINT('Origin',(6.2,-3.4,0.28));
#23145=CARTESIAN_POINT('Origin',(6.2,-3.4,0.28));
#23146=CARTESIAN_POINT('Origin',(6.2,-1.75,0.28));
#23147=CARTESIAN_POINT('',(6.3,-1.75,0.28));
#23148=CARTESIAN_POINT('',(6.2,-1.75,0.18));
#23149=CARTESIAN_POINT('Origin',(6.2,-3.4,0.18));
#23150=CARTESIAN_POINT('',(6.2,-3.5,0.18));
#23151=CARTESIAN_POINT('',(6.3,-3.4,0.18));
#23152=CARTESIAN_POINT('Origin',(5.1,-3.4,3.68));
#23153=CARTESIAN_POINT('',(5.,-3.4,3.68));
#23154=CARTESIAN_POINT('',(5.1,-3.4,3.78));
#23155=CARTESIAN_POINT('Origin',(5.1,-3.4,3.68));
#23156=CARTESIAN_POINT('',(5.1,-3.5,3.68));
#23157=CARTESIAN_POINT('Origin',(5.1,-3.4,3.68));
#23158=CARTESIAN_POINT('Origin',(5.1,-3.4,3.68));
#23159=CARTESIAN_POINT('Origin',(6.2,-2.9,3.88));
#23160=CARTESIAN_POINT('',(6.3,-2.9,3.68));
#23161=CARTESIAN_POINT('Origin',(6.3,-2.9,3.88));
#23162=CARTESIAN_POINT('',(6.2,-2.9,3.78));
#23163=CARTESIAN_POINT('Origin',(6.2,-2.9,3.88));
#23164=CARTESIAN_POINT('Origin',(6.2,-2.9,3.68));
#23165=CARTESIAN_POINT('Origin',(5.1,-3.4,0.28));
#23166=CARTESIAN_POINT('',(5.1,-3.4,0.18));
#23167=CARTESIAN_POINT('',(5.,-3.4,0.28));
#23168=CARTESIAN_POINT('Origin',(5.1,-3.4,0.28));
#23169=CARTESIAN_POINT('',(5.1,-3.5,0.28));
#23170=CARTESIAN_POINT('Origin',(5.1,-3.4,0.28));
#23171=CARTESIAN_POINT('Origin',(5.1,-3.4,0.28));
#23172=CARTESIAN_POINT('Origin',(-3.15,-3.4,0.28));
#23173=CARTESIAN_POINT('',(-3.15,-3.5,0.28));
#23174=CARTESIAN_POINT('',(-3.15,-3.4,0.18));
#23175=CARTESIAN_POINT('Origin',(5.1,-3.4,4.08));
#23176=CARTESIAN_POINT('',(5.1,-3.5,4.08));
#23177=CARTESIAN_POINT('',(5.,-3.4,4.08));
#23178=CARTESIAN_POINT('Origin',(5.1,-2.9,3.68));
#23179=CARTESIAN_POINT('',(5.,-2.9,3.68));
#23180=CARTESIAN_POINT('Origin',(4.9,-2.9,3.68));
#23181=CARTESIAN_POINT('',(5.1,-2.9,3.78));
#23182=CARTESIAN_POINT('Origin',(5.1,-2.9,3.68));
#23183=CARTESIAN_POINT('Origin',(5.1,-2.9,3.88));
#23184=CARTESIAN_POINT('Origin',(4.9,-2.9,0.28));
#23185=CARTESIAN_POINT('',(5.,-2.9,0.28));
#23186=CARTESIAN_POINT('Origin',(4.9,-2.9,0.28));
#23187=CARTESIAN_POINT('',(5.1,-2.9,0.18));
#23188=CARTESIAN_POINT('Origin',(4.9,-2.9,0.18));
#23189=CARTESIAN_POINT('Origin',(5.1,-2.9,0.28));
#23190=CARTESIAN_POINT('Origin',(5.1,-1.4,0.28));
#23191=CARTESIAN_POINT('',(5.1,-1.4,0.18));
#23192=CARTESIAN_POINT('',(5.,-1.4,0.28));
#23193=CARTESIAN_POINT('Origin',(4.9,-2.9,7.98));
#23194=CARTESIAN_POINT('',(5.,-2.9,7.98));
#23195=CARTESIAN_POINT('Origin',(5.1,-1.4,3.68));
#23196=CARTESIAN_POINT('',(5.,-1.4,3.68));
#23197=CARTESIAN_POINT('',(5.1,-1.4,3.78));
#23198=CARTESIAN_POINT('Origin',(-2.5,-2.9,3.88));
#23199=CARTESIAN_POINT('',(-2.5,-2.9,3.78));
#23200=CARTESIAN_POINT('Origin',(6.2,-1.75,3.68));
#23201=CARTESIAN_POINT('',(6.3,-1.75,3.68));
#23202=CARTESIAN_POINT('',(6.2,-1.75,3.78));
#23203=CARTESIAN_POINT('Origin',(-3.15,-3.4,3.68));
#23204=CARTESIAN_POINT('',(-3.15,-3.5,3.68));
#23205=CARTESIAN_POINT('',(-3.15,-3.4,3.78));
#23206=CARTESIAN_POINT('Origin',(3.15,3.4,0.28));
#23207=CARTESIAN_POINT('',(3.15,3.5,0.28));
#23208=CARTESIAN_POINT('',(3.15,3.4,0.18));
#23209=CARTESIAN_POINT('Origin',(6.2,3.4,0.18));
#23210=CARTESIAN_POINT('',(6.3,3.4,0.18));
#23211=CARTESIAN_POINT('',(6.2,3.5,0.18));
#23212=CARTESIAN_POINT('Origin',(6.2,2.7,4.08));
#23213=CARTESIAN_POINT('',(6.3,2.7,4.08));
#23214=CARTESIAN_POINT('',(6.2,2.8,4.08));
#23215=CARTESIAN_POINT('Origin',(-6.2,1.75,7.88));
#23216=CARTESIAN_POINT('',(-6.3,1.75,7.88));
#23217=CARTESIAN_POINT('Origin',(-6.2,-2.7,4.08));
#23218=CARTESIAN_POINT('',(-6.3,-2.7,4.08));
#23219=CARTESIAN_POINT('Origin',(5.1,1.75,0.28));
#23220=CARTESIAN_POINT('',(5.1,1.75,0.18));
#23221=CARTESIAN_POINT('',(5.,1.75,0.28));
#23222=CARTESIAN_POINT('Origin',(2.5,2.9,3.88));
#23223=CARTESIAN_POINT('',(2.5,2.9,3.78));
#23224=CARTESIAN_POINT('',(2.5,2.8,3.88));
#23225=CARTESIAN_POINT('Origin',(-6.2,2.7,4.08));
#23226=CARTESIAN_POINT('',(-6.2,2.8,4.08));
#23227=CARTESIAN_POINT('',(-6.3,2.7,4.08));
#23228=CARTESIAN_POINT('Origin',(-6.2,1.75,0.28));
#23229=CARTESIAN_POINT('',(-6.3,1.75,0.28));
#23230=CARTESIAN_POINT('',(-6.2,1.75,0.18));
#23231=CARTESIAN_POINT('Origin',(-2.5,-2.7,0.28));
#23232=CARTESIAN_POINT('',(-2.5,-2.7,0.18));
#23233=CARTESIAN_POINT('Origin',(2.5,2.7,0.28));
#23234=CARTESIAN_POINT('',(4.6,2.8,0.28));
#23235=CARTESIAN_POINT('',(2.5,2.8,0.28));
#23236=CARTESIAN_POINT('',(4.5,2.7,0.18));
#23237=CARTESIAN_POINT('',(2.5,2.7,0.18));
#23238=CARTESIAN_POINT('Origin',(4.6,2.7,0.28));
#23239=CARTESIAN_POINT('Origin',(4.9,2.9,7.98));
#23240=CARTESIAN_POINT('',(4.9,2.8,7.98));
#23241=CARTESIAN_POINT('',(5.,2.9,7.98));
#23242=CARTESIAN_POINT('Origin',(2.5,2.9,3.88));
#23243=CARTESIAN_POINT('',(2.5,2.9,3.78));
#23244=CARTESIAN_POINT('',(2.5,2.8,3.88));
#23245=CARTESIAN_POINT('Origin',(-6.2,1.75,3.68));
#23246=CARTESIAN_POINT('',(-6.3,1.75,3.68));
#23247=CARTESIAN_POINT('',(-6.2,1.75,3.78));
#23248=CARTESIAN_POINT('Origin',(-6.2,3.4,0.18));
#23249=CARTESIAN_POINT('',(-6.2,3.5,0.18));
#23250=CARTESIAN_POINT('',(-6.3,3.4,0.18));
#23251=CARTESIAN_POINT('Origin',(4.6,2.7,4.33));
#23252=CARTESIAN_POINT('',(4.5,2.7,4.33));
#23253=CARTESIAN_POINT('',(4.6,2.8,4.33));
#23254=CARTESIAN_POINT('Origin',(-5.1,3.4,4.08));
#23255=CARTESIAN_POINT('',(-5.1,3.5,4.08));
#23256=CARTESIAN_POINT('',(-5.,3.4,4.08));
#23257=CARTESIAN_POINT('Origin',(-5.1,1.4,0.28));
#23258=CARTESIAN_POINT('',(-5.1,1.4,0.18));
#23259=CARTESIAN_POINT('',(-5.,1.4,0.28));
#23260=CARTESIAN_POINT('Origin',(-5.78347870384437,-3.09354103909235,3.68));
#23261=CARTESIAN_POINT('',(-5.78347870384437,-3.09354103909235,3.78));
#23262=CARTESIAN_POINT('',(-5.8685620250792,-3.1460846252628,3.68));
#23263=CARTESIAN_POINT('Origin',(4.4,2.8,0.58));
#23264=CARTESIAN_POINT('',(4.4,1.9,0.68));
#23265=CARTESIAN_POINT('',(4.4,2.8,0.68));
#23266=CARTESIAN_POINT('',(4.5,1.8,0.58));
#23267=CARTESIAN_POINT('',(4.5,2.8,0.58));
#23268=CARTESIAN_POINT('Origin',(4.4,1.9,0.58));
#23269=CARTESIAN_POINT('Origin',(0.25,2.7,0.78));
#23270=CARTESIAN_POINT('',(0.25,2.8,0.78));
#23271=CARTESIAN_POINT('',(0.25,2.7,0.68));
#23272=CARTESIAN_POINT('Origin',(2.5,2.7,0.28));
#23273=CARTESIAN_POINT('',(-4.5,2.7,0.18));
#23274=CARTESIAN_POINT('',(2.5,2.7,0.18));
#23275=CARTESIAN_POINT('',(-4.6,2.8,0.28));
#23276=CARTESIAN_POINT('',(2.5,2.8,0.28));
#23277=CARTESIAN_POINT('Origin',(-4.6,2.7,0.28));
#23278=CARTESIAN_POINT('Origin',(-5.1,-1.75,3.68));
#23279=CARTESIAN_POINT('',(-5.,-1.75,3.68));
#23280=CARTESIAN_POINT('',(-5.1,-1.75,3.78));
#23281=CARTESIAN_POINT('Origin',(-3.15,-3.4,3.68));
#23282=CARTESIAN_POINT('',(-3.15,-3.5,3.68));
#23283=CARTESIAN_POINT('',(-3.15,-3.4,3.78));
#23284=CARTESIAN_POINT('Origin',(-5.1,-1.75,0.28));
#23285=CARTESIAN_POINT('',(-5.1,-1.75,0.18));
#23286=CARTESIAN_POINT('',(-5.,-1.75,0.28));
#23287=CARTESIAN_POINT('Origin',(4.1,2.8,0.78));
#23288=CARTESIAN_POINT('',(4.,2.8,0.78));
#23289=CARTESIAN_POINT('',(4.1,2.8,0.68));
#23290=CARTESIAN_POINT('Origin',(-2.25,1.9,0.58));
#23291=CARTESIAN_POINT('',(-2.25,1.9,0.68));
#23292=CARTESIAN_POINT('',(-2.25,1.8,0.58));
#23293=CARTESIAN_POINT('Origin',(-4.6,2.7,4.08));
#23294=CARTESIAN_POINT('',(-4.6,2.8,4.08));
#23295=CARTESIAN_POINT('',(-4.5,2.7,4.08));
#23296=CARTESIAN_POINT('Origin',(3.9,2.8,2.11));
#23297=CARTESIAN_POINT('',(3.9,2.8,2.21));
#23298=CARTESIAN_POINT('',(4.,2.8,2.11));
#23299=CARTESIAN_POINT('Origin',(-0.75,1.95,0.78));
#23300=CARTESIAN_POINT('',(-0.75,2.05,0.78));
#23301=CARTESIAN_POINT('',(-0.75,1.95,0.68));
#23302=CARTESIAN_POINT('Origin',(3.9,2.15,1.7025));
#23303=CARTESIAN_POINT('',(3.9,2.05,1.7025));
#23304=CARTESIAN_POINT('',(4.,2.15,1.7025));
#23305=CARTESIAN_POINT('Origin',(2.1,2.8,2.11));
#23306=CARTESIAN_POINT('',(2.,2.8,2.11));
#23307=CARTESIAN_POINT('',(2.1,2.8,2.21));
#23308=CARTESIAN_POINT('Origin',(1.9,2.7,4.08));
#23309=CARTESIAN_POINT('',(1.9,2.8,4.08));
#23310=CARTESIAN_POINT('',(2.,2.7,4.08));
#23311=CARTESIAN_POINT('Origin',(2.5,2.15,2.11));
#23312=CARTESIAN_POINT('',(2.5,2.05,2.11));
#23313=CARTESIAN_POINT('',(2.5,2.15,2.21));
#23314=CARTESIAN_POINT('Origin',(1.9,2.8,0.78));
#23315=CARTESIAN_POINT('',(2.,2.8,0.78));
#23316=CARTESIAN_POINT('',(1.9,2.8,0.68));
#23317=CARTESIAN_POINT('Origin',(-4.1,2.7,4.08));
#23318=CARTESIAN_POINT('',(-4.1,2.8,4.08));
#23319=CARTESIAN_POINT('',(-4.,2.7,4.08));
#23320=CARTESIAN_POINT('Origin',(-4.1,2.8,0.78));
#23321=CARTESIAN_POINT('',(-4.,2.8,0.78));
#23322=CARTESIAN_POINT('',(-4.1,2.8,0.68));
#23323=CARTESIAN_POINT('Origin',(0.25,2.7,0.78));
#23324=CARTESIAN_POINT('',(0.25,2.8,0.78));
#23325=CARTESIAN_POINT('',(0.25,2.7,0.68));
#23326=CARTESIAN_POINT('Origin',(1.1,2.8,0.78));
#23327=CARTESIAN_POINT('',(1.,2.8,0.78));
#23328=CARTESIAN_POINT('',(1.1,2.8,0.68));
#23329=CARTESIAN_POINT('Origin',(-1.9,2.7,5.095));
#23330=CARTESIAN_POINT('',(-1.9,2.8,5.095));
#23331=CARTESIAN_POINT('',(-2.,2.7,5.095));
#23332=CARTESIAN_POINT('Origin',(-2.1,2.8,2.11));
#23333=CARTESIAN_POINT('',(-2.1,2.8,2.21));
#23334=CARTESIAN_POINT('',(-2.,2.8,2.11));
#23335=CARTESIAN_POINT('Origin',(-3.5,2.15,2.11));
#23336=CARTESIAN_POINT('',(-3.5,2.05,2.11));
#23337=CARTESIAN_POINT('',(-3.5,2.15,2.21));
#23338=CARTESIAN_POINT('Origin',(-2.1,2.15,1.7025));
#23339=CARTESIAN_POINT('',(-2.1,2.05,1.7025));
#23340=CARTESIAN_POINT('',(-2.,2.15,1.7025));
#23341=CARTESIAN_POINT('Origin',(0.9,2.8,2.11));
#23342=CARTESIAN_POINT('',(0.9,2.8,2.21));
#23343=CARTESIAN_POINT('',(1.,2.8,2.11));
#23344=CARTESIAN_POINT('Origin',(2.,2.7,2.31));
#23345=CARTESIAN_POINT('',(2.,2.8,2.31));
#23346=CARTESIAN_POINT('',(2.,2.7,2.21));
#23347=CARTESIAN_POINT('Origin',(-2.25,1.95,0.78));
#23348=CARTESIAN_POINT('',(-2.25,2.05,0.78));
#23349=CARTESIAN_POINT('',(-2.25,1.95,0.68));
#23350=CARTESIAN_POINT('Origin',(0.9,2.15,1.7025));
#23351=CARTESIAN_POINT('',(0.9,2.05,1.7025));
#23352=CARTESIAN_POINT('',(1.,2.15,1.7025));
#23353=CARTESIAN_POINT('Origin',(0.25,2.7,0.78));
#23354=CARTESIAN_POINT('',(0.25,2.8,0.78));
#23355=CARTESIAN_POINT('',(0.25,2.7,0.68));
#23356=CARTESIAN_POINT('Origin',(-0.9,2.8,2.11));
#23357=CARTESIAN_POINT('',(-1.,2.8,2.11));
#23358=CARTESIAN_POINT('',(-0.9,2.8,2.21));
#23359=CARTESIAN_POINT('Origin',(-1.1,2.7,4.08));
#23360=CARTESIAN_POINT('',(-1.1,2.8,4.08));
#23361=CARTESIAN_POINT('',(-1.,2.7,4.08));
#23362=CARTESIAN_POINT('Origin',(-0.5,2.15,2.11));
#23363=CARTESIAN_POINT('',(-0.5,2.05,2.11));
#23364=CARTESIAN_POINT('',(-0.5,2.15,2.21));
#23365=CARTESIAN_POINT('Origin',(-1.1,2.8,0.78));
#23366=CARTESIAN_POINT('',(-1.,2.8,0.78));
#23367=CARTESIAN_POINT('',(-1.1,2.8,0.68));
#23368=CARTESIAN_POINT('Origin',(-4.5,2.8,0.18));
#23369=CARTESIAN_POINT('',(-4.5,1.8,0.18));
#23370=CARTESIAN_POINT('',(-4.5,1.8,0.68));
#23371=CARTESIAN_POINT('',(-4.5,1.4,0.18));
#23372=CARTESIAN_POINT('Origin',(0.,1.8,0.43));
#23373=CARTESIAN_POINT('',(4.5,1.8,0.18));
#23374=CARTESIAN_POINT('',(4.5,1.8,0.18));
#23375=CARTESIAN_POINT('',(0.,1.8,0.18));
#23376=CARTESIAN_POINT('Origin',(4.5,2.8,0.68));
#23377=CARTESIAN_POINT('',(4.5,1.4,0.18));
#23378=CARTESIAN_POINT('Origin',(0.,5.55111512312578E-16,0.18));
#23379=CARTESIAN_POINT('',(-4.5,-1.8,0.18));
#23380=CARTESIAN_POINT('',(0.,-1.8,0.18));
#23381=CARTESIAN_POINT('',(-4.5,-1.4,0.18));
#23382=CARTESIAN_POINT('',(-5.76,-1.5,0.18));
#23383=CARTESIAN_POINT('Origin',(-5.1,-1.5,0.18));
#23384=CARTESIAN_POINT('',(-3.75,1.24,0.18));
#23385=CARTESIAN_POINT('',(-3.75,1.12504545830265,0.18));
#23386=CARTESIAN_POINT('',(-3.75,0.65867724126417,0.18));
#23387=CARTESIAN_POINT('',(-3.45,1.12504545830265,0.18));
#23388=CARTESIAN_POINT('',(-3.6,1.12504545830265,0.18));
#23389=CARTESIAN_POINT('',(-3.45,1.24,0.18));
#23390=CARTESIAN_POINT('',(-3.45,0.65867724126417,0.18));
#23391=CARTESIAN_POINT('',(-3.6,1.24,0.18));
#23392=CARTESIAN_POINT('',(-2.95,1.24,0.18));
#23393=CARTESIAN_POINT('',(-2.95,1.12504545830265,0.18));
#23394=CARTESIAN_POINT('',(-2.95,0.65867724126417,0.18));
#23395=CARTESIAN_POINT('',(-2.65,1.12504545830265,0.18));
#23396=CARTESIAN_POINT('',(-2.8,1.12504545830265,0.18));
#23397=CARTESIAN_POINT('',(-2.65,1.24,0.18));
#23398=CARTESIAN_POINT('',(-2.65,0.65867724126417,0.18));
#23399=CARTESIAN_POINT('',(-2.8,1.24,0.18));
#23400=CARTESIAN_POINT('',(-2.15,1.24,0.18));
#23401=CARTESIAN_POINT('',(-2.15,1.12504545830265,0.18));
#23402=CARTESIAN_POINT('',(-2.15,0.65867724126417,0.18));
#23403=CARTESIAN_POINT('',(-1.85,1.12504545830265,0.18));
#23404=CARTESIAN_POINT('',(-2.,1.12504545830265,0.18));
#23405=CARTESIAN_POINT('',(-1.85,1.24,0.18));
#23406=CARTESIAN_POINT('',(-1.85,0.65867724126417,0.18));
#23407=CARTESIAN_POINT('',(-2.,1.24,0.18));
#23408=CARTESIAN_POINT('',(-1.35,1.24,0.18));
#23409=CARTESIAN_POINT('',(-1.35,1.12504545830265,0.18));
#23410=CARTESIAN_POINT('',(-1.35,0.65867724126417,0.18));
#23411=CARTESIAN_POINT('',(-1.05,1.12504545830265,0.18));
#23412=CARTESIAN_POINT('',(-1.2,1.12504545830265,0.18));
#23413=CARTESIAN_POINT('',(-1.05,1.24,0.18));
#23414=CARTESIAN_POINT('',(-1.05,0.65867724126417,0.18));
#23415=CARTESIAN_POINT('',(-1.2,1.24,0.18));
#23416=CARTESIAN_POINT('',(-0.55,1.24,0.18));
#23417=CARTESIAN_POINT('',(-0.55,1.12504545830265,0.18));
#23418=CARTESIAN_POINT('',(-0.55,0.65867724126417,0.18));
#23419=CARTESIAN_POINT('',(-0.25,1.12504545830265,0.18));
#23420=CARTESIAN_POINT('',(-0.4,1.12504545830265,0.18));
#23421=CARTESIAN_POINT('',(-0.25,1.24,0.18));
#23422=CARTESIAN_POINT('',(-0.25,0.65867724126417,0.18));
#23423=CARTESIAN_POINT('',(-0.4,1.24,0.18));
#23424=CARTESIAN_POINT('',(0.25,1.24,0.18));
#23425=CARTESIAN_POINT('',(0.25,1.12504545830265,0.18));
#23426=CARTESIAN_POINT('',(0.25,0.65867724126417,0.18));
#23427=CARTESIAN_POINT('',(0.55,1.12504545830265,0.18));
#23428=CARTESIAN_POINT('',(0.4,1.12504545830265,0.18));
#23429=CARTESIAN_POINT('',(0.55,1.24,0.18));
#23430=CARTESIAN_POINT('',(0.55,0.65867724126417,0.18));
#23431=CARTESIAN_POINT('',(0.4,1.24,0.18));
#23432=CARTESIAN_POINT('',(1.05,1.24,0.18));
#23433=CARTESIAN_POINT('',(1.05,1.12504545830265,0.18));
#23434=CARTESIAN_POINT('',(1.05,0.65867724126417,0.18));
#23435=CARTESIAN_POINT('',(1.35,1.12504545830265,0.18));
#23436=CARTESIAN_POINT('',(1.2,1.12504545830265,0.18));
#23437=CARTESIAN_POINT('',(1.35,1.24,0.18));
#23438=CARTESIAN_POINT('',(1.35,0.65867724126417,0.18));
#23439=CARTESIAN_POINT('',(1.2,1.24,0.18));
#23440=CARTESIAN_POINT('',(1.85,1.24,0.18));
#23441=CARTESIAN_POINT('',(1.85,1.12504545830265,0.18));
#23442=CARTESIAN_POINT('',(1.85,0.65867724126417,0.18));
#23443=CARTESIAN_POINT('',(2.15,1.12504545830265,0.18));
#23444=CARTESIAN_POINT('',(2.,1.12504545830265,0.18));
#23445=CARTESIAN_POINT('',(2.15,1.24,0.18));
#23446=CARTESIAN_POINT('',(2.15,0.65867724126417,0.18));
#23447=CARTESIAN_POINT('',(2.,1.24,0.18));
#23448=CARTESIAN_POINT('',(2.65,1.24,0.18));
#23449=CARTESIAN_POINT('',(2.65,1.12504545830265,0.18));
#23450=CARTESIAN_POINT('',(2.65,0.65867724126417,0.18));
#23451=CARTESIAN_POINT('',(2.95,1.12504545830265,0.18));
#23452=CARTESIAN_POINT('',(2.8,1.12504545830265,0.18));
#23453=CARTESIAN_POINT('',(2.95,1.24,0.18));
#23454=CARTESIAN_POINT('',(2.95,0.65867724126417,0.18));
#23455=CARTESIAN_POINT('',(2.8,1.24,0.18));
#23456=CARTESIAN_POINT('',(3.45,1.24,0.18));
#23457=CARTESIAN_POINT('',(3.45,1.12504545830265,0.18));
#23458=CARTESIAN_POINT('',(3.45,0.65867724126417,0.18));
#23459=CARTESIAN_POINT('',(3.75,1.12504545830265,0.18));
#23460=CARTESIAN_POINT('',(3.6,1.12504545830265,0.18));
#23461=CARTESIAN_POINT('',(3.75,1.24,0.18));
#23462=CARTESIAN_POINT('',(3.75,0.65867724126417,0.18));
#23463=CARTESIAN_POINT('',(3.6,1.24,0.18));
#23464=CARTESIAN_POINT('',(-3.75,-1.12504545830265,0.18));
#23465=CARTESIAN_POINT('',(-3.75,-1.24,0.18));
#23466=CARTESIAN_POINT('',(-3.75,-0.658677241264169,0.18));
#23467=CARTESIAN_POINT('',(-3.45,-1.24,0.18));
#23468=CARTESIAN_POINT('',(-3.6,-1.24,0.18));
#23469=CARTESIAN_POINT('',(-3.45,-1.12504545830265,0.18));
#23470=CARTESIAN_POINT('',(-3.45,-0.658677241264169,0.18));
#23471=CARTESIAN_POINT('',(-3.6,-1.12504545830265,0.18));
#23472=CARTESIAN_POINT('',(-2.95,-1.12504545830265,0.18));
#23473=CARTESIAN_POINT('',(-2.95,-1.24,0.18));
#23474=CARTESIAN_POINT('',(-2.95,-0.658677241264169,0.18));
#23475=CARTESIAN_POINT('',(-2.65,-1.24,0.18));
#23476=CARTESIAN_POINT('',(-2.8,-1.24,0.18));
#23477=CARTESIAN_POINT('',(-2.65,-1.12504545830265,0.18));
#23478=CARTESIAN_POINT('',(-2.65,-0.658677241264169,0.18));
#23479=CARTESIAN_POINT('',(-2.8,-1.12504545830265,0.18));
#23480=CARTESIAN_POINT('',(-2.15,-1.12504545830265,0.18));
#23481=CARTESIAN_POINT('',(-2.15,-1.24,0.18));
#23482=CARTESIAN_POINT('',(-2.15,-0.658677241264169,0.18));
#23483=CARTESIAN_POINT('',(-1.85,-1.24,0.18));
#23484=CARTESIAN_POINT('',(-2.,-1.24,0.18));
#23485=CARTESIAN_POINT('',(-1.85,-1.12504545830265,0.18));
#23486=CARTESIAN_POINT('',(-1.85,-0.658677241264169,0.18));
#23487=CARTESIAN_POINT('',(-2.,-1.12504545830265,0.18));
#23488=CARTESIAN_POINT('',(-1.35,-1.12504545830265,0.18));
#23489=CARTESIAN_POINT('',(-1.35,-1.24,0.18));
#23490=CARTESIAN_POINT('',(-1.35,-0.658677241264169,0.18));
#23491=CARTESIAN_POINT('',(-1.05,-1.24,0.18));
#23492=CARTESIAN_POINT('',(-1.2,-1.24,0.18));
#23493=CARTESIAN_POINT('',(-1.05,-1.12504545830265,0.18));
#23494=CARTESIAN_POINT('',(-1.05,-0.658677241264169,0.18));
#23495=CARTESIAN_POINT('',(-1.2,-1.12504545830265,0.18));
#23496=CARTESIAN_POINT('',(-0.55,-1.12504545830265,0.18));
#23497=CARTESIAN_POINT('',(-0.55,-1.24,0.18));
#23498=CARTESIAN_POINT('',(-0.55,-0.658677241264169,0.18));
#23499=CARTESIAN_POINT('',(-0.25,-1.24,0.18));
#23500=CARTESIAN_POINT('',(-0.4,-1.24,0.18));
#23501=CARTESIAN_POINT('',(-0.25,-1.12504545830265,0.18));
#23502=CARTESIAN_POINT('',(-0.25,-0.658677241264169,0.18));
#23503=CARTESIAN_POINT('',(-0.4,-1.12504545830265,0.18));
#23504=CARTESIAN_POINT('',(0.25,-1.12504545830265,0.18));
#23505=CARTESIAN_POINT('',(0.25,-1.24,0.18));
#23506=CARTESIAN_POINT('',(0.25,-0.658677241264169,0.18));
#23507=CARTESIAN_POINT('',(0.55,-1.24,0.18));
#23508=CARTESIAN_POINT('',(0.4,-1.24,0.18));
#23509=CARTESIAN_POINT('',(0.55,-1.12504545830265,0.18));
#23510=CARTESIAN_POINT('',(0.55,-0.658677241264169,0.18));
#23511=CARTESIAN_POINT('',(0.4,-1.12504545830265,0.18));
#23512=CARTESIAN_POINT('',(1.05,-1.12504545830265,0.18));
#23513=CARTESIAN_POINT('',(1.05,-1.24,0.18));
#23514=CARTESIAN_POINT('',(1.05,-0.658677241264169,0.18));
#23515=CARTESIAN_POINT('',(1.35,-1.24,0.18));
#23516=CARTESIAN_POINT('',(1.2,-1.24,0.18));
#23517=CARTESIAN_POINT('',(1.35,-1.12504545830265,0.18));
#23518=CARTESIAN_POINT('',(1.35,-0.658677241264169,0.18));
#23519=CARTESIAN_POINT('',(1.2,-1.12504545830265,0.18));
#23520=CARTESIAN_POINT('',(1.85,-1.12504545830265,0.18));
#23521=CARTESIAN_POINT('',(1.85,-1.24,0.18));
#23522=CARTESIAN_POINT('',(1.85,-0.658677241264169,0.18));
#23523=CARTESIAN_POINT('',(2.15,-1.24,0.18));
#23524=CARTESIAN_POINT('',(2.,-1.24,0.18));
#23525=CARTESIAN_POINT('',(2.15,-1.12504545830265,0.18));
#23526=CARTESIAN_POINT('',(2.15,-0.658677241264169,0.18));
#23527=CARTESIAN_POINT('',(2.,-1.12504545830265,0.18));
#23528=CARTESIAN_POINT('',(2.65,-1.12504545830265,0.18));
#23529=CARTESIAN_POINT('',(2.65,-1.24,0.18));
#23530=CARTESIAN_POINT('',(2.65,-0.658677241264169,0.18));
#23531=CARTESIAN_POINT('',(2.95,-1.24,0.18));
#23532=CARTESIAN_POINT('',(2.8,-1.24,0.18));
#23533=CARTESIAN_POINT('',(2.95,-1.12504545830265,0.18));
#23534=CARTESIAN_POINT('',(2.95,-0.658677241264169,0.18));
#23535=CARTESIAN_POINT('',(2.8,-1.12504545830265,0.18));
#23536=CARTESIAN_POINT('',(3.45,-1.12504545830265,0.18));
#23537=CARTESIAN_POINT('',(3.45,-1.24,0.18));
#23538=CARTESIAN_POINT('',(3.45,-0.658677241264169,0.18));
#23539=CARTESIAN_POINT('',(3.75,-1.24,0.18));
#23540=CARTESIAN_POINT('',(3.6,-1.24,0.18));
#23541=CARTESIAN_POINT('',(3.75,-1.12504545830265,0.18));
#23542=CARTESIAN_POINT('',(3.75,-0.658677241264169,0.18));
#23543=CARTESIAN_POINT('',(3.6,-1.12504545830265,0.18));
#23544=CARTESIAN_POINT('',(4.44,8.08266887437254E-17,0.18));
#23545=CARTESIAN_POINT('Origin',(5.1,0.,0.18));
#23546=CARTESIAN_POINT('Origin',(-1.,2.8,0.18));
#23547=CARTESIAN_POINT('Origin',(0.,2.05,1.195));
#23548=CARTESIAN_POINT('Origin',(-2.,2.8,2.21));
#23549=CARTESIAN_POINT('Origin',(1.,2.8,2.21));
#23550=CARTESIAN_POINT('Origin',(-3.,2.05,1.195));
#23551=CARTESIAN_POINT('Origin',(-4.,2.8,0.18));
#23552=CARTESIAN_POINT('Origin',(-4.,2.8,2.21));
#23553=CARTESIAN_POINT('Origin',(2.,2.8,0.18));
#23554=CARTESIAN_POINT('Origin',(3.,2.05,1.195));
#23555=CARTESIAN_POINT('Origin',(2.,2.8,2.21));
#23556=CARTESIAN_POINT('Origin',(4.,2.8,2.21));
#23557=CARTESIAN_POINT('Origin',(-6.3,-3.5,0.18));
#23558=CARTESIAN_POINT('Origin',(-5.,-3.5,7.98));
#23559=CARTESIAN_POINT('Origin',(-6.08228813574161,-2.8,3.78));
#23560=CARTESIAN_POINT('Origin',(0.,-6.66133814775094E-15,3.78));
#23561=CARTESIAN_POINT('Origin',(-5.,2.8,7.98));
#23562=CARTESIAN_POINT('Origin',(0.,-6.66133814775094E-15,3.78));
#23563=CARTESIAN_POINT('Origin',(6.3,3.5,0.18));
#23564=CARTESIAN_POINT('Origin',(0.,-6.66133814775094E-15,3.78));
#23565=CARTESIAN_POINT('Origin',(5.,3.5,7.98));
#23566=CARTESIAN_POINT('Origin',(6.3,3.5,0.18));
#23567=CARTESIAN_POINT('Origin',(-6.3,-3.5,0.18));
#23568=CARTESIAN_POINT('Origin',(6.3,-3.5,0.18));
#23569=CARTESIAN_POINT('Origin',(5.,-2.8,7.98));
#23570=CARTESIAN_POINT('Origin',(0.,-6.66133814775094E-15,3.78));
#23571=CARTESIAN_POINT('Origin',(-6.3,3.5,0.18));
#23572=CARTESIAN_POINT('Origin',(5.,2.8,7.98));
#23573=CARTESIAN_POINT('Origin',(-4.5,2.8,0.68));
#23574=CARTESIAN_POINT('Origin',(-1.,2.8,2.21));
#23575=CARTESIAN_POINT('Origin',(-5.1,-1.5,0.08));
#23576=CARTESIAN_POINT('',(-5.66,-1.5,0.08));
#23577=CARTESIAN_POINT('Origin',(-5.1,-1.5,0.08));
#23578=CARTESIAN_POINT('Origin',(-5.76,-1.5,0.08));
#23579=CARTESIAN_POINT('Origin',(-5.1,-1.5,0.18));
#23580=CARTESIAN_POINT('',(-5.66,-1.5,-1.02));
#23581=CARTESIAN_POINT('Origin',(-5.1,-1.5,-1.02));
#23582=CARTESIAN_POINT('',(-5.66,-1.5,0.18));
#23583=CARTESIAN_POINT('Origin',(5.1,0.,0.08));
#23584=CARTESIAN_POINT('',(4.54,-6.85802207522518E-17,0.08));
#23585=CARTESIAN_POINT('Origin',(5.1,0.,0.08));
#23586=CARTESIAN_POINT('Origin',(4.44,-8.08266887437254E-17,0.08));
#23587=CARTESIAN_POINT('Origin',(5.1,0.,0.18));
#23588=CARTESIAN_POINT('',(4.54,8.2838221924664E-17,-1.02));
#23589=CARTESIAN_POINT('Origin',(5.1,0.,-1.02));
#23590=CARTESIAN_POINT('',(4.54,6.85802207522518E-17,0.18));
#23591=CARTESIAN_POINT('Ctrl Pts',(4.84,1.42580011724121E-17,-1.32));
#23592=CARTESIAN_POINT('Ctrl Pts',(4.84,-0.26,-1.32));
#23593=CARTESIAN_POINT('Ctrl Pts',(5.1,-0.26,-1.32));
#23594=CARTESIAN_POINT('Ctrl Pts',(5.36,-0.26,-1.32));
#23595=CARTESIAN_POINT('Ctrl Pts',(5.36,1.42580011724121E-17,-1.32));
#23596=CARTESIAN_POINT('Ctrl Pts',(5.36,0.26,-1.32));
#23597=CARTESIAN_POINT('Ctrl Pts',(5.1,0.26,-1.32));
#23598=CARTESIAN_POINT('Ctrl Pts',(4.84,0.26,-1.32));
#23599=CARTESIAN_POINT('Ctrl Pts',(4.84,1.42580011724121E-17,-1.32));
#23600=CARTESIAN_POINT('Ctrl Pts',(4.54,1.42580011724122E-17,-1.32));
#23601=CARTESIAN_POINT('Ctrl Pts',(4.54,-0.56,-1.32));
#23602=CARTESIAN_POINT('Ctrl Pts',(5.1,-0.56,-1.32));
#23603=CARTESIAN_POINT('Ctrl Pts',(5.66,-0.56,-1.32));
#23604=CARTESIAN_POINT('Ctrl Pts',(5.66,1.42580011724121E-17,-1.32));
#23605=CARTESIAN_POINT('Ctrl Pts',(5.66,0.56,-1.32));
#23606=CARTESIAN_POINT('Ctrl Pts',(5.1,0.56,-1.32));
#23607=CARTESIAN_POINT('Ctrl Pts',(4.54,0.56,-1.32));
#23608=CARTESIAN_POINT('Ctrl Pts',(4.54,1.42580011724122E-17,-1.32));
#23609=CARTESIAN_POINT('Ctrl Pts',(4.54,1.26491927291337E-33,-1.02));
#23610=CARTESIAN_POINT('Ctrl Pts',(4.54,-0.56,-1.02));
#23611=CARTESIAN_POINT('Ctrl Pts',(5.1,-0.56,-1.02));
#23612=CARTESIAN_POINT('Ctrl Pts',(5.66,-0.56,-1.02));
#23613=CARTESIAN_POINT('Ctrl Pts',(5.66,-1.26491927291337E-33,-1.02));
#23614=CARTESIAN_POINT('Ctrl Pts',(5.66,0.56,-1.02));
#23615=CARTESIAN_POINT('Ctrl Pts',(5.1,0.56,-1.02));
#23616=CARTESIAN_POINT('Ctrl Pts',(4.54,0.56,-1.02));
#23617=CARTESIAN_POINT('Ctrl Pts',(4.54,1.26491927291337E-33,-1.02));
#23618=CARTESIAN_POINT('',(4.84,-1.09630293467992E-17,-1.32));
#23619=CARTESIAN_POINT('Origin',(4.84,-3.18408167778312E-17,-1.02));
#23620=CARTESIAN_POINT('Origin',(5.1,1.42580011724121E-17,-1.32));
#23621=CARTESIAN_POINT('Origin',(0.,-0.75,-1.32));
#23622=CARTESIAN_POINT('Ctrl Pts',(-5.36,-1.5,-1.32));
#23623=CARTESIAN_POINT('Ctrl Pts',(-5.36,-1.76,-1.32));
#23624=CARTESIAN_POINT('Ctrl Pts',(-5.1,-1.76,-1.32));
#23625=CARTESIAN_POINT('Ctrl Pts',(-4.84,-1.76,-1.32));
#23626=CARTESIAN_POINT('Ctrl Pts',(-4.84,-1.5,-1.32));
#23627=CARTESIAN_POINT('Ctrl Pts',(-4.84,-1.24,-1.32));
#23628=CARTESIAN_POINT('Ctrl Pts',(-5.1,-1.24,-1.32));
#23629=CARTESIAN_POINT('Ctrl Pts',(-5.36,-1.24,-1.32));
#23630=CARTESIAN_POINT('Ctrl Pts',(-5.36,-1.5,-1.32));
#23631=CARTESIAN_POINT('Ctrl Pts',(-5.66,-1.5,-1.32));
#23632=CARTESIAN_POINT('Ctrl Pts',(-5.66,-2.06,-1.32));
#23633=CARTESIAN_POINT('Ctrl Pts',(-5.1,-2.06,-1.32));
#23634=CARTESIAN_POINT('Ctrl Pts',(-4.54,-2.06,-1.32));
#23635=CARTESIAN_POINT('Ctrl Pts',(-4.54,-1.5,-1.32));
#23636=CARTESIAN_POINT('Ctrl Pts',(-4.54,-0.94,-1.32));
#23637=CARTESIAN_POINT('Ctrl Pts',(-5.1,-0.94,-1.32));
#23638=CARTESIAN_POINT('Ctrl Pts',(-5.66,-0.94,-1.32));
#23639=CARTESIAN_POINT('Ctrl Pts',(-5.66,-1.5,-1.32));
#23640=CARTESIAN_POINT('Ctrl Pts',(-5.66,-1.5,-1.02));
#23641=CARTESIAN_POINT('Ctrl Pts',(-5.66,-2.06,-1.02));
#23642=CARTESIAN_POINT('Ctrl Pts',(-5.1,-2.06,-1.02));
#23643=CARTESIAN_POINT('Ctrl Pts',(-4.54,-2.06,-1.02));
#23644=CARTESIAN_POINT('Ctrl Pts',(-4.54,-1.5,-1.02));
#23645=CARTESIAN_POINT('Ctrl Pts',(-4.54,-0.94,-1.02));
#23646=CARTESIAN_POINT('Ctrl Pts',(-5.1,-0.94,-1.02));
#23647=CARTESIAN_POINT('Ctrl Pts',(-5.66,-0.94,-1.02));
#23648=CARTESIAN_POINT('Ctrl Pts',(-5.66,-1.5,-1.02));
#23649=CARTESIAN_POINT('',(-5.36,-1.5,-1.32));
#23650=CARTESIAN_POINT('Origin',(-5.36,-1.5,-1.02));
#23651=CARTESIAN_POINT('Origin',(-5.1,-1.5,-1.32));
#23652=CARTESIAN_POINT('Origin',(0.,-0.75,-1.32));
#23653=CARTESIAN_POINT('Origin',(3.6,-1.4,0.3));
#23654=CARTESIAN_POINT('',(3.45,-1.4,0.0999999999999999));
#23655=CARTESIAN_POINT('Origin',(3.45,-1.4,0.3));
#23656=CARTESIAN_POINT('',(3.75,-1.4,0.0999999999999999));
#23657=CARTESIAN_POINT('',(3.6,-1.4,0.1));
#23658=CARTESIAN_POINT('Origin',(3.75,-1.4,0.3));
#23659=CARTESIAN_POINT('Origin',(3.6,-0.512432877148789,5.64834205287534));
#23660=CARTESIAN_POINT('',(3.45,-0.875,3.41062309153474));
#23661=CARTESIAN_POINT('',(3.75,-0.875,3.41062309153474));
#23662=CARTESIAN_POINT('',(4.15,-0.875,3.41062309153473));
#23663=CARTESIAN_POINT('',(3.45,-0.512432877148789,5.64834205287534));
#23664=CARTESIAN_POINT('',(3.45,-0.512432877148789,5.64834205287534));
#23665=CARTESIAN_POINT('',(3.75,-0.512432877148789,5.64834205287534));
#23666=CARTESIAN_POINT('',(3.6,-0.512432877148789,5.64834205287534));
#23667=CARTESIAN_POINT('',(3.75,-0.512432877148789,5.64834205287534));
#23668=CARTESIAN_POINT('Origin',(3.75,-1.31735448252834,3.53145159501059));
#23669=CARTESIAN_POINT('',(3.75,-0.875,4.03585937500024));
#23670=CARTESIAN_POINT('',(3.75,-0.875,5.7557257975053));
#23671=CARTESIAN_POINT('',(3.75,-0.769188178002336,6.24172491522695));
#23672=CARTESIAN_POINT('Origin',(3.75,-1.10470896672645,5.74430577371984));
#23673=CARTESIAN_POINT('',(3.75,-1.98660839812384,7.06290319002119));
#23674=CARTESIAN_POINT('',(3.75,-0.875,7.729608659028));
#23675=CARTESIAN_POINT('',(3.75,-0.825108309301508,6.158821725207));
#23676=CARTESIAN_POINT('',(3.75,-2.0425285294224,6.98000000000015));
#23677=CARTESIAN_POINT('',(3.75,-0.611145558470353,5.66433600630492));
#23678=CARTESIAN_POINT('Origin',(3.75,-1.10470896672645,5.74430577371984));
#23679=CARTESIAN_POINT('',(3.75,-0.611145558472139,5.6643360063052));
#23680=CARTESIAN_POINT('Origin',(3.45,-1.31735448252834,3.53145159501059));
#23681=CARTESIAN_POINT('',(3.45,-0.875,4.03585937500024));
#23682=CARTESIAN_POINT('',(3.45,-0.875,5.7557257975053));
#23683=CARTESIAN_POINT('',(3.45,-0.611145558470353,5.66433600630492));
#23684=CARTESIAN_POINT('',(3.45,-0.611145558472139,5.6643360063052));
#23685=CARTESIAN_POINT('',(3.45,-0.825108309301508,6.158821725207));
#23686=CARTESIAN_POINT('Origin',(3.45,-1.10470896672645,5.74430577371984));
#23687=CARTESIAN_POINT('',(3.45,-2.0425285294224,6.98000000000015));
#23688=CARTESIAN_POINT('',(3.45,-0.875,7.729608659028));
#23689=CARTESIAN_POINT('',(3.45,-0.769188178002336,6.24172491522695));
#23690=CARTESIAN_POINT('',(3.45,-1.98660839812384,7.06290319002119));
#23691=CARTESIAN_POINT('Origin',(3.45,-1.10470896672645,5.74430577371984));
#23692=CARTESIAN_POINT('Origin',(3.45,-1.31735448252834,3.53145159501059));
#23693=CARTESIAN_POINT('',(3.45,-1.4,-1.73472347597681E-16));
#23694=CARTESIAN_POINT('Origin',(3.45,-1.4,0.3));
#23695=CARTESIAN_POINT('',(3.45,-2.13,-1.73472347597681E-16));
#23696=CARTESIAN_POINT('',(3.45,-1.4,3.46944695195361E-17));
#23697=CARTESIAN_POINT('',(3.45,-2.13,0.0999999999999999));
#23698=CARTESIAN_POINT('',(3.45,-2.13,0.1));
#23699=CARTESIAN_POINT('',(3.45,-1.4,0.1));
#23700=CARTESIAN_POINT('Origin',(3.6,-2.0425285294224,6.98000000000015));
#23701=CARTESIAN_POINT('',(3.6,-1.98660839812384,7.06290319002119));
#23702=CARTESIAN_POINT('',(3.6,-2.0425285294224,6.98000000000015));
#23703=CARTESIAN_POINT('Origin',(3.6,-0.825108309301508,6.158821725207));
#23704=CARTESIAN_POINT('',(3.6,-0.825108309301508,6.158821725207));
#23705=CARTESIAN_POINT('Origin',(3.6,-1.10470896672645,5.74430577371984));
#23706=CARTESIAN_POINT('',(3.6,-0.611145558470353,5.66433600630492));
#23707=CARTESIAN_POINT('Origin',(3.6,-1.2,2.03));
#23708=CARTESIAN_POINT('',(4.15,-0.875,4.03585937500024));
#23709=CARTESIAN_POINT('Origin',(3.6,-2.13,0.1));
#23710=CARTESIAN_POINT('',(3.75,-2.13,0.0999999999999999));
#23711=CARTESIAN_POINT('',(3.6,-2.13,0.1));
#23712=CARTESIAN_POINT('',(3.75,-1.4,0.1));
#23713=CARTESIAN_POINT('Origin',(3.6,-2.13,0.));
#23714=CARTESIAN_POINT('',(3.75,-2.13,-1.73472347597681E-16));
#23715=CARTESIAN_POINT('',(3.6,-2.13,0.));
#23716=CARTESIAN_POINT('',(3.75,-2.13,0.1));
#23717=CARTESIAN_POINT('Origin',(3.6,-1.4,3.46944695195361E-17));
#23718=CARTESIAN_POINT('',(3.75,-1.4,-1.73472347597681E-16));
#23719=CARTESIAN_POINT('',(3.6,-1.4,3.46944695195361E-17));
#23720=CARTESIAN_POINT('',(3.75,-1.4,3.46944695195361E-17));
#23721=CARTESIAN_POINT('Origin',(3.6,-1.4,0.3));
#23722=CARTESIAN_POINT('Origin',(3.75,-1.4,0.3));
#23723=CARTESIAN_POINT('Origin',(3.6,-1.10470896672645,5.74430577371984));
#23724=CARTESIAN_POINT('',(3.6,-0.769188178002336,6.24172491522695));
#23725=CARTESIAN_POINT('',(3.6,-0.769188178002336,6.24172491522695));
#23726=CARTESIAN_POINT('',(3.6,-0.769188178002336,6.24172491522695));
#23727=CARTESIAN_POINT('Origin',(3.6,-1.98660839812384,7.06290319002119));
#23728=CARTESIAN_POINT('Origin',(3.75,-1.31735448252834,3.53145159501059));
#23729=CARTESIAN_POINT('Origin',(3.6,-0.280804834284298,5.87617723962076));
#23730=CARTESIAN_POINT('',(4.15,-0.875,5.87617723962075));
#23731=CARTESIAN_POINT('Origin',(2.8,-1.4,0.3));
#23732=CARTESIAN_POINT('',(2.65,-1.4,0.0999999999999999));
#23733=CARTESIAN_POINT('Origin',(2.65,-1.4,0.3));
#23734=CARTESIAN_POINT('',(2.95,-1.4,0.0999999999999999));
#23735=CARTESIAN_POINT('',(2.8,-1.4,0.1));
#23736=CARTESIAN_POINT('Origin',(2.95,-1.4,0.3));
#23737=CARTESIAN_POINT('Origin',(2.8,-0.512432877148789,5.64834205287534));
#23738=CARTESIAN_POINT('',(2.65,-0.875,3.41062309153474));
#23739=CARTESIAN_POINT('',(2.95,-0.875,3.41062309153474));
#23740=CARTESIAN_POINT('',(3.75,-0.875,3.41062309153473));
#23741=CARTESIAN_POINT('',(2.65,-0.512432877148789,5.64834205287534));
#23742=CARTESIAN_POINT('',(2.65,-0.512432877148789,5.64834205287534));
#23743=CARTESIAN_POINT('',(2.95,-0.512432877148789,5.64834205287534));
#23744=CARTESIAN_POINT('',(2.8,-0.512432877148789,5.64834205287534));
#23745=CARTESIAN_POINT('',(2.95,-0.512432877148789,5.64834205287534));
#23746=CARTESIAN_POINT('Origin',(2.95,-1.31735448252834,3.53145159501059));
#23747=CARTESIAN_POINT('',(2.95,-0.875,4.03585937500024));
#23748=CARTESIAN_POINT('',(2.95,-0.875,5.7557257975053));
#23749=CARTESIAN_POINT('',(2.95,-0.769188178002336,6.24172491522695));
#23750=CARTESIAN_POINT('Origin',(2.95,-1.10470896672645,5.74430577371984));
#23751=CARTESIAN_POINT('',(2.95,-1.98660839812384,7.06290319002119));
#23752=CARTESIAN_POINT('',(2.95,-0.875,7.729608659028));
#23753=CARTESIAN_POINT('',(2.95,-0.825108309301508,6.158821725207));
#23754=CARTESIAN_POINT('',(2.95,-2.0425285294224,6.98000000000015));
#23755=CARTESIAN_POINT('',(2.95,-0.611145558470353,5.66433600630492));
#23756=CARTESIAN_POINT('Origin',(2.95,-1.10470896672645,5.74430577371984));
#23757=CARTESIAN_POINT('',(2.95,-0.611145558472139,5.6643360063052));
#23758=CARTESIAN_POINT('Origin',(2.65,-1.31735448252834,3.53145159501059));
#23759=CARTESIAN_POINT('',(2.65,-0.875,4.03585937500024));
#23760=CARTESIAN_POINT('',(2.65,-0.875,5.7557257975053));
#23761=CARTESIAN_POINT('',(2.65,-0.611145558470353,5.66433600630492));
#23762=CARTESIAN_POINT('',(2.65,-0.611145558472139,5.6643360063052));
#23763=CARTESIAN_POINT('',(2.65,-0.825108309301508,6.158821725207));
#23764=CARTESIAN_POINT('Origin',(2.65,-1.10470896672645,5.74430577371984));
#23765=CARTESIAN_POINT('',(2.65,-2.0425285294224,6.98000000000015));
#23766=CARTESIAN_POINT('',(2.65,-0.875,7.729608659028));
#23767=CARTESIAN_POINT('',(2.65,-0.769188178002336,6.24172491522695));
#23768=CARTESIAN_POINT('',(2.65,-1.98660839812384,7.06290319002119));
#23769=CARTESIAN_POINT('Origin',(2.65,-1.10470896672645,5.74430577371984));
#23770=CARTESIAN_POINT('Origin',(2.65,-1.31735448252834,3.53145159501059));
#23771=CARTESIAN_POINT('',(2.65,-1.4,-1.73472347597681E-16));
#23772=CARTESIAN_POINT('Origin',(2.65,-1.4,0.3));
#23773=CARTESIAN_POINT('',(2.65,-2.13,-1.73472347597681E-16));
#23774=CARTESIAN_POINT('',(2.65,-1.4,3.46944695195361E-17));
#23775=CARTESIAN_POINT('',(2.65,-2.13,0.0999999999999999));
#23776=CARTESIAN_POINT('',(2.65,-2.13,0.1));
#23777=CARTESIAN_POINT('',(2.65,-1.4,0.1));
#23778=CARTESIAN_POINT('Origin',(2.8,-2.0425285294224,6.98000000000015));
#23779=CARTESIAN_POINT('',(2.8,-1.98660839812384,7.06290319002119));
#23780=CARTESIAN_POINT('',(2.8,-2.0425285294224,6.98000000000015));
#23781=CARTESIAN_POINT('Origin',(2.8,-0.825108309301508,6.158821725207));
#23782=CARTESIAN_POINT('',(2.8,-0.825108309301508,6.158821725207));
#23783=CARTESIAN_POINT('Origin',(2.8,-1.10470896672645,5.74430577371984));
#23784=CARTESIAN_POINT('',(2.8,-0.611145558470353,5.66433600630492));
#23785=CARTESIAN_POINT('Origin',(2.8,-1.2,2.03));
#23786=CARTESIAN_POINT('',(3.75,-0.875,4.03585937500024));
#23787=CARTESIAN_POINT('Origin',(2.8,-2.13,0.1));
#23788=CARTESIAN_POINT('',(2.95,-2.13,0.0999999999999999));
#23789=CARTESIAN_POINT('',(2.8,-2.13,0.1));
#23790=CARTESIAN_POINT('',(2.95,-1.4,0.1));
#23791=CARTESIAN_POINT('Origin',(2.8,-2.13,0.));
#23792=CARTESIAN_POINT('',(2.95,-2.13,-1.73472347597681E-16));
#23793=CARTESIAN_POINT('',(2.8,-2.13,0.));
#23794=CARTESIAN_POINT('',(2.95,-2.13,0.1));
#23795=CARTESIAN_POINT('Origin',(2.8,-1.4,3.46944695195361E-17));
#23796=CARTESIAN_POINT('',(2.95,-1.4,-1.73472347597681E-16));
#23797=CARTESIAN_POINT('',(2.8,-1.4,3.46944695195361E-17));
#23798=CARTESIAN_POINT('',(2.95,-1.4,3.46944695195361E-17));
#23799=CARTESIAN_POINT('Origin',(2.8,-1.4,0.3));
#23800=CARTESIAN_POINT('Origin',(2.95,-1.4,0.3));
#23801=CARTESIAN_POINT('Origin',(2.8,-1.10470896672645,5.74430577371984));
#23802=CARTESIAN_POINT('',(2.8,-0.769188178002336,6.24172491522695));
#23803=CARTESIAN_POINT('',(2.8,-0.769188178002336,6.24172491522695));
#23804=CARTESIAN_POINT('',(2.8,-0.769188178002336,6.24172491522695));
#23805=CARTESIAN_POINT('Origin',(2.8,-1.98660839812384,7.06290319002119));
#23806=CARTESIAN_POINT('Origin',(2.95,-1.31735448252834,3.53145159501059));
#23807=CARTESIAN_POINT('Origin',(2.8,-0.280804834284298,5.87617723962076));
#23808=CARTESIAN_POINT('',(3.75,-0.875,5.87617723962075));
#23809=CARTESIAN_POINT('Origin',(2.,-1.4,0.3));
#23810=CARTESIAN_POINT('',(1.85,-1.4,0.0999999999999999));
#23811=CARTESIAN_POINT('Origin',(1.85,-1.4,0.3));
#23812=CARTESIAN_POINT('',(2.15,-1.4,0.0999999999999999));
#23813=CARTESIAN_POINT('',(2.,-1.4,0.1));
#23814=CARTESIAN_POINT('Origin',(2.15,-1.4,0.3));
#23815=CARTESIAN_POINT('Origin',(2.,-0.512432877148789,5.64834205287534));
#23816=CARTESIAN_POINT('',(1.85,-0.875,3.41062309153474));
#23817=CARTESIAN_POINT('',(2.15,-0.875,3.41062309153474));
#23818=CARTESIAN_POINT('',(3.35,-0.875,3.41062309153473));
#23819=CARTESIAN_POINT('',(1.85,-0.512432877148789,5.64834205287534));
#23820=CARTESIAN_POINT('',(1.85,-0.512432877148789,5.64834205287534));
#23821=CARTESIAN_POINT('',(2.15,-0.512432877148789,5.64834205287534));
#23822=CARTESIAN_POINT('',(2.,-0.512432877148789,5.64834205287534));
#23823=CARTESIAN_POINT('',(2.15,-0.512432877148789,5.64834205287534));
#23824=CARTESIAN_POINT('Origin',(2.15,-1.31735448252834,3.53145159501059));
#23825=CARTESIAN_POINT('',(2.15,-0.875,4.03585937500024));
#23826=CARTESIAN_POINT('',(2.15,-0.875,5.7557257975053));
#23827=CARTESIAN_POINT('',(2.15,-0.769188178002336,6.24172491522695));
#23828=CARTESIAN_POINT('Origin',(2.15,-1.10470896672645,5.74430577371984));
#23829=CARTESIAN_POINT('',(2.15,-1.98660839812384,7.06290319002119));
#23830=CARTESIAN_POINT('',(2.15,-0.875,7.729608659028));
#23831=CARTESIAN_POINT('',(2.15,-0.825108309301508,6.158821725207));
#23832=CARTESIAN_POINT('',(2.15,-2.0425285294224,6.98000000000015));
#23833=CARTESIAN_POINT('',(2.15,-0.611145558470353,5.66433600630492));
#23834=CARTESIAN_POINT('Origin',(2.15,-1.10470896672645,5.74430577371984));
#23835=CARTESIAN_POINT('',(2.15,-0.611145558472139,5.6643360063052));
#23836=CARTESIAN_POINT('Origin',(1.85,-1.31735448252834,3.53145159501059));
#23837=CARTESIAN_POINT('',(1.85,-0.875,4.03585937500024));
#23838=CARTESIAN_POINT('',(1.85,-0.875,5.7557257975053));
#23839=CARTESIAN_POINT('',(1.85,-0.611145558470353,5.66433600630492));
#23840=CARTESIAN_POINT('',(1.85,-0.611145558472139,5.6643360063052));
#23841=CARTESIAN_POINT('',(1.85,-0.825108309301508,6.158821725207));
#23842=CARTESIAN_POINT('Origin',(1.85,-1.10470896672645,5.74430577371984));
#23843=CARTESIAN_POINT('',(1.85,-2.0425285294224,6.98000000000015));
#23844=CARTESIAN_POINT('',(1.85,-0.875,7.729608659028));
#23845=CARTESIAN_POINT('',(1.85,-0.769188178002336,6.24172491522695));
#23846=CARTESIAN_POINT('',(1.85,-1.98660839812384,7.06290319002119));
#23847=CARTESIAN_POINT('Origin',(1.85,-1.10470896672645,5.74430577371984));
#23848=CARTESIAN_POINT('Origin',(1.85,-1.31735448252834,3.53145159501059));
#23849=CARTESIAN_POINT('',(1.85,-1.4,-1.73472347597681E-16));
#23850=CARTESIAN_POINT('Origin',(1.85,-1.4,0.3));
#23851=CARTESIAN_POINT('',(1.85,-2.13,-1.73472347597681E-16));
#23852=CARTESIAN_POINT('',(1.85,-1.4,3.46944695195361E-17));
#23853=CARTESIAN_POINT('',(1.85,-2.13,0.0999999999999999));
#23854=CARTESIAN_POINT('',(1.85,-2.13,0.1));
#23855=CARTESIAN_POINT('',(1.85,-1.4,0.1));
#23856=CARTESIAN_POINT('Origin',(2.,-2.0425285294224,6.98000000000015));
#23857=CARTESIAN_POINT('',(2.,-1.98660839812384,7.06290319002119));
#23858=CARTESIAN_POINT('',(2.,-2.0425285294224,6.98000000000015));
#23859=CARTESIAN_POINT('Origin',(2.,-0.825108309301508,6.158821725207));
#23860=CARTESIAN_POINT('',(2.,-0.825108309301508,6.158821725207));
#23861=CARTESIAN_POINT('Origin',(2.,-1.10470896672645,5.74430577371984));
#23862=CARTESIAN_POINT('',(2.,-0.611145558470353,5.66433600630492));
#23863=CARTESIAN_POINT('Origin',(2.,-1.2,2.03));
#23864=CARTESIAN_POINT('',(3.35,-0.875,4.03585937500024));
#23865=CARTESIAN_POINT('Origin',(2.,-2.13,0.1));
#23866=CARTESIAN_POINT('',(2.15,-2.13,0.0999999999999999));
#23867=CARTESIAN_POINT('',(2.,-2.13,0.1));
#23868=CARTESIAN_POINT('',(2.15,-1.4,0.1));
#23869=CARTESIAN_POINT('Origin',(2.,-2.13,0.));
#23870=CARTESIAN_POINT('',(2.15,-2.13,-1.73472347597681E-16));
#23871=CARTESIAN_POINT('',(2.,-2.13,0.));
#23872=CARTESIAN_POINT('',(2.15,-2.13,0.1));
#23873=CARTESIAN_POINT('Origin',(2.,-1.4,3.46944695195361E-17));
#23874=CARTESIAN_POINT('',(2.15,-1.4,-1.73472347597681E-16));
#23875=CARTESIAN_POINT('',(2.,-1.4,3.46944695195361E-17));
#23876=CARTESIAN_POINT('',(2.15,-1.4,3.46944695195361E-17));
#23877=CARTESIAN_POINT('Origin',(2.,-1.4,0.3));
#23878=CARTESIAN_POINT('Origin',(2.15,-1.4,0.3));
#23879=CARTESIAN_POINT('Origin',(2.,-1.10470896672645,5.74430577371984));
#23880=CARTESIAN_POINT('',(2.,-0.769188178002336,6.24172491522695));
#23881=CARTESIAN_POINT('',(2.,-0.769188178002336,6.24172491522695));
#23882=CARTESIAN_POINT('',(2.,-0.769188178002336,6.24172491522695));
#23883=CARTESIAN_POINT('Origin',(2.,-1.98660839812384,7.06290319002119));
#23884=CARTESIAN_POINT('Origin',(2.15,-1.31735448252834,3.53145159501059));
#23885=CARTESIAN_POINT('Origin',(2.,-0.280804834284298,5.87617723962076));
#23886=CARTESIAN_POINT('',(3.35,-0.875,5.87617723962075));
#23887=CARTESIAN_POINT('Origin',(1.2,-1.4,0.3));
#23888=CARTESIAN_POINT('',(1.05,-1.4,0.0999999999999999));
#23889=CARTESIAN_POINT('Origin',(1.05,-1.4,0.3));
#23890=CARTESIAN_POINT('',(1.35,-1.4,0.0999999999999999));
#23891=CARTESIAN_POINT('',(1.2,-1.4,0.1));
#23892=CARTESIAN_POINT('Origin',(1.35,-1.4,0.3));
#23893=CARTESIAN_POINT('Origin',(1.2,-0.512432877148789,5.64834205287534));
#23894=CARTESIAN_POINT('',(1.05,-0.875,3.41062309153474));
#23895=CARTESIAN_POINT('',(1.35,-0.875,3.41062309153474));
#23896=CARTESIAN_POINT('',(2.95,-0.875,3.41062309153473));
#23897=CARTESIAN_POINT('',(1.05,-0.512432877148789,5.64834205287534));
#23898=CARTESIAN_POINT('',(1.05,-0.512432877148789,5.64834205287534));
#23899=CARTESIAN_POINT('',(1.35,-0.512432877148789,5.64834205287534));
#23900=CARTESIAN_POINT('',(1.2,-0.512432877148789,5.64834205287534));
#23901=CARTESIAN_POINT('',(1.35,-0.512432877148789,5.64834205287534));
#23902=CARTESIAN_POINT('Origin',(1.35,-1.31735448252834,3.53145159501059));
#23903=CARTESIAN_POINT('',(1.35,-0.875,4.03585937500024));
#23904=CARTESIAN_POINT('',(1.35,-0.875,5.7557257975053));
#23905=CARTESIAN_POINT('',(1.35,-0.769188178002336,6.24172491522695));
#23906=CARTESIAN_POINT('Origin',(1.35,-1.10470896672645,5.74430577371984));
#23907=CARTESIAN_POINT('',(1.35,-1.98660839812384,7.06290319002119));
#23908=CARTESIAN_POINT('',(1.35,-0.875,7.729608659028));
#23909=CARTESIAN_POINT('',(1.35,-0.825108309301508,6.158821725207));
#23910=CARTESIAN_POINT('',(1.35,-2.0425285294224,6.98000000000015));
#23911=CARTESIAN_POINT('',(1.35,-0.611145558470353,5.66433600630492));
#23912=CARTESIAN_POINT('Origin',(1.35,-1.10470896672645,5.74430577371984));
#23913=CARTESIAN_POINT('',(1.35,-0.611145558472139,5.6643360063052));
#23914=CARTESIAN_POINT('Origin',(1.05,-1.31735448252834,3.53145159501059));
#23915=CARTESIAN_POINT('',(1.05,-0.875,4.03585937500024));
#23916=CARTESIAN_POINT('',(1.05,-0.875,5.7557257975053));
#23917=CARTESIAN_POINT('',(1.05,-0.611145558470353,5.66433600630492));
#23918=CARTESIAN_POINT('',(1.05,-0.611145558472139,5.6643360063052));
#23919=CARTESIAN_POINT('',(1.05,-0.825108309301508,6.158821725207));
#23920=CARTESIAN_POINT('Origin',(1.05,-1.10470896672645,5.74430577371984));
#23921=CARTESIAN_POINT('',(1.05,-2.0425285294224,6.98000000000015));
#23922=CARTESIAN_POINT('',(1.05,-0.875,7.729608659028));
#23923=CARTESIAN_POINT('',(1.05,-0.769188178002336,6.24172491522695));
#23924=CARTESIAN_POINT('',(1.05,-1.98660839812384,7.06290319002119));
#23925=CARTESIAN_POINT('Origin',(1.05,-1.10470896672645,5.74430577371984));
#23926=CARTESIAN_POINT('Origin',(1.05,-1.31735448252834,3.53145159501059));
#23927=CARTESIAN_POINT('',(1.05,-1.4,-1.73472347597681E-16));
#23928=CARTESIAN_POINT('Origin',(1.05,-1.4,0.3));
#23929=CARTESIAN_POINT('',(1.05,-2.13,-1.73472347597681E-16));
#23930=CARTESIAN_POINT('',(1.05,-1.4,3.46944695195361E-17));
#23931=CARTESIAN_POINT('',(1.05,-2.13,0.0999999999999999));
#23932=CARTESIAN_POINT('',(1.05,-2.13,0.1));
#23933=CARTESIAN_POINT('',(1.05,-1.4,0.1));
#23934=CARTESIAN_POINT('Origin',(1.2,-2.0425285294224,6.98000000000015));
#23935=CARTESIAN_POINT('',(1.2,-1.98660839812384,7.06290319002119));
#23936=CARTESIAN_POINT('',(1.2,-2.0425285294224,6.98000000000015));
#23937=CARTESIAN_POINT('Origin',(1.2,-0.825108309301508,6.158821725207));
#23938=CARTESIAN_POINT('',(1.2,-0.825108309301508,6.158821725207));
#23939=CARTESIAN_POINT('Origin',(1.2,-1.10470896672645,5.74430577371984));
#23940=CARTESIAN_POINT('',(1.2,-0.611145558470353,5.66433600630492));
#23941=CARTESIAN_POINT('Origin',(1.2,-1.2,2.03));
#23942=CARTESIAN_POINT('',(2.95,-0.875,4.03585937500024));
#23943=CARTESIAN_POINT('Origin',(1.2,-2.13,0.1));
#23944=CARTESIAN_POINT('',(1.35,-2.13,0.0999999999999999));
#23945=CARTESIAN_POINT('',(1.2,-2.13,0.1));
#23946=CARTESIAN_POINT('',(1.35,-1.4,0.1));
#23947=CARTESIAN_POINT('Origin',(1.2,-2.13,0.));
#23948=CARTESIAN_POINT('',(1.35,-2.13,-1.73472347597681E-16));
#23949=CARTESIAN_POINT('',(1.2,-2.13,0.));
#23950=CARTESIAN_POINT('',(1.35,-2.13,0.1));
#23951=CARTESIAN_POINT('Origin',(1.2,-1.4,3.46944695195361E-17));
#23952=CARTESIAN_POINT('',(1.35,-1.4,-1.73472347597681E-16));
#23953=CARTESIAN_POINT('',(1.2,-1.4,3.46944695195361E-17));
#23954=CARTESIAN_POINT('',(1.35,-1.4,3.46944695195361E-17));
#23955=CARTESIAN_POINT('Origin',(1.2,-1.4,0.3));
#23956=CARTESIAN_POINT('Origin',(1.35,-1.4,0.3));
#23957=CARTESIAN_POINT('Origin',(1.2,-1.10470896672645,5.74430577371984));
#23958=CARTESIAN_POINT('',(1.2,-0.769188178002336,6.24172491522695));
#23959=CARTESIAN_POINT('',(1.2,-0.769188178002336,6.24172491522695));
#23960=CARTESIAN_POINT('',(1.2,-0.769188178002336,6.24172491522695));
#23961=CARTESIAN_POINT('Origin',(1.2,-1.98660839812384,7.06290319002119));
#23962=CARTESIAN_POINT('Origin',(1.35,-1.31735448252834,3.53145159501059));
#23963=CARTESIAN_POINT('Origin',(1.2,-0.280804834284298,5.87617723962076));
#23964=CARTESIAN_POINT('',(2.95,-0.875,5.87617723962075));
#23965=CARTESIAN_POINT('Origin',(0.4,-1.4,0.3));
#23966=CARTESIAN_POINT('',(0.25,-1.4,0.0999999999999999));
#23967=CARTESIAN_POINT('Origin',(0.25,-1.4,0.3));
#23968=CARTESIAN_POINT('',(0.55,-1.4,0.0999999999999999));
#23969=CARTESIAN_POINT('',(0.4,-1.4,0.1));
#23970=CARTESIAN_POINT('Origin',(0.55,-1.4,0.3));
#23971=CARTESIAN_POINT('Origin',(0.4,-0.512432877148789,5.64834205287534));
#23972=CARTESIAN_POINT('',(0.25,-0.875,3.41062309153474));
#23973=CARTESIAN_POINT('',(0.55,-0.875,3.41062309153474));
#23974=CARTESIAN_POINT('',(2.55,-0.875,3.41062309153473));
#23975=CARTESIAN_POINT('',(0.25,-0.512432877148789,5.64834205287534));
#23976=CARTESIAN_POINT('',(0.25,-0.512432877148789,5.64834205287534));
#23977=CARTESIAN_POINT('',(0.55,-0.512432877148789,5.64834205287534));
#23978=CARTESIAN_POINT('',(0.4,-0.512432877148789,5.64834205287534));
#23979=CARTESIAN_POINT('',(0.55,-0.512432877148789,5.64834205287534));
#23980=CARTESIAN_POINT('Origin',(0.55,-1.31735448252834,3.53145159501059));
#23981=CARTESIAN_POINT('',(0.55,-0.875,4.03585937500024));
#23982=CARTESIAN_POINT('',(0.55,-0.875,5.7557257975053));
#23983=CARTESIAN_POINT('',(0.55,-0.769188178002336,6.24172491522695));
#23984=CARTESIAN_POINT('Origin',(0.55,-1.10470896672645,5.74430577371984));
#23985=CARTESIAN_POINT('',(0.55,-1.98660839812384,7.06290319002119));
#23986=CARTESIAN_POINT('',(0.55,-0.875,7.729608659028));
#23987=CARTESIAN_POINT('',(0.55,-0.825108309301508,6.158821725207));
#23988=CARTESIAN_POINT('',(0.55,-2.0425285294224,6.98000000000015));
#23989=CARTESIAN_POINT('',(0.55,-0.611145558470353,5.66433600630492));
#23990=CARTESIAN_POINT('Origin',(0.55,-1.10470896672645,5.74430577371984));
#23991=CARTESIAN_POINT('',(0.55,-0.611145558472139,5.6643360063052));
#23992=CARTESIAN_POINT('Origin',(0.25,-1.31735448252834,3.53145159501059));
#23993=CARTESIAN_POINT('',(0.25,-0.875,4.03585937500024));
#23994=CARTESIAN_POINT('',(0.25,-0.875,5.7557257975053));
#23995=CARTESIAN_POINT('',(0.25,-0.611145558470353,5.66433600630492));
#23996=CARTESIAN_POINT('',(0.25,-0.611145558472139,5.6643360063052));
#23997=CARTESIAN_POINT('',(0.25,-0.825108309301508,6.158821725207));
#23998=CARTESIAN_POINT('Origin',(0.25,-1.10470896672645,5.74430577371984));
#23999=CARTESIAN_POINT('',(0.25,-2.0425285294224,6.98000000000015));
#24000=CARTESIAN_POINT('',(0.25,-0.875,7.729608659028));
#24001=CARTESIAN_POINT('',(0.25,-0.769188178002336,6.24172491522695));
#24002=CARTESIAN_POINT('',(0.25,-1.98660839812384,7.06290319002119));
#24003=CARTESIAN_POINT('Origin',(0.25,-1.10470896672645,5.74430577371984));
#24004=CARTESIAN_POINT('Origin',(0.25,-1.31735448252834,3.53145159501059));
#24005=CARTESIAN_POINT('',(0.25,-1.4,-1.73472347597681E-16));
#24006=CARTESIAN_POINT('Origin',(0.25,-1.4,0.3));
#24007=CARTESIAN_POINT('',(0.25,-2.13,-1.73472347597681E-16));
#24008=CARTESIAN_POINT('',(0.25,-1.4,3.46944695195361E-17));
#24009=CARTESIAN_POINT('',(0.25,-2.13,0.0999999999999999));
#24010=CARTESIAN_POINT('',(0.25,-2.13,0.1));
#24011=CARTESIAN_POINT('',(0.25,-1.4,0.1));
#24012=CARTESIAN_POINT('Origin',(0.4,-2.0425285294224,6.98000000000015));
#24013=CARTESIAN_POINT('',(0.4,-1.98660839812384,7.06290319002119));
#24014=CARTESIAN_POINT('',(0.4,-2.0425285294224,6.98000000000015));
#24015=CARTESIAN_POINT('Origin',(0.4,-0.825108309301508,6.158821725207));
#24016=CARTESIAN_POINT('',(0.4,-0.825108309301508,6.158821725207));
#24017=CARTESIAN_POINT('Origin',(0.4,-1.10470896672645,5.74430577371984));
#24018=CARTESIAN_POINT('',(0.4,-0.611145558470353,5.66433600630492));
#24019=CARTESIAN_POINT('Origin',(0.4,-1.2,2.03));
#24020=CARTESIAN_POINT('',(2.55,-0.875,4.03585937500024));
#24021=CARTESIAN_POINT('Origin',(0.4,-2.13,0.1));
#24022=CARTESIAN_POINT('',(0.55,-2.13,0.0999999999999999));
#24023=CARTESIAN_POINT('',(0.4,-2.13,0.1));
#24024=CARTESIAN_POINT('',(0.55,-1.4,0.1));
#24025=CARTESIAN_POINT('Origin',(0.4,-2.13,0.));
#24026=CARTESIAN_POINT('',(0.55,-2.13,-1.73472347597681E-16));
#24027=CARTESIAN_POINT('',(0.4,-2.13,0.));
#24028=CARTESIAN_POINT('',(0.55,-2.13,0.1));
#24029=CARTESIAN_POINT('Origin',(0.4,-1.4,3.46944695195361E-17));
#24030=CARTESIAN_POINT('',(0.55,-1.4,-1.73472347597681E-16));
#24031=CARTESIAN_POINT('',(0.4,-1.4,3.46944695195361E-17));
#24032=CARTESIAN_POINT('',(0.55,-1.4,3.46944695195361E-17));
#24033=CARTESIAN_POINT('Origin',(0.4,-1.4,0.3));
#24034=CARTESIAN_POINT('Origin',(0.55,-1.4,0.3));
#24035=CARTESIAN_POINT('Origin',(0.4,-1.10470896672645,5.74430577371984));
#24036=CARTESIAN_POINT('',(0.4,-0.769188178002336,6.24172491522695));
#24037=CARTESIAN_POINT('',(0.4,-0.769188178002336,6.24172491522695));
#24038=CARTESIAN_POINT('',(0.4,-0.769188178002336,6.24172491522695));
#24039=CARTESIAN_POINT('Origin',(0.4,-1.98660839812384,7.06290319002119));
#24040=CARTESIAN_POINT('Origin',(0.55,-1.31735448252834,3.53145159501059));
#24041=CARTESIAN_POINT('Origin',(0.4,-0.280804834284298,5.87617723962076));
#24042=CARTESIAN_POINT('',(2.55,-0.875,5.87617723962075));
#24043=CARTESIAN_POINT('Origin',(-0.4,-1.4,0.3));
#24044=CARTESIAN_POINT('',(-0.55,-1.4,0.0999999999999999));
#24045=CARTESIAN_POINT('Origin',(-0.55,-1.4,0.3));
#24046=CARTESIAN_POINT('',(-0.25,-1.4,0.0999999999999999));
#24047=CARTESIAN_POINT('',(-0.4,-1.4,0.1));
#24048=CARTESIAN_POINT('Origin',(-0.25,-1.4,0.3));
#24049=CARTESIAN_POINT('Origin',(-0.4,-0.512432877148789,5.64834205287534));
#24050=CARTESIAN_POINT('',(-0.55,-0.875,3.41062309153474));
#24051=CARTESIAN_POINT('',(-0.25,-0.875,3.41062309153474));
#24052=CARTESIAN_POINT('',(2.15,-0.875,3.41062309153473));
#24053=CARTESIAN_POINT('',(-0.55,-0.512432877148789,5.64834205287534));
#24054=CARTESIAN_POINT('',(-0.55,-0.512432877148789,5.64834205287534));
#24055=CARTESIAN_POINT('',(-0.25,-0.512432877148789,5.64834205287534));
#24056=CARTESIAN_POINT('',(-0.4,-0.512432877148789,5.64834205287534));
#24057=CARTESIAN_POINT('',(-0.25,-0.512432877148789,5.64834205287534));
#24058=CARTESIAN_POINT('Origin',(-0.25,-1.31735448252834,3.53145159501059));
#24059=CARTESIAN_POINT('',(-0.25,-0.875,4.03585937500024));
#24060=CARTESIAN_POINT('',(-0.25,-0.875,5.7557257975053));
#24061=CARTESIAN_POINT('',(-0.25,-0.769188178002336,6.24172491522695));
#24062=CARTESIAN_POINT('Origin',(-0.25,-1.10470896672645,5.74430577371984));
#24063=CARTESIAN_POINT('',(-0.25,-1.98660839812384,7.06290319002119));
#24064=CARTESIAN_POINT('',(-0.25,-0.875,7.729608659028));
#24065=CARTESIAN_POINT('',(-0.25,-0.825108309301508,6.158821725207));
#24066=CARTESIAN_POINT('',(-0.25,-2.0425285294224,6.98000000000015));
#24067=CARTESIAN_POINT('',(-0.25,-0.611145558470353,5.66433600630492));
#24068=CARTESIAN_POINT('Origin',(-0.25,-1.10470896672645,5.74430577371984));
#24069=CARTESIAN_POINT('',(-0.25,-0.611145558472139,5.6643360063052));
#24070=CARTESIAN_POINT('Origin',(-0.55,-1.31735448252834,3.53145159501059));
#24071=CARTESIAN_POINT('',(-0.55,-0.875,4.03585937500024));
#24072=CARTESIAN_POINT('',(-0.55,-0.875,5.7557257975053));
#24073=CARTESIAN_POINT('',(-0.55,-0.611145558470353,5.66433600630492));
#24074=CARTESIAN_POINT('',(-0.55,-0.611145558472139,5.6643360063052));
#24075=CARTESIAN_POINT('',(-0.55,-0.825108309301508,6.158821725207));
#24076=CARTESIAN_POINT('Origin',(-0.55,-1.10470896672645,5.74430577371984));
#24077=CARTESIAN_POINT('',(-0.55,-2.0425285294224,6.98000000000015));
#24078=CARTESIAN_POINT('',(-0.55,-0.875,7.729608659028));
#24079=CARTESIAN_POINT('',(-0.55,-0.769188178002336,6.24172491522695));
#24080=CARTESIAN_POINT('',(-0.55,-1.98660839812384,7.06290319002119));
#24081=CARTESIAN_POINT('Origin',(-0.55,-1.10470896672645,5.74430577371984));
#24082=CARTESIAN_POINT('Origin',(-0.55,-1.31735448252834,3.53145159501059));
#24083=CARTESIAN_POINT('',(-0.55,-1.4,-1.73472347597681E-16));
#24084=CARTESIAN_POINT('Origin',(-0.55,-1.4,0.3));
#24085=CARTESIAN_POINT('',(-0.55,-2.13,-1.73472347597681E-16));
#24086=CARTESIAN_POINT('',(-0.55,-1.4,3.46944695195361E-17));
#24087=CARTESIAN_POINT('',(-0.55,-2.13,0.0999999999999999));
#24088=CARTESIAN_POINT('',(-0.55,-2.13,0.1));
#24089=CARTESIAN_POINT('',(-0.55,-1.4,0.1));
#24090=CARTESIAN_POINT('Origin',(-0.4,-2.0425285294224,6.98000000000015));
#24091=CARTESIAN_POINT('',(-0.4,-1.98660839812384,7.06290319002119));
#24092=CARTESIAN_POINT('',(-0.4,-2.0425285294224,6.98000000000015));
#24093=CARTESIAN_POINT('Origin',(-0.4,-0.825108309301508,6.158821725207));
#24094=CARTESIAN_POINT('',(-0.4,-0.825108309301508,6.158821725207));
#24095=CARTESIAN_POINT('Origin',(-0.4,-1.10470896672645,5.74430577371984));
#24096=CARTESIAN_POINT('',(-0.4,-0.611145558470353,5.66433600630492));
#24097=CARTESIAN_POINT('Origin',(-0.4,-1.2,2.03));
#24098=CARTESIAN_POINT('',(2.15,-0.875,4.03585937500024));
#24099=CARTESIAN_POINT('Origin',(-0.4,-2.13,0.1));
#24100=CARTESIAN_POINT('',(-0.25,-2.13,0.0999999999999999));
#24101=CARTESIAN_POINT('',(-0.4,-2.13,0.1));
#24102=CARTESIAN_POINT('',(-0.25,-1.4,0.1));
#24103=CARTESIAN_POINT('Origin',(-0.4,-2.13,0.));
#24104=CARTESIAN_POINT('',(-0.25,-2.13,-1.73472347597681E-16));
#24105=CARTESIAN_POINT('',(-0.4,-2.13,0.));
#24106=CARTESIAN_POINT('',(-0.25,-2.13,0.1));
#24107=CARTESIAN_POINT('Origin',(-0.4,-1.4,3.46944695195361E-17));
#24108=CARTESIAN_POINT('',(-0.25,-1.4,-1.73472347597681E-16));
#24109=CARTESIAN_POINT('',(-0.4,-1.4,3.46944695195361E-17));
#24110=CARTESIAN_POINT('',(-0.25,-1.4,3.46944695195361E-17));
#24111=CARTESIAN_POINT('Origin',(-0.4,-1.4,0.3));
#24112=CARTESIAN_POINT('Origin',(-0.25,-1.4,0.3));
#24113=CARTESIAN_POINT('Origin',(-0.4,-1.10470896672645,5.74430577371984));
#24114=CARTESIAN_POINT('',(-0.4,-0.769188178002336,6.24172491522695));
#24115=CARTESIAN_POINT('',(-0.4,-0.769188178002336,6.24172491522695));
#24116=CARTESIAN_POINT('',(-0.4,-0.769188178002336,6.24172491522695));
#24117=CARTESIAN_POINT('Origin',(-0.4,-1.98660839812384,7.06290319002119));
#24118=CARTESIAN_POINT('Origin',(-0.25,-1.31735448252834,3.53145159501059));
#24119=CARTESIAN_POINT('Origin',(-0.4,-0.280804834284298,5.87617723962076));
#24120=CARTESIAN_POINT('',(2.15,-0.875,5.87617723962075));
#24121=CARTESIAN_POINT('Origin',(-1.2,-1.4,0.3));
#24122=CARTESIAN_POINT('',(-1.35,-1.4,0.0999999999999999));
#24123=CARTESIAN_POINT('Origin',(-1.35,-1.4,0.3));
#24124=CARTESIAN_POINT('',(-1.05,-1.4,0.0999999999999999));
#24125=CARTESIAN_POINT('',(-1.2,-1.4,0.1));
#24126=CARTESIAN_POINT('Origin',(-1.05,-1.4,0.3));
#24127=CARTESIAN_POINT('Origin',(-1.2,-0.512432877148789,5.64834205287534));
#24128=CARTESIAN_POINT('',(-1.35,-0.875,3.41062309153474));
#24129=CARTESIAN_POINT('',(-1.05,-0.875,3.41062309153474));
#24130=CARTESIAN_POINT('',(1.75,-0.875,3.41062309153473));
#24131=CARTESIAN_POINT('',(-1.35,-0.512432877148789,5.64834205287534));
#24132=CARTESIAN_POINT('',(-1.35,-0.512432877148789,5.64834205287534));
#24133=CARTESIAN_POINT('',(-1.05,-0.512432877148789,5.64834205287534));
#24134=CARTESIAN_POINT('',(-1.2,-0.512432877148789,5.64834205287534));
#24135=CARTESIAN_POINT('',(-1.05,-0.512432877148789,5.64834205287534));
#24136=CARTESIAN_POINT('Origin',(-1.05,-1.31735448252834,3.53145159501059));
#24137=CARTESIAN_POINT('',(-1.05,-0.875,4.03585937500024));
#24138=CARTESIAN_POINT('',(-1.05,-0.875,5.7557257975053));
#24139=CARTESIAN_POINT('',(-1.05,-0.769188178002336,6.24172491522695));
#24140=CARTESIAN_POINT('Origin',(-1.05,-1.10470896672645,5.74430577371984));
#24141=CARTESIAN_POINT('',(-1.05,-1.98660839812384,7.06290319002119));
#24142=CARTESIAN_POINT('',(-1.05,-0.875,7.729608659028));
#24143=CARTESIAN_POINT('',(-1.05,-0.825108309301508,6.158821725207));
#24144=CARTESIAN_POINT('',(-1.05,-2.0425285294224,6.98000000000015));
#24145=CARTESIAN_POINT('',(-1.05,-0.611145558470353,5.66433600630492));
#24146=CARTESIAN_POINT('Origin',(-1.05,-1.10470896672645,5.74430577371984));
#24147=CARTESIAN_POINT('',(-1.05,-0.611145558472139,5.6643360063052));
#24148=CARTESIAN_POINT('Origin',(-1.35,-1.31735448252834,3.53145159501059));
#24149=CARTESIAN_POINT('',(-1.35,-0.875,4.03585937500024));
#24150=CARTESIAN_POINT('',(-1.35,-0.875,5.7557257975053));
#24151=CARTESIAN_POINT('',(-1.35,-0.611145558470353,5.66433600630492));
#24152=CARTESIAN_POINT('',(-1.35,-0.611145558472139,5.6643360063052));
#24153=CARTESIAN_POINT('',(-1.35,-0.825108309301508,6.158821725207));
#24154=CARTESIAN_POINT('Origin',(-1.35,-1.10470896672645,5.74430577371984));
#24155=CARTESIAN_POINT('',(-1.35,-2.0425285294224,6.98000000000015));
#24156=CARTESIAN_POINT('',(-1.35,-0.875,7.729608659028));
#24157=CARTESIAN_POINT('',(-1.35,-0.769188178002336,6.24172491522695));
#24158=CARTESIAN_POINT('',(-1.35,-1.98660839812384,7.06290319002119));
#24159=CARTESIAN_POINT('Origin',(-1.35,-1.10470896672645,5.74430577371984));
#24160=CARTESIAN_POINT('Origin',(-1.35,-1.31735448252834,3.53145159501059));
#24161=CARTESIAN_POINT('',(-1.35,-1.4,-1.73472347597681E-16));
#24162=CARTESIAN_POINT('Origin',(-1.35,-1.4,0.3));
#24163=CARTESIAN_POINT('',(-1.35,-2.13,-1.73472347597681E-16));
#24164=CARTESIAN_POINT('',(-1.35,-1.4,3.46944695195361E-17));
#24165=CARTESIAN_POINT('',(-1.35,-2.13,0.0999999999999999));
#24166=CARTESIAN_POINT('',(-1.35,-2.13,0.1));
#24167=CARTESIAN_POINT('',(-1.35,-1.4,0.1));
#24168=CARTESIAN_POINT('Origin',(-1.2,-2.0425285294224,6.98000000000015));
#24169=CARTESIAN_POINT('',(-1.2,-1.98660839812384,7.06290319002119));
#24170=CARTESIAN_POINT('',(-1.2,-2.0425285294224,6.98000000000015));
#24171=CARTESIAN_POINT('Origin',(-1.2,-0.825108309301508,6.158821725207));
#24172=CARTESIAN_POINT('',(-1.2,-0.825108309301508,6.158821725207));
#24173=CARTESIAN_POINT('Origin',(-1.2,-1.10470896672645,5.74430577371984));
#24174=CARTESIAN_POINT('',(-1.2,-0.611145558470353,5.66433600630492));
#24175=CARTESIAN_POINT('Origin',(-1.2,-1.2,2.03));
#24176=CARTESIAN_POINT('',(1.75,-0.875,4.03585937500024));
#24177=CARTESIAN_POINT('Origin',(-1.2,-2.13,0.1));
#24178=CARTESIAN_POINT('',(-1.05,-2.13,0.0999999999999999));
#24179=CARTESIAN_POINT('',(-1.2,-2.13,0.1));
#24180=CARTESIAN_POINT('',(-1.05,-1.4,0.1));
#24181=CARTESIAN_POINT('Origin',(-1.2,-2.13,0.));
#24182=CARTESIAN_POINT('',(-1.05,-2.13,-1.73472347597681E-16));
#24183=CARTESIAN_POINT('',(-1.2,-2.13,0.));
#24184=CARTESIAN_POINT('',(-1.05,-2.13,0.1));
#24185=CARTESIAN_POINT('Origin',(-1.2,-1.4,3.46944695195361E-17));
#24186=CARTESIAN_POINT('',(-1.05,-1.4,-1.73472347597681E-16));
#24187=CARTESIAN_POINT('',(-1.2,-1.4,3.46944695195361E-17));
#24188=CARTESIAN_POINT('',(-1.05,-1.4,3.46944695195361E-17));
#24189=CARTESIAN_POINT('Origin',(-1.2,-1.4,0.3));
#24190=CARTESIAN_POINT('Origin',(-1.05,-1.4,0.3));
#24191=CARTESIAN_POINT('Origin',(-1.2,-1.10470896672645,5.74430577371984));
#24192=CARTESIAN_POINT('',(-1.2,-0.769188178002336,6.24172491522695));
#24193=CARTESIAN_POINT('',(-1.2,-0.769188178002336,6.24172491522695));
#24194=CARTESIAN_POINT('',(-1.2,-0.769188178002336,6.24172491522695));
#24195=CARTESIAN_POINT('Origin',(-1.2,-1.98660839812384,7.06290319002119));
#24196=CARTESIAN_POINT('Origin',(-1.05,-1.31735448252834,3.53145159501059));
#24197=CARTESIAN_POINT('Origin',(-1.2,-0.280804834284298,5.87617723962076));
#24198=CARTESIAN_POINT('',(1.75,-0.875,5.87617723962075));
#24199=CARTESIAN_POINT('Origin',(-2.,-1.4,0.3));
#24200=CARTESIAN_POINT('',(-2.15,-1.4,0.0999999999999999));
#24201=CARTESIAN_POINT('Origin',(-2.15,-1.4,0.3));
#24202=CARTESIAN_POINT('',(-1.85,-1.4,0.0999999999999999));
#24203=CARTESIAN_POINT('',(-2.,-1.4,0.1));
#24204=CARTESIAN_POINT('Origin',(-1.85,-1.4,0.3));
#24205=CARTESIAN_POINT('Origin',(-2.,-0.512432877148789,5.64834205287534));
#24206=CARTESIAN_POINT('',(-2.15,-0.875,3.41062309153474));
#24207=CARTESIAN_POINT('',(-1.85,-0.875,3.41062309153474));
#24208=CARTESIAN_POINT('',(1.35,-0.875,3.41062309153473));
#24209=CARTESIAN_POINT('',(-2.15,-0.512432877148789,5.64834205287534));
#24210=CARTESIAN_POINT('',(-2.15,-0.512432877148789,5.64834205287534));
#24211=CARTESIAN_POINT('',(-1.85,-0.512432877148789,5.64834205287534));
#24212=CARTESIAN_POINT('',(-2.,-0.512432877148789,5.64834205287534));
#24213=CARTESIAN_POINT('',(-1.85,-0.512432877148789,5.64834205287534));
#24214=CARTESIAN_POINT('Origin',(-1.85,-1.31735448252834,3.53145159501059));
#24215=CARTESIAN_POINT('',(-1.85,-0.875,4.03585937500024));
#24216=CARTESIAN_POINT('',(-1.85,-0.875,5.7557257975053));
#24217=CARTESIAN_POINT('',(-1.85,-0.769188178002336,6.24172491522695));
#24218=CARTESIAN_POINT('Origin',(-1.85,-1.10470896672645,5.74430577371984));
#24219=CARTESIAN_POINT('',(-1.85,-1.98660839812384,7.06290319002119));
#24220=CARTESIAN_POINT('',(-1.85,-0.875,7.729608659028));
#24221=CARTESIAN_POINT('',(-1.85,-0.825108309301508,6.158821725207));
#24222=CARTESIAN_POINT('',(-1.85,-2.0425285294224,6.98000000000015));
#24223=CARTESIAN_POINT('',(-1.85,-0.611145558470353,5.66433600630492));
#24224=CARTESIAN_POINT('Origin',(-1.85,-1.10470896672645,5.74430577371984));
#24225=CARTESIAN_POINT('',(-1.85,-0.611145558472139,5.6643360063052));
#24226=CARTESIAN_POINT('Origin',(-2.15,-1.31735448252834,3.53145159501059));
#24227=CARTESIAN_POINT('',(-2.15,-0.875,4.03585937500024));
#24228=CARTESIAN_POINT('',(-2.15,-0.875,5.7557257975053));
#24229=CARTESIAN_POINT('',(-2.15,-0.611145558470353,5.66433600630492));
#24230=CARTESIAN_POINT('',(-2.15,-0.611145558472139,5.6643360063052));
#24231=CARTESIAN_POINT('',(-2.15,-0.825108309301508,6.158821725207));
#24232=CARTESIAN_POINT('Origin',(-2.15,-1.10470896672645,5.74430577371984));
#24233=CARTESIAN_POINT('',(-2.15,-2.0425285294224,6.98000000000015));
#24234=CARTESIAN_POINT('',(-2.15,-0.875,7.729608659028));
#24235=CARTESIAN_POINT('',(-2.15,-0.769188178002336,6.24172491522695));
#24236=CARTESIAN_POINT('',(-2.15,-1.98660839812384,7.06290319002119));
#24237=CARTESIAN_POINT('Origin',(-2.15,-1.10470896672645,5.74430577371984));
#24238=CARTESIAN_POINT('Origin',(-2.15,-1.31735448252834,3.53145159501059));
#24239=CARTESIAN_POINT('',(-2.15,-1.4,-1.73472347597681E-16));
#24240=CARTESIAN_POINT('Origin',(-2.15,-1.4,0.3));
#24241=CARTESIAN_POINT('',(-2.15,-2.13,-1.73472347597681E-16));
#24242=CARTESIAN_POINT('',(-2.15,-1.4,3.46944695195361E-17));
#24243=CARTESIAN_POINT('',(-2.15,-2.13,0.0999999999999999));
#24244=CARTESIAN_POINT('',(-2.15,-2.13,0.1));
#24245=CARTESIAN_POINT('',(-2.15,-1.4,0.1));
#24246=CARTESIAN_POINT('Origin',(-2.,-2.0425285294224,6.98000000000015));
#24247=CARTESIAN_POINT('',(-2.,-1.98660839812384,7.06290319002119));
#24248=CARTESIAN_POINT('',(-2.,-2.0425285294224,6.98000000000015));
#24249=CARTESIAN_POINT('Origin',(-2.,-0.825108309301508,6.158821725207));
#24250=CARTESIAN_POINT('',(-2.,-0.825108309301508,6.158821725207));
#24251=CARTESIAN_POINT('Origin',(-2.,-1.10470896672645,5.74430577371984));
#24252=CARTESIAN_POINT('',(-2.,-0.611145558470353,5.66433600630492));
#24253=CARTESIAN_POINT('Origin',(-2.,-1.2,2.03));
#24254=CARTESIAN_POINT('',(1.35,-0.875,4.03585937500024));
#24255=CARTESIAN_POINT('Origin',(-2.,-2.13,0.1));
#24256=CARTESIAN_POINT('',(-1.85,-2.13,0.0999999999999999));
#24257=CARTESIAN_POINT('',(-2.,-2.13,0.1));
#24258=CARTESIAN_POINT('',(-1.85,-1.4,0.1));
#24259=CARTESIAN_POINT('Origin',(-2.,-2.13,0.));
#24260=CARTESIAN_POINT('',(-1.85,-2.13,-1.73472347597681E-16));
#24261=CARTESIAN_POINT('',(-2.,-2.13,0.));
#24262=CARTESIAN_POINT('',(-1.85,-2.13,0.1));
#24263=CARTESIAN_POINT('Origin',(-2.,-1.4,3.46944695195361E-17));
#24264=CARTESIAN_POINT('',(-1.85,-1.4,-1.73472347597681E-16));
#24265=CARTESIAN_POINT('',(-2.,-1.4,3.46944695195361E-17));
#24266=CARTESIAN_POINT('',(-1.85,-1.4,3.46944695195361E-17));
#24267=CARTESIAN_POINT('Origin',(-2.,-1.4,0.3));
#24268=CARTESIAN_POINT('Origin',(-1.85,-1.4,0.3));
#24269=CARTESIAN_POINT('Origin',(-2.,-1.10470896672645,5.74430577371984));
#24270=CARTESIAN_POINT('',(-2.,-0.769188178002336,6.24172491522695));
#24271=CARTESIAN_POINT('',(-2.,-0.769188178002336,6.24172491522695));
#24272=CARTESIAN_POINT('',(-2.,-0.769188178002336,6.24172491522695));
#24273=CARTESIAN_POINT('Origin',(-2.,-1.98660839812384,7.06290319002119));
#24274=CARTESIAN_POINT('Origin',(-1.85,-1.31735448252834,3.53145159501059));
#24275=CARTESIAN_POINT('Origin',(-2.,-0.280804834284298,5.87617723962076));
#24276=CARTESIAN_POINT('',(1.35,-0.875,5.87617723962075));
#24277=CARTESIAN_POINT('Origin',(-2.8,-1.4,0.3));
#24278=CARTESIAN_POINT('',(-2.95,-1.4,0.0999999999999999));
#24279=CARTESIAN_POINT('Origin',(-2.95,-1.4,0.3));
#24280=CARTESIAN_POINT('',(-2.65,-1.4,0.0999999999999999));
#24281=CARTESIAN_POINT('',(-2.8,-1.4,0.1));
#24282=CARTESIAN_POINT('Origin',(-2.65,-1.4,0.3));
#24283=CARTESIAN_POINT('Origin',(-2.8,-0.512432877148789,5.64834205287534));
#24284=CARTESIAN_POINT('',(-2.95,-0.875,3.41062309153474));
#24285=CARTESIAN_POINT('',(-2.65,-0.875,3.41062309153474));
#24286=CARTESIAN_POINT('',(0.95,-0.875,3.41062309153473));
#24287=CARTESIAN_POINT('',(-2.95,-0.512432877148789,5.64834205287534));
#24288=CARTESIAN_POINT('',(-2.95,-0.512432877148789,5.64834205287534));
#24289=CARTESIAN_POINT('',(-2.65,-0.512432877148789,5.64834205287534));
#24290=CARTESIAN_POINT('',(-2.8,-0.512432877148789,5.64834205287534));
#24291=CARTESIAN_POINT('',(-2.65,-0.512432877148789,5.64834205287534));
#24292=CARTESIAN_POINT('Origin',(-2.65,-1.31735448252834,3.53145159501059));
#24293=CARTESIAN_POINT('',(-2.65,-0.875,4.03585937500024));
#24294=CARTESIAN_POINT('',(-2.65,-0.875,5.7557257975053));
#24295=CARTESIAN_POINT('',(-2.65,-0.769188178002336,6.24172491522695));
#24296=CARTESIAN_POINT('Origin',(-2.65,-1.10470896672645,5.74430577371984));
#24297=CARTESIAN_POINT('',(-2.65,-1.98660839812384,7.06290319002119));
#24298=CARTESIAN_POINT('',(-2.65,-0.875,7.729608659028));
#24299=CARTESIAN_POINT('',(-2.65,-0.825108309301508,6.158821725207));
#24300=CARTESIAN_POINT('',(-2.65,-2.0425285294224,6.98000000000015));
#24301=CARTESIAN_POINT('',(-2.65,-0.611145558470353,5.66433600630492));
#24302=CARTESIAN_POINT('Origin',(-2.65,-1.10470896672645,5.74430577371984));
#24303=CARTESIAN_POINT('',(-2.65,-0.611145558472139,5.6643360063052));
#24304=CARTESIAN_POINT('Origin',(-2.95,-1.31735448252834,3.53145159501059));
#24305=CARTESIAN_POINT('',(-2.95,-0.875,4.03585937500024));
#24306=CARTESIAN_POINT('',(-2.95,-0.875,5.7557257975053));
#24307=CARTESIAN_POINT('',(-2.95,-0.611145558470353,5.66433600630492));
#24308=CARTESIAN_POINT('',(-2.95,-0.611145558472139,5.6643360063052));
#24309=CARTESIAN_POINT('',(-2.95,-0.825108309301508,6.158821725207));
#24310=CARTESIAN_POINT('Origin',(-2.95,-1.10470896672645,5.74430577371984));
#24311=CARTESIAN_POINT('',(-2.95,-2.0425285294224,6.98000000000015));
#24312=CARTESIAN_POINT('',(-2.95,-0.875,7.729608659028));
#24313=CARTESIAN_POINT('',(-2.95,-0.769188178002336,6.24172491522695));
#24314=CARTESIAN_POINT('',(-2.95,-1.98660839812384,7.06290319002119));
#24315=CARTESIAN_POINT('Origin',(-2.95,-1.10470896672645,5.74430577371984));
#24316=CARTESIAN_POINT('Origin',(-2.95,-1.31735448252834,3.53145159501059));
#24317=CARTESIAN_POINT('',(-2.95,-1.4,-1.73472347597681E-16));
#24318=CARTESIAN_POINT('Origin',(-2.95,-1.4,0.3));
#24319=CARTESIAN_POINT('',(-2.95,-2.13,-1.73472347597681E-16));
#24320=CARTESIAN_POINT('',(-2.95,-1.4,3.46944695195361E-17));
#24321=CARTESIAN_POINT('',(-2.95,-2.13,0.0999999999999999));
#24322=CARTESIAN_POINT('',(-2.95,-2.13,0.1));
#24323=CARTESIAN_POINT('',(-2.95,-1.4,0.1));
#24324=CARTESIAN_POINT('Origin',(-2.8,-2.0425285294224,6.98000000000015));
#24325=CARTESIAN_POINT('',(-2.8,-1.98660839812384,7.06290319002119));
#24326=CARTESIAN_POINT('',(-2.8,-2.0425285294224,6.98000000000015));
#24327=CARTESIAN_POINT('Origin',(-2.8,-0.825108309301508,6.158821725207));
#24328=CARTESIAN_POINT('',(-2.8,-0.825108309301508,6.158821725207));
#24329=CARTESIAN_POINT('Origin',(-2.8,-1.10470896672645,5.74430577371984));
#24330=CARTESIAN_POINT('',(-2.8,-0.611145558470353,5.66433600630492));
#24331=CARTESIAN_POINT('Origin',(-2.8,-1.2,2.03));
#24332=CARTESIAN_POINT('',(0.95,-0.875,4.03585937500024));
#24333=CARTESIAN_POINT('Origin',(-2.8,-2.13,0.1));
#24334=CARTESIAN_POINT('',(-2.65,-2.13,0.0999999999999999));
#24335=CARTESIAN_POINT('',(-2.8,-2.13,0.1));
#24336=CARTESIAN_POINT('',(-2.65,-1.4,0.1));
#24337=CARTESIAN_POINT('Origin',(-2.8,-2.13,0.));
#24338=CARTESIAN_POINT('',(-2.65,-2.13,-1.73472347597681E-16));
#24339=CARTESIAN_POINT('',(-2.8,-2.13,0.));
#24340=CARTESIAN_POINT('',(-2.65,-2.13,0.1));
#24341=CARTESIAN_POINT('Origin',(-2.8,-1.4,3.46944695195361E-17));
#24342=CARTESIAN_POINT('',(-2.65,-1.4,-1.73472347597681E-16));
#24343=CARTESIAN_POINT('',(-2.8,-1.4,3.46944695195361E-17));
#24344=CARTESIAN_POINT('',(-2.65,-1.4,3.46944695195361E-17));
#24345=CARTESIAN_POINT('Origin',(-2.8,-1.4,0.3));
#24346=CARTESIAN_POINT('Origin',(-2.65,-1.4,0.3));
#24347=CARTESIAN_POINT('Origin',(-2.8,-1.10470896672645,5.74430577371984));
#24348=CARTESIAN_POINT('',(-2.8,-0.769188178002336,6.24172491522695));
#24349=CARTESIAN_POINT('',(-2.8,-0.769188178002336,6.24172491522695));
#24350=CARTESIAN_POINT('',(-2.8,-0.769188178002336,6.24172491522695));
#24351=CARTESIAN_POINT('Origin',(-2.8,-1.98660839812384,7.06290319002119));
#24352=CARTESIAN_POINT('Origin',(-2.65,-1.31735448252834,3.53145159501059));
#24353=CARTESIAN_POINT('Origin',(-2.8,-0.280804834284298,5.87617723962076));
#24354=CARTESIAN_POINT('',(0.95,-0.875,5.87617723962075));
#24355=CARTESIAN_POINT('Origin',(-3.6,-1.4,0.3));
#24356=CARTESIAN_POINT('',(-3.75,-1.4,0.0999999999999999));
#24357=CARTESIAN_POINT('Origin',(-3.75,-1.4,0.3));
#24358=CARTESIAN_POINT('',(-3.45,-1.4,0.0999999999999999));
#24359=CARTESIAN_POINT('',(-3.6,-1.4,0.1));
#24360=CARTESIAN_POINT('Origin',(-3.45,-1.4,0.3));
#24361=CARTESIAN_POINT('Origin',(-3.6,-0.512432877148789,5.64834205287534));
#24362=CARTESIAN_POINT('',(-3.75,-0.875,3.41062309153474));
#24363=CARTESIAN_POINT('',(-3.45,-0.875,3.41062309153474));
#24364=CARTESIAN_POINT('',(0.549999999999999,-0.875,3.41062309153473));
#24365=CARTESIAN_POINT('',(-3.75,-0.512432877148789,5.64834205287534));
#24366=CARTESIAN_POINT('',(-3.75,-0.512432877148789,5.64834205287534));
#24367=CARTESIAN_POINT('',(-3.45,-0.512432877148789,5.64834205287534));
#24368=CARTESIAN_POINT('',(-3.6,-0.512432877148789,5.64834205287534));
#24369=CARTESIAN_POINT('',(-3.45,-0.512432877148789,5.64834205287534));
#24370=CARTESIAN_POINT('Origin',(-3.45,-1.31735448252834,3.53145159501059));
#24371=CARTESIAN_POINT('',(-3.45,-0.875,4.03585937500024));
#24372=CARTESIAN_POINT('',(-3.45,-0.875,5.7557257975053));
#24373=CARTESIAN_POINT('',(-3.45,-0.769188178002336,6.24172491522695));
#24374=CARTESIAN_POINT('Origin',(-3.45,-1.10470896672645,5.74430577371984));
#24375=CARTESIAN_POINT('',(-3.45,-1.98660839812384,7.06290319002119));
#24376=CARTESIAN_POINT('',(-3.45,-0.875,7.729608659028));
#24377=CARTESIAN_POINT('',(-3.45,-0.825108309301508,6.158821725207));
#24378=CARTESIAN_POINT('',(-3.45,-2.0425285294224,6.98000000000015));
#24379=CARTESIAN_POINT('',(-3.45,-0.611145558470353,5.66433600630492));
#24380=CARTESIAN_POINT('Origin',(-3.45,-1.10470896672645,5.74430577371984));
#24381=CARTESIAN_POINT('',(-3.45,-0.611145558472139,5.6643360063052));
#24382=CARTESIAN_POINT('Origin',(-3.75,-1.31735448252834,3.53145159501059));
#24383=CARTESIAN_POINT('',(-3.75,-0.875,4.03585937500024));
#24384=CARTESIAN_POINT('',(-3.75,-0.875,5.7557257975053));
#24385=CARTESIAN_POINT('',(-3.75,-0.611145558470353,5.66433600630492));
#24386=CARTESIAN_POINT('',(-3.75,-0.611145558472139,5.6643360063052));
#24387=CARTESIAN_POINT('',(-3.75,-0.825108309301508,6.158821725207));
#24388=CARTESIAN_POINT('Origin',(-3.75,-1.10470896672645,5.74430577371984));
#24389=CARTESIAN_POINT('',(-3.75,-2.0425285294224,6.98000000000015));
#24390=CARTESIAN_POINT('',(-3.75,-0.875,7.729608659028));
#24391=CARTESIAN_POINT('',(-3.75,-0.769188178002336,6.24172491522695));
#24392=CARTESIAN_POINT('',(-3.75,-1.98660839812384,7.06290319002119));
#24393=CARTESIAN_POINT('Origin',(-3.75,-1.10470896672645,5.74430577371984));
#24394=CARTESIAN_POINT('Origin',(-3.75,-1.31735448252834,3.53145159501059));
#24395=CARTESIAN_POINT('',(-3.75,-1.4,-1.73472347597681E-16));
#24396=CARTESIAN_POINT('Origin',(-3.75,-1.4,0.3));
#24397=CARTESIAN_POINT('',(-3.75,-2.13,-1.73472347597681E-16));
#24398=CARTESIAN_POINT('',(-3.75,-1.4,3.46944695195361E-17));
#24399=CARTESIAN_POINT('',(-3.75,-2.13,0.0999999999999999));
#24400=CARTESIAN_POINT('',(-3.75,-2.13,0.1));
#24401=CARTESIAN_POINT('',(-3.75,-1.4,0.1));
#24402=CARTESIAN_POINT('Origin',(-3.6,-2.0425285294224,6.98000000000015));
#24403=CARTESIAN_POINT('',(-3.6,-1.98660839812384,7.06290319002119));
#24404=CARTESIAN_POINT('',(-3.6,-2.0425285294224,6.98000000000015));
#24405=CARTESIAN_POINT('Origin',(-3.6,-0.825108309301508,6.158821725207));
#24406=CARTESIAN_POINT('',(-3.6,-0.825108309301508,6.158821725207));
#24407=CARTESIAN_POINT('Origin',(-3.6,-1.10470896672645,5.74430577371984));
#24408=CARTESIAN_POINT('',(-3.6,-0.611145558470353,5.66433600630492));
#24409=CARTESIAN_POINT('Origin',(-3.6,-1.2,2.03));
#24410=CARTESIAN_POINT('',(0.549999999999999,-0.875,4.03585937500024));
#24411=CARTESIAN_POINT('Origin',(-3.6,-2.13,0.1));
#24412=CARTESIAN_POINT('',(-3.45,-2.13,0.0999999999999999));
#24413=CARTESIAN_POINT('',(-3.6,-2.13,0.1));
#24414=CARTESIAN_POINT('',(-3.45,-1.4,0.1));
#24415=CARTESIAN_POINT('Origin',(-3.6,-2.13,0.));
#24416=CARTESIAN_POINT('',(-3.45,-2.13,-1.73472347597681E-16));
#24417=CARTESIAN_POINT('',(-3.6,-2.13,0.));
#24418=CARTESIAN_POINT('',(-3.45,-2.13,0.1));
#24419=CARTESIAN_POINT('Origin',(-3.6,-1.4,3.46944695195361E-17));
#24420=CARTESIAN_POINT('',(-3.45,-1.4,-1.73472347597681E-16));
#24421=CARTESIAN_POINT('',(-3.6,-1.4,3.46944695195361E-17));
#24422=CARTESIAN_POINT('',(-3.45,-1.4,3.46944695195361E-17));
#24423=CARTESIAN_POINT('Origin',(-3.6,-1.4,0.3));
#24424=CARTESIAN_POINT('Origin',(-3.45,-1.4,0.3));
#24425=CARTESIAN_POINT('Origin',(-3.6,-1.10470896672645,5.74430577371984));
#24426=CARTESIAN_POINT('',(-3.6,-0.769188178002336,6.24172491522695));
#24427=CARTESIAN_POINT('',(-3.6,-0.769188178002336,6.24172491522695));
#24428=CARTESIAN_POINT('',(-3.6,-0.769188178002336,6.24172491522695));
#24429=CARTESIAN_POINT('Origin',(-3.6,-1.98660839812384,7.06290319002119));
#24430=CARTESIAN_POINT('Origin',(-3.45,-1.31735448252834,3.53145159501059));
#24431=CARTESIAN_POINT('Origin',(-3.6,-0.280804834284298,5.87617723962076));
#24432=CARTESIAN_POINT('',(0.549999999999999,-0.875,5.87617723962075));
#24433=CARTESIAN_POINT('Origin',(3.6,1.2,2.03));
#24434=CARTESIAN_POINT('',(3.45,0.875,4.03585937500024));
#24435=CARTESIAN_POINT('',(3.75,0.875,4.03585937500024));
#24436=CARTESIAN_POINT('',(-0.55,0.875,4.03585937500024));
#24437=CARTESIAN_POINT('',(3.45,0.611145558470353,5.66433600630492));
#24438=CARTESIAN_POINT('',(3.45,0.611145558472139,5.6643360063052));
#24439=CARTESIAN_POINT('',(3.75,0.611145558470353,5.66433600630492));
#24440=CARTESIAN_POINT('',(3.6,0.611145558470353,5.66433600630492));
#24441=CARTESIAN_POINT('',(3.75,0.611145558472139,5.6643360063052));
#24442=CARTESIAN_POINT('Origin',(3.6,1.4,0.3));
#24443=CARTESIAN_POINT('',(3.45,1.4,-1.73472347597681E-16));
#24444=CARTESIAN_POINT('Origin',(3.45,1.4,0.3));
#24445=CARTESIAN_POINT('',(3.75,1.4,-1.73472347597681E-16));
#24446=CARTESIAN_POINT('',(3.6,1.4,3.46944695195361E-17));
#24447=CARTESIAN_POINT('Origin',(3.75,1.4,0.3));
#24448=CARTESIAN_POINT('Origin',(3.45,1.31735448252834,3.53145159501059));
#24449=CARTESIAN_POINT('',(3.45,1.4,0.0999999999999999));
#24450=CARTESIAN_POINT('Origin',(3.45,1.4,0.3));
#24451=CARTESIAN_POINT('',(3.45,2.13,0.0999999999999999));
#24452=CARTESIAN_POINT('',(3.45,1.4,0.1));
#24453=CARTESIAN_POINT('',(3.45,2.13,-1.73472347597681E-16));
#24454=CARTESIAN_POINT('',(3.45,2.13,0.1));
#24455=CARTESIAN_POINT('',(3.45,1.4,3.46944695195361E-17));
#24456=CARTESIAN_POINT('Origin',(3.45,1.31735448252834,3.53145159501059));
#24457=CARTESIAN_POINT('',(3.45,0.875,3.41062309153474));
#24458=CARTESIAN_POINT('',(3.45,0.875,5.7557257975053));
#24459=CARTESIAN_POINT('',(3.45,0.512432877148789,5.64834205287534));
#24460=CARTESIAN_POINT('',(3.45,0.512432877148789,5.64834205287534));
#24461=CARTESIAN_POINT('',(3.45,0.769188178002336,6.24172491522695));
#24462=CARTESIAN_POINT('Origin',(3.45,1.10470896672645,5.74430577371984));
#24463=CARTESIAN_POINT('',(3.45,0.875,6.31309745199479));
#24464=CARTESIAN_POINT('',(3.45,1.98660839812384,7.06290319002119));
#24465=CARTESIAN_POINT('',(3.45,0.875,6.19247483184773));
#24466=CARTESIAN_POINT('',(3.45,0.875,7.729608659028));
#24467=CARTESIAN_POINT('',(3.45,0.825108309301508,6.158821725207));
#24468=CARTESIAN_POINT('',(3.45,2.0425285294224,6.98000000000015));
#24469=CARTESIAN_POINT('Origin',(3.45,1.10470896672645,5.74430577371984));
#24470=CARTESIAN_POINT('Origin',(3.75,1.31735448252834,3.53145159501059));
#24471=CARTESIAN_POINT('',(3.75,2.13,-1.73472347597681E-16));
#24472=CARTESIAN_POINT('',(3.75,1.4,3.46944695195361E-17));
#24473=CARTESIAN_POINT('',(3.75,2.13,0.0999999999999999));
#24474=CARTESIAN_POINT('',(3.75,2.13,0.1));
#24475=CARTESIAN_POINT('',(3.75,1.4,0.0999999999999999));
#24476=CARTESIAN_POINT('',(3.75,1.4,0.1));
#24477=CARTESIAN_POINT('Origin',(3.75,1.4,0.3));
#24478=CARTESIAN_POINT('Origin',(3.6,2.0425285294224,6.98000000000015));
#24479=CARTESIAN_POINT('',(3.75,1.98660839812384,7.06290319002119));
#24480=CARTESIAN_POINT('',(3.45,1.98660839812384,7.06290319002119));
#24481=CARTESIAN_POINT('',(3.6,1.98660839812384,7.06290319002119));
#24482=CARTESIAN_POINT('',(3.75,2.0425285294224,6.98000000000015));
#24483=CARTESIAN_POINT('',(3.75,1.78921225798813,7.35554859937688));
#24484=CARTESIAN_POINT('',(3.45,2.0425285294224,6.98000000000015));
#24485=CARTESIAN_POINT('',(3.6,2.0425285294224,6.98000000000015));
#24486=CARTESIAN_POINT('',(3.45,1.78921225798813,7.35554859937688));
#24487=CARTESIAN_POINT('Origin',(3.6,0.825108309301508,6.158821725207));
#24488=CARTESIAN_POINT('',(3.75,0.875,6.19247483184773));
#24489=CARTESIAN_POINT('',(3.75,1.24710886480248,6.44347092225552));
#24490=CARTESIAN_POINT('',(3.75,0.825108309301508,6.158821725207));
#24491=CARTESIAN_POINT('',(3.75,2.0425285294224,6.98000000000015));
#24492=CARTESIAN_POINT('',(3.6,0.825108309301508,6.158821725207));
#24493=CARTESIAN_POINT('',(3.45,1.24710886480248,6.44347092225552));
#24494=CARTESIAN_POINT('Origin',(3.6,1.10470896672645,5.74430577371984));
#24495=CARTESIAN_POINT('Origin',(3.75,1.10470896672645,5.74430577371984));
#24496=CARTESIAN_POINT('Origin',(3.6,1.4,0.3));
#24497=CARTESIAN_POINT('',(3.6,1.4,0.1));
#24498=CARTESIAN_POINT('Origin',(3.6,2.13,0.1));
#24499=CARTESIAN_POINT('',(3.6,2.13,0.1));
#24500=CARTESIAN_POINT('Origin',(3.6,2.13,0.));
#24501=CARTESIAN_POINT('',(3.6,2.13,0.));
#24502=CARTESIAN_POINT('Origin',(3.6,1.4,3.46944695195361E-17));
#24503=CARTESIAN_POINT('Origin',(3.6,0.512432877148789,5.64834205287534));
#24504=CARTESIAN_POINT('',(3.75,0.875,3.41062309153474));
#24505=CARTESIAN_POINT('',(-0.55,0.875,3.41062309153473));
#24506=CARTESIAN_POINT('',(3.75,0.512432877148789,5.64834205287534));
#24507=CARTESIAN_POINT('',(3.75,0.512432877148789,5.64834205287534));
#24508=CARTESIAN_POINT('',(3.6,0.512432877148789,5.64834205287534));
#24509=CARTESIAN_POINT('Origin',(3.6,1.10470896672645,5.74430577371984));
#24510=CARTESIAN_POINT('',(3.75,0.769188178002336,6.24172491522695));
#24511=CARTESIAN_POINT('Origin',(3.75,1.10470896672645,5.74430577371984));
#24512=CARTESIAN_POINT('',(3.6,0.769188178002336,6.24172491522695));
#24513=CARTESIAN_POINT('',(3.6,0.769188178002336,6.24172491522695));
#24514=CARTESIAN_POINT('',(3.6,0.769188178002336,6.24172491522695));
#24515=CARTESIAN_POINT('Origin',(3.6,1.98660839812384,7.06290319002119));
#24516=CARTESIAN_POINT('',(3.75,0.875,6.31309745199479));
#24517=CARTESIAN_POINT('',(3.75,1.98660839812384,7.06290319002119));
#24518=CARTESIAN_POINT('',(3.75,1.79989884356837,6.93696324967319));
#24519=CARTESIAN_POINT('',(3.45,1.79989884356837,6.93696324967319));
#24520=CARTESIAN_POINT('Origin',(3.75,1.31735448252834,3.53145159501059));
#24521=CARTESIAN_POINT('',(3.75,0.875,5.7557257975053));
#24522=CARTESIAN_POINT('',(3.75,0.875,7.729608659028));
#24523=CARTESIAN_POINT('Origin',(3.6,0.280804834284298,5.87617723962076));
#24524=CARTESIAN_POINT('',(3.75,0.875,5.87617723962076));
#24525=CARTESIAN_POINT('',(3.45,0.875,5.87617723962076));
#24526=CARTESIAN_POINT('',(-0.55,0.875,5.87617723962075));
#24527=CARTESIAN_POINT('',(3.75,2.04414892056306,5.87617723962076));
#24528=CARTESIAN_POINT('',(3.75,2.04414892056306,5.87617723962076));
#24529=CARTESIAN_POINT('',(3.45,2.04414892056306,5.87617723962076));
#24530=CARTESIAN_POINT('',(3.6,2.04414892056306,5.87617723962076));
#24531=CARTESIAN_POINT('',(3.45,2.04414892056306,5.87617723962076));
#24532=CARTESIAN_POINT('Origin',(3.45,1.16247687742368,7.479217318056));
#24533=CARTESIAN_POINT('',(3.45,0.875,7.48));
#24534=CARTESIAN_POINT('',(3.45,1.07167354886992,7.67667354886992));
#24535=CARTESIAN_POINT('',(3.45,0.875,7.729608659028));
#24536=CARTESIAN_POINT('',(3.45,0.875,7.729608659028));
#24537=CARTESIAN_POINT('',(3.45,2.04414892056306,9.08225739649124));
#24538=CARTESIAN_POINT('Origin',(3.6,2.04414892056306,5.87617723962076));
#24539=CARTESIAN_POINT('',(3.75,2.04414892056306,9.08225739649124));
#24540=CARTESIAN_POINT('Origin',(3.75,1.16247687742368,7.479217318056));
#24541=CARTESIAN_POINT('',(3.75,0.875,7.729608659028));
#24542=CARTESIAN_POINT('',(3.75,0.875,7.48));
#24543=CARTESIAN_POINT('',(3.75,0.875,7.729608659028));
#24544=CARTESIAN_POINT('',(3.75,1.07167354886992,7.67667354886992));
#24545=CARTESIAN_POINT('Origin',(-4.7,0.875,7.98));
#24546=CARTESIAN_POINT('',(2.95,0.875,7.48));
#24547=CARTESIAN_POINT('',(-2.35,0.875,7.48));
#24548=CARTESIAN_POINT('',(2.95,0.875,6.31309745199479));
#24549=CARTESIAN_POINT('',(2.95,0.875,7.729608659028));
#24550=CARTESIAN_POINT('',(2.95,0.875,6.19247483184773));
#24551=CARTESIAN_POINT('',(2.95,0.875,7.729608659028));
#24552=CARTESIAN_POINT('',(2.95,0.875,5.87617723962076));
#24553=CARTESIAN_POINT('',(2.95,0.875,7.729608659028));
#24554=CARTESIAN_POINT('',(2.65,0.875,5.87617723962076));
#24555=CARTESIAN_POINT('',(-0.95,0.875,5.87617723962075));
#24556=CARTESIAN_POINT('',(2.65,0.875,6.19247483184773));
#24557=CARTESIAN_POINT('',(2.65,0.875,7.729608659028));
#24558=CARTESIAN_POINT('',(2.65,0.875,6.31309745199479));
#24559=CARTESIAN_POINT('',(2.65,0.875,7.729608659028));
#24560=CARTESIAN_POINT('',(2.65,0.875,7.48));
#24561=CARTESIAN_POINT('',(2.65,0.875,7.729608659028));
#24562=CARTESIAN_POINT('',(2.15,0.875,7.48));
#24563=CARTESIAN_POINT('',(-2.35,0.875,7.48));
#24564=CARTESIAN_POINT('',(2.15,0.875,6.31309745199479));
#24565=CARTESIAN_POINT('',(2.15,0.875,7.729608659028));
#24566=CARTESIAN_POINT('',(2.15,0.875,6.19247483184773));
#24567=CARTESIAN_POINT('',(2.15,0.875,7.729608659028));
#24568=CARTESIAN_POINT('',(2.15,0.875,5.87617723962076));
#24569=CARTESIAN_POINT('',(2.15,0.875,7.729608659028));
#24570=CARTESIAN_POINT('',(1.85,0.875,5.87617723962076));
#24571=CARTESIAN_POINT('',(-1.35,0.875,5.87617723962075));
#24572=CARTESIAN_POINT('',(1.85,0.875,6.19247483184773));
#24573=CARTESIAN_POINT('',(1.85,0.875,7.729608659028));
#24574=CARTESIAN_POINT('',(1.85,0.875,6.31309745199479));
#24575=CARTESIAN_POINT('',(1.85,0.875,7.729608659028));
#24576=CARTESIAN_POINT('',(1.85,0.875,7.48));
#24577=CARTESIAN_POINT('',(1.85,0.875,7.729608659028));
#24578=CARTESIAN_POINT('',(1.35,0.875,7.48));
#24579=CARTESIAN_POINT('',(-2.35,0.875,7.48));
#24580=CARTESIAN_POINT('',(1.35,0.875,6.31309745199479));
#24581=CARTESIAN_POINT('',(1.35,0.875,7.729608659028));
#24582=CARTESIAN_POINT('',(1.35,0.875,6.19247483184773));
#24583=CARTESIAN_POINT('',(1.35,0.875,7.729608659028));
#24584=CARTESIAN_POINT('',(1.35,0.875,5.87617723962076));
#24585=CARTESIAN_POINT('',(1.35,0.875,7.729608659028));
#24586=CARTESIAN_POINT('',(1.05,0.875,5.87617723962076));
#24587=CARTESIAN_POINT('',(-1.75,0.875,5.87617723962075));
#24588=CARTESIAN_POINT('',(1.05,0.875,6.19247483184773));
#24589=CARTESIAN_POINT('',(1.05,0.875,7.729608659028));
#24590=CARTESIAN_POINT('',(1.05,0.875,6.31309745199479));
#24591=CARTESIAN_POINT('',(1.05,0.875,7.729608659028));
#24592=CARTESIAN_POINT('',(1.05,0.875,7.48));
#24593=CARTESIAN_POINT('',(1.05,0.875,7.729608659028));
#24594=CARTESIAN_POINT('',(0.55,0.875,7.48));
#24595=CARTESIAN_POINT('',(-2.35,0.875,7.48));
#24596=CARTESIAN_POINT('',(0.55,0.875,6.31309745199479));
#24597=CARTESIAN_POINT('',(0.55,0.875,7.729608659028));
#24598=CARTESIAN_POINT('',(0.55,0.875,6.19247483184773));
#24599=CARTESIAN_POINT('',(0.55,0.875,7.729608659028));
#24600=CARTESIAN_POINT('',(0.55,0.875,5.87617723962076));
#24601=CARTESIAN_POINT('',(0.55,0.875,7.729608659028));
#24602=CARTESIAN_POINT('',(0.25,0.875,5.87617723962076));
#24603=CARTESIAN_POINT('',(-2.15,0.875,5.87617723962075));
#24604=CARTESIAN_POINT('',(0.25,0.875,6.19247483184773));
#24605=CARTESIAN_POINT('',(0.25,0.875,7.729608659028));
#24606=CARTESIAN_POINT('',(0.25,0.875,6.31309745199479));
#24607=CARTESIAN_POINT('',(0.25,0.875,7.729608659028));
#24608=CARTESIAN_POINT('',(0.25,0.875,7.48));
#24609=CARTESIAN_POINT('',(0.25,0.875,7.729608659028));
#24610=CARTESIAN_POINT('',(-0.25,0.875,7.48));
#24611=CARTESIAN_POINT('',(-2.35,0.875,7.48));
#24612=CARTESIAN_POINT('',(-0.25,0.875,6.31309745199479));
#24613=CARTESIAN_POINT('',(-0.25,0.875,7.729608659028));
#24614=CARTESIAN_POINT('',(-0.25,0.875,6.19247483184773));
#24615=CARTESIAN_POINT('',(-0.25,0.875,7.729608659028));
#24616=CARTESIAN_POINT('',(-0.25,0.875,5.87617723962076));
#24617=CARTESIAN_POINT('',(-0.25,0.875,7.729608659028));
#24618=CARTESIAN_POINT('',(-0.55,0.875,5.87617723962076));
#24619=CARTESIAN_POINT('',(-2.55,0.875,5.87617723962075));
#24620=CARTESIAN_POINT('',(-0.55,0.875,6.19247483184773));
#24621=CARTESIAN_POINT('',(-0.55,0.875,7.729608659028));
#24622=CARTESIAN_POINT('',(-0.55,0.875,6.31309745199479));
#24623=CARTESIAN_POINT('',(-0.55,0.875,7.729608659028));
#24624=CARTESIAN_POINT('',(-0.55,0.875,7.48));
#24625=CARTESIAN_POINT('',(-0.55,0.875,7.729608659028));
#24626=CARTESIAN_POINT('',(-1.05,0.875,7.48));
#24627=CARTESIAN_POINT('',(-2.35,0.875,7.48));
#24628=CARTESIAN_POINT('',(-1.05,0.875,6.31309745199479));
#24629=CARTESIAN_POINT('',(-1.05,0.875,7.729608659028));
#24630=CARTESIAN_POINT('',(-1.05,0.875,6.19247483184773));
#24631=CARTESIAN_POINT('',(-1.05,0.875,7.729608659028));
#24632=CARTESIAN_POINT('',(-1.05,0.875,5.87617723962076));
#24633=CARTESIAN_POINT('',(-1.05,0.875,7.729608659028));
#24634=CARTESIAN_POINT('',(-1.35,0.875,5.87617723962076));
#24635=CARTESIAN_POINT('',(-2.95,0.875,5.87617723962075));
#24636=CARTESIAN_POINT('',(-1.35,0.875,6.19247483184773));
#24637=CARTESIAN_POINT('',(-1.35,0.875,7.729608659028));
#24638=CARTESIAN_POINT('',(-1.35,0.875,6.31309745199479));
#24639=CARTESIAN_POINT('',(-1.35,0.875,7.729608659028));
#24640=CARTESIAN_POINT('',(-1.35,0.875,7.48));
#24641=CARTESIAN_POINT('',(-1.35,0.875,7.729608659028));
#24642=CARTESIAN_POINT('',(-1.85,0.875,7.48));
#24643=CARTESIAN_POINT('',(-2.35,0.875,7.48));
#24644=CARTESIAN_POINT('',(-1.85,0.875,6.31309745199479));
#24645=CARTESIAN_POINT('',(-1.85,0.875,7.729608659028));
#24646=CARTESIAN_POINT('',(-1.85,0.875,6.19247483184773));
#24647=CARTESIAN_POINT('',(-1.85,0.875,7.729608659028));
#24648=CARTESIAN_POINT('',(-1.85,0.875,5.87617723962076));
#24649=CARTESIAN_POINT('',(-1.85,0.875,7.729608659028));
#24650=CARTESIAN_POINT('',(-2.15,0.875,5.87617723962076));
#24651=CARTESIAN_POINT('',(-3.35,0.875,5.87617723962075));
#24652=CARTESIAN_POINT('',(-2.15,0.875,6.19247483184773));
#24653=CARTESIAN_POINT('',(-2.15,0.875,7.729608659028));
#24654=CARTESIAN_POINT('',(-2.15,0.875,6.31309745199479));
#24655=CARTESIAN_POINT('',(-2.15,0.875,7.729608659028));
#24656=CARTESIAN_POINT('',(-2.15,0.875,7.48));
#24657=CARTESIAN_POINT('',(-2.15,0.875,7.729608659028));
#24658=CARTESIAN_POINT('',(-2.65,0.875,7.48));
#24659=CARTESIAN_POINT('',(-2.35,0.875,7.48));
#24660=CARTESIAN_POINT('',(-2.65,0.875,6.31309745199479));
#24661=CARTESIAN_POINT('',(-2.65,0.875,7.729608659028));
#24662=CARTESIAN_POINT('',(-2.65,0.875,6.19247483184773));
#24663=CARTESIAN_POINT('',(-2.65,0.875,7.729608659028));
#24664=CARTESIAN_POINT('',(-2.65,0.875,5.87617723962076));
#24665=CARTESIAN_POINT('',(-2.65,0.875,7.729608659028));
#24666=CARTESIAN_POINT('',(-2.95,0.875,5.87617723962076));
#24667=CARTESIAN_POINT('',(-3.75,0.875,5.87617723962075));
#24668=CARTESIAN_POINT('',(-2.95,0.875,6.19247483184773));
#24669=CARTESIAN_POINT('',(-2.95,0.875,7.729608659028));
#24670=CARTESIAN_POINT('',(-2.95,0.875,6.31309745199479));
#24671=CARTESIAN_POINT('',(-2.95,0.875,7.729608659028));
#24672=CARTESIAN_POINT('',(-2.95,0.875,7.48));
#24673=CARTESIAN_POINT('',(-2.95,0.875,7.729608659028));
#24674=CARTESIAN_POINT('',(-3.45,0.875,7.48));
#24675=CARTESIAN_POINT('',(-2.35,0.875,7.48));
#24676=CARTESIAN_POINT('',(-3.45,0.875,6.31309745199479));
#24677=CARTESIAN_POINT('',(-3.45,0.875,7.729608659028));
#24678=CARTESIAN_POINT('',(-3.45,0.875,6.19247483184773));
#24679=CARTESIAN_POINT('',(-3.45,0.875,7.729608659028));
#24680=CARTESIAN_POINT('',(-3.45,0.875,5.87617723962076));
#24681=CARTESIAN_POINT('',(-3.45,0.875,7.729608659028));
#24682=CARTESIAN_POINT('',(-3.75,0.875,5.87617723962076));
#24683=CARTESIAN_POINT('',(-4.15,0.875,5.87617723962075));
#24684=CARTESIAN_POINT('',(-3.75,0.875,6.19247483184773));
#24685=CARTESIAN_POINT('',(-3.75,0.875,7.729608659028));
#24686=CARTESIAN_POINT('',(-3.75,0.875,6.31309745199479));
#24687=CARTESIAN_POINT('',(-3.75,0.875,7.729608659028));
#24688=CARTESIAN_POINT('',(-3.75,0.875,7.48));
#24689=CARTESIAN_POINT('',(-3.75,0.875,7.729608659028));
#24690=CARTESIAN_POINT('',(-2.35,0.875,7.48));
#24691=CARTESIAN_POINT('',(-4.7,0.875,2.03));
#24692=CARTESIAN_POINT('',(-4.7,0.875,7.98));
#24693=CARTESIAN_POINT('',(4.7,0.875,2.03));
#24694=CARTESIAN_POINT('',(4.7,0.875,2.03));
#24695=CARTESIAN_POINT('',(4.7,0.875,7.48));
#24696=CARTESIAN_POINT('',(4.7,0.875,7.98));
#24697=CARTESIAN_POINT('',(-2.35,0.875,7.48));
#24698=CARTESIAN_POINT('',(1.85,0.875,3.41062309153474));
#24699=CARTESIAN_POINT('',(1.85,0.875,4.03585937500024));
#24700=CARTESIAN_POINT('',(1.85,0.875,5.7557257975053));
#24701=CARTESIAN_POINT('',(2.15,0.875,4.03585937500024));
#24702=CARTESIAN_POINT('',(-1.35,0.875,4.03585937500024));
#24703=CARTESIAN_POINT('',(2.15,0.875,3.41062309153474));
#24704=CARTESIAN_POINT('',(2.15,0.875,5.7557257975053));
#24705=CARTESIAN_POINT('',(-1.35,0.875,3.41062309153473));
#24706=CARTESIAN_POINT('',(0.25,0.875,3.41062309153474));
#24707=CARTESIAN_POINT('',(0.25,0.875,4.03585937500024));
#24708=CARTESIAN_POINT('',(0.25,0.875,5.7557257975053));
#24709=CARTESIAN_POINT('',(0.55,0.875,4.03585937500024));
#24710=CARTESIAN_POINT('',(-2.15,0.875,4.03585937500024));
#24711=CARTESIAN_POINT('',(0.55,0.875,3.41062309153474));
#24712=CARTESIAN_POINT('',(0.55,0.875,5.7557257975053));
#24713=CARTESIAN_POINT('',(-2.15,0.875,3.41062309153473));
#24714=CARTESIAN_POINT('',(-1.35,0.875,3.41062309153474));
#24715=CARTESIAN_POINT('',(-1.35,0.875,4.03585937500024));
#24716=CARTESIAN_POINT('',(-1.35,0.875,5.7557257975053));
#24717=CARTESIAN_POINT('',(-1.05,0.875,4.03585937500024));
#24718=CARTESIAN_POINT('',(-2.95,0.875,4.03585937500024));
#24719=CARTESIAN_POINT('',(-1.05,0.875,3.41062309153474));
#24720=CARTESIAN_POINT('',(-1.05,0.875,5.7557257975053));
#24721=CARTESIAN_POINT('',(-2.95,0.875,3.41062309153473));
#24722=CARTESIAN_POINT('',(-2.95,0.875,3.41062309153474));
#24723=CARTESIAN_POINT('',(-2.95,0.875,4.03585937500024));
#24724=CARTESIAN_POINT('',(-2.95,0.875,5.7557257975053));
#24725=CARTESIAN_POINT('',(-2.65,0.875,4.03585937500024));
#24726=CARTESIAN_POINT('',(-3.75,0.875,4.03585937500024));
#24727=CARTESIAN_POINT('',(-2.65,0.875,3.41062309153474));
#24728=CARTESIAN_POINT('',(-2.65,0.875,5.7557257975053));
#24729=CARTESIAN_POINT('',(-3.75,0.875,3.41062309153473));
#24730=CARTESIAN_POINT('',(-3.75,0.875,3.41062309153474));
#24731=CARTESIAN_POINT('',(-3.75,0.875,4.03585937500024));
#24732=CARTESIAN_POINT('',(-3.75,0.875,5.7557257975053));
#24733=CARTESIAN_POINT('',(-3.45,0.875,4.03585937500024));
#24734=CARTESIAN_POINT('',(-4.15,0.875,4.03585937500024));
#24735=CARTESIAN_POINT('',(-3.45,0.875,3.41062309153474));
#24736=CARTESIAN_POINT('',(-3.45,0.875,5.7557257975053));
#24737=CARTESIAN_POINT('',(-4.15,0.875,3.41062309153473));
#24738=CARTESIAN_POINT('',(-2.15,0.875,3.41062309153474));
#24739=CARTESIAN_POINT('',(-2.15,0.875,4.03585937500024));
#24740=CARTESIAN_POINT('',(-2.15,0.875,5.7557257975053));
#24741=CARTESIAN_POINT('',(-1.85,0.875,4.03585937500024));
#24742=CARTESIAN_POINT('',(-3.35,0.875,4.03585937500024));
#24743=CARTESIAN_POINT('',(-1.85,0.875,3.41062309153474));
#24744=CARTESIAN_POINT('',(-1.85,0.875,5.7557257975053));
#24745=CARTESIAN_POINT('',(-3.35,0.875,3.41062309153473));
#24746=CARTESIAN_POINT('',(-0.55,0.875,3.41062309153474));
#24747=CARTESIAN_POINT('',(-0.55,0.875,4.03585937500024));
#24748=CARTESIAN_POINT('',(-0.55,0.875,5.7557257975053));
#24749=CARTESIAN_POINT('',(-0.25,0.875,4.03585937500024));
#24750=CARTESIAN_POINT('',(-2.55,0.875,4.03585937500024));
#24751=CARTESIAN_POINT('',(-0.25,0.875,3.41062309153474));
#24752=CARTESIAN_POINT('',(-0.25,0.875,5.7557257975053));
#24753=CARTESIAN_POINT('',(-2.55,0.875,3.41062309153473));
#24754=CARTESIAN_POINT('',(1.05,0.875,3.41062309153474));
#24755=CARTESIAN_POINT('',(1.05,0.875,4.03585937500024));
#24756=CARTESIAN_POINT('',(1.05,0.875,5.7557257975053));
#24757=CARTESIAN_POINT('',(1.35,0.875,4.03585937500024));
#24758=CARTESIAN_POINT('',(-1.75,0.875,4.03585937500024));
#24759=CARTESIAN_POINT('',(1.35,0.875,3.41062309153474));
#24760=CARTESIAN_POINT('',(1.35,0.875,5.7557257975053));
#24761=CARTESIAN_POINT('',(-1.75,0.875,3.41062309153473));
#24762=CARTESIAN_POINT('',(2.65,0.875,3.41062309153474));
#24763=CARTESIAN_POINT('',(2.65,0.875,4.03585937500024));
#24764=CARTESIAN_POINT('',(2.65,0.875,5.7557257975053));
#24765=CARTESIAN_POINT('',(2.95,0.875,4.03585937500024));
#24766=CARTESIAN_POINT('',(-0.95,0.875,4.03585937500024));
#24767=CARTESIAN_POINT('',(2.95,0.875,3.41062309153474));
#24768=CARTESIAN_POINT('',(2.95,0.875,5.7557257975053));
#24769=CARTESIAN_POINT('',(-0.95,0.875,3.41062309153473));
#24770=CARTESIAN_POINT('Origin',(-2.35,1.125,7.73));
#24771=CARTESIAN_POINT('',(2.95,1.07167354886992,7.67667354886992));
#24772=CARTESIAN_POINT('Origin',(2.8,1.2,2.03));
#24773=CARTESIAN_POINT('',(2.65,0.611145558470353,5.66433600630492));
#24774=CARTESIAN_POINT('',(2.65,0.611145558472139,5.6643360063052));
#24775=CARTESIAN_POINT('',(2.95,0.611145558470353,5.66433600630492));
#24776=CARTESIAN_POINT('',(2.8,0.611145558470353,5.66433600630492));
#24777=CARTESIAN_POINT('',(2.95,0.611145558472139,5.6643360063052));
#24778=CARTESIAN_POINT('Origin',(2.8,1.4,0.3));
#24779=CARTESIAN_POINT('',(2.65,1.4,-1.73472347597681E-16));
#24780=CARTESIAN_POINT('Origin',(2.65,1.4,0.3));
#24781=CARTESIAN_POINT('',(2.95,1.4,-1.73472347597681E-16));
#24782=CARTESIAN_POINT('',(2.8,1.4,3.46944695195361E-17));
#24783=CARTESIAN_POINT('Origin',(2.95,1.4,0.3));
#24784=CARTESIAN_POINT('Origin',(2.65,1.31735448252834,3.53145159501059));
#24785=CARTESIAN_POINT('',(2.65,1.4,0.0999999999999999));
#24786=CARTESIAN_POINT('Origin',(2.65,1.4,0.3));
#24787=CARTESIAN_POINT('',(2.65,2.13,0.0999999999999999));
#24788=CARTESIAN_POINT('',(2.65,1.4,0.1));
#24789=CARTESIAN_POINT('',(2.65,2.13,-1.73472347597681E-16));
#24790=CARTESIAN_POINT('',(2.65,2.13,0.1));
#24791=CARTESIAN_POINT('',(2.65,1.4,3.46944695195361E-17));
#24792=CARTESIAN_POINT('Origin',(2.65,1.31735448252834,3.53145159501059));
#24793=CARTESIAN_POINT('',(2.65,0.512432877148789,5.64834205287534));
#24794=CARTESIAN_POINT('',(2.65,0.512432877148789,5.64834205287534));
#24795=CARTESIAN_POINT('',(2.65,0.769188178002336,6.24172491522695));
#24796=CARTESIAN_POINT('Origin',(2.65,1.10470896672645,5.74430577371984));
#24797=CARTESIAN_POINT('',(2.65,1.98660839812384,7.06290319002119));
#24798=CARTESIAN_POINT('',(2.65,0.825108309301508,6.158821725207));
#24799=CARTESIAN_POINT('',(2.65,2.0425285294224,6.98000000000015));
#24800=CARTESIAN_POINT('Origin',(2.65,1.10470896672645,5.74430577371984));
#24801=CARTESIAN_POINT('Origin',(2.95,1.31735448252834,3.53145159501059));
#24802=CARTESIAN_POINT('',(2.95,2.13,-1.73472347597681E-16));
#24803=CARTESIAN_POINT('',(2.95,1.4,3.46944695195361E-17));
#24804=CARTESIAN_POINT('',(2.95,2.13,0.0999999999999999));
#24805=CARTESIAN_POINT('',(2.95,2.13,0.1));
#24806=CARTESIAN_POINT('',(2.95,1.4,0.0999999999999999));
#24807=CARTESIAN_POINT('',(2.95,1.4,0.1));
#24808=CARTESIAN_POINT('Origin',(2.95,1.4,0.3));
#24809=CARTESIAN_POINT('Origin',(2.8,2.0425285294224,6.98000000000015));
#24810=CARTESIAN_POINT('',(2.95,1.98660839812384,7.06290319002119));
#24811=CARTESIAN_POINT('',(2.65,1.98660839812384,7.06290319002119));
#24812=CARTESIAN_POINT('',(2.8,1.98660839812384,7.06290319002119));
#24813=CARTESIAN_POINT('',(2.95,2.0425285294224,6.98000000000015));
#24814=CARTESIAN_POINT('',(2.95,1.78921225798813,7.35554859937688));
#24815=CARTESIAN_POINT('',(2.65,2.0425285294224,6.98000000000015));
#24816=CARTESIAN_POINT('',(2.8,2.0425285294224,6.98000000000015));
#24817=CARTESIAN_POINT('',(2.65,1.78921225798813,7.35554859937688));
#24818=CARTESIAN_POINT('Origin',(2.8,0.825108309301508,6.158821725207));
#24819=CARTESIAN_POINT('',(2.95,1.24710886480248,6.44347092225552));
#24820=CARTESIAN_POINT('',(2.95,0.825108309301508,6.158821725207));
#24821=CARTESIAN_POINT('',(2.95,2.0425285294224,6.98000000000015));
#24822=CARTESIAN_POINT('',(2.8,0.825108309301508,6.158821725207));
#24823=CARTESIAN_POINT('',(2.65,1.24710886480248,6.44347092225552));
#24824=CARTESIAN_POINT('Origin',(2.8,1.10470896672645,5.74430577371984));
#24825=CARTESIAN_POINT('Origin',(2.95,1.10470896672645,5.74430577371984));
#24826=CARTESIAN_POINT('Origin',(2.8,1.4,0.3));
#24827=CARTESIAN_POINT('',(2.8,1.4,0.1));
#24828=CARTESIAN_POINT('Origin',(2.8,2.13,0.1));
#24829=CARTESIAN_POINT('',(2.8,2.13,0.1));
#24830=CARTESIAN_POINT('Origin',(2.8,2.13,0.));
#24831=CARTESIAN_POINT('',(2.8,2.13,0.));
#24832=CARTESIAN_POINT('Origin',(2.8,1.4,3.46944695195361E-17));
#24833=CARTESIAN_POINT('Origin',(2.8,0.512432877148789,5.64834205287534));
#24834=CARTESIAN_POINT('',(2.95,0.512432877148789,5.64834205287534));
#24835=CARTESIAN_POINT('',(2.95,0.512432877148789,5.64834205287534));
#24836=CARTESIAN_POINT('',(2.8,0.512432877148789,5.64834205287534));
#24837=CARTESIAN_POINT('Origin',(2.8,1.10470896672645,5.74430577371984));
#24838=CARTESIAN_POINT('',(2.95,0.769188178002336,6.24172491522695));
#24839=CARTESIAN_POINT('Origin',(2.95,1.10470896672645,5.74430577371984));
#24840=CARTESIAN_POINT('',(2.8,0.769188178002336,6.24172491522695));
#24841=CARTESIAN_POINT('',(2.8,0.769188178002336,6.24172491522695));
#24842=CARTESIAN_POINT('',(2.8,0.769188178002336,6.24172491522695));
#24843=CARTESIAN_POINT('Origin',(2.8,1.98660839812384,7.06290319002119));
#24844=CARTESIAN_POINT('',(2.95,1.98660839812384,7.06290319002119));
#24845=CARTESIAN_POINT('',(2.95,1.79989884356837,6.93696324967319));
#24846=CARTESIAN_POINT('',(2.65,1.79989884356837,6.93696324967319));
#24847=CARTESIAN_POINT('Origin',(2.95,1.31735448252834,3.53145159501059));
#24848=CARTESIAN_POINT('Origin',(2.8,0.280804834284298,5.87617723962076));
#24849=CARTESIAN_POINT('',(2.95,2.04414892056306,5.87617723962076));
#24850=CARTESIAN_POINT('',(2.95,2.04414892056306,5.87617723962076));
#24851=CARTESIAN_POINT('',(2.65,2.04414892056306,5.87617723962076));
#24852=CARTESIAN_POINT('',(2.8,2.04414892056306,5.87617723962076));
#24853=CARTESIAN_POINT('',(2.65,2.04414892056306,5.87617723962076));
#24854=CARTESIAN_POINT('Origin',(2.65,1.16247687742368,7.479217318056));
#24855=CARTESIAN_POINT('',(2.65,1.07167354886992,7.67667354886992));
#24856=CARTESIAN_POINT('',(2.65,2.04414892056306,9.08225739649124));
#24857=CARTESIAN_POINT('Origin',(2.8,2.04414892056306,5.87617723962076));
#24858=CARTESIAN_POINT('',(2.95,2.04414892056306,9.08225739649124));
#24859=CARTESIAN_POINT('Origin',(2.95,1.16247687742368,7.479217318056));
#24860=CARTESIAN_POINT('Origin',(-2.35,1.125,7.73));
#24861=CARTESIAN_POINT('',(2.15,1.07167354886992,7.67667354886992));
#24862=CARTESIAN_POINT('Origin',(2.,1.2,2.03));
#24863=CARTESIAN_POINT('',(1.85,0.611145558470353,5.66433600630492));
#24864=CARTESIAN_POINT('',(1.85,0.611145558472139,5.6643360063052));
#24865=CARTESIAN_POINT('',(2.15,0.611145558470353,5.66433600630492));
#24866=CARTESIAN_POINT('',(2.,0.611145558470353,5.66433600630492));
#24867=CARTESIAN_POINT('',(2.15,0.611145558472139,5.6643360063052));
#24868=CARTESIAN_POINT('Origin',(2.,1.4,0.3));
#24869=CARTESIAN_POINT('',(1.85,1.4,-1.73472347597681E-16));
#24870=CARTESIAN_POINT('Origin',(1.85,1.4,0.3));
#24871=CARTESIAN_POINT('',(2.15,1.4,-1.73472347597681E-16));
#24872=CARTESIAN_POINT('',(2.,1.4,3.46944695195361E-17));
#24873=CARTESIAN_POINT('Origin',(2.15,1.4,0.3));
#24874=CARTESIAN_POINT('Origin',(1.85,1.31735448252834,3.53145159501059));
#24875=CARTESIAN_POINT('',(1.85,1.4,0.0999999999999999));
#24876=CARTESIAN_POINT('Origin',(1.85,1.4,0.3));
#24877=CARTESIAN_POINT('',(1.85,2.13,0.0999999999999999));
#24878=CARTESIAN_POINT('',(1.85,1.4,0.1));
#24879=CARTESIAN_POINT('',(1.85,2.13,-1.73472347597681E-16));
#24880=CARTESIAN_POINT('',(1.85,2.13,0.1));
#24881=CARTESIAN_POINT('',(1.85,1.4,3.46944695195361E-17));
#24882=CARTESIAN_POINT('Origin',(1.85,1.31735448252834,3.53145159501059));
#24883=CARTESIAN_POINT('',(1.85,0.512432877148789,5.64834205287534));
#24884=CARTESIAN_POINT('',(1.85,0.512432877148789,5.64834205287534));
#24885=CARTESIAN_POINT('',(1.85,0.769188178002336,6.24172491522695));
#24886=CARTESIAN_POINT('Origin',(1.85,1.10470896672645,5.74430577371984));
#24887=CARTESIAN_POINT('',(1.85,1.98660839812384,7.06290319002119));
#24888=CARTESIAN_POINT('',(1.85,0.825108309301508,6.158821725207));
#24889=CARTESIAN_POINT('',(1.85,2.0425285294224,6.98000000000015));
#24890=CARTESIAN_POINT('Origin',(1.85,1.10470896672645,5.74430577371984));
#24891=CARTESIAN_POINT('Origin',(2.15,1.31735448252834,3.53145159501059));
#24892=CARTESIAN_POINT('',(2.15,2.13,-1.73472347597681E-16));
#24893=CARTESIAN_POINT('',(2.15,1.4,3.46944695195361E-17));
#24894=CARTESIAN_POINT('',(2.15,2.13,0.0999999999999999));
#24895=CARTESIAN_POINT('',(2.15,2.13,0.1));
#24896=CARTESIAN_POINT('',(2.15,1.4,0.0999999999999999));
#24897=CARTESIAN_POINT('',(2.15,1.4,0.1));
#24898=CARTESIAN_POINT('Origin',(2.15,1.4,0.3));
#24899=CARTESIAN_POINT('Origin',(2.,2.0425285294224,6.98000000000015));
#24900=CARTESIAN_POINT('',(2.15,1.98660839812384,7.06290319002119));
#24901=CARTESIAN_POINT('',(1.85,1.98660839812384,7.06290319002119));
#24902=CARTESIAN_POINT('',(2.,1.98660839812384,7.06290319002119));
#24903=CARTESIAN_POINT('',(2.15,2.0425285294224,6.98000000000015));
#24904=CARTESIAN_POINT('',(2.15,1.78921225798813,7.35554859937688));
#24905=CARTESIAN_POINT('',(1.85,2.0425285294224,6.98000000000015));
#24906=CARTESIAN_POINT('',(2.,2.0425285294224,6.98000000000015));
#24907=CARTESIAN_POINT('',(1.85,1.78921225798813,7.35554859937688));
#24908=CARTESIAN_POINT('Origin',(2.,0.825108309301508,6.158821725207));
#24909=CARTESIAN_POINT('',(2.15,1.24710886480248,6.44347092225552));
#24910=CARTESIAN_POINT('',(2.15,0.825108309301508,6.158821725207));
#24911=CARTESIAN_POINT('',(2.15,2.0425285294224,6.98000000000015));
#24912=CARTESIAN_POINT('',(2.,0.825108309301508,6.158821725207));
#24913=CARTESIAN_POINT('',(1.85,1.24710886480248,6.44347092225552));
#24914=CARTESIAN_POINT('Origin',(2.,1.10470896672645,5.74430577371984));
#24915=CARTESIAN_POINT('Origin',(2.15,1.10470896672645,5.74430577371984));
#24916=CARTESIAN_POINT('Origin',(2.,1.4,0.3));
#24917=CARTESIAN_POINT('',(2.,1.4,0.1));
#24918=CARTESIAN_POINT('Origin',(2.,2.13,0.1));
#24919=CARTESIAN_POINT('',(2.,2.13,0.1));
#24920=CARTESIAN_POINT('Origin',(2.,2.13,0.));
#24921=CARTESIAN_POINT('',(2.,2.13,0.));
#24922=CARTESIAN_POINT('Origin',(2.,1.4,3.46944695195361E-17));
#24923=CARTESIAN_POINT('Origin',(2.,0.512432877148789,5.64834205287534));
#24924=CARTESIAN_POINT('',(2.15,0.512432877148789,5.64834205287534));
#24925=CARTESIAN_POINT('',(2.15,0.512432877148789,5.64834205287534));
#24926=CARTESIAN_POINT('',(2.,0.512432877148789,5.64834205287534));
#24927=CARTESIAN_POINT('Origin',(2.,1.10470896672645,5.74430577371984));
#24928=CARTESIAN_POINT('',(2.15,0.769188178002336,6.24172491522695));
#24929=CARTESIAN_POINT('Origin',(2.15,1.10470896672645,5.74430577371984));
#24930=CARTESIAN_POINT('',(2.,0.769188178002336,6.24172491522695));
#24931=CARTESIAN_POINT('',(2.,0.769188178002336,6.24172491522695));
#24932=CARTESIAN_POINT('',(2.,0.769188178002336,6.24172491522695));
#24933=CARTESIAN_POINT('Origin',(2.,1.98660839812384,7.06290319002119));
#24934=CARTESIAN_POINT('',(2.15,1.98660839812384,7.06290319002119));
#24935=CARTESIAN_POINT('',(2.15,1.79989884356837,6.93696324967319));
#24936=CARTESIAN_POINT('',(1.85,1.79989884356837,6.93696324967319));
#24937=CARTESIAN_POINT('Origin',(2.15,1.31735448252834,3.53145159501059));
#24938=CARTESIAN_POINT('Origin',(2.,0.280804834284298,5.87617723962076));
#24939=CARTESIAN_POINT('',(2.15,2.04414892056306,5.87617723962076));
#24940=CARTESIAN_POINT('',(2.15,2.04414892056306,5.87617723962076));
#24941=CARTESIAN_POINT('',(1.85,2.04414892056306,5.87617723962076));
#24942=CARTESIAN_POINT('',(2.,2.04414892056306,5.87617723962076));
#24943=CARTESIAN_POINT('',(1.85,2.04414892056306,5.87617723962076));
#24944=CARTESIAN_POINT('Origin',(1.85,1.16247687742368,7.479217318056));
#24945=CARTESIAN_POINT('',(1.85,1.07167354886992,7.67667354886992));
#24946=CARTESIAN_POINT('',(1.85,2.04414892056306,9.08225739649124));
#24947=CARTESIAN_POINT('Origin',(2.,2.04414892056306,5.87617723962076));
#24948=CARTESIAN_POINT('',(2.15,2.04414892056306,9.08225739649124));
#24949=CARTESIAN_POINT('Origin',(2.15,1.16247687742368,7.479217318056));
#24950=CARTESIAN_POINT('Origin',(-2.35,1.125,7.73));
#24951=CARTESIAN_POINT('',(1.35,1.07167354886992,7.67667354886992));
#24952=CARTESIAN_POINT('Origin',(1.2,1.2,2.03));
#24953=CARTESIAN_POINT('',(1.05,0.611145558470353,5.66433600630492));
#24954=CARTESIAN_POINT('',(1.05,0.611145558472139,5.6643360063052));
#24955=CARTESIAN_POINT('',(1.35,0.611145558470353,5.66433600630492));
#24956=CARTESIAN_POINT('',(1.2,0.611145558470353,5.66433600630492));
#24957=CARTESIAN_POINT('',(1.35,0.611145558472139,5.6643360063052));
#24958=CARTESIAN_POINT('Origin',(1.2,1.4,0.3));
#24959=CARTESIAN_POINT('',(1.05,1.4,-1.73472347597681E-16));
#24960=CARTESIAN_POINT('Origin',(1.05,1.4,0.3));
#24961=CARTESIAN_POINT('',(1.35,1.4,-1.73472347597681E-16));
#24962=CARTESIAN_POINT('',(1.2,1.4,3.46944695195361E-17));
#24963=CARTESIAN_POINT('Origin',(1.35,1.4,0.3));
#24964=CARTESIAN_POINT('Origin',(1.05,1.31735448252834,3.53145159501059));
#24965=CARTESIAN_POINT('',(1.05,1.4,0.0999999999999999));
#24966=CARTESIAN_POINT('Origin',(1.05,1.4,0.3));
#24967=CARTESIAN_POINT('',(1.05,2.13,0.0999999999999999));
#24968=CARTESIAN_POINT('',(1.05,1.4,0.1));
#24969=CARTESIAN_POINT('',(1.05,2.13,-1.73472347597681E-16));
#24970=CARTESIAN_POINT('',(1.05,2.13,0.1));
#24971=CARTESIAN_POINT('',(1.05,1.4,3.46944695195361E-17));
#24972=CARTESIAN_POINT('Origin',(1.05,1.31735448252834,3.53145159501059));
#24973=CARTESIAN_POINT('',(1.05,0.512432877148789,5.64834205287534));
#24974=CARTESIAN_POINT('',(1.05,0.512432877148789,5.64834205287534));
#24975=CARTESIAN_POINT('',(1.05,0.769188178002336,6.24172491522695));
#24976=CARTESIAN_POINT('Origin',(1.05,1.10470896672645,5.74430577371984));
#24977=CARTESIAN_POINT('',(1.05,1.98660839812384,7.06290319002119));
#24978=CARTESIAN_POINT('',(1.05,0.825108309301508,6.158821725207));
#24979=CARTESIAN_POINT('',(1.05,2.0425285294224,6.98000000000015));
#24980=CARTESIAN_POINT('Origin',(1.05,1.10470896672645,5.74430577371984));
#24981=CARTESIAN_POINT('Origin',(1.35,1.31735448252834,3.53145159501059));
#24982=CARTESIAN_POINT('',(1.35,2.13,-1.73472347597681E-16));
#24983=CARTESIAN_POINT('',(1.35,1.4,3.46944695195361E-17));
#24984=CARTESIAN_POINT('',(1.35,2.13,0.0999999999999999));
#24985=CARTESIAN_POINT('',(1.35,2.13,0.1));
#24986=CARTESIAN_POINT('',(1.35,1.4,0.0999999999999999));
#24987=CARTESIAN_POINT('',(1.35,1.4,0.1));
#24988=CARTESIAN_POINT('Origin',(1.35,1.4,0.3));
#24989=CARTESIAN_POINT('Origin',(1.2,2.0425285294224,6.98000000000015));
#24990=CARTESIAN_POINT('',(1.35,1.98660839812384,7.06290319002119));
#24991=CARTESIAN_POINT('',(1.05,1.98660839812384,7.06290319002119));
#24992=CARTESIAN_POINT('',(1.2,1.98660839812384,7.06290319002119));
#24993=CARTESIAN_POINT('',(1.35,2.0425285294224,6.98000000000015));
#24994=CARTESIAN_POINT('',(1.35,1.78921225798813,7.35554859937688));
#24995=CARTESIAN_POINT('',(1.05,2.0425285294224,6.98000000000015));
#24996=CARTESIAN_POINT('',(1.2,2.0425285294224,6.98000000000015));
#24997=CARTESIAN_POINT('',(1.05,1.78921225798813,7.35554859937688));
#24998=CARTESIAN_POINT('Origin',(1.2,0.825108309301508,6.158821725207));
#24999=CARTESIAN_POINT('',(1.35,1.24710886480248,6.44347092225552));
#25000=CARTESIAN_POINT('',(1.35,0.825108309301508,6.158821725207));
#25001=CARTESIAN_POINT('',(1.35,2.0425285294224,6.98000000000015));
#25002=CARTESIAN_POINT('',(1.2,0.825108309301508,6.158821725207));
#25003=CARTESIAN_POINT('',(1.05,1.24710886480248,6.44347092225552));
#25004=CARTESIAN_POINT('Origin',(1.2,1.10470896672645,5.74430577371984));
#25005=CARTESIAN_POINT('Origin',(1.35,1.10470896672645,5.74430577371984));
#25006=CARTESIAN_POINT('Origin',(1.2,1.4,0.3));
#25007=CARTESIAN_POINT('',(1.2,1.4,0.1));
#25008=CARTESIAN_POINT('Origin',(1.2,2.13,0.1));
#25009=CARTESIAN_POINT('',(1.2,2.13,0.1));
#25010=CARTESIAN_POINT('Origin',(1.2,2.13,0.));
#25011=CARTESIAN_POINT('',(1.2,2.13,0.));
#25012=CARTESIAN_POINT('Origin',(1.2,1.4,3.46944695195361E-17));
#25013=CARTESIAN_POINT('Origin',(1.2,0.512432877148789,5.64834205287534));
#25014=CARTESIAN_POINT('',(1.35,0.512432877148789,5.64834205287534));
#25015=CARTESIAN_POINT('',(1.35,0.512432877148789,5.64834205287534));
#25016=CARTESIAN_POINT('',(1.2,0.512432877148789,5.64834205287534));
#25017=CARTESIAN_POINT('Origin',(1.2,1.10470896672645,5.74430577371984));
#25018=CARTESIAN_POINT('',(1.35,0.769188178002336,6.24172491522695));
#25019=CARTESIAN_POINT('Origin',(1.35,1.10470896672645,5.74430577371984));
#25020=CARTESIAN_POINT('',(1.2,0.769188178002336,6.24172491522695));
#25021=CARTESIAN_POINT('',(1.2,0.769188178002336,6.24172491522695));
#25022=CARTESIAN_POINT('',(1.2,0.769188178002336,6.24172491522695));
#25023=CARTESIAN_POINT('Origin',(1.2,1.98660839812384,7.06290319002119));
#25024=CARTESIAN_POINT('',(1.35,1.98660839812384,7.06290319002119));
#25025=CARTESIAN_POINT('',(1.35,1.79989884356837,6.93696324967319));
#25026=CARTESIAN_POINT('',(1.05,1.79989884356837,6.93696324967319));
#25027=CARTESIAN_POINT('Origin',(1.35,1.31735448252834,3.53145159501059));
#25028=CARTESIAN_POINT('Origin',(1.2,0.280804834284298,5.87617723962076));
#25029=CARTESIAN_POINT('',(1.35,2.04414892056306,5.87617723962076));
#25030=CARTESIAN_POINT('',(1.35,2.04414892056306,5.87617723962076));
#25031=CARTESIAN_POINT('',(1.05,2.04414892056306,5.87617723962076));
#25032=CARTESIAN_POINT('',(1.2,2.04414892056306,5.87617723962076));
#25033=CARTESIAN_POINT('',(1.05,2.04414892056306,5.87617723962076));
#25034=CARTESIAN_POINT('Origin',(1.05,1.16247687742368,7.479217318056));
#25035=CARTESIAN_POINT('',(1.05,1.07167354886992,7.67667354886992));
#25036=CARTESIAN_POINT('',(1.05,2.04414892056306,9.08225739649124));
#25037=CARTESIAN_POINT('Origin',(1.2,2.04414892056306,5.87617723962076));
#25038=CARTESIAN_POINT('',(1.35,2.04414892056306,9.08225739649124));
#25039=CARTESIAN_POINT('Origin',(1.35,1.16247687742368,7.479217318056));
#25040=CARTESIAN_POINT('Origin',(-2.35,1.125,7.73));
#25041=CARTESIAN_POINT('',(0.55,1.07167354886992,7.67667354886992));
#25042=CARTESIAN_POINT('Origin',(0.4,1.2,2.03));
#25043=CARTESIAN_POINT('',(0.25,0.611145558470353,5.66433600630492));
#25044=CARTESIAN_POINT('',(0.25,0.611145558472139,5.6643360063052));
#25045=CARTESIAN_POINT('',(0.55,0.611145558470353,5.66433600630492));
#25046=CARTESIAN_POINT('',(0.4,0.611145558470353,5.66433600630492));
#25047=CARTESIAN_POINT('',(0.55,0.611145558472139,5.6643360063052));
#25048=CARTESIAN_POINT('Origin',(0.4,1.4,0.3));
#25049=CARTESIAN_POINT('',(0.25,1.4,-1.73472347597681E-16));
#25050=CARTESIAN_POINT('Origin',(0.25,1.4,0.3));
#25051=CARTESIAN_POINT('',(0.55,1.4,-1.73472347597681E-16));
#25052=CARTESIAN_POINT('',(0.4,1.4,3.46944695195361E-17));
#25053=CARTESIAN_POINT('Origin',(0.55,1.4,0.3));
#25054=CARTESIAN_POINT('Origin',(0.25,1.31735448252834,3.53145159501059));
#25055=CARTESIAN_POINT('',(0.25,1.4,0.0999999999999999));
#25056=CARTESIAN_POINT('Origin',(0.25,1.4,0.3));
#25057=CARTESIAN_POINT('',(0.25,2.13,0.0999999999999999));
#25058=CARTESIAN_POINT('',(0.25,1.4,0.1));
#25059=CARTESIAN_POINT('',(0.25,2.13,-1.73472347597681E-16));
#25060=CARTESIAN_POINT('',(0.25,2.13,0.1));
#25061=CARTESIAN_POINT('',(0.25,1.4,3.46944695195361E-17));
#25062=CARTESIAN_POINT('Origin',(0.25,1.31735448252834,3.53145159501059));
#25063=CARTESIAN_POINT('',(0.25,0.512432877148789,5.64834205287534));
#25064=CARTESIAN_POINT('',(0.25,0.512432877148789,5.64834205287534));
#25065=CARTESIAN_POINT('',(0.25,0.769188178002336,6.24172491522695));
#25066=CARTESIAN_POINT('Origin',(0.25,1.10470896672645,5.74430577371984));
#25067=CARTESIAN_POINT('',(0.25,1.98660839812384,7.06290319002119));
#25068=CARTESIAN_POINT('',(0.25,0.825108309301508,6.158821725207));
#25069=CARTESIAN_POINT('',(0.25,2.0425285294224,6.98000000000015));
#25070=CARTESIAN_POINT('Origin',(0.25,1.10470896672645,5.74430577371984));
#25071=CARTESIAN_POINT('Origin',(0.55,1.31735448252834,3.53145159501059));
#25072=CARTESIAN_POINT('',(0.55,2.13,-1.73472347597681E-16));
#25073=CARTESIAN_POINT('',(0.55,1.4,3.46944695195361E-17));
#25074=CARTESIAN_POINT('',(0.55,2.13,0.0999999999999999));
#25075=CARTESIAN_POINT('',(0.55,2.13,0.1));
#25076=CARTESIAN_POINT('',(0.55,1.4,0.0999999999999999));
#25077=CARTESIAN_POINT('',(0.55,1.4,0.1));
#25078=CARTESIAN_POINT('Origin',(0.55,1.4,0.3));
#25079=CARTESIAN_POINT('Origin',(0.4,2.0425285294224,6.98000000000015));
#25080=CARTESIAN_POINT('',(0.55,1.98660839812384,7.06290319002119));
#25081=CARTESIAN_POINT('',(0.25,1.98660839812384,7.06290319002119));
#25082=CARTESIAN_POINT('',(0.4,1.98660839812384,7.06290319002119));
#25083=CARTESIAN_POINT('',(0.55,2.0425285294224,6.98000000000015));
#25084=CARTESIAN_POINT('',(0.55,1.78921225798813,7.35554859937688));
#25085=CARTESIAN_POINT('',(0.25,2.0425285294224,6.98000000000015));
#25086=CARTESIAN_POINT('',(0.4,2.0425285294224,6.98000000000015));
#25087=CARTESIAN_POINT('',(0.25,1.78921225798813,7.35554859937688));
#25088=CARTESIAN_POINT('Origin',(0.4,0.825108309301508,6.158821725207));
#25089=CARTESIAN_POINT('',(0.55,1.24710886480248,6.44347092225552));
#25090=CARTESIAN_POINT('',(0.55,0.825108309301508,6.158821725207));
#25091=CARTESIAN_POINT('',(0.55,2.0425285294224,6.98000000000015));
#25092=CARTESIAN_POINT('',(0.4,0.825108309301508,6.158821725207));
#25093=CARTESIAN_POINT('',(0.25,1.24710886480248,6.44347092225552));
#25094=CARTESIAN_POINT('Origin',(0.4,1.10470896672645,5.74430577371984));
#25095=CARTESIAN_POINT('Origin',(0.55,1.10470896672645,5.74430577371984));
#25096=CARTESIAN_POINT('Origin',(0.4,1.4,0.3));
#25097=CARTESIAN_POINT('',(0.4,1.4,0.1));
#25098=CARTESIAN_POINT('Origin',(0.4,2.13,0.1));
#25099=CARTESIAN_POINT('',(0.4,2.13,0.1));
#25100=CARTESIAN_POINT('Origin',(0.4,2.13,0.));
#25101=CARTESIAN_POINT('',(0.4,2.13,0.));
#25102=CARTESIAN_POINT('Origin',(0.4,1.4,3.46944695195361E-17));
#25103=CARTESIAN_POINT('Origin',(0.4,0.512432877148789,5.64834205287534));
#25104=CARTESIAN_POINT('',(0.55,0.512432877148789,5.64834205287534));
#25105=CARTESIAN_POINT('',(0.55,0.512432877148789,5.64834205287534));
#25106=CARTESIAN_POINT('',(0.4,0.512432877148789,5.64834205287534));
#25107=CARTESIAN_POINT('Origin',(0.4,1.10470896672645,5.74430577371984));
#25108=CARTESIAN_POINT('',(0.55,0.769188178002336,6.24172491522695));
#25109=CARTESIAN_POINT('Origin',(0.55,1.10470896672645,5.74430577371984));
#25110=CARTESIAN_POINT('',(0.4,0.769188178002336,6.24172491522695));
#25111=CARTESIAN_POINT('',(0.4,0.769188178002336,6.24172491522695));
#25112=CARTESIAN_POINT('',(0.4,0.769188178002336,6.24172491522695));
#25113=CARTESIAN_POINT('Origin',(0.4,1.98660839812384,7.06290319002119));
#25114=CARTESIAN_POINT('',(0.55,1.98660839812384,7.06290319002119));
#25115=CARTESIAN_POINT('',(0.55,1.79989884356837,6.93696324967319));
#25116=CARTESIAN_POINT('',(0.25,1.79989884356837,6.93696324967319));
#25117=CARTESIAN_POINT('Origin',(0.55,1.31735448252834,3.53145159501059));
#25118=CARTESIAN_POINT('Origin',(0.4,0.280804834284298,5.87617723962076));
#25119=CARTESIAN_POINT('',(0.55,2.04414892056306,5.87617723962076));
#25120=CARTESIAN_POINT('',(0.55,2.04414892056306,5.87617723962076));
#25121=CARTESIAN_POINT('',(0.25,2.04414892056306,5.87617723962076));
#25122=CARTESIAN_POINT('',(0.4,2.04414892056306,5.87617723962076));
#25123=CARTESIAN_POINT('',(0.25,2.04414892056306,5.87617723962076));
#25124=CARTESIAN_POINT('Origin',(0.25,1.16247687742368,7.479217318056));
#25125=CARTESIAN_POINT('',(0.25,1.07167354886992,7.67667354886992));
#25126=CARTESIAN_POINT('',(0.25,2.04414892056306,9.08225739649124));
#25127=CARTESIAN_POINT('Origin',(0.4,2.04414892056306,5.87617723962076));
#25128=CARTESIAN_POINT('',(0.55,2.04414892056306,9.08225739649124));
#25129=CARTESIAN_POINT('Origin',(0.55,1.16247687742368,7.479217318056));
#25130=CARTESIAN_POINT('Origin',(-2.35,1.125,7.73));
#25131=CARTESIAN_POINT('',(-0.25,1.07167354886992,7.67667354886992));
#25132=CARTESIAN_POINT('Origin',(-0.4,1.2,2.03));
#25133=CARTESIAN_POINT('',(-0.55,0.611145558470353,5.66433600630492));
#25134=CARTESIAN_POINT('',(-0.55,0.611145558472139,5.6643360063052));
#25135=CARTESIAN_POINT('',(-0.25,0.611145558470353,5.66433600630492));
#25136=CARTESIAN_POINT('',(-0.4,0.611145558470353,5.66433600630492));
#25137=CARTESIAN_POINT('',(-0.25,0.611145558472139,5.6643360063052));
#25138=CARTESIAN_POINT('Origin',(-0.4,1.4,0.3));
#25139=CARTESIAN_POINT('',(-0.55,1.4,-1.73472347597681E-16));
#25140=CARTESIAN_POINT('Origin',(-0.55,1.4,0.3));
#25141=CARTESIAN_POINT('',(-0.25,1.4,-1.73472347597681E-16));
#25142=CARTESIAN_POINT('',(-0.4,1.4,3.46944695195361E-17));
#25143=CARTESIAN_POINT('Origin',(-0.25,1.4,0.3));
#25144=CARTESIAN_POINT('Origin',(-0.55,1.31735448252834,3.53145159501059));
#25145=CARTESIAN_POINT('',(-0.55,1.4,0.0999999999999999));
#25146=CARTESIAN_POINT('Origin',(-0.55,1.4,0.3));
#25147=CARTESIAN_POINT('',(-0.55,2.13,0.0999999999999999));
#25148=CARTESIAN_POINT('',(-0.55,1.4,0.1));
#25149=CARTESIAN_POINT('',(-0.55,2.13,-1.73472347597681E-16));
#25150=CARTESIAN_POINT('',(-0.55,2.13,0.1));
#25151=CARTESIAN_POINT('',(-0.55,1.4,3.46944695195361E-17));
#25152=CARTESIAN_POINT('Origin',(-0.55,1.31735448252834,3.53145159501059));
#25153=CARTESIAN_POINT('',(-0.55,0.512432877148789,5.64834205287534));
#25154=CARTESIAN_POINT('',(-0.55,0.512432877148789,5.64834205287534));
#25155=CARTESIAN_POINT('',(-0.55,0.769188178002336,6.24172491522695));
#25156=CARTESIAN_POINT('Origin',(-0.55,1.10470896672645,5.74430577371984));
#25157=CARTESIAN_POINT('',(-0.55,1.98660839812384,7.06290319002119));
#25158=CARTESIAN_POINT('',(-0.55,0.825108309301508,6.158821725207));
#25159=CARTESIAN_POINT('',(-0.55,2.0425285294224,6.98000000000015));
#25160=CARTESIAN_POINT('Origin',(-0.55,1.10470896672645,5.74430577371984));
#25161=CARTESIAN_POINT('Origin',(-0.25,1.31735448252834,3.53145159501059));
#25162=CARTESIAN_POINT('',(-0.25,2.13,-1.73472347597681E-16));
#25163=CARTESIAN_POINT('',(-0.25,1.4,3.46944695195361E-17));
#25164=CARTESIAN_POINT('',(-0.25,2.13,0.0999999999999999));
#25165=CARTESIAN_POINT('',(-0.25,2.13,0.1));
#25166=CARTESIAN_POINT('',(-0.25,1.4,0.0999999999999999));
#25167=CARTESIAN_POINT('',(-0.25,1.4,0.1));
#25168=CARTESIAN_POINT('Origin',(-0.25,1.4,0.3));
#25169=CARTESIAN_POINT('Origin',(-0.4,2.0425285294224,6.98000000000015));
#25170=CARTESIAN_POINT('',(-0.25,1.98660839812384,7.06290319002119));
#25171=CARTESIAN_POINT('',(-0.55,1.98660839812384,7.06290319002119));
#25172=CARTESIAN_POINT('',(-0.4,1.98660839812384,7.06290319002119));
#25173=CARTESIAN_POINT('',(-0.25,2.0425285294224,6.98000000000015));
#25174=CARTESIAN_POINT('',(-0.25,1.78921225798813,7.35554859937688));
#25175=CARTESIAN_POINT('',(-0.55,2.0425285294224,6.98000000000015));
#25176=CARTESIAN_POINT('',(-0.4,2.0425285294224,6.98000000000015));
#25177=CARTESIAN_POINT('',(-0.55,1.78921225798813,7.35554859937688));
#25178=CARTESIAN_POINT('Origin',(-0.4,0.825108309301508,6.158821725207));
#25179=CARTESIAN_POINT('',(-0.25,1.24710886480248,6.44347092225552));
#25180=CARTESIAN_POINT('',(-0.25,0.825108309301508,6.158821725207));
#25181=CARTESIAN_POINT('',(-0.25,2.0425285294224,6.98000000000015));
#25182=CARTESIAN_POINT('',(-0.4,0.825108309301508,6.158821725207));
#25183=CARTESIAN_POINT('',(-0.55,1.24710886480248,6.44347092225552));
#25184=CARTESIAN_POINT('Origin',(-0.4,1.10470896672645,5.74430577371984));
#25185=CARTESIAN_POINT('Origin',(-0.25,1.10470896672645,5.74430577371984));
#25186=CARTESIAN_POINT('Origin',(-0.4,1.4,0.3));
#25187=CARTESIAN_POINT('',(-0.4,1.4,0.1));
#25188=CARTESIAN_POINT('Origin',(-0.4,2.13,0.1));
#25189=CARTESIAN_POINT('',(-0.4,2.13,0.1));
#25190=CARTESIAN_POINT('Origin',(-0.4,2.13,0.));
#25191=CARTESIAN_POINT('',(-0.4,2.13,0.));
#25192=CARTESIAN_POINT('Origin',(-0.4,1.4,3.46944695195361E-17));
#25193=CARTESIAN_POINT('Origin',(-0.4,0.512432877148789,5.64834205287534));
#25194=CARTESIAN_POINT('',(-0.25,0.512432877148789,5.64834205287534));
#25195=CARTESIAN_POINT('',(-0.25,0.512432877148789,5.64834205287534));
#25196=CARTESIAN_POINT('',(-0.4,0.512432877148789,5.64834205287534));
#25197=CARTESIAN_POINT('Origin',(-0.4,1.10470896672645,5.74430577371984));
#25198=CARTESIAN_POINT('',(-0.25,0.769188178002336,6.24172491522695));
#25199=CARTESIAN_POINT('Origin',(-0.25,1.10470896672645,5.74430577371984));
#25200=CARTESIAN_POINT('',(-0.4,0.769188178002336,6.24172491522695));
#25201=CARTESIAN_POINT('',(-0.4,0.769188178002336,6.24172491522695));
#25202=CARTESIAN_POINT('',(-0.4,0.769188178002336,6.24172491522695));
#25203=CARTESIAN_POINT('Origin',(-0.4,1.98660839812384,7.06290319002119));
#25204=CARTESIAN_POINT('',(-0.25,1.98660839812384,7.06290319002119));
#25205=CARTESIAN_POINT('',(-0.25,1.79989884356837,6.93696324967319));
#25206=CARTESIAN_POINT('',(-0.55,1.79989884356837,6.93696324967319));
#25207=CARTESIAN_POINT('Origin',(-0.25,1.31735448252834,3.53145159501059));
#25208=CARTESIAN_POINT('Origin',(-0.4,0.280804834284298,5.87617723962076));
#25209=CARTESIAN_POINT('',(-0.25,2.04414892056306,5.87617723962076));
#25210=CARTESIAN_POINT('',(-0.25,2.04414892056306,5.87617723962076));
#25211=CARTESIAN_POINT('',(-0.55,2.04414892056306,5.87617723962076));
#25212=CARTESIAN_POINT('',(-0.4,2.04414892056306,5.87617723962076));
#25213=CARTESIAN_POINT('',(-0.55,2.04414892056306,5.87617723962076));
#25214=CARTESIAN_POINT('Origin',(-0.55,1.16247687742368,7.479217318056));
#25215=CARTESIAN_POINT('',(-0.55,1.07167354886992,7.67667354886992));
#25216=CARTESIAN_POINT('',(-0.55,2.04414892056306,9.08225739649124));
#25217=CARTESIAN_POINT('Origin',(-0.4,2.04414892056306,5.87617723962076));
#25218=CARTESIAN_POINT('',(-0.25,2.04414892056306,9.08225739649124));
#25219=CARTESIAN_POINT('Origin',(-0.25,1.16247687742368,7.479217318056));
#25220=CARTESIAN_POINT('Origin',(-2.35,1.125,7.73));
#25221=CARTESIAN_POINT('',(-1.05,1.07167354886992,7.67667354886992));
#25222=CARTESIAN_POINT('Origin',(-1.2,1.2,2.03));
#25223=CARTESIAN_POINT('',(-1.35,0.611145558470353,5.66433600630492));
#25224=CARTESIAN_POINT('',(-1.35,0.611145558472139,5.6643360063052));
#25225=CARTESIAN_POINT('',(-1.05,0.611145558470353,5.66433600630492));
#25226=CARTESIAN_POINT('',(-1.2,0.611145558470353,5.66433600630492));
#25227=CARTESIAN_POINT('',(-1.05,0.611145558472139,5.6643360063052));
#25228=CARTESIAN_POINT('Origin',(-1.2,1.4,0.3));
#25229=CARTESIAN_POINT('',(-1.35,1.4,-1.73472347597681E-16));
#25230=CARTESIAN_POINT('Origin',(-1.35,1.4,0.3));
#25231=CARTESIAN_POINT('',(-1.05,1.4,-1.73472347597681E-16));
#25232=CARTESIAN_POINT('',(-1.2,1.4,3.46944695195361E-17));
#25233=CARTESIAN_POINT('Origin',(-1.05,1.4,0.3));
#25234=CARTESIAN_POINT('Origin',(-1.35,1.31735448252834,3.53145159501059));
#25235=CARTESIAN_POINT('',(-1.35,1.4,0.0999999999999999));
#25236=CARTESIAN_POINT('Origin',(-1.35,1.4,0.3));
#25237=CARTESIAN_POINT('',(-1.35,2.13,0.0999999999999999));
#25238=CARTESIAN_POINT('',(-1.35,1.4,0.1));
#25239=CARTESIAN_POINT('',(-1.35,2.13,-1.73472347597681E-16));
#25240=CARTESIAN_POINT('',(-1.35,2.13,0.1));
#25241=CARTESIAN_POINT('',(-1.35,1.4,3.46944695195361E-17));
#25242=CARTESIAN_POINT('Origin',(-1.35,1.31735448252834,3.53145159501059));
#25243=CARTESIAN_POINT('',(-1.35,0.512432877148789,5.64834205287534));
#25244=CARTESIAN_POINT('',(-1.35,0.512432877148789,5.64834205287534));
#25245=CARTESIAN_POINT('',(-1.35,0.769188178002336,6.24172491522695));
#25246=CARTESIAN_POINT('Origin',(-1.35,1.10470896672645,5.74430577371984));
#25247=CARTESIAN_POINT('',(-1.35,1.98660839812384,7.06290319002119));
#25248=CARTESIAN_POINT('',(-1.35,0.825108309301508,6.158821725207));
#25249=CARTESIAN_POINT('',(-1.35,2.0425285294224,6.98000000000015));
#25250=CARTESIAN_POINT('Origin',(-1.35,1.10470896672645,5.74430577371984));
#25251=CARTESIAN_POINT('Origin',(-1.05,1.31735448252834,3.53145159501059));
#25252=CARTESIAN_POINT('',(-1.05,2.13,-1.73472347597681E-16));
#25253=CARTESIAN_POINT('',(-1.05,1.4,3.46944695195361E-17));
#25254=CARTESIAN_POINT('',(-1.05,2.13,0.0999999999999999));
#25255=CARTESIAN_POINT('',(-1.05,2.13,0.1));
#25256=CARTESIAN_POINT('',(-1.05,1.4,0.0999999999999999));
#25257=CARTESIAN_POINT('',(-1.05,1.4,0.1));
#25258=CARTESIAN_POINT('Origin',(-1.05,1.4,0.3));
#25259=CARTESIAN_POINT('Origin',(-1.2,2.0425285294224,6.98000000000015));
#25260=CARTESIAN_POINT('',(-1.05,1.98660839812384,7.06290319002119));
#25261=CARTESIAN_POINT('',(-1.35,1.98660839812384,7.06290319002119));
#25262=CARTESIAN_POINT('',(-1.2,1.98660839812384,7.06290319002119));
#25263=CARTESIAN_POINT('',(-1.05,2.0425285294224,6.98000000000015));
#25264=CARTESIAN_POINT('',(-1.05,1.78921225798813,7.35554859937688));
#25265=CARTESIAN_POINT('',(-1.35,2.0425285294224,6.98000000000015));
#25266=CARTESIAN_POINT('',(-1.2,2.0425285294224,6.98000000000015));
#25267=CARTESIAN_POINT('',(-1.35,1.78921225798813,7.35554859937688));
#25268=CARTESIAN_POINT('Origin',(-1.2,0.825108309301508,6.158821725207));
#25269=CARTESIAN_POINT('',(-1.05,1.24710886480248,6.44347092225552));
#25270=CARTESIAN_POINT('',(-1.05,0.825108309301508,6.158821725207));
#25271=CARTESIAN_POINT('',(-1.05,2.0425285294224,6.98000000000015));
#25272=CARTESIAN_POINT('',(-1.2,0.825108309301508,6.158821725207));
#25273=CARTESIAN_POINT('',(-1.35,1.24710886480248,6.44347092225552));
#25274=CARTESIAN_POINT('Origin',(-1.2,1.10470896672645,5.74430577371984));
#25275=CARTESIAN_POINT('Origin',(-1.05,1.10470896672645,5.74430577371984));
#25276=CARTESIAN_POINT('Origin',(-1.2,1.4,0.3));
#25277=CARTESIAN_POINT('',(-1.2,1.4,0.1));
#25278=CARTESIAN_POINT('Origin',(-1.2,2.13,0.1));
#25279=CARTESIAN_POINT('',(-1.2,2.13,0.1));
#25280=CARTESIAN_POINT('Origin',(-1.2,2.13,0.));
#25281=CARTESIAN_POINT('',(-1.2,2.13,0.));
#25282=CARTESIAN_POINT('Origin',(-1.2,1.4,3.46944695195361E-17));
#25283=CARTESIAN_POINT('Origin',(-1.2,0.512432877148789,5.64834205287534));
#25284=CARTESIAN_POINT('',(-1.05,0.512432877148789,5.64834205287534));
#25285=CARTESIAN_POINT('',(-1.05,0.512432877148789,5.64834205287534));
#25286=CARTESIAN_POINT('',(-1.2,0.512432877148789,5.64834205287534));
#25287=CARTESIAN_POINT('Origin',(-1.2,1.10470896672645,5.74430577371984));
#25288=CARTESIAN_POINT('',(-1.05,0.769188178002336,6.24172491522695));
#25289=CARTESIAN_POINT('Origin',(-1.05,1.10470896672645,5.74430577371984));
#25290=CARTESIAN_POINT('',(-1.2,0.769188178002336,6.24172491522695));
#25291=CARTESIAN_POINT('',(-1.2,0.769188178002336,6.24172491522695));
#25292=CARTESIAN_POINT('',(-1.2,0.769188178002336,6.24172491522695));
#25293=CARTESIAN_POINT('Origin',(-1.2,1.98660839812384,7.06290319002119));
#25294=CARTESIAN_POINT('',(-1.05,1.98660839812384,7.06290319002119));
#25295=CARTESIAN_POINT('',(-1.05,1.79989884356837,6.93696324967319));
#25296=CARTESIAN_POINT('',(-1.35,1.79989884356837,6.93696324967319));
#25297=CARTESIAN_POINT('Origin',(-1.05,1.31735448252834,3.53145159501059));
#25298=CARTESIAN_POINT('Origin',(-1.2,0.280804834284298,5.87617723962076));
#25299=CARTESIAN_POINT('',(-1.05,2.04414892056306,5.87617723962076));
#25300=CARTESIAN_POINT('',(-1.05,2.04414892056306,5.87617723962076));
#25301=CARTESIAN_POINT('',(-1.35,2.04414892056306,5.87617723962076));
#25302=CARTESIAN_POINT('',(-1.2,2.04414892056306,5.87617723962076));
#25303=CARTESIAN_POINT('',(-1.35,2.04414892056306,5.87617723962076));
#25304=CARTESIAN_POINT('Origin',(-1.35,1.16247687742368,7.479217318056));
#25305=CARTESIAN_POINT('',(-1.35,1.07167354886992,7.67667354886992));
#25306=CARTESIAN_POINT('',(-1.35,2.04414892056306,9.08225739649124));
#25307=CARTESIAN_POINT('Origin',(-1.2,2.04414892056306,5.87617723962076));
#25308=CARTESIAN_POINT('',(-1.05,2.04414892056306,9.08225739649124));
#25309=CARTESIAN_POINT('Origin',(-1.05,1.16247687742368,7.479217318056));
#25310=CARTESIAN_POINT('Origin',(-2.35,1.125,7.73));
#25311=CARTESIAN_POINT('',(-1.85,1.07167354886992,7.67667354886992));
#25312=CARTESIAN_POINT('Origin',(-2.,1.2,2.03));
#25313=CARTESIAN_POINT('',(-2.15,0.611145558470353,5.66433600630492));
#25314=CARTESIAN_POINT('',(-2.15,0.611145558472139,5.6643360063052));
#25315=CARTESIAN_POINT('',(-1.85,0.611145558470353,5.66433600630492));
#25316=CARTESIAN_POINT('',(-2.,0.611145558470353,5.66433600630492));
#25317=CARTESIAN_POINT('',(-1.85,0.611145558472139,5.6643360063052));
#25318=CARTESIAN_POINT('Origin',(-2.,1.4,0.3));
#25319=CARTESIAN_POINT('',(-2.15,1.4,-1.73472347597681E-16));
#25320=CARTESIAN_POINT('Origin',(-2.15,1.4,0.3));
#25321=CARTESIAN_POINT('',(-1.85,1.4,-1.73472347597681E-16));
#25322=CARTESIAN_POINT('',(-2.,1.4,3.46944695195361E-17));
#25323=CARTESIAN_POINT('Origin',(-1.85,1.4,0.3));
#25324=CARTESIAN_POINT('Origin',(-2.15,1.31735448252834,3.53145159501059));
#25325=CARTESIAN_POINT('',(-2.15,1.4,0.0999999999999999));
#25326=CARTESIAN_POINT('Origin',(-2.15,1.4,0.3));
#25327=CARTESIAN_POINT('',(-2.15,2.13,0.0999999999999999));
#25328=CARTESIAN_POINT('',(-2.15,1.4,0.1));
#25329=CARTESIAN_POINT('',(-2.15,2.13,-1.73472347597681E-16));
#25330=CARTESIAN_POINT('',(-2.15,2.13,0.1));
#25331=CARTESIAN_POINT('',(-2.15,1.4,3.46944695195361E-17));
#25332=CARTESIAN_POINT('Origin',(-2.15,1.31735448252834,3.53145159501059));
#25333=CARTESIAN_POINT('',(-2.15,0.512432877148789,5.64834205287534));
#25334=CARTESIAN_POINT('',(-2.15,0.512432877148789,5.64834205287534));
#25335=CARTESIAN_POINT('',(-2.15,0.769188178002336,6.24172491522695));
#25336=CARTESIAN_POINT('Origin',(-2.15,1.10470896672645,5.74430577371984));
#25337=CARTESIAN_POINT('',(-2.15,1.98660839812384,7.06290319002119));
#25338=CARTESIAN_POINT('',(-2.15,0.825108309301508,6.158821725207));
#25339=CARTESIAN_POINT('',(-2.15,2.0425285294224,6.98000000000015));
#25340=CARTESIAN_POINT('Origin',(-2.15,1.10470896672645,5.74430577371984));
#25341=CARTESIAN_POINT('Origin',(-1.85,1.31735448252834,3.53145159501059));
#25342=CARTESIAN_POINT('',(-1.85,2.13,-1.73472347597681E-16));
#25343=CARTESIAN_POINT('',(-1.85,1.4,3.46944695195361E-17));
#25344=CARTESIAN_POINT('',(-1.85,2.13,0.0999999999999999));
#25345=CARTESIAN_POINT('',(-1.85,2.13,0.1));
#25346=CARTESIAN_POINT('',(-1.85,1.4,0.0999999999999999));
#25347=CARTESIAN_POINT('',(-1.85,1.4,0.1));
#25348=CARTESIAN_POINT('Origin',(-1.85,1.4,0.3));
#25349=CARTESIAN_POINT('Origin',(-2.,2.0425285294224,6.98000000000015));
#25350=CARTESIAN_POINT('',(-1.85,1.98660839812384,7.06290319002119));
#25351=CARTESIAN_POINT('',(-2.15,1.98660839812384,7.06290319002119));
#25352=CARTESIAN_POINT('',(-2.,1.98660839812384,7.06290319002119));
#25353=CARTESIAN_POINT('',(-1.85,2.0425285294224,6.98000000000015));
#25354=CARTESIAN_POINT('',(-1.85,1.78921225798813,7.35554859937688));
#25355=CARTESIAN_POINT('',(-2.15,2.0425285294224,6.98000000000015));
#25356=CARTESIAN_POINT('',(-2.,2.0425285294224,6.98000000000015));
#25357=CARTESIAN_POINT('',(-2.15,1.78921225798813,7.35554859937688));
#25358=CARTESIAN_POINT('Origin',(-2.,0.825108309301508,6.158821725207));
#25359=CARTESIAN_POINT('',(-1.85,1.24710886480248,6.44347092225552));
#25360=CARTESIAN_POINT('',(-1.85,0.825108309301508,6.158821725207));
#25361=CARTESIAN_POINT('',(-1.85,2.0425285294224,6.98000000000015));
#25362=CARTESIAN_POINT('',(-2.,0.825108309301508,6.158821725207));
#25363=CARTESIAN_POINT('',(-2.15,1.24710886480248,6.44347092225552));
#25364=CARTESIAN_POINT('Origin',(-2.,1.10470896672645,5.74430577371984));
#25365=CARTESIAN_POINT('Origin',(-1.85,1.10470896672645,5.74430577371984));
#25366=CARTESIAN_POINT('Origin',(-2.,1.4,0.3));
#25367=CARTESIAN_POINT('',(-2.,1.4,0.1));
#25368=CARTESIAN_POINT('Origin',(-2.,2.13,0.1));
#25369=CARTESIAN_POINT('',(-2.,2.13,0.1));
#25370=CARTESIAN_POINT('Origin',(-2.,2.13,0.));
#25371=CARTESIAN_POINT('',(-2.,2.13,0.));
#25372=CARTESIAN_POINT('Origin',(-2.,1.4,3.46944695195361E-17));
#25373=CARTESIAN_POINT('Origin',(-2.,0.512432877148789,5.64834205287534));
#25374=CARTESIAN_POINT('',(-1.85,0.512432877148789,5.64834205287534));
#25375=CARTESIAN_POINT('',(-1.85,0.512432877148789,5.64834205287534));
#25376=CARTESIAN_POINT('',(-2.,0.512432877148789,5.64834205287534));
#25377=CARTESIAN_POINT('Origin',(-2.,1.10470896672645,5.74430577371984));
#25378=CARTESIAN_POINT('',(-1.85,0.769188178002336,6.24172491522695));
#25379=CARTESIAN_POINT('Origin',(-1.85,1.10470896672645,5.74430577371984));
#25380=CARTESIAN_POINT('',(-2.,0.769188178002336,6.24172491522695));
#25381=CARTESIAN_POINT('',(-2.,0.769188178002336,6.24172491522695));
#25382=CARTESIAN_POINT('',(-2.,0.769188178002336,6.24172491522695));
#25383=CARTESIAN_POINT('Origin',(-2.,1.98660839812384,7.06290319002119));
#25384=CARTESIAN_POINT('',(-1.85,1.98660839812384,7.06290319002119));
#25385=CARTESIAN_POINT('',(-1.85,1.79989884356837,6.93696324967319));
#25386=CARTESIAN_POINT('',(-2.15,1.79989884356837,6.93696324967319));
#25387=CARTESIAN_POINT('Origin',(-1.85,1.31735448252834,3.53145159501059));
#25388=CARTESIAN_POINT('Origin',(-2.,0.280804834284298,5.87617723962076));
#25389=CARTESIAN_POINT('',(-1.85,2.04414892056306,5.87617723962076));
#25390=CARTESIAN_POINT('',(-1.85,2.04414892056306,5.87617723962076));
#25391=CARTESIAN_POINT('',(-2.15,2.04414892056306,5.87617723962076));
#25392=CARTESIAN_POINT('',(-2.,2.04414892056306,5.87617723962076));
#25393=CARTESIAN_POINT('',(-2.15,2.04414892056306,5.87617723962076));
#25394=CARTESIAN_POINT('Origin',(-2.15,1.16247687742368,7.479217318056));
#25395=CARTESIAN_POINT('',(-2.15,1.07167354886992,7.67667354886992));
#25396=CARTESIAN_POINT('',(-2.15,2.04414892056306,9.08225739649124));
#25397=CARTESIAN_POINT('Origin',(-2.,2.04414892056306,5.87617723962076));
#25398=CARTESIAN_POINT('',(-1.85,2.04414892056306,9.08225739649124));
#25399=CARTESIAN_POINT('Origin',(-1.85,1.16247687742368,7.479217318056));
#25400=CARTESIAN_POINT('Origin',(-2.35,1.125,7.73));
#25401=CARTESIAN_POINT('',(-2.65,1.07167354886992,7.67667354886992));
#25402=CARTESIAN_POINT('Origin',(-2.8,1.2,2.03));
#25403=CARTESIAN_POINT('',(-2.95,0.611145558470353,5.66433600630492));
#25404=CARTESIAN_POINT('',(-2.95,0.611145558472139,5.6643360063052));
#25405=CARTESIAN_POINT('',(-2.65,0.611145558470353,5.66433600630492));
#25406=CARTESIAN_POINT('',(-2.8,0.611145558470353,5.66433600630492));
#25407=CARTESIAN_POINT('',(-2.65,0.611145558472139,5.6643360063052));
#25408=CARTESIAN_POINT('Origin',(-2.8,1.4,0.3));
#25409=CARTESIAN_POINT('',(-2.95,1.4,-1.73472347597681E-16));
#25410=CARTESIAN_POINT('Origin',(-2.95,1.4,0.3));
#25411=CARTESIAN_POINT('',(-2.65,1.4,-1.73472347597681E-16));
#25412=CARTESIAN_POINT('',(-2.8,1.4,3.46944695195361E-17));
#25413=CARTESIAN_POINT('Origin',(-2.65,1.4,0.3));
#25414=CARTESIAN_POINT('Origin',(-2.95,1.31735448252834,3.53145159501059));
#25415=CARTESIAN_POINT('',(-2.95,1.4,0.0999999999999999));
#25416=CARTESIAN_POINT('Origin',(-2.95,1.4,0.3));
#25417=CARTESIAN_POINT('',(-2.95,2.13,0.0999999999999999));
#25418=CARTESIAN_POINT('',(-2.95,1.4,0.1));
#25419=CARTESIAN_POINT('',(-2.95,2.13,-1.73472347597681E-16));
#25420=CARTESIAN_POINT('',(-2.95,2.13,0.1));
#25421=CARTESIAN_POINT('',(-2.95,1.4,3.46944695195361E-17));
#25422=CARTESIAN_POINT('Origin',(-2.95,1.31735448252834,3.53145159501059));
#25423=CARTESIAN_POINT('',(-2.95,0.512432877148789,5.64834205287534));
#25424=CARTESIAN_POINT('',(-2.95,0.512432877148789,5.64834205287534));
#25425=CARTESIAN_POINT('',(-2.95,0.769188178002336,6.24172491522695));
#25426=CARTESIAN_POINT('Origin',(-2.95,1.10470896672645,5.74430577371984));
#25427=CARTESIAN_POINT('',(-2.95,1.98660839812384,7.06290319002119));
#25428=CARTESIAN_POINT('',(-2.95,0.825108309301508,6.158821725207));
#25429=CARTESIAN_POINT('',(-2.95,2.0425285294224,6.98000000000015));
#25430=CARTESIAN_POINT('Origin',(-2.95,1.10470896672645,5.74430577371984));
#25431=CARTESIAN_POINT('Origin',(-2.65,1.31735448252834,3.53145159501059));
#25432=CARTESIAN_POINT('',(-2.65,2.13,-1.73472347597681E-16));
#25433=CARTESIAN_POINT('',(-2.65,1.4,3.46944695195361E-17));
#25434=CARTESIAN_POINT('',(-2.65,2.13,0.0999999999999999));
#25435=CARTESIAN_POINT('',(-2.65,2.13,0.1));
#25436=CARTESIAN_POINT('',(-2.65,1.4,0.0999999999999999));
#25437=CARTESIAN_POINT('',(-2.65,1.4,0.1));
#25438=CARTESIAN_POINT('Origin',(-2.65,1.4,0.3));
#25439=CARTESIAN_POINT('Origin',(-2.8,2.0425285294224,6.98000000000015));
#25440=CARTESIAN_POINT('',(-2.65,1.98660839812384,7.06290319002119));
#25441=CARTESIAN_POINT('',(-2.95,1.98660839812384,7.06290319002119));
#25442=CARTESIAN_POINT('',(-2.8,1.98660839812384,7.06290319002119));
#25443=CARTESIAN_POINT('',(-2.65,2.0425285294224,6.98000000000015));
#25444=CARTESIAN_POINT('',(-2.65,1.78921225798813,7.35554859937688));
#25445=CARTESIAN_POINT('',(-2.95,2.0425285294224,6.98000000000015));
#25446=CARTESIAN_POINT('',(-2.8,2.0425285294224,6.98000000000015));
#25447=CARTESIAN_POINT('',(-2.95,1.78921225798813,7.35554859937688));
#25448=CARTESIAN_POINT('Origin',(-2.8,0.825108309301508,6.158821725207));
#25449=CARTESIAN_POINT('',(-2.65,1.24710886480248,6.44347092225552));
#25450=CARTESIAN_POINT('',(-2.65,0.825108309301508,6.158821725207));
#25451=CARTESIAN_POINT('',(-2.65,2.0425285294224,6.98000000000015));
#25452=CARTESIAN_POINT('',(-2.8,0.825108309301508,6.158821725207));
#25453=CARTESIAN_POINT('',(-2.95,1.24710886480248,6.44347092225552));
#25454=CARTESIAN_POINT('Origin',(-2.8,1.10470896672645,5.74430577371984));
#25455=CARTESIAN_POINT('Origin',(-2.65,1.10470896672645,5.74430577371984));
#25456=CARTESIAN_POINT('Origin',(-2.8,1.4,0.3));
#25457=CARTESIAN_POINT('',(-2.8,1.4,0.1));
#25458=CARTESIAN_POINT('Origin',(-2.8,2.13,0.1));
#25459=CARTESIAN_POINT('',(-2.8,2.13,0.1));
#25460=CARTESIAN_POINT('Origin',(-2.8,2.13,0.));
#25461=CARTESIAN_POINT('',(-2.8,2.13,0.));
#25462=CARTESIAN_POINT('Origin',(-2.8,1.4,3.46944695195361E-17));
#25463=CARTESIAN_POINT('Origin',(-2.8,0.512432877148789,5.64834205287534));
#25464=CARTESIAN_POINT('',(-2.65,0.512432877148789,5.64834205287534));
#25465=CARTESIAN_POINT('',(-2.65,0.512432877148789,5.64834205287534));
#25466=CARTESIAN_POINT('',(-2.8,0.512432877148789,5.64834205287534));
#25467=CARTESIAN_POINT('Origin',(-2.8,1.10470896672645,5.74430577371984));
#25468=CARTESIAN_POINT('',(-2.65,0.769188178002336,6.24172491522695));
#25469=CARTESIAN_POINT('Origin',(-2.65,1.10470896672645,5.74430577371984));
#25470=CARTESIAN_POINT('',(-2.8,0.769188178002336,6.24172491522695));
#25471=CARTESIAN_POINT('',(-2.8,0.769188178002336,6.24172491522695));
#25472=CARTESIAN_POINT('',(-2.8,0.769188178002336,6.24172491522695));
#25473=CARTESIAN_POINT('Origin',(-2.8,1.98660839812384,7.06290319002119));
#25474=CARTESIAN_POINT('',(-2.65,1.98660839812384,7.06290319002119));
#25475=CARTESIAN_POINT('',(-2.65,1.79989884356837,6.93696324967319));
#25476=CARTESIAN_POINT('',(-2.95,1.79989884356837,6.93696324967319));
#25477=CARTESIAN_POINT('Origin',(-2.65,1.31735448252834,3.53145159501059));
#25478=CARTESIAN_POINT('Origin',(-2.8,0.280804834284298,5.87617723962076));
#25479=CARTESIAN_POINT('',(-2.65,2.04414892056306,5.87617723962076));
#25480=CARTESIAN_POINT('',(-2.65,2.04414892056306,5.87617723962076));
#25481=CARTESIAN_POINT('',(-2.95,2.04414892056306,5.87617723962076));
#25482=CARTESIAN_POINT('',(-2.8,2.04414892056306,5.87617723962076));
#25483=CARTESIAN_POINT('',(-2.95,2.04414892056306,5.87617723962076));
#25484=CARTESIAN_POINT('Origin',(-2.95,1.16247687742368,7.479217318056));
#25485=CARTESIAN_POINT('',(-2.95,1.07167354886992,7.67667354886992));
#25486=CARTESIAN_POINT('',(-2.95,2.04414892056306,9.08225739649124));
#25487=CARTESIAN_POINT('Origin',(-2.8,2.04414892056306,5.87617723962076));
#25488=CARTESIAN_POINT('',(-2.65,2.04414892056306,9.08225739649124));
#25489=CARTESIAN_POINT('Origin',(-2.65,1.16247687742368,7.479217318056));
#25490=CARTESIAN_POINT('Origin',(-2.35,1.125,7.73));
#25491=CARTESIAN_POINT('',(-3.45,1.07167354886992,7.67667354886992));
#25492=CARTESIAN_POINT('Origin',(-3.6,1.4,0.3));
#25493=CARTESIAN_POINT('',(-3.75,1.4,-1.73472347597681E-16));
#25494=CARTESIAN_POINT('Origin',(-3.75,1.4,0.3));
#25495=CARTESIAN_POINT('',(-3.45,1.4,-1.73472347597681E-16));
#25496=CARTESIAN_POINT('',(-3.6,1.4,3.46944695195361E-17));
#25497=CARTESIAN_POINT('Origin',(-3.45,1.4,0.3));
#25498=CARTESIAN_POINT('Origin',(-3.6,1.2,2.03));
#25499=CARTESIAN_POINT('',(-3.75,0.611145558470353,5.66433600630492));
#25500=CARTESIAN_POINT('',(-3.75,0.611145558472139,5.6643360063052));
#25501=CARTESIAN_POINT('',(-3.45,0.611145558470353,5.66433600630492));
#25502=CARTESIAN_POINT('',(-3.6,0.611145558470353,5.66433600630492));
#25503=CARTESIAN_POINT('',(-3.45,0.611145558472139,5.6643360063052));
#25504=CARTESIAN_POINT('Origin',(-3.75,1.31735448252834,3.53145159501059));
#25505=CARTESIAN_POINT('',(-3.75,0.512432877148789,5.64834205287534));
#25506=CARTESIAN_POINT('',(-3.75,0.512432877148789,5.64834205287534));
#25507=CARTESIAN_POINT('',(-3.75,0.769188178002336,6.24172491522695));
#25508=CARTESIAN_POINT('Origin',(-3.75,1.10470896672645,5.74430577371984));
#25509=CARTESIAN_POINT('',(-3.75,1.98660839812384,7.06290319002119));
#25510=CARTESIAN_POINT('',(-3.75,0.825108309301508,6.158821725207));
#25511=CARTESIAN_POINT('',(-3.75,2.0425285294224,6.98000000000015));
#25512=CARTESIAN_POINT('Origin',(-3.75,1.10470896672645,5.74430577371984));
#25513=CARTESIAN_POINT('Origin',(-3.75,1.31735448252834,3.53145159501059));
#25514=CARTESIAN_POINT('',(-3.75,1.4,0.0999999999999999));
#25515=CARTESIAN_POINT('Origin',(-3.75,1.4,0.3));
#25516=CARTESIAN_POINT('',(-3.75,2.13,0.0999999999999999));
#25517=CARTESIAN_POINT('',(-3.75,1.4,0.1));
#25518=CARTESIAN_POINT('',(-3.75,2.13,-1.73472347597681E-16));
#25519=CARTESIAN_POINT('',(-3.75,2.13,0.1));
#25520=CARTESIAN_POINT('',(-3.75,1.4,3.46944695195361E-17));
#25521=CARTESIAN_POINT('Origin',(-3.45,1.31735448252834,3.53145159501059));
#25522=CARTESIAN_POINT('',(-3.45,0.825108309301508,6.158821725207));
#25523=CARTESIAN_POINT('Origin',(-3.45,1.10470896672645,5.74430577371984));
#25524=CARTESIAN_POINT('',(-3.45,2.0425285294224,6.98000000000015));
#25525=CARTESIAN_POINT('',(-3.45,0.769188178002336,6.24172491522695));
#25526=CARTESIAN_POINT('',(-3.45,1.98660839812384,7.06290319002119));
#25527=CARTESIAN_POINT('',(-3.45,0.512432877148789,5.64834205287534));
#25528=CARTESIAN_POINT('Origin',(-3.45,1.10470896672645,5.74430577371984));
#25529=CARTESIAN_POINT('',(-3.45,0.512432877148789,5.64834205287534));
#25530=CARTESIAN_POINT('Origin',(-3.6,2.0425285294224,6.98000000000015));
#25531=CARTESIAN_POINT('',(-3.45,1.98660839812384,7.06290319002119));
#25532=CARTESIAN_POINT('',(-3.75,1.98660839812384,7.06290319002119));
#25533=CARTESIAN_POINT('',(-3.6,1.98660839812384,7.06290319002119));
#25534=CARTESIAN_POINT('',(-3.45,2.0425285294224,6.98000000000015));
#25535=CARTESIAN_POINT('',(-3.45,1.78921225798813,7.35554859937688));
#25536=CARTESIAN_POINT('',(-3.75,2.0425285294224,6.98000000000015));
#25537=CARTESIAN_POINT('',(-3.6,2.0425285294224,6.98000000000015));
#25538=CARTESIAN_POINT('',(-3.75,1.78921225798813,7.35554859937688));
#25539=CARTESIAN_POINT('Origin',(-3.6,0.825108309301508,6.158821725207));
#25540=CARTESIAN_POINT('',(-3.45,1.24710886480248,6.44347092225552));
#25541=CARTESIAN_POINT('',(-3.6,0.825108309301508,6.158821725207));
#25542=CARTESIAN_POINT('',(-3.75,1.24710886480248,6.44347092225552));
#25543=CARTESIAN_POINT('Origin',(-3.6,1.10470896672645,5.74430577371984));
#25544=CARTESIAN_POINT('Origin',(-3.6,1.4,0.3));
#25545=CARTESIAN_POINT('',(-3.45,1.4,0.0999999999999999));
#25546=CARTESIAN_POINT('Origin',(-3.45,1.4,0.3));
#25547=CARTESIAN_POINT('',(-3.6,1.4,0.1));
#25548=CARTESIAN_POINT('Origin',(-3.6,2.13,0.1));
#25549=CARTESIAN_POINT('',(-3.45,2.13,0.0999999999999999));
#25550=CARTESIAN_POINT('',(-3.45,1.4,0.1));
#25551=CARTESIAN_POINT('',(-3.6,2.13,0.1));
#25552=CARTESIAN_POINT('Origin',(-3.6,2.13,0.));
#25553=CARTESIAN_POINT('',(-3.45,2.13,-1.73472347597681E-16));
#25554=CARTESIAN_POINT('',(-3.45,2.13,0.1));
#25555=CARTESIAN_POINT('',(-3.6,2.13,0.));
#25556=CARTESIAN_POINT('Origin',(-3.6,1.4,3.46944695195361E-17));
#25557=CARTESIAN_POINT('',(-3.45,1.4,3.46944695195361E-17));
#25558=CARTESIAN_POINT('Origin',(-3.6,0.512432877148789,5.64834205287534));
#25559=CARTESIAN_POINT('',(-3.6,0.512432877148789,5.64834205287534));
#25560=CARTESIAN_POINT('Origin',(-3.6,1.10470896672645,5.74430577371984));
#25561=CARTESIAN_POINT('',(-3.6,0.769188178002336,6.24172491522695));
#25562=CARTESIAN_POINT('',(-3.6,0.769188178002336,6.24172491522695));
#25563=CARTESIAN_POINT('',(-3.6,0.769188178002336,6.24172491522695));
#25564=CARTESIAN_POINT('Origin',(-3.6,1.98660839812384,7.06290319002119));
#25565=CARTESIAN_POINT('',(-3.45,1.79989884356837,6.93696324967319));
#25566=CARTESIAN_POINT('',(-3.75,1.79989884356837,6.93696324967319));
#25567=CARTESIAN_POINT('Origin',(-3.45,1.31735448252834,3.53145159501059));
#25568=CARTESIAN_POINT('Origin',(-3.75,1.16247687742368,7.479217318056));
#25569=CARTESIAN_POINT('',(-3.75,1.07167354886992,7.67667354886992));
#25570=CARTESIAN_POINT('',(-3.75,2.04414892056306,5.87617723962076));
#25571=CARTESIAN_POINT('',(-3.75,2.04414892056306,5.87617723962076));
#25572=CARTESIAN_POINT('',(-3.75,2.04414892056306,9.08225739649124));
#25573=CARTESIAN_POINT('Origin',(-3.6,2.04414892056306,5.87617723962076));
#25574=CARTESIAN_POINT('',(-3.45,2.04414892056306,5.87617723962076));
#25575=CARTESIAN_POINT('',(-3.6,2.04414892056306,5.87617723962076));
#25576=CARTESIAN_POINT('',(-3.45,2.04414892056306,9.08225739649124));
#25577=CARTESIAN_POINT('Origin',(-3.6,0.280804834284298,5.87617723962076));
#25578=CARTESIAN_POINT('',(-3.45,2.04414892056306,5.87617723962076));
#25579=CARTESIAN_POINT('Origin',(-3.45,1.16247687742368,7.479217318056));
#25580=CARTESIAN_POINT('Origin',(-2.35,1.125,7.73));
#25581=CARTESIAN_POINT('',(4.7,0.875,7.48));
#25582=CARTESIAN_POINT('Origin',(-2.35,1.125,7.73));
#25583=CARTESIAN_POINT('Origin',(-4.5,-2.8,0.18));
#25584=CARTESIAN_POINT('',(-4.5,-1.8,0.68));
#25585=CARTESIAN_POINT('Origin',(0.,-1.8,0.43));
#25586=CARTESIAN_POINT('Origin',(4.95,0.4375,7.73));
#25587=CARTESIAN_POINT('',(4.7,0.4375,7.48));
#25588=CARTESIAN_POINT('Origin',(4.7,0.875,7.98));
#25589=CARTESIAN_POINT('',(4.7,-0.875,2.03));
#25590=CARTESIAN_POINT('',(4.7,-0.875,2.03));
#25591=CARTESIAN_POINT('',(4.7,-0.875,7.98));
#25592=CARTESIAN_POINT('Origin',(-4.7,-0.875,7.98));
#25593=CARTESIAN_POINT('',(-4.7,-0.875,2.03));
#25594=CARTESIAN_POINT('',(-4.7,-0.875,7.98));
#25595=CARTESIAN_POINT('',(-4.7,0.875,2.03));
#25596=CARTESIAN_POINT('Origin',(4.7,-0.875,7.98));
#25597=CARTESIAN_POINT('',(-4.7,-0.875,2.03));
#25598=CARTESIAN_POINT('Origin',(-5.55111512312578E-16,0.,2.03));
#25599=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#25603,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#25600=UNCERTAINTY_MEASURE_WITH_UNIT(LENGTH_MEASURE(0.01),#25603,
'DISTANCE_ACCURACY_VALUE',
'Maximum model space distance between geometric entities at asserted c
onnectivities');
#25601=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#25599))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#25603,#25605,#25606))
REPRESENTATION_CONTEXT('','3D')
);
#25602=(
GEOMETRIC_REPRESENTATION_CONTEXT(3)
GLOBAL_UNCERTAINTY_ASSIGNED_CONTEXT((#25600))
GLOBAL_UNIT_ASSIGNED_CONTEXT((#25603,#25605,#25606))
REPRESENTATION_CONTEXT('','3D')
);
#25603=(
LENGTH_UNIT()
NAMED_UNIT(*)
SI_UNIT(.MILLI.,.METRE.)
);
#25604=(
LENGTH_UNIT()
NAMED_UNIT(*)
SI_UNIT($,.METRE.)
);
#25605=(
NAMED_UNIT(*)
PLANE_ANGLE_UNIT()
SI_UNIT($,.RADIAN.)
);
#25606=(
NAMED_UNIT(*)
SI_UNIT($,.STERADIAN.)
SOLID_ANGLE_UNIT()
);
#25607=SHAPE_DEFINITION_REPRESENTATION(#25608,#25609);
#25608=PRODUCT_DEFINITION_SHAPE('',$,#25611);
#25609=SHAPE_REPRESENTATION('',(#15344),#25601);
#25610=PRODUCT_DEFINITION_CONTEXT('part definition',#25615,'design');
#25611=PRODUCT_DEFINITION('Samtec - HSEC8-110-01-L-DV-A',
'Samtec - HSEC8-110-01-L-DV-A v3',#25612,#25610);
#25612=PRODUCT_DEFINITION_FORMATION('',$,#25617);
#25613=PRODUCT_RELATED_PRODUCT_CATEGORY(
'Samtec - HSEC8-110-01-L-DV-A v3','Samtec - HSEC8-110-01-L-DV-A v3',(#25617));
#25614=APPLICATION_PROTOCOL_DEFINITION('international standard',
'automotive_design',2009,#25615);
#25615=APPLICATION_CONTEXT(
'Core Data for Automotive Mechanical Design Process');
#25616=PRODUCT_CONTEXT('part definition',#25615,'mechanical');
#25617=PRODUCT('Samtec - HSEC8-110-01-L-DV-A',
'Samtec - HSEC8-110-01-L-DV-A v3',$,(#25616));
#25618=PRESENTATION_STYLE_ASSIGNMENT((#25621));
#25619=PRESENTATION_STYLE_ASSIGNMENT((#25622));
#25620=PRESENTATION_STYLE_ASSIGNMENT((#25623));
#25621=SURFACE_STYLE_USAGE(.BOTH.,#25624);
#25622=SURFACE_STYLE_USAGE(.BOTH.,#25625);
#25623=SURFACE_STYLE_USAGE(.BOTH.,#25626);
#25624=SURFACE_SIDE_STYLE('',(#25627));
#25625=SURFACE_SIDE_STYLE('',(#25628));
#25626=SURFACE_SIDE_STYLE('',(#25629));
#25627=SURFACE_STYLE_FILL_AREA(#25630);
#25628=SURFACE_STYLE_FILL_AREA(#25631);
#25629=SURFACE_STYLE_FILL_AREA(#25632);
#25630=FILL_AREA_STYLE('Steel - Satin',(#25633));
#25631=FILL_AREA_STYLE('Plastic - Glossy (Black)',(#25634));
#25632=FILL_AREA_STYLE('Gold Plating',(#25635));
#25633=FILL_AREA_STYLE_COLOUR('Steel - Satin',#25636);
#25634=FILL_AREA_STYLE_COLOUR('Plastic - Glossy (Black)',#25637);
#25635=FILL_AREA_STYLE_COLOUR('Gold Plating',#25638);
#25636=COLOUR_RGB('Steel - Satin',0.627450980392157,0.627450980392157,0.627450980392157);
#25637=COLOUR_RGB('Plastic - Glossy (Black)',0.101960784313725,0.101960784313725,
0.101960784313725);
#25638=COLOUR_RGB('Gold Plating',1.,0.807843137254902,0.498039215686275);
ENDSEC;
END-ISO-10303-21;