From 38debf466dc47429f982e657d1b8bbbff8e1b493 Mon Sep 17 00:00:00 2001 From: Aleksa Date: Wed, 11 May 2022 19:15:32 -0400 Subject: [PATCH] Increased Serial FIFO Depth for PLL config --- .../sources_1/bd/design_1/design_1.bd | 183 +- .../sources_1/bd/design_1/design_1.bxml | 26 +- .../bd/design_1/hdl/design_1_wrapper.v | 2 +- .../bd/design_1/hw_handoff/design_1.hwh | 564 +- .../bd/design_1/hw_handoff/design_1_bd.tcl | 3 + .../design_1_axi_fifo_mm_s_0_0.dcp | Bin 217660 -> 242943 bytes .../design_1_axi_fifo_mm_s_0_0.xci | 11 +- .../design_1_axi_fifo_mm_s_0_0.xml | 33 +- .../design_1_axi_fifo_mm_s_0_0_sim_netlist.v | 6844 ++++++++------ ...esign_1_axi_fifo_mm_s_0_0_sim_netlist.vhdl | 7913 ++++++++++------- .../design_1_axi_fifo_mm_s_0_0_stub.v | 4 +- .../design_1_axi_fifo_mm_s_0_0_stub.vhdl | 4 +- .../sim/design_1_axi_fifo_mm_s_0_0.vhd | 4 +- .../synth/design_1_axi_fifo_mm_s_0_0.vhd | 8 +- .../sources_1/bd/design_1/sim/design_1.v | 2 +- .../bd/design_1/synth/design_1.hwdef | Bin 66879 -> 66932 bytes .../sources_1/bd/design_1/synth/design_1.v | 2 +- .../sources_1/bd/design_1/ui/bd_1f5defd0.ui | 101 +- .../sources_1/bd/design_1/ui/bd_77ae6ffa.ui | 16 +- .../dso_top.xpr | 90 +- .../dso_top_fpga_module_rev2_unsigned.bin | Bin 1578004 -> 1581840 bytes 21 files changed, 9628 insertions(+), 6182 deletions(-) diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/design_1.bd b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/design_1.bd index 8f9da87..9d0dfbe 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/design_1.bd +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/design_1.bd @@ -1643,24 +1643,6 @@ } }, "interface_nets": { - "Conn2": { - "interface_ports": [ - "M00_AXI_0", - "axi_crossbar_0/M00_AXI" - ] - }, - "S00_AXI_1": { - "interface_ports": [ - "S00_AXI", - "axi_crossbar_0/S00_AXI" - ] - }, - "S01_AXI_1": { - "interface_ports": [ - "S01_AXI", - "axi_crossbar_0/S01_AXI" - ] - }, "Conn3": { "interface_ports": [ "S_AXI_0", @@ -1672,6 +1654,24 @@ "DDR3", "mig_7series_0/DDR3" ] + }, + "S01_AXI_1": { + "interface_ports": [ + "S01_AXI", + "axi_crossbar_0/S01_AXI" + ] + }, + "Conn2": { + "interface_ports": [ + "M00_AXI_0", + "axi_crossbar_0/M00_AXI" + ] + }, + "S00_AXI_1": { + "interface_ports": [ + "S00_AXI", + "axi_crossbar_0/S00_AXI" + ] } }, "nets": { @@ -1819,10 +1819,10 @@ } }, "interface_nets": { - "S_AXIS_S2MM_1": { + "Conn2": { "interface_ports": [ - "S_AXIS_S2MM", - "axi_datamover_0/S_AXIS_S2MM" + "S_AXIS_S2MM_CMD", + "axi_datamover_0/S_AXIS_S2MM_CMD" ] }, "Conn3": { @@ -1831,10 +1831,10 @@ "axi_datamover_0/M_AXI_S2MM" ] }, - "Conn2": { + "S_AXIS_S2MM_1": { "interface_ports": [ - "S_AXIS_S2MM_CMD", - "axi_datamover_0/S_AXIS_S2MM_CMD" + "S_AXIS_S2MM", + "axi_datamover_0/S_AXIS_S2MM" ] } }, @@ -2928,6 +2928,15 @@ "vlnv": "xilinx.com:ip:axi_fifo_mm_s:4.2", "xci_name": "design_1_axi_fifo_mm_s_0_0", "parameters": { + "C_TX_FIFO_DEPTH": { + "value": "4096" + }, + "C_TX_FIFO_PE_THRESHOLD": { + "value": "5" + }, + "C_TX_FIFO_PF_THRESHOLD": { + "value": "4091" + }, "C_USE_RX_DATA": { "value": "0" }, @@ -2956,6 +2965,18 @@ } }, "interface_nets": { + "S00_AXI_1": { + "interface_ports": [ + "S00_AXI", + "axi_crossbar_0/S00_AXI" + ] + }, + "Conn1": { + "interface_ports": [ + "AXI_STR_TXD_0", + "axi_fifo_mm_s_0/AXI_STR_TXD" + ] + }, "axi_crossbar_0_M00_AXI": { "interface_ports": [ "axi_crossbar_0/M00_AXI", @@ -2967,18 +2988,6 @@ "axi_crossbar_0/M01_AXI", "axi_gpio_0/S_AXI" ] - }, - "Conn1": { - "interface_ports": [ - "AXI_STR_TXD_0", - "axi_fifo_mm_s_0/AXI_STR_TXD" - ] - }, - "S00_AXI_1": { - "interface_ports": [ - "S00_AXI", - "axi_crossbar_0/S00_AXI" - ] } }, "nets": { @@ -3202,30 +3211,30 @@ } }, "interface_nets": { - "PCIe_M_AXI_LITE": { - "interface_ports": [ - "M_AXI_LITE", - "xdma_0/M_AXI_LITE" - ] - }, "xdma_0_M_AXI1": { "interface_ports": [ "xdma_0/M_AXI", "axi_dwidth_converter_0/S_AXI" ] }, - "xdma_0_pcie_mgt": { - "interface_ports": [ - "pcie_mgt", - "xdma_0/pcie_mgt" - ] - }, "CLK_IN_D_0_1": { "interface_ports": [ "pcie", "util_ds_buf_0/CLK_IN_D" ] }, + "PCIe_M_AXI_LITE": { + "interface_ports": [ + "M_AXI_LITE", + "xdma_0/M_AXI_LITE" + ] + }, + "xdma_0_pcie_mgt": { + "interface_ports": [ + "pcie_mgt", + "xdma_0/pcie_mgt" + ] + }, "xdma_0_M_AXI": { "interface_ports": [ "M_AXI", @@ -3264,40 +3273,10 @@ } }, "interface_nets": { - "xdma_0_pcie_mgt": { + "S_AXI_0_1": { "interface_ports": [ - "pcie_mgt", - "PCIe/pcie_mgt" - ] - }, - "AXI_LITE_IO_AXI_STR_TXD_0": { - "interface_ports": [ - "AXI_STR_TXD_0", - "AXI_LITE_IO/AXI_STR_TXD_0" - ] - }, - "S_AXIS_S2MM_0_1": { - "interface_ports": [ - "S_AXIS_S2MM", - "Datamover/S_AXIS_S2MM" - ] - }, - "CLK_IN_D_0_1": { - "interface_ports": [ - "pcie", - "PCIe/pcie" - ] - }, - "xdma_0_M_AXI": { - "interface_ports": [ - "PCIe/M_AXI", - "Memory/S00_AXI" - ] - }, - "S_AXIS_S2MM_CMD_0_1": { - "interface_ports": [ - "S_AXIS_S2MM_CMD", - "Datamover/S_AXIS_S2MM_CMD" + "S_AXI_0", + "Memory/S_AXI_0" ] }, "Memory_M00_AXI_0": { @@ -3306,10 +3285,40 @@ "Memory/M00_AXI_0" ] }, - "Datamover_M_AXI_S2MM": { + "xdma_0_M_AXI": { "interface_ports": [ - "Datamover/M_AXI_S2MM", - "Memory/S01_AXI" + "PCIe/M_AXI", + "Memory/S00_AXI" + ] + }, + "xdma_0_pcie_mgt": { + "interface_ports": [ + "pcie_mgt", + "PCIe/pcie_mgt" + ] + }, + "S_AXIS_S2MM_0_1": { + "interface_ports": [ + "S_AXIS_S2MM", + "Datamover/S_AXIS_S2MM" + ] + }, + "S_AXIS_S2MM_CMD_0_1": { + "interface_ports": [ + "S_AXIS_S2MM_CMD", + "Datamover/S_AXIS_S2MM_CMD" + ] + }, + "AXI_LITE_IO_AXI_STR_TXD_0": { + "interface_ports": [ + "AXI_STR_TXD_0", + "AXI_LITE_IO/AXI_STR_TXD_0" + ] + }, + "CLK_IN_D_0_1": { + "interface_ports": [ + "pcie", + "PCIe/pcie" ] }, "PCIe_M_AXI_LITE": { @@ -3318,10 +3327,10 @@ "AXI_LITE_IO/S00_AXI" ] }, - "S_AXI_0_1": { + "Datamover_M_AXI_S2MM": { "interface_ports": [ - "S_AXI_0", - "Memory/S_AXI_0" + "Datamover/M_AXI_S2MM", + "Memory/S01_AXI" ] }, "Memory_DDR3_0": { diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/design_1.bxml b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/design_1.bxml index 70ff69e..0d8edaf 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/design_1.bxml +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/design_1.bxml @@ -2,14 +2,14 @@ Composite Fileset - - - - + + + + - + @@ -25,7 +25,7 @@ - + @@ -49,7 +49,7 @@ - + @@ -73,7 +73,7 @@ - + @@ -81,7 +81,7 @@ - + @@ -89,7 +89,7 @@ - + @@ -97,7 +97,7 @@ - + @@ -105,7 +105,7 @@ - + @@ -124,7 +124,7 @@ - + diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v index 985d655..6554ed4 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v @@ -1,7 +1,7 @@ //Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2020.1 (win64) Build 2902540 Wed May 27 19:54:49 MDT 2020 -//Date : Sun Feb 13 11:02:18 2022 +//Date : Wed May 11 18:45:19 2022 //Host : DESKTOP-J72MK93 running 64-bit major release (build 9200) //Command : generate_target design_1_wrapper.bd //Design : design_1_wrapper diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh index 9ec29da..93ad84a 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh @@ -1,5 +1,5 @@  - + @@ -65,279 +65,14 @@ - + - + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + @@ -540,16 +275,6 @@ - - - - - - - - - - @@ -595,6 +320,21 @@ + + + + + + + + + + + + + + + @@ -615,6 +355,266 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -2542,11 +2542,11 @@ - + - + diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl index c623d45..95cbe5c 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl @@ -1308,6 +1308,9 @@ proc create_hier_cell_AXI_LITE_IO { parentCell nameHier } { # Create instance: axi_fifo_mm_s_0, and set properties set axi_fifo_mm_s_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_fifo_mm_s:4.2 axi_fifo_mm_s_0 ] set_property -dict [ list \ + CONFIG.C_TX_FIFO_DEPTH {4096} \ + CONFIG.C_TX_FIFO_PE_THRESHOLD {5} \ + CONFIG.C_TX_FIFO_PF_THRESHOLD {4091} \ CONFIG.C_USE_RX_DATA {0} \ CONFIG.C_USE_TX_CTRL {0} \ ] $axi_fifo_mm_s_0 diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0.dcp b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0.dcp index ac95baf64ff25e139b9ae153b86fe9474a493c06..766b515250c9979671296f7d237650a6fb34d94b 100644 GIT binary patch literal 242943 zcmd@6WmsLyvIYt#!2$#b?(XhxNr2$)?(RBq0wlP*LvVL@f(3VHqQPO}Zg;ZQ+WVZd z_R9Bs=RWt}`B9^*>aFVP(KWhf59ui<2?2@r>eZ{aue#z(l-_;vZyA35>Xjtat5+~! zt&yP}gR8Ywe4MuJA`@CbMSn%5L!CC8^rx)sH#8`LDAa^M#o^kjr8dwkyFTA$?R5~M z*-o*QUD}MDZ*!k{x!+9a*9j!YvQ=?f$^d!+aX!u4sMT!b#*yv4@6lwz&D zT^pJnczCrz1&@#98-%wjRYMWLUX5^c^&?UJ{n~X@?f^6wehD0r;Rn0Q?@(_Ca4SJy zxSI$UuCE}!GC?Kii;v*+GC3;lxf)O^ytQk#148QdUyVf6ts2EmmknRu;$ufFwz^?! zBJmW4 z>*5C%|Bzv2h~LGLYtgun_j|z&vJt$aDFM4F7v4vw_BJYotEY(5pEx+Ej(iWAZGK(P zZr37t`756=?~z?LsV?h_{4(v+bjNb8Mat{R)ahqec5K=fVo8-1-N$ulu*~I)Z|kG| z%62SR1RbLNB2HUXP)Qo)PG+Fwr>wIi02ReRV#Z+BIM-mm+Whd{{WOkeC0SdaXNn#F zE+o1Ck?^q#45-HZ*RL+$VZI`GNw~42xv7mVv#!3Yxvq)1iLI`+wXUNslP(j3v60DP zo24TzcMSW3mp4>5Vc!+%W20NaG&4VPjM$Q=Xo8eDXlQe{Z2Vh&6TPH{MEw#k^YbHp zme?#*{7*ir_qD%@f#!-v>#vfzI=jlMGo%Ur zce0m2Tch{1Yc*Ye4wv}3`oV;v*Xr$Mf8SpR*F2`R&qr^{vg>Fz@T z;p%dq$c_Du!`;r&US#*dxh&k~RsT+f?xAq^-VPyP8&J~$J#o->deI-cbg&D$qu@#L zw%K`db>S84cs#wjn|knc$F1|`Yq_ykhY=jLQOO*+yE(l&m;u5JA&d$5G|K4=#C4!I zKQs`^>`a9cdYB%M`aIa#-x{_@WwyxkrgXH>Q=5ATksneCIqB+ur1cUJ3GEc~#D zJ`Y&2#11GZW7OAz>yRDC9U*8z{YVEHhRRzNKn!>%iY(*=oku zlr&PX!?V=QNm;R)MwVP+dHV4=mU`lReZnkUBxOFNw$YT)W9%mDA_3WFEUIJcrvvj*%Z$;uy%{zdWiq)tp~5DXa`_!_X}mgxf@@j zD@&$Mx_27K72;;b<%#u9!8T!J21m0j6o{Ir=at%BTU9UpkcT%RVVLaAJ)ALi1a4%& z=GkP>D=-rwG7!9fZ)|HD?tHztt(`4w@1a4N-ky9_+gf1Q6zC#f;UfQ(QNBg682-2z ze(PanqqQc`mwo|jq(<7p;C5KL49MHSX1r@$muC;eJF0@@s32XQ*v(1B6lmj)V zROkImDvSf|GYtsFX5&EJNQLoULabfC>rHkn^hQ(qmaK!>D`tDOqo%8mL^PlHe-ygp=ohN(Iig^9s6oRhS{BYg15 zX^V~V9&_;!_owc7G`ldct<8C+ZB_r!m9rVEX5td#liL7qFUrUUqH6eM*rNSKv+!A0 zls8=T)F;ZFlgPyfpE~L$^DLw0Rn1M-^Tf0Gw7cg?sOWc{c((y`N#BHPwf=-&g_7qo zmG>Q5W6#qas_LA%b3Hk|gJw?mm-~Dvw3ur6#w!dbYW8N1yt=vccl6Gw&CcFltm&&> z)(`bQCz`c_0$3szy&PR$g6W*i>7!YztAnn*k8wt5OJ11ix=+|t1%A?@?pF!Q`wQm> zH=vRhqmSIT7B0>n0C)6zjSA!viDcQ;yjGR?;`Z?l9g1ZIAD^G}a)MqdIUqR23ZAH> zJ5?;lg`eoL8x~?Ar@3slh(d&83Fs%c*Z-7}?f&R26|n*k(;IL^zDVq%dLwG8XLcJSw)n4-JFR7G)#jqzDhjw#^z?K@_$(&y ztmt;zQDLe&6)M%PVHB^bo6BDK%!jo-*wB1T<&!C&UiFiU!m5eFs)ge47wRB>3C~%c zp=Oxj%y6&HyFo)wi9us9nR*WTO{q}p?x6M=Viq}0b>;SkYE_9*I>3q(f(g?BmKurS zk;rMuqEgnw`X~Cgv&A=>YB7@p9mt%>>_Q`6$sF+oSSj2t+F_csSh6z@UnSK}7Nig_bmVd;c&x6;#8~7J;_k?h&AFZ`nLo0CqD#?kYmte?m_zho zYQF)@W(op)9`CRxIl~m4UPWzc(>}_w*gxJXJ*b|~W^WU%TK{bpGQv%fTv|S8Q`3sZj zpjm!wjR>X|>2`PqQI?oy+B{pjoF2CxJmtKv=;-k@=Tqp2B6wbwYU4Az+=Mj=lu7p1 zz*2C4w5$?UXa0omm(9$B{eJx#Yfd#^*u5V!;~`7kJ46uXR|s%H}B%&M|;Z!@*~Fj zcRQb7yX4e%Ky&yYaQV3HD>d9dTF*nJugt1AE(IdhTM5i>c#ao={OPyeOuqINi`9mr z$1N6C?PIfK){^g_Vw@<+{>E;aV@YG-+*S*y zd94OL^{3*rH3v}`Diln@Vw#E7xe9;18Vswa&l`E?d+@fv((!9PBc)gE(Kl(Q*aEWd zrmtvSu`I9%Vso%F9DsB1Z)>;xqRDOB(Z(Ig(c4Qstyv-sVwf!#bR33sfsUqXiHcCT zX5;FMK}dTEZ+5~P{Rb*LBMMJS}Vwe5z<_8HHXQOt{8+>3b^6?d$M9h zREYQKi`6=GDjP-(U(EZKwE8A>te9EzE72xt@uzea3^-=FH61s_sP~F{{jC5hO-|V= zToLLq40Ype6D}=|lG6+FrjJ$yHA)PjtTHDt#`@>V&pFSeJn8XYZ$n3AYzzFl)tXxH zM|FTYG6kHkz3ESKxVmMs$2|rpQg|3r=kmBGqnvsdSll3;o+C_KUOHH63~(_}w=SbB zP|Fyd9Sv5?n9Urxuy}McbJOtQDB??}|Fw7cdSFVOMAj5ZCS$agFI^tE%wI)IDGRPv z25hZeHEW=)Fq8lEeSc)})LRH$RmFI=nwPh#w4QIL_D+w#*kg6$q_7+R)apr!zXRQT zQiQW6)%+?aWtlUla7s1wedto=maR`h2Y*69Lwb7xO!fR2CqSig#^#zA$l(@I6cP)a z6brqY7hBInY3-FLv)Gqb`^e8zRD$`nS7x6=X!dwS-2GAwlxuq(b!nZ8GQAm%FyGe)#+CC=pX9`2ip2&b3t7jeVF$J$Z+$3SlG;?n+%{*C9 zC>1Vmc`QsQ07p8{r-3HDp=7-z9V%-Ni@xVWtu=IF-oVaV;}s_ivJUUH2Wa2(CAhRz zm$e6%H#-fuTpd!SLqxX-5IdY;?RnHKR6o_^evf9Pg??55;4SFs z7}Lx6kb9=qyqAxzZS@*7A$Q;30`2*bo}=h=rb-@FaTayUw1@FiyBGz)uVet1QPP9f z{D~Yi8Q*wNfr8oei^l%-u|_cbPc3o=qP+MU3#YR)JJ&{^n~iHT*ILX+76CpPda`id zMK|bAbF^)9g_nkmc5A{~50)<@953A@*|95cxx@A4|)ftAivGr;x4 z^MOQK0I%1X8;9bQ+bnBB#&gKk^-N)*!O4h018NaAUNjTQwoIX`hvVOz6A~LW(AtV1oJC$9rpLo%usqZ=ZBug;hMw{d3m`8pUJ} zMp2Jv3Do1mV@*^<(T=bgNy@})qzF;b%?al8?cKz5FD=Meqe?i7Cv%G(O*yNr(JuIu zFeF?)bOTf2oH5Qq4n^Ri0t0J-!Lm)jNWx^YV>ntUQ65ZR@PY zRJ(i=s-rgoPv_=~&RDtjZMFsM?8LnAZRYK0U0um#NX?E zl;0PHGGDZs2rBXck@xZvTA^n_3QqL1#Cc*_Jh@5~()bF4BxcTH)Lzd4LJ|u@$|!ol zAas2pJKl$$I1=js=~3Q@DX$8c$&y8Rkd1A`EQYfKD{wc#G@2BxfaRaR$Fag)@t|!1(NvDnwe5 zH#7QqEav-_3K-%g-4c8EoOmiBAg-cD1*e9$ntr$&l;vpFY*sY{qh6uDc|2E$luZSQ zbjk^0Gv@eeaP5r`ehelvzIkh*LyWtAD*sYh{G~elp`vyRU+V4K%UF2@mC)Wfh^cn- z=0Hbp0lw7TSA?;08!Dl-GXzuZH>Z8`$eP~1 zk*tFu$|tH+5|$AcW+^j2cV=iSK@=LNc4P9(8CgxTh~3aS`c60BK5k z)Xkb->AMh4QPn~?aO%~OcG`P86|_A9W0%kAp%X}sOCconU*^TSQl82`huT;%gybJn zC41SwRC8dJFL(gSox+!T`Q|ZJocQ#|HUA-yM(VKuTb@L@>tlWUYXdQP~g-P&Z zplGT5zsSJ!PmLF?+2Qn_aDg)c9#@?T=>kF2Z|P=^B$y966=Jj?ee-_JP$AKYsOIoj zPIpB52y(gI`rL>6l)T3ee|9``@O51gUxpuwD!%QXBhqo^H2;qgsqk+V z0Icc^9bhQ@|7QZ-l>Tc1{lCZfZ@x^RT;T@OX~&E!N~o|(WRaXRB9aV2oHLe^%pD!B zigN6Oo6-X%@&vXa<)1xTsV7^(BNUvz_ZbGRh2TLHU5rQvP9ID{jMG02$yq|ReF^MV zx#|wlojKJ8z)0cg?q81^Vds2w$IA0DlRYz+wZ@K>xC$xlF&!~>vfXdfb8BpC-8Bwk zz9{cgaHuG+Ok{MOpXT!U@05(Ox|*i1-3FO}H6xvfDPHTCSi(lc@Wz`(-O zhkqAQndk3EYUTTPOsg{i{FocXu5lBkvKL>g69ZuPT64Jz%E}%F6RZHcF546Tr`zxJ zY5GHUt*m&Qi}Yv->%p{i-S1V^0&vSK-_dI6V+%C?7)%-$);E^=@*gB3WoDgINkXyq zAH+go^}UjXqVpf4U`j2XgI_ z_+J4%kgjbK!jM?XJrG#R!eHuo11`bT^V&5}qUZGs^LKI${~h4`J3#w)fXMFv`riTQ zzXKk{|FfxooX6Hb1HK$|%p@q_oMV6q2TTNDB3XM$^(RupH}7cwMnf$6s$D-Ex>>pq z5{&(D>4qRV)e>NBD(O&{^}tt^i0D6cd=KQqtJWY`uF}6#80^XC_>t}q9-CJ(k0Ym4*2&z9}8U<1Rv$mHAps}x>U+lmA zjbH^@e|EQWVI`~r*xai)7bl*fSSj9aB8oBf;zwl#@e*|*06zGkfxbZEvQ0AtiJ*gS zewppe$eba)6}QiSL)M!D7lmd^^Fd*_=v7m|{`dKuD!Dtg101NDSr#~xGF`M`jT)+? zIvIH;N$Ut5Grur6nyyb8`_t%?3N__X33txlYNxAdbMwlziObj{CIhKf9Xihr%&zB< zy_X~PB zN%J>A@b3Wi-vQMB1jMg(_AVUS@MLB#dh^jf+N)=T9>@X;!U;Clf0dUXG6X<`wqQMx z1Dxwc0M@}EoFLGCD2QY~#}2dmTd(#zBusX)GYe5AA$;tF!hpqW9E(tkLi*zG_5UT5 z5GQvCvrl8p$Z5tIN-7D_%Oli*Wt17Y{}STeKK%w3#+Tm;+W)M=PI7L< zPTC}{#Hbz3+d|^wVvLCHRx8&T|`yaqv#??`G8PQp=YxECG67Ubo z*yKRct8Q>J{!W>Nv45LDUM3Xd|- zd8e>T$|h@eONc?}XjEkDnV zPB1-H1Y21f2y^bjiC%muw-esk0W%(8CK1ep85m@NSABL|%_iw8)XQK<$gwA}gDyU`c<&<=vMaUJ?IQ#V4`nuylI zCk;9z-70S9oRY#-D?W{tn^L>YnuqW!M@^xr;U=jzDt@gIGDJXNAR=m~XPK*`n&f`v zY%|_x1aUY-|2di2UzPRK`bW;(VL0d*c+iZzVJmaTA%_sJhucFLIVq#4wgMAs7gRi&9E0 zeIGMh}24YWl&QKDh9JN z;0FoClDKHxBQ?0^nD^>zj!V5}qtz*}*?%$&;YaUREh85pzAzPO$OE83FjEHBjJ_}> z%g6)egVYwpGpgLa^6BxYSTmYn2Kd70_G-&r1rF~Fivl(OcuiFgl2|2z)I_wGWn|bc z2=B{jD4UfX5xmgdqq;1ja~rPgBYoVnK1aI80&tUz2&m5c*17T!{)TIuGkldib>q+2zODTV8!T zY~J%31`|_{-qCt^-gRZtuj$}B3mNd81ts{-;!pF?`D7$^5(-mOknc#M25;C=-12ez9fKcB(ZR_$iooEQTm|G{z5XxT`k(~2&7Rt2oe75}--MGcq`$@a ztIdG4q=Yi3gMzK;h4e2&5)B^k`ImtWv&ydWs86ow{&vN^7>d+7fzMyEVR5Vy zY{Yyb^ZK7N1iTnHyyLRI&+7Z<6uWlp7`WsSS{&E;SUi1y`oOU`^XQ(b?e*Ta2C1J{ zC{cBr*=RQ5LT_N4qtKaO4*cT3SF zBH)7h{Huya39H>7_lk?qbx2v(Q8o~CR$mf#ggH7>vB4^{QtPO4Y(}dua}Hn??J8LH zqtH5vfr-KD3*{3D?wYZJwZBHhB!`%44hBbOjc_!=NlHrn$1-g*++0b_?$ZPOf`;Ot zurI25wa6CvCE-yrPTC?7*vjM_om0-%{uvnNq&+nxs>!J8>r^vEDuxE(@aaF{IIC>R zrkkM#+3B1~XqSIA*G(Q^P|a7)ioF}%O&UH-1VG6eeM>cK zP$>q!F~&{ci$=Gp25RiNNHQ!(WK*(qOE+oK?%52~ElFP`!TK0{OLb~cSp>f6#ZBM` zn{EJgf&sXwwpv%hDviMa5AqdjxmNv!m-<(ZoQe@bIO#mV?dWM~LpkQ4TB$q~FEwlV zyIs6%!^hJAOi50~r19(4!J)G{mVbwC0f+Yccj)q- z7WjX6NDIm_!=D{uHwCh39;2VL5uJ%uTh9jq<6Qt-i$@gh!?*a>UsD|bs)InNc-&dO zU}T#gKy6q6CaR6r8QJH?Jr_XUlD1rfes3(+I>iz&-U{$>0h~{Ruc;Q-;Cw)@mTIlevp+-U7c|jecD$mak;JyQq@8u)<@!1~C_DkuG!ILZQHbtT<15 zb1W}pPMJLyMbXH(=d?-C?e5K>N-{PVX&rV-^x`{aQhePRTGsCH*a35K>i}1*y_*n| zXl%qC@;YpHqN0)aNM!iBBlN5ggyI&g7h(#j#020yolX7Q@%&%lAJvd11`|7&1C)f5$s-ms(we2{qAgoeNgN8yP=xUE z__A$cTY`HAzE|0Q`BAw*|(IDvhMBPKJ_I;Gyd&cj#vQ^Nc4-=(zf zzocklL`r5UM@^W1=%)cJH5_aLDab;AtyG@qrAISKLsMgyd56k zxDDU9c;T=CVBz7J-7-B^lEGYaAIDK}U#7M5L%F z<;2R64=l=qJDUJ@ua+Pm_K`6Q(UPLWv)zsn<()GhpBwg3Zm|393S;cPSuN{V)T1YY zow#2xxeB&#nT{FhcrZg<*mwxig*y%p!Q((l@-v4x&%V+4HAqQjg zAIdHc@pHTGaY8`-ZqYF64sN#x&;jhXu;ebf%ov<@ci;p6i#3k2t~A z)&m*4yC`)7E;pVGOh386pS$XyYwPH)3fHt?dB#gd z9+qvOnX177i*-RgAl~7N>|Fd}cx?*gWt)qce#T5wXpee<;wU?x8_N_1LFN%MUhvn+ zGt;aY^T3hvitL4%mV@aTAE2kw`S`)@-0tCp%bn2av5%>Vt6+oo&*3$1848=B3YC;Q z$NRwLcZWOMNRmxKf;fubGkvH=oirQjAh!aCg~{5>m?p+y9Z`dCQhSB< zqeTaViJr&>$0Iv_BK_KAM44oO-mlV(>8LT>&-6$q41wTz%wyJ@S~Yln^iBV;(2rlZsD~kYI7W{?8xZdj~=Fu?=vvVPyfLm_t=3J;y^D9R_mfJ2o3A!_C zZ}7e@dr0K-A2$wwOJf&Exhv!K(cEXoTZSgvkLh7=O73WGb>?!Yzil5$p8S}@h6=+L zf2h^Gnj#_GPb3R3A`^!@zyI~r>er)uE2Kl@4Z57+#C|QeYQ*b0BWc8A7p^h+F_DE1 z^u-7C6gXYnopTyG-?%f;6`^rO)U3sFYkLpVA#%UzKVeX0zA)9mn-0=*{vibZdh`63 zr@PxiW75j#impi6?nQ+Ve0OT3R~Zfbt+TA4%w~t_ zGjE$2{!iSB6Ow}Fh75g^j zZZF#<%A2mImUe+HMwc8GeD3#wr;j9`fA(4l@TGt@5u+C);>}~ZQ%%}U(h0q=j@hPz1+dMX*H>>%}*~{Bx z?>!5jho(02r6ddpHs}g|#;kFDQ(_z0ai5u+UVJ_TJy=6BScA5e9Gt!JO`eq~6}P>} zMceN1VMB|5-qGG2kV3~z$IEe4c{689-a!$e_D)aZ7J0bM9&+b3yKBvD)_LGW%PQ_t z)9mGw5+}sWwk&Jg1)Yn8+jaTzTza$pbDJ%5ZK4=?tHO37PssGgbYU4vTkR5NJNfR$ z$u3Jp1Tze0H^CAXo4zSp^DZEN>AusSn*}s|OlIA!Pz|RVX_l_*uR}zMcM^RhBtdHn zGl7)g=e0mpKm+wf?3UYRU#P!+HDZO#dtK>c22+dSg4xR%%)7avKQ^W*KR*8VooP!2 zvNajv%s%pg!D3R6v|;KpQEEUFX8thlW{p%aZ#idi4CCh!@g<#vvqdq)VTR;suZQol z4qrwy{4r#rA5Bsc!^Y?2yj+V;oTR^vw+g^&m}~ zT<+$bUb48J`qyzk<*IbUPGNRv@K-iCI$e0o^}_IWukTXvxVf?Mc)K+UO3~9o@}u8m z*UjM9HaPks%IOwjhk?DfSeeh3=1m&C&NHH13CBh45{ zLd+R99OrqJ=y8Bmm_MTGR}Y$1t8Bw;F^?nMk}yiBxWW3lVLqu)Wj_4PrCwZfg5ur; z0_zk0OEVhi=+mPOc7fcZ>t>L0EeRNg_aX4>?R#UlFnA|L-+%*1C@bm*XzZf}4feiyRmHrEQzP7CK1ew?QImZithMNd_MuXT4If^g%x zgO;<8Wixsv$hp^ z*Oz?Nca6_oQ`?KdjAgo*dJOnAJee$n>~BxO8}E8EIt^n}T<73LS77rin6{+O{%Ei{ zn6kWd_D3D<0dz*1U=at!WP#E?vHZrMg;$=7F;JBPjH+6w&UzXDsoDa}sP6l~l`BPd zQOaV8wKWM-^GS8MqWC(SH8cy&=;s4%Fju1w>NSB~D)GH3*@ARBM7@EBv=k z(pJnVB4I^n*vkUHD)Fi6sy3NMO@uPIiY1ekaA=#IhVQ3?&eX;>J9*Ar zj5|aTrLgfrrHQMbRmJ&F@Jp5N=pS3#x)1IJ=$wm9dpSbba~y_lkNFrk6374_0Y8?L}IVh$uWa18`hHJPGptUdm#(IzNJ8xq5yX?r&A?+}( z*ggSe!NowNZ`(pf3N~Uqqx9tw$^34&eDE9|%(ZHI@$==NpO8Vn@a41~ImQc#o7V%{ zga%wYJSnt*$a&w(9V5nN9VR1wCJ`sSDH{sounbtqLQ5u2LN6Z*Lj((kXvtli<#ovu z#v|i9Y-X%ZWHkA^S1NTApJxn@=1hHx=Vhg<6z)0aaqdeW-3uE19;e?5vita#xpt;h zL{2L)Wv1ZdZNu0+(w32q=t8Yt>Irbq1RgTZnNr@XcE<%_X_S77Eyg{ZJtu`<_p36~ zTetrb@BC@6lH>p~Gbe<7L5?T?=i-{9SQQ1X1Pq!wu4e@0tdY>38HuIPOc!JwT3{IY ztdYl_7>T9Fj4$MBtWD`-d<02#y(+%&iQ&)ix+MjKkwqQlPq(Lr<5%r5Y!V+-qwT!j zQZZjJrx7WPJFu4@ep7%NaqlYdD<22|bBk+=ALopOiu_EhPl~cEtG_FKtl>~nma~Y3 zksoJY&?(MRUn-%Ys$&nJ+D)-yR30xqQbvzkloLjClCDprF`KMfg1GDZodz#Uzl&A^?0Xu$|lxyiaKiGvd^%9ZkO2%-=Nn#sBb(b6EAF`y=;AL zHQ>PU|vAvV7TGj?^Tx+J-oH$vuaD z?}Q9;*XI19RdB2mco5`|;j~KeL%ZMrV?H{=4vTtlIZ<36@ZA{Nz{rStF=d1S!AqZg z$!qf8qT-8~VF*T3U}_4cUr<)TuiK?e!7M&4}Mcz`%6aIYwKrb}mA?#j;|ggz`pj|~~+Z4AZVR^2=| z#5bhFG}L;lVX28vlfHSdMg=nxa7X}tif))?$t=M^r=1B!KM(*w}zo|!* zeWpbB=n*Y}-A3+L$%zf&-^iH>;y)8$n7B7;t0$++)UzVdgq68W_E8!Wrn6D4`Rrbg zTnb^t^aD3F+gY8hI;$R85lYRm$EYYJELvI<$ffX=DUz@~(Kq-uKSo+`W!Wp-L?u;% z;L_P$hdY)~?g!(!*uvweM$g7NGN$Znvt6MRCEW82vP7Y9KP&bm*k_H+5vLd}fY~!*RMmX4t%M zz~4Se&=eKHGB6^^Errg zfvB>zHBxjL)`gJsYQg$4zqmd3PU4;O2Am-8rxbxm6YFfFsfr*;Hm`((=~rfXgBsDMy8c14I#01Se~apvV2iV(R7&STtr8z^DMMZ)x+xk?)alv}cH zIC8O{+oMQmF4^MUeT#mn5>wNhn$6Ns7AYam#Y;>pV6iNsC{iCQBFEpQNIVWI(Uch$ zga06+)cGTSn;d##HW_?q9jU5s4u)uSRZNn^Z8566c~%iyp%nRW>U2<2BI4g8Cz2YH zGspLsN-NM7xqJJw7y*1Ux! zS%Nkh2MugAnANC=oWP|z+UPO+U~?CQuQpJ}J$?qOmL!0Ek5Khc58E z5G1!O7XOMK;@+x6eB>`$gR;;TrJ|PYRoO}&NknAG%5ATGMS_uv{2D-t;!$|2&YW4D zCt^8G{PbQ{TpU<9Moat2i(q6>{`!-KetOZ^G3AM&IQQlHipL$6XmY2*UF zWoGK73S#ja--J{fn?6LH=I$HzdiHxI++$LRkDHeL*n;LKSu3^H?{RuH6P5!5HSfY8 zx7LSqh$0AVCJ1b*2soO7MVscs#-VJ3(T%TE3LWs;zS%s)B@NQ34{+Z(C&68d<#AbC zwhZ$zZ2nB)7)jw6N+B(O&JPf@`sA?IQ;_QvC@XHwb4IO=%CjS8ZBYeVME{P1xK3|` zA~%5&Jl+h@Qlm87^iUP1q|Qf0h6YyBZ|Q7(Exs5=>s)3;g)Ejh65%ujm}C)Di$^Zwr~30P(I*d7UAv8gCCnbJ zkaMWkA40G8SjGEn;dSjO<2~>F)ZjKe*Y(8`Za$?4Gka^^`0-M2E@2AG_T2vHP72=} zFS*`H04=N9+VI*M8nw_xBaQ8qr(I~xWGqicw_I%I?gZhZk}eqs(|DBEtZB7OJEjk& zDc*eDLE}2)zMi$_9gyO*IU+>zYQGX{dN3FKswlWLq11;&aOZIp=&(2C{kFifJ|pDR zKd{}q(hRWWKlX9UrQjskrJxg{o_8tvM)7o_N`8%4Is}6k_=~sh4g+N8!W*EBl`OQ8*)d7-Io< zzw(`trLU8y30W0Jz|q>^tiu9I^w9GV9P0Pnh(5yC-7Mq$nbAEUu5!^|nN2j*(SZK4 zCfMEbNihQe-rTpdmLYQTvm>q>U-WmnfU?ghEvtnd%)bGzZ5^TRCukm=49WZB( zuW*l~;7?}o@l*Y8AT#Kz=;R?$#zT$(Hv~)JDu;@%U(8@tmvHx92iL`sL}k_UmsWDk)Xzzr zRKD@w!HKUJLi2@mUemAZ(}C%ky3#r5?6yG6psngtghZM44UEqmnFAk*`BV+s3g40y zLLilhrB;BCQm*Aai;Bo%axercxrff3e7r^*=;3Q^>xR8>WASSJG;d({JG=L1x4{+e zV}dKBQ2DdM>OU%E16Sx(_Gg7Qvphru`4<{c1Q=T=k>{eX~VVL8svnQ0J|=^|MAVWt8}->>V)TlD}x?`RhAi zgDDH|%e;HVB8+OWQ>$ZLC%L3qy@Y9EAD=2u9h^=nD=98zA})Kd!bcTT9gm+z10yP? z2Rag@32|;}VQ|9d=uLRK@9MJ1;jK6#Yv4_vvOAH zvLu^ERut(LZ`gZ&pYltf%UIxR2Z=Ce2X5(Cg_KT~tPQ1L)EX5HZ`-@T4%b))X%`o_ z!O2&VL~e#AfdTy&plc|zzYJ+q^+=_-5l^?KHjDf~k0Y_d!z=WR2_AlyjQBlC;-+LA zMs06%;>gZ{`dGDF;I4Ct3%&4GNE*oPYFIWCVl=Z1879ngkb-}Z0_VHIwNl>V!>A8B ziK6x4yT(Qfm=;B&h7N8cQO4?`DCpev1#F{lN56r8TsJg4h8p?qzr;GF6Uo#@&%Yi;h^>)E7H-`*3j(Virrra44I?Mvtz0Yrv72u?l{} zfD>pdqthYIj2f2L@MM+G@N~9*=iq*|chzBn`8?`zDi{(nr(15oDFFUclF{cMYN33fyrw>P&)e^&)6Z1#3BfuEFh1bfU5CA(8MTG;HvKOSryT6yz47e77OF^Af0 z*F@H|EbQzZO%49pskEr}8t|hswd){0({m8sRy8%j zrVjZ#(0yJ-n%x%b&t>2^$lD9mEhCs;;^w()JJ|3~U$=8YOK;`F!SCQ(Cd^N8K7;V` zWz^6Fe)QmNaF4vpZoMP4J1U_LJ3TVk1nFyN5tx!E*YYWa(j#d7KZLzySY1uC=S_e> zun>XTeMb6xYk^MR)O-@ALQPkVP&{i@ph z{(h!&PAeUl0*k7D)mrFShN17&wD94S2%pnR_>hlu)ynVu0DmF|&xgM;n&~0ajiG_U z(4%cJ4BxVA!Lf=d5SV4>y`S1D8&f;j$aBf{b}u5_+kw@zo1e6@5T zR(#j!miO5}#5l!0B+P*hOUf-@_F^51?{|B!iD-|WgiLPN`8~Sk;X`W`T)iU%N&|ZR ziI_QVFb)H%{c0F8c~t~Eoc2nP>lJYNo^=Ay-=Kzd;-p=J3!3H+n7KpH+^6aFzsP!; z-%}D+>TjZV6f<@!gT|F)R%bXYPcr8b)M-oZM=r>Qm1n-h$iL(dc|Mx*v^R;R7gjaYpJ=F|k4mR-vZt>Ue?_*36ugV{nHhHG6yqzi;k0 za+x*2zaX@ip%(F@{i$jk@Gl4nTR?0y0bOcyp#`g;Oi4OH8f$uSNKEK_Z_B%Tm1lRw z(;@|m2Eds}`CVM7Vfkt9ijBK=x9Dmt zhVu{Pm8Z|(rR6+SK`p?iN-Ju{!xf~aHgp%|e^~jvA6CBfhn1gdWLZpJINJv@J@aAP z=L5DqG#O(CpTmbSU;1Ip(D}LS`l;&M|7Mmgcq;vrsf^+|L&=uvo#`03^?z*ECw z$w#p5byt5AS?O}PhQmin;@n%p!qigI)wH`0Z+4PUt|*JdBA>X?M&XYp%9%I&3geu}_R_H{tyes3xOy_TfBe3P~y#{6QGJ9#6u zh|5-6g@D!8Sd z^%3Rbx{33jHub6T`dez*8Q)u++`4kT53Ca$jqBP70~wcv-<)n4(bo9;AzDpB{as>& zoS)XbtZLJ}#OT<%(vLU!Kh>%^r5K6i$8AcM4K0(i%_O%rsGRCbq(ip!doOIGEAI6#LC*#|p<}QM6pk1oBP1 zqi;{wa=hvM=i@j{&u)|55qh1P=NIgV{iF^~n8G*Yf%cF}?zO{2F#_wWlwm9TwvEY( zxL>Kf%zEyy-A<}?RK(M@$;7g7zi#H<(&D8YwJkZ{rcQb$%q-#DR{U4{zBwq>^ya#? zr?92#5u)BZ)9=NeEQY=wNu2;yoepkWIUO0d%aGsU?@XK4md+SIiK8ggqW^}@;YE{C(O+LfESCN{8~#b)RVd(B&a_6LW;LgT|yGiP6@ z&z`{EQ_m%&3zKf;>%VwtFYaG|JbF|XvV**vs5C)s0%O7bM0Ft#46=l4GbGfAXmKIZ~75)fB)m z!2yL5;m3*XwaW!Ri)kiio>wJjwXqQf$dQLS$2&LvwbhhLpx9Z`FoU!*N%^Kxck zL5hx^pEXG+R&dwx<u(`>D5-8 z=B~#0d2hyAtfmXxC@0KSww+mOvt0T7t)>%##B=(Fv$1pW$1h3GQEjDPKM8GR5eh6U zO+w>5J==stH%J`dUxz|@rV+~7IbG;cqNQdS06XK>*BT7e{%|vTIj4mG_E7^2?AoO4 z_P2&uX~^qm{~C-&)Lsrpq(|&0-%NS4S4wUROmX8?(X|DsjXx#yZb3Cq7r0XGQ%Gba z!hA5b-zJ$|SO&0VG<0lV&5CCCf?w>i`sE1%){aQZPT_Zup`I8kfZ(EYUEKV}dFnz{ z1Xb1J-M#$vF7mt2aYye-`10$7X0wm`K_SVu97#6r|K&- zAi6(8)AZ`;tI{>WltEdq#;thoCU?E>zN1U>J{C2c-cAa%he^k zapSsiz>~d^Wt{+x5dA4})#x_%nKXb78A}e0={LJ6LXM~)rWLc~-|}JAul$Y5dGSfX zy}hr!s9nOnc^wBymw#}**WR|)^WV8kPPgcZhf-LDJALZ$hfgA&5HK+RC;in)?aG7R{>q2_8J%0 zDfh8l1UtQRGT!X}WM(7D?t`Vg;SE`gz;FuUbm8%il^rx(54MPK_3G?{4)f=$PH?xB zr@8K7&ig}e^%{1M)WJ<-W2%>1kMA4|8{M1pW*}7=YGWrNjfHwg_3LIw47e^ydQ5w4 z>UFp08A`)r0;S2ds$%m!(iE6O7^vIgYc^T-c+o)6@(Uy*X~wQmxBS33p%o(W^d#idcs-4QxUr;dnVhxdrS3{SJfyKG@k28k*9&T3tn zr+DDHQp87=e2b~33+M47|HD(Ema>H^{m65rM67Fg#nUrF3Hn$f0oGt<7^YpZ3pT8t z67Xvn42q)e%T5uUtAfewrkbo3X54^@wh^Tpa~2gp`p~!!Qq^K{I*bx{samz3Br9t9 z7jR@sAg83pq7d@)6b;7F4>+FHa;^PXACPBp46*byhT?;;kk({M$C9ic@`GBXw&zpD zgWvPxq_T4_3!-rf28^|wh7vj#IbdfU6FMzvI@Y_8eswM$qZJ>MdJby2b-a?2d)kUv zXIJLkXu2QXp5bXe@d+4!i5ePM(_)zYd2*_|wbK1uSAr^C zsAQcV`1M5T__Z5aN&fs$qT=gPEn*6Oh14A@hss*6))RD!CVr`u5lLNS2pR;T`i~>! zw&@pA9=ab0aG+v^!gH`RSfJ&w_pG27S~qg9+Q@4~FYYvMB@b0$XY$V-s2vKA%7Rto zFSBVG2y6FNb|ptu5|!jn9>LANjf$lY6!B%yT->cIJZY53oxg?^9iwrU0?kK5(Pc}M zER>&RI%=mwb(=-*#BSGsXSJQfn=q zdro;PQx8=SQX}5|iSGVjrMR%Xisr*ggegR|`3D<5pn>G0lGQ)L*O z@_NM0#Y%I-fzNJ*ld#Ibme5zjz)P)$aDbFJDOT@C@dC4Cr((irq_i(v?15vHPWpp} z6dA5E4?@Dlq#lXSl2S)YlGvDlpEwoDl_pp7<-0x8Uf;}sO5b<3X=8IL6ka_k9TKB^ zA6U|{;7SZ3p~mFTM2Pvd%Uk=%GL=?kv08MuGq-MwJ9J{3dSitgDjgfQ)eA-mzx$IV zU8~)Sj&(;TNqxgr-JX0J6gwtwt9$kSYfaX% zZrnzSpOTdsv(uXtHdRQ-Hx51gb6Pr6-8sL|1TwpB{EKsGBp86Q;&y#y>3=~m?i zhis(Wo$jN42f+=zGNFUZFY4!78nKjMstFr;G>I$7^)%q@$Un5v*34Aru{~oqZj1NA zch|vBVY(Xy22h0{RTCS8wRf6WN+0!F5O|;Ysv%=91E=SH8Jirlh!V^~={yQW~@k8%v{8BC*WVGE2`fHBj* z;~l=xgqB=6f9F;R}YRL zIMJG>c_&fl1%l&JKz8QyFWz2sFbvJO%S~er`{BKUlF>()dS<;;{(u05v0q?m7!xYo zr@X#7z*GB+(|BFb*U!o{#unW97x@~-skW?rT{8&9plIo4DxI~u06hM5+p97K&Luk# zD%UrZcZV%bk{)VN0n6-Z%%@Z@U8ufP<#4O;QS>;nP8dz39St$4iOPz z;3vgc1Z(is{;-1@R{9X|l48vKMa91{rR`o2W7{xbcUb-#SHsHXK+X@J#lX7zy212b z4R1MMNu%jM@r}W%*uuO)e>*6|lHYPUron>M1Z=>9h@J$@YSE;7#i3V3P!p@uGBlhL zn+XPJLJ9?~j`5rN1yLq70tBtL1kVoeo%;lVBBzFe6IB-BVykmRXJO)$g?7U?y*?OB zSL)w$zu!BAx^I0CPobo+^`a3~*y^OV)z;9XjWr~ium%kihmhjAhG_!GrUamUg&wqF1RlPryw zJ_i((W!@LH(5Hr-D-z>+gEs5M-@Df3gYsr9j6nnP+Xg(0DAC0+_CN7=752k*%;Rb2 zR5*(Hq-deU;TEP17jT1E^WIxwXI1;X@ zBAP%*=@C7by!DIx021eJF)R-zI(@Mcgw8g(IGtH61CeUY`pD0NQV~&zDr||Hqi%tA zH<`jpp?-eMI!TgUNAW(W1h8+A6oNa|wJ=ER%2Be%hh&3cw?=x4rTDvO?d$Z@$DD|l zZqe`4A>%(;1w&*q>_E0)>$+)sRS*PW(P}Zl7gVEU)oF%&MwF1r1~-vgL$z|!LX;0) zqKhnoejw4-jx5;hu9uHgOMrdbCSx7^Qdx(Y=X4nJDW!s3E~?wSG+v~lM5@oc^lea| zsaL=;jnYXLD+JT`J3B2Wsh6*rC<9WW;cOx`9e$mn&b@`)HJpNsttx89L_uofQba^Le_J!Y1|i%3xA zL8Sa6gXnWf6i)~?4};$&<#aJ3!O+y08W!2~CTEdo?LI^7IqM@%hN>l)S@Xzma^CC& z=?R1|!)&MT4#p)y*rEJECi71sEqk?B9V$HD}gF{W$PM2*n&l; zy2Z;{f@5@*S#dmvd_DgRhCj^rJn%r}i|Sr(@MqOzC`N8^wQX#c^rpx7f$N)Z{{4Bx z21?~$x5imU!SRiJ^Gy_C+`~xv?WREUW-d}kN!eVc19X?^OpuusK_KKdDZ(5pCN*I( zL4P4f|0$oD6D|wbOI;we!ti}==!!2_dgy2RWzSzFkSBkelYqx)wbFa3y9l*r?{{P&z`aJw z(P)58-T_-K8oV^xL^?o?09y_-UhE`*$h{YM5@<18t}iIqMRmniJCai3z__1Tk+TjC zsx(*lpxDc88#7{5aR|$^%Gfh}o}8GDYA&H{i0cEYo)_h#$O8z$6*%C@%CV1{4J82R zfrtP}kqkH>eu>d(!Dj{^Eh%C-QVmri=yW|{xH7z+`6$82S0_DE$xE(-?9QN>Mter% zxs5#wj~25lIQ8mhYwdbx!R_0r=%XT{dDnWkQ-XRqhmKdP)j@kkl-^5*hF;m5WUmwX z9sl?iV_U0-b=B?b(@qV^{%;BG)sC5s(l_q-8dKQ!oP?#{IkD67Na^$;NN<4=M3q zY2{xj{6k9qS6by=L8?KBeuB{IKma8vV;vX|uwMj_S4G#WiKn1}sl{Y0O#jo7cxR4? z$mxD!#{J_2smCyp`GvJzjw3n@%DsVKY00E0y3Krb_CtoqsU)NkW40KJft^RL4+mw_ z9HFje2tgGXmA?ud>q2**0d)$RVY1jGRU<(6I4F&`2$0wO0a=EeL0T_W>mRlILmdx4 zas^))j!G15AkYH=a`7rs8p;d?!$q8S&=22Z5mxZBj;65Zr~guNMmDi+GNs32Y1wfb ze5YKgvK%thk1tvmRkl6AORB_z*QeHG$G~t1EX|nm2fElonypSuOefHa>^Z?emm{Lh z;nc!n6S>rh5566ubDpF&e9T;V)Hlg@frsnjsxl#tKl)eQm&$lB8VW>zIBJQ2lB6kU z){9zl+QrRWfn+zRib!DUJ1`{@P=cy~ypOt1>+z;5^|?_cW<$vjb>q5x2iv7&ElwF< zJ~2Y&Gab{?2)6uO9^w&{F)fHQ_HVtS-fnalHGF)gD%&SqIyL*GpK4!u1b`gu<`EF` zHZ1NseO_^fo;|0^=Op*YMUo`2ZiYf0i)evvMft*=*H+opJKwK0(E9S16KPad6o-W|tt8 zuU(%&akgxf-dsR|PAh_Bk{n6xxO$jb082raIVLmT%{>#y93<&N zso%-Y8NWU`1PgiUFBWW>naq^{gWLA#5(BRr8ElaI19CTpID5WatG49&4 zu)gypJsnx5Y8tl!Qu@;r>rw{n$toBZiY4Qe;N7CXQ*w$+a8^js9R^IpaqWgS_q=Xl zAC{L;`m!};Nad(kr?C4|(-<7>!^@KqTi5e43kP~vNR-fIo_~gN-a!0H+vCZR=k_#k z)k-`^;Xj!eb9ovNDK}lGI4>xt(eOgk*O#4M+NWzbo*K)%WnFU?l|sZ%IImeG`+r79 z2l{WE?%v1?m;YxNu56MYC_0*(_QzGj_0-Tf2wo<7nzy3#bY z8NY6%w|m9w5u=Gmzb;9vz5GWS#{G^r(qLtmMt`LTkcL6ASr=uL?97%z_o`s_=clXn z0%wz{t^`-Qy4ldfrq`0Jhvvd-gFro5_B)vcbbI)l@V$ek4!lcf{2IIJX8S3%;0ycR zt{ICeIA2D}SN8K9=Iht2zc3_4PnRWL>n78PWww2<_LXj!`=eW@mor!Uqozhu`+F5% z6-l;ppxeTG^K(w5gaRIYsN6UdQN9x+HpnY=T8AoY--OQ<5IJ9*9QDTkdnd;Vk{e^D zHBc{zcw2-~Bzhkuscxmsz~G-NIVL9dimt1U&f!sk(jr{XENy9?nd@Ahskahgre$MS zZVK2WFqa-K9JNWgtH#6PL`k=6z%3m3=5Y?zZEI%tcJk;`dTdiD`q!~IX=!QIs^cAz zRY^sN*yZD9R~VCR|BsA-;~nQX@JxGbuA0M?%b`6ii2 zg9DKK5bKYb%g(MQ+dEUAOrJX{pRZ#2hrQl=>zg@{Y-^|Aeo|4x)23V4a0Br^&gD(_ zqv;WUQWEu(f0+MKeF75o75HvF{ar9s9#N+7mAQH8o2!KgQ|@PLspUD&Wg!0n&b@wZ z{S0;|a=_;E*;Ev2u#;IZHP-U&)|A!hR00397UuFk<`H3Xpj7>T`N&THfyU38=UO}1 zF1F@)Pv_E=o7!C*%kGYP$~19OH!6s@k0(mQs@7Ct-exj5an4R`&t5v!JQRl)xGIpj z_y3g6MwwguFJxdOw zD~ukkxwrJ2xIo<2WC}mp)MSd!VKZUI-+blbD0dHeKL1-b<{#)CJyE3}Tvk{1UolO- zC)e%{TQ$)mYkhlGPM%*BEKoYLFlybRi1>8RoQEE#L{jjM08)N};#)ppHpENLKJ_$q zqh=>iR&Ez0N+Of_H@=^sjHqUG{ONBos4wj=%kI*XZ|ZOcS5#bxmdhY40g(Es-vWr7 ztuDKb5n+DDLB?YY>kmg#1}QSsfDpL;Y>DMaY>WMs7TRF$5j%MTM5~>(0eeed%3D(X z5AfwWTioAFa2?^>+DknzzHpLaZNuzS@>FFdblB3H{==<9%>ZKKY{5t}Y3!iZ=-^g$8J3g9FidM*C-Ex_1G*X6klV?5&E4_mIr2OCsu=0h4IT57y1QfK zDd;b>Ls5$HX68ei#g_?0JRIn0%L$~v!Gnef(bD-YW%BOlRzH|ZJ3 z1bBRM_8bMJI;o42(hv4nzK#hvB>{m%@?s#6cy#y?Nc;l?68r0W?}#zXg$u+!B84%H zsw>R%Z(@ocQAC8@K^YA5rh@PP#1OBxi?UBWiA)oGDl>Dg@UjDLFr>bbnY5rQ-|+#A zbI1RUcK+|sWhwcAHSe3aO~0m{?5Mr#1D{+DuI*0lk%X0Hde-_#Ahd>Hj)7eVxo(-B){X_SCyu)th7x1XaV~!puku+C3QHl@ zOT`ERE6Mi?V2N_3%XV6pN|&#c6w%2X6ltxg$wIfZi6$i#6|W*HgHy#a|Ljtb6Dal% zXUB5uiO``izciuv5lX>mOLGl)4}<-d;dD)k&b~!*x=7+sJSod$i0Q~|e-3w%Ra-s} zG->259UiQvaaC~zn?v%NYAP|iqKy*C^j_y-48#$%$WDzLEa6m;zY<~8mORE3Sp53) zIbV3I{^E8=|643a8Qfg_{TZnVXCRgUA-vCXDT&4F&@(s#AZT)mWm4WNO8a%Mvn@RO z%_F{H{~b|%LOUgjuDHJ4S<^l-p$UM6C?Upy@feQ3z$hkma-|7?{t*1HcK$xWDIl_w z!sGezmYS|8Gn!`qp6hFGaEG%mf>V$;`pY~s*a6FsU5T_z2kygHr@S~5OJv*|!N-zX z&#SBid#-3x@QlEi_brOpa_Sc{zdN$Vp_kkQX+WN6x8~CA^BK#s>voiI^b$%n`6n99 zT3<5}(t{E@6rDSAvP^y^I-v?ugp%5UZBLZZ3su( zKVCOEVHDg}GDyrjgZUWOvp+}>{F$bHBt?>rn2ozzYvh9RnvV-xx0g1+-3_GO<<2CHyP*pe5O#my(llSpr0X&H#-x2M?Q`h4P3OunC3H(pCLEd3iwz^0v;1)f4XfKU+$2u##?bMya%(-SUq^DYl8%WmbbqjgJD zr5_ER=5BlY%Z?6F>Svc3MJ$K=28Wy$O+x!w7$rNZ+?#BBQug{16&n}iy!W2BDNzFfk^8Xh@L_x2y>5GA@=8`F($`g8P(bfCC3yTH}= z(Vd@482#`_us|zG!iL`U^_E&j_rerLAg+-B;y4pKf$Ce-3?~=VCFFkiaDaYCf^iB z{M=6uKNkI5Pg-6L*^`E`M?qJCV&wVe!|9|(vey6#QpR8{HFjIHSLvGr@1Cf)S9iRP ziYi)yEe7&qE3;RfL#yk}jL>cy3VC~*V7lq}G${6#%X66?wD%tf@b{10Oj}|IW(A}L zBC;Nmu(X1kqFVye-$_mgr_K8h|B@@(uG8ax)qFDkqKh#4%}n&Cp@=QGd=Q?&7mlUO zgWJ8Ic=m7Nx3oC9dhheAy@&2^xa;A%%X3}T=^iTZCd0tQJ_&N0$1hmtjW7-2gGAn66^?_$^hPX$0QFQ9Ro+RSSUr^gQ^ z_7>%b3>7&@id3N0LX`v(0#HfkZ{qyI48SmF0_c*UVxY4KbP`UI#YJo@Po&1Zt2313 z@W-c|>MiCVP~2wPf34|nK7$Z&2iG2B_k!4wvaEDXp`|y9)0#CXcxQ5-3?bu z{*A`U_G2?r3-l946UWR*qi?%`T>)(OWx8oo+$%{+`+UW}k zP?tZ`(w%PBD?WDHAZ@&79cCeaTi+u>G)3Q~a{}-%+Px1+v^ylNcv@m3*UaySKOJke z-A9DLNxd5oP+mzexlqgk%Lmtd>(kzeLy^c@2 zLQHqyKF(hQ(8QJ+HDBW+bsCIoyD{p$uIBbZU`d&4Yl5NL?Q#2(#~Zzmvn;^NIOE~g z4{zhExa4#`9?qGx84p%^qwByh9C~2)@+We$!ML?seE?Wrgb1u}tr5n2iN_6yBW6X& zh*EA<4Lwb~4(NMSESkb2tA~JiB5X}T%VSiE=@y&@qfL+)J?b|+3_Qn zUZ1+(d-BcMZCc{nS?9V028|G8Uf$(8el$rIaH}O8S4*oT2{&5CNp!TdQsQCHcjPyq+!*0I#iRi-=V)(}t+V;N`9jOFxM&e1P2RB!y+wSG^0sL5KY_peA3GElN+u!RG)6?ggs5mFE!FhQHJG;{d54(Tgem0cH zYg?oIS9&x%O)L_+I*wr+w&5(3i|b6G%}KC`FleB*VG8QQk~S6iY$cWtKYJ zpRV0uqr=1~GC#2+Y}o^dn7_M}&;G+Ridz|6E@A(lGd`_Q=TMQi?a#5bq{sBfBnqeBpS7mNRWe7`SUmgdY&UGD0hUo<{FSFX=;t7rb-d5qk}CS1274rX49^IdX% zB3=BG&3Kv{a?Fd7`-3wPMn+FOo$#a!S0hrSzvjvjJxY&&L;BooIq>c5D9MxnZ8i^) z<<<(MFU~QT^DEL88R|Hm*8n;!|OvBPWlXJ#Dw7I2~p z{gf4l51AEbCg&kmO0Xp_w+2MK)I$Es%TcJ@ws}7$R6-3W{sy8^pg?#E@*_ND1B9nO zgin9~romSV#nSP7ukLY(M%$5Ub6CREK-tH(@p%9x%FJ`LCuPz!1uep#&Z!4}S9Bx2 ze_7NKr6l8}S;>L~GgR{FiDX`))LeXgr-&LL0?~A4oY88G2L$0Co2TQ!GW8wq>L4xhc;A<%pb`IA2&KN{)FKr*S@?WwZy}S@6MX7Vcf!m@DSc%zxhIxA^UFD%e z(BNC`fmtnwy--Ddn@xA0?iLT%?%v*MZsV7vXWL`wRcf>zZir||=pfo2ht#zVZ)|ov zr($ZgE(0;>A(F1UVU{V!ml0^0wCtB=Q5J`_FPRmH8qu3tV4mY-}t*xASoED0)LTak8nHdUVH@X`=1b+QynOzG5I( zI^f=6Wp17pVcy3gVLjX!{`Jq~(xGs?#aDA>XO;WQU@nWx>@`a`wmHfu(!9K2E9Xnw z%3o`DESC2}R{Z=sV)YhZAp%r_0xSpRjq9F0oKf#c&`&39APy$I9KDYQ>n+|+6z`3T zG9KzyPMmbJ8dp{_x?45e;x+weo{aLFp6Ze_?Txxx>7Q-Iy*rzoG6z!^*Oz=VmQP_* z*E|@6qqWA%Yg$+uV$!cmiXg0sNZ4C{6R0~sy{GcU`) zn!Wxd(@9gIU082pf0$Um9EHL%Gb!VPO(LIp@cw?%;ck!76vy@Q?gg#*w#cGLjcOd! znxSTmOd!YPJ*}C5ST)K{Bwjy`s5&DDTuWkg>g){(vJa|*egNTSvMeE$A%G5t{>owE zz+5TcS3&jgT^bZ|t{$bYPtR&}7<}c|_06$F6CLf$wjSR}pd)=v`RIKYBjVOcOd8aA z!OI3RBK*R=9;kwatSLdK+EAWYl#4W0NtPM5+0tEXH^hz5OGPrNVv&S)u=|~$cNz9s7dhaDYkIU} zc_oi2ozcYhtJ@j-;sl)fP|X^tZ|OuXSHco?os4&h#vfHjDT%dPi=8FFC4On(`;3g(^o}z9|j47JJVO_c*i^!jRJzBJ3ItfvzpuOUZHP zz*ziZ(ImXA@7Npz-XL#mj)w_d5pF^#Zzix{k(5cZnMR1ePQA#n{^s$HHzjq;ELeZl zcN0U6l>vf8%IdnGwT#(X{k<`n!7uh{4=Vd_7JM4*x`R$x9amDOC6ymDvPPp?SD=FC z3fS?~+Che8TGcY6nF5cDs8!R%8meciN8_oR=>n8IT{K1cczO@Vj0MQP-_~mSX*C{p za+&WIp8{iX^Aw%@cZQuW&0Tf2Uon_&P+pUN6l>*{Qc00@hebcrrfzd+@gtXC=|QjO zIEbSbKu&BSx3gUh1@q@6Qhzhbt|j-=nn<3~OLWMLfDzNdqe5Vn_>%B7CIBsd@hf_l zjP-$|GOR4mchHZ&iS1)P4^!k;%=~(Mv<>tvG}KizBM101^IGD1B|{D8lKGj9iwUG8 zwpr^~#(M!jl%gk5`Q>3>#P=lxfeJ_7 zNC!%fc3eGA{wx<_`9&2q-LVCVIWqyiWpkZB5c+@o%x)~DYzQ@?vR6^LK05t2KsVG= zsvq%F-H6mO4`%e&tiLZ=l4q!V2do9J2i^%xFItW~_3YnlD%+X)}{E5hnYm0_J5FJe?1)bM=d6`VsR%PSU z90Y=m3$c<@90)qKwWN;cLD^NZruv1%j+aK1I?m0?lDos@{fqyfd4bh&x)_2a#xb(6N4#2`ZkqekY zHD9}$5n6}5!fh*VK1vu|A8}g?QdT@_;Mrg7Wn}c1ixqQT(NR;ioXb$3$PuZp=dH>k z?x)mjStwso6Ml$yrHGy8<277+8B!i|RWc!7?Uq&v;4+!fDCAl11pHp*8Km zyw;Xyzj@B&SwUG60%2Ixe2eq~HHB{>(H>)^w859;+a z&Gj8UDM6_`ZO_cfIcY)P!4fo+$v$87%~#`rXT2rYy{@FZNt^qg`AM86XD7NTQP-+H zpK!jNd*`P%b@d1F9FQ5xxKRoP-+QV!+oZB94X;`(n_vxL1}b8qY6eE)eV)`iu=7s{ z(mdE-vQW#>gM66WJ2DXFpcZe+1X{D%vtY^?&VL__JqW) zUequZ=jbVGish4nVU)k`Y-WP!NjocF$2|P^k9x&N16_Eu9&)&Mh#3 zAhwukdN(G+@}nV|2xwMwGT& zIfTZyiQPwKX+z((dPT1PwQ9A8l0XIv46WQU9)%vFA&TyZ1dg+m;`byL%fN zCxwRY4Az7VVaEK?;cTbE9wt6Bc@o->I<6+7qpERd#(ZQyv85vYH3$xBIn*cSVV z5WPRCS2t$iZaJA&n{vz-;4Gh9{7H0UZY;aBFM zgu8m$>h$U`soT}~@B#E>7gdJr4N`jiG;(I3I$IuPz}vX-FiE)Yn`IVq)`6=YY8C~D ze7gnLv^|i9r0mk@vgDDwAFk<9c@c40`zSE^qwPWCuj;npb!aBD(`8E!{ov>xBB%4o zmVKacbkaD9+uLIQKJ+B6^T@uZ>)dBk&=$QJ(zBfy>q2|=ES|5)+)~W(6_U$%5i(LW ze+EH{#M3xYikQ&f%YPQx-y~>K6xp9gtTC^2FG<&}Sx_8@@Yh5tt~blJaFRzhg2Tse zOqdhDuGQ0esI6SDE9K?MmOTkjS=ph z=4NT8)uURPgQa0+ZPUq&7Il>b6nIQR46t*D9CYuBjMmx-9^{8jo?geko9peyd7SRo z?Yy_M33BPEZ||MfrA9Y&@XE}}g1r;M;6{$lG>r~$>}YFiOVL`l;&4s(&h*;@5gYf$ z>OjM37*g{7#u!kR4i6d6>t*w1p7wHOjj4#qR?0G4wg@hXUa9ZEV1cO3VI_8Uf76E& z*Wv8JG!l*zHK|3Brc_%om^zHNqxr(Iz`wntEyM3?t?G$^4U-8b<;^cvE;6^5 zhc1Zt%S%~JvE;0(oncj38#=GK1124F%CPk4_p(Q)+O?IXOQe)`E>ooGs-xL;E^eH5 zG~{tx23B@19yHyh@arB`TrKWla~jzuQ7&X=!Ct{Ah%RiTj4CI0IOfCB5%L9_gebcn zb~s^@Kz-y4L3*QCDXR_zLny}jsy~pB)GMGPcIQ@Sm2VEeFmb3fx+?KjiN@%fMf891 z38I(HimaA>lR49QaQF9gsJTvhj(pfFl~y~y>~67C(m}0(W|RkW&Z*npn7po=))6T# zrsgjX4l9=ZqWtIa!r-!VDFZ90ZOBeQPceWs99Fo?~jzdk(Y zyL3fzV6;M%g7!4b$&tqEIAxF>NgU)-4eD|bQqO|J&t%~HT#LEvQz$?smD-?^&J;`& zdNZ;#$MpTeg2Zl7E$|XFAU57dT%u1?Oz+}azD6|>aYkXmK^6V`_;_F;mk-i~=holv z8jFkl#)ck-5gvxQ?P14+hNC-xpPN5~IA@b|6Qb;tc!rhXVpM$=BdMbngTWIh zqk-gowsxem$8UIPfB_;oa#OgS2U1Cc%>uRf?j&!T#%>zzaE=_HZCM}iMddGCf(I-M zw_8mQ2KFOb%ySE37- z^qBoV%SQTzadVkJZj(p*H9nJ>@?!*ONBZG{D!C%{4fsg-@B9UBJk$lLFHk$m5BG(F1A426Mws{88#4B1+rLS9 zxL@9(qy3sMMrFSRcn1peYCPpl;Zf86HYR5UtO)L46YoT%)t>CV#} zi{EN+{%pNax8kfv!PPY61&I#?b2y!1Qy!FP>V~kqVpm@9n;rzMuEI~Q!;x^p_K;hK zt&e_q2amB$#dYx)zF^Vqp2v`R2TMG%8;oq=$-jL~ahk$ouNDV7SI$ETI4(UKc!d$TO4#FW_{7YLy7+`@yRUGJbM}@xv9Yo0Y5KbTqBgP8`TR0P?KrJf z;r;C4ej4*)n5nJx3iVo2F*7h3iL@C zKXYys*W~_D(bk&qZFjQP`Z^yi9b*2NSjZ!-i=OSnpKAr)iRkd{z{9$Y)2y7YiNpz( zub8&Ndb=dy{Qb~m>%*R1XbYfx+n{2pqQXk?lx5gr&S53StaJIJCB*7djIX;2buH;; zjfwK(cXp+KFOIP-L&0AiC9U0-YCq&#%alFeN2Hhm&B zQE__aTa6HyvS9h;Z$$)i0UO0WbVLp08llgCWZMl$2F2uYv3Oj6ofYwF?^>J~ZIv55 zrYlbc=Rq@c1=u}BK)5V?zE5=`CipmoWe2EynnurFqap-36~g;ua{mR2r;SqtWI2Nu zbjk|)yN?t1l$DEvwGa5_Ga~6H%du?mdPe{6dY=W%ea@s~KpedNz_Xtb2|?Dfa6cWq zfkW}!lK`AsmekEv)!kkFJvukDSAu=#vWMX$V?R5CLpp?%C-$G6F}v3hR@6kmvVadS z(Ljx}<(3K*n?$K(30I~Qfw@okfK{LQK%BD9=g%dAG29$wWnop%kCoS zLw9S7QeH3|MOL(@N!}QP!!fAcC88uNCZ!R^r-@rgLGJ@mMD-ZX?uJQP-alla$fI9tS!;+1 zJs}&$ueZjKk_MIc={PCt6HQwh;uXbLA|5_Gn(Y`vCaORZ*7u*UHuo(i0Ix!{Y|s3J z5{cD@1Z~;Cuh5X*vgn6UljINHX2&deOf0#&jI;WC6pnYl!o4BFZJ9O+|97lmqPqI1 z0Aw8@guk{2`y>QSS6J{T>!SrZX%-jzB5az6{{B8D7uO%OuwE>Uxsu|at!8^``ZZu# zEcA51e^xBg*CjiExzRGyQgsL9tFRj)(b8Q`H`tJjHrj}RALDxc{Z)e^5`Kq}60$GI~q){`ZhV#kq$TOrzopi!^`Lq$9@j|qPdP#Gn9+tIZ z#HwNEPfS$!XFwe*1jmw$JV6%D1v#}o6-yPl@Q}1?7c~LRx_y(_L@0yyyNz7Ih}|@z zhLGmb=pw<{e(xxhrHGtk_4<$+?8bZ)geI7)`jFPJscC#Fds~;&F2Qg#mG0xFgrr$~anZ&ILaU#*Sz|dflQ3-&&h+EV$wYPhi*ifH4}1gY^)?lH z7=@y0h9IR_y2J%?p8b#*GPVAL&QVk3$1Jgoeg?LRvIKmEyaW7)6>vG0Tv0W_iSd+2 zpK%&YD&9kEp8v(xR|bX6bz1`kTHM_!?oiyNxVyVk+}+*XDee?^rxf?%?(VLIFFbvp z_uf16{Yd8Qwbx#IpUg=nnVjTEI?iwr2634|O&j*r3y&z|Zn#+c$PDzRxh0rH=oNBR zqakUoWv&*%__FrpLGWi7Oo0)P49p>%4)rC6H0~IT2yxlh?B>F?EL=@`YgxLPanUt$ z*W)x9^Qqb{0Jp5KEN$9>O8c)X9fsv9n}HR>S`dNPwb1PKZ4*Il!$F?|&`@|4&OqeM zdknrsUlE}uGyCZj!|d;;X+cN#Y8!LVZOHdRe~Ggw&MOrINpP}*wnge`wgVpTbDI=| z>RbqYmv&=qaa=^3L7JlEXZPywqBi#W>osB-g+n^73NYgWm^yy=wGs*${!9#6TK^zn z>p@wq_AUDs!{Ht_la_r|d!%T9y)%lY2CYf-+|4QecVWxCg4Q3Es(!eN(r6PX{}p#XwqAh zliB*0jCsC`qVg|i$CDIWtLeLU4=gFTcS9Mf~_V%zx7XDb*~r zp}lcRf%-zC7P|y}_2^pZlDf5pV{?bG_|WQCO@KuBSSH2#pzjUm3eg1m+;7-f!r_%9 zsf?&1WsL?e!*2EUAp->jaI=C{>sHwNOf$-<17x?+NR;(t7~Sn0U$@R*R$R_0VoT!# z4Yff^p38-vt6zg3f?nyF~9RgX3#??;sySZiSxgkf>4KZ!g zOF}@en-{Jy8NNm96T%qkwT+>t{OoqNp2Z31BJf+U|7E<%b{y4nF6F`fAkC$P?p?Qr z`BGBJXoq=WGxYY{C{*GN5V7AZy0mtD$O1-G|b2KIxAsuuPKlRidR_BsWE z4<@GnSV*u&el0l$-6zqKhTRqH!JwveW}j0`ea1Xj;BX-pSjf;ndygaW?~T4%j^@?S z!mXs1_Xk6!VwKa6WG-s`dQhNRhL5A^&ula|o{Vbh${J zX~vV!UH{~IH6dvIj4DuvkExdH`Jm7#pu9r0Gq+l9cnF&rrY&|}+J9Wa)6X3DGw#6S zr|nnH1=r>@nR9Ji8XX2VLYv80`&bDy%qr_wNArf_Z(o-;e^=@SiXB8o6V=A&o=$;T zeV~Fnxy>8#a~Y5~I^2_7bl$}vQwrLbUMS>bg>x;$7T}eWkUS4D<&I0nRflm6j&8vg zBo8k&p={s}IdlEy7rl2m2Zpz?{R4t?Z$J~q5i_<4{a}#LhIXq?pE&9Gji0WN+Thl_gaCUHERJ*%)Tk94+Vw)3VU8TPR(E!!zwe-?qd%zxS%?sM|QZN<&ptt)L zak)@(kP6NYbzKQO1}mtd*I0&%wJ~VCwr->8EcY%)eYblhH#9SOP-TA$QvMu^-+J!L z@y#QM>jb}KTWi0`iErHLTA~J6-q)_s^CMg+qNAl zfMz6#g2Jyvv?_J~rIr0l)2{fJ7IFMe3jt9v+--hYVOa|XZ8~=t?u**I+bpIqTfnvA zm>jj($|1@a8+LC4Go3>TfnT;KE9Q0lvDn~+_=U60*}>QXuTS|a{;2^KVurdjYJF&A z%Lg+P7WqkH`)&A~wArr$5rh6*z9uRt5VXYWry%M7kVYH-B`y3*Qh6r{1(^hC>$+n% zc>66&V38}%PyECXiu@wX*`R=Z{yR(iS6M?x&Ls!@@F9X(Hh-X)+2-1dnHc5g9SYG1 zn*5YchcgbyI;C{I-y%`PXGG?}&TjN7gD1-vXK4!2&AxpC1~McvFp#at+8g*YOJ?P7b);e(2bEukLz!r_ zrQeM=H*dx&-Pt?p%JF61PRc^2h1!lbZ>HX%dH~|no(H_}1qneWs7}cc$yEWs`z^E1kMzw?AuwfRY623j;zB3=`N;61O(OUB) zvFJVeDT-X~&%pE_+c%h{f}t)tL)3k{=<=dnCk>Tz5h@Yxnbh;(jFpsqDvWo-%PV!% z9m-NmWWgjPaqD{wg`s4=g{Z73mycQRPgR?Xy!(y>3+kHu>c5w#dK9~9gP{A-!UEansZV52>QxS1*UOkhRQJIGwO#aLP7r+^(sJ=Y7BS>#XF+pcj#^bF`uk;V z;(bVL8bWTH=6rI+skP?&WtS4zhBWU}mQSJX%9fwn@tZZfb~T57R3Zo^^QKiE9CfhZ zCw!{wG*pu-;`5lkjy3UCL~KLdctOn}nogxk5}+LM`gZWtQB%$a8XLbULPl2+L9}{^@jHy3tcT8m${?x+lq9u=KoJJN>gK!%c(f9Rr7V z!Wcjp%c9Y;Ow+QYO@c8yS{Vpx2}ucxuu6zHEwl%v{qWGt&}30(TVrTnQ>R3iLkdTL zQF9&sL+d16>x2%7aO)oka=Y*kiufhLld!w@eZ##xCfeFwmg`Z={theM+d0;&bK*@D z-r=_o$(X*(CA)3TXY>a+{|M2wmrg6z2}!$Si6t7@Sq3Q~o(aY&s14AI%9n z#f!f{k^-1tAb=%qoH$D64PjPInltrd`jf3w7-shQK9DhE31I<37eauLZ{#y0VJDPy zKShX40wWU=^J72R_82DOdLWr0$k*Z_%=%C1p1tTY-!v2ozVwSYrjK4mZVYkdZPpi) zA{oPHfTdcD{OTxWH&m&nDav!Ovm=V=OJu__jdUF`pkl>42kq_Cd2p?nXVN=y6OAM? zv?u@aUEs0WiL%0qBXQh(M6P-t55^v4L-QkcBb^T;k{-g9`>U*I~v z*lqh~O6!--zD)gPWOP6zaON65?p?$d5K#d{a%CsD?lu9DdO$>(anlBC`sO77+Zu8d zNfZWxmRd;*wcf-}QuYIqo1P15{jT4d{wFSoSE<-9NbP8!!^f1)>e>pUh^;Z&j;uQ} z4kczITJ?pF9pW~XDN@5$M*KzauNUh*zT-LOvA70sR z&VD(3FPNy>8>M-UD5ThFQ}i}9jDh?iQPnU=^ZbEdb!~KYJ82zCUWfFlX>CVGbxo=r zu;Jx(j1QaEMzsKcI$%S~>l7|Dt<`G)emB5|mDkxFXj;ou1N^GnN#`LHRq@N3HiG5U zHe?x>!^aMhV!Ie=hd=mmW@k-fYW`GXYC@T*gllI7V*g;x`Kj*9*pL+LRi9q@0AA`k-!#6-4I zQXUnZ$g{zPx0+))DJslJFXmfgqdHA99TgRnWYmhTGE*EC8P7sYp{L=(-)wgg?wvdRHr(oBNL#WYSC2^ile)cl4Ww? z)xUC0x+1H*lt&tE;@h(b;jMp|j_$<6mxeYYRlw(8h3IysOHbc z1*Zwbv>rKd;dL3tnX4py>URt{sK#s~6m8-WwJXCP`xeHS`mN&)Xgop-MHkv8Vs7u+ zw^B4be!aGDc{K=BJ%DxzRk=Rm-J|n>e%6cm4nlY;1H!+Y1=jt|4H;zh4jjbX0}N#8 z4i!Y_I03}}MAm8!1!NNIJ9u}ywk*h}g(`B8K^j>Qep5GS5S#Dhpp##CK>1DF!9X~t z6+v7bc%Xd0pF)8!EdxP|-@&>w&c#7)B4t5hv9dvY#~)BZ)-q+ma!kFzd`F+aK^*6b zK`!>ZkU@B^Q9z9L$U$qx`p}#`us!^NJX;ka!2{;g^@$gCOc3L z!uaKk7s8K^>f_0I0%BQpmY9RgsfnR;1}=WZ2xY8 zj1PBD;-8dl`_Jzb5g+m$y1n^81*{%W5HGl10rmlI^*+GP`{F(S&d&O9_ecEGtzH^> zR$9~Rdx`2+uRw`YX>QNizKGRtf4LuX{&GPiJ}Eo)pMxp=qs+?>l^Z_(kmU>X)e(LH zhJr|G3abl8PGBQu9P}BKG?0pO+XbBJ)5{cxo@`E_oUrLjB|p#|&W|TBsE9ND{Ggy+ zU;eT^KKx~W{LA+F%Z3;D%SK8>JQ}jpa5PyXqaXx7K}kd`JBVDTEFruQ-S_SA2aZM7 zWg7(AKfTkH`=@sU|EU=EZ^hVuEAABdTXDd9#WFwh>Xx`Eh$i#CT;B;m5z%m#!v%tY zd4J6P+gZfFp~U~`tl;0!z`vc9{M*?KIl&Etas3)~D~d!AvzjzM<`7|%hEh4O9AT5! zZd~+~*?vJNU-Xp6UVAkql?)hC5Dle0gkgO~bt?uSfH94aBt+P#Vdy=;=+ze&7!x8w zpdek-a$p+>1MdTdH4!9qDix1kjYb6r9tfw|L+INPqv^V*t|EP5n%JK|vj4fYxV3e; zrg{0ZE*Zlj^R**Pj?})3Sjo=?A>R$<^ULm*^VaBaL-qP*NAq`XOrF}Z}Ja4d!HIkTVOR{>S$^j&~(1(zo^-z}3U z)+vzZ`PdAFN(|k)vr2=21d&t~#xwwS!X1W7urri$m%&c-J!fPCkdu{rOec33>={qM zl`kF+9hETRsATkVP3#wIXjRxToJgLf!>7yK= z=Z7?yU{hhV73k_um&-OH#4WJ#?G}r zoR;R%WSSu-P?@u`gbHF00aennH9Tfh{N_Ho?&J2BicyPSyp=y~Fry9IdfFuyyzFew zG1*9<7_#uZAM)6H`QfKVbJfLyPF$$A!b)ITY)VVHC~XzL1vEvwI*zcf{S<73h#)49e-f z7{k%if9U_i342AHt5b-%dCcJ1>rMl^Uab`(phGoNj{3nCFW6=#Drggj<(Od*CfGg6 zz_X(F0xrCU!81Su57KQ>u1Wz`*9o(eks3YNX0){TV4w#vUlNEC3j?B%j~E6eL*0|? zFN(J>q93aH+dr`4iCa?GgzZ}PWMYnej$4>o;nEQNoZELDYfBg6D%?ryAj8;b&i&aW z)3}CdoyOx_cDWs3mv_VVH)!yK&8S*p_CD z28x+8)tyi=tB+7QdM2dtbEI1`b||r=+1Qs_GocU{4vp59Pa4riY+g#6fbE*q_9TS6efqkQ7e6N1E*+rWQ^~<sVkD3tG6PaU23^YWJ)MK=S0#L_1MZ9VlppxAl4#R9_S~F#4+DQ7U-Cx*K1%R{u10RR~3p1zzF!bDC zHjKx=@QykFyZi^H{`N1NstLe5|A8en|AnQr0a$+iugH+SOJ1kHZ1L>ut=?%KCKQ0C34`0r_IelJQF9(M7y!dwP6eAjr&)87F&O8wq~Z^Xuq&T+?G8){X9`*O5VlD0uD4jv~+ZWamt4 zuZXzuXnO*8$e+pU)?*s)m5J9icux-xe>F5x zH`P)}xDbu8pYO@wnRFflnGlhurfosKx+Kx)5+(cDjEn&j`}Bj#=fwnwz(w5C4iN@A z-C}u{MhZm1)q@M8tEDaYuYBB{si>jjq6sgv8yk63Y@2kfE7X?zp7GhhjF*x7dn9fC z#$uI-l%u-5m=0{TqI3*P)IqYxp38_!eE*Ao=CjCL4Lu-E@9IR2j}HuphO(J9`Dm|= zRwCJ;>soUP={oW`fa7}HHXzI8Tmf9DDvv( z5K(C9GeXbfpy2-Yo5fL<<-PMw-%t@~W-0Gynj*Rn!x+&LX8B##C$N+2d>rXCN8PB1 zkWy4Rts^ZbG)^H>-rgy`Sqirabv;Hj^lBINy+f}*g-~U#-&i#DMRl94(XkrPL1`;1 zw`^Aqv~xaNwDUa(eSw|4u}F$lo;f!xM{KNVsxF!$hysD}(BEu76s~Xu!w!kJtY`kD z=QtA?0cW`M^r&4mFB$IRzD01UJJ}3PH1M2G#JSEhwH_4J=z4#@iT}C_Dty6D;!BZq zA5r3_v7=pu;D7`lR~XJoM^V5$bbsJ2LL5Zs`f#Kd>iK+R&5Jf)gL-(seR9?~_-B26 zR=9kYjB&=b?Cq{=4EM$DN@=1d`~G`6$IbPPdD>?TpIL{EEx+&E$-6ilCk{wFfamCX z?8ICW{)u}CFp3akxmg?r_mz(x>W7hF*j3=w%#3zi^Nx~nE6ZBb@_E)hE%x*#vePM5 zdScTA#SJtS3k0)8pp{-4#q7ef(J7@a6~72m7O*MC3f3kWa8Hz}P-j@i5u@77Q}Qpe zJB6xRDF$8aQ`tuZmkQoEOgTG!^8Q}1bXJ{vOf$n|wQvMpCKAwLp4R*|btXJkYjANHQ~T7BP1c}p*q z;Po0N*lEyJZs^O#pE-`vJue2n5Ead@yId38Na!+|roa8!0!+G2ILD77`?!5AURXP0 z@%nmY(JG%Ct4M`|@VM*w#NgGw>T1uEAzKyLwSq~Ir8N^YJHp%EChkMMY~6qLR9se8 zp262vwLcwych^PjHP);IDZ((_JKJI`(MON?ri*V(!_KhijU znA^2k{yrc&NKxwTje((0${0@Z<<6^@CT>NGm)qdh^qeG!uY@8?+h;ux3^C%<-NMC( zJ{vxg(Fue++v}!toIP?1GbdEx;irm{U1FMc&V00yCFMzrS4aq2a=7xDSP%uYhV-t6 z<>UKk#h@R1HtQVY$l+K`nIH>X;h(f_C)PO%K}676(!2hovMV20yxwJP(-e3PuKRSh z#Kle`E^rQEoJ#r42=J8=W|!6#K@eSis20Oh4cmL4O^y&FNvhF!ax?vBd)|1~jQK}r z!dI3be@;ZrmkOD9+Wwfz_w=?cZaV`1J3l3ZQ*zRwZ;<(Tq-) zM1^|S{K**M2tII1jX5$%N{tr*v8h^Cd5T5Br{o{ESG4iyfi`jV6*0h$&@-aI*bCS} zY-*Cwe%sbmNE>_flBAk$X}F{fNAI$cudj##b`M?=dB#?r5qW**ny9qL+nP^e>%VpB z*NM#q9?-={o`4<9&n7XAxdC8@*eU$GmbcUR}47w+OBh0foDet#>wgDmb>F|2YUQW!y%ynU;3X=oXLOE zu;o|5(Qd^%06vYG-TiMuF!4zZ#H7OTWYf3UQe{5`ng zW;v3H3m9_jfJ~sYXGp7@*Hbvn739=!p=+s>!Q?oSng2GEMJ&2{=pNOoxTD9UUDab0 zjw@Ak%#x~R0jv^A>3Z!r{MA-!L2}QTo0fy;dk5QvefcQ?1c}Kt0AknmY zRO+V>MNatiL?M#LEEIj{7S+im zoaGZwDv?MjsbVDODPkn$-LzUo+_b`nw|}z?Z!0L_r?_7?6NDluEhT#g)LW6f3Y(M! z)YCc<%v7#v@CwHlCFOidS}a-{y%R0^lyq3MCUyVb*eg6v+{@icV$))j_JaqeVMV+l zfQ9O34~)t^+B6otxUg74B!QSpO8BYpNC-rBDw-Wfv;!PGf_3 z^}`e}Zck&|{sS~fo732O-W$7hX>8fM`bI2T)E0ZJn#F0$ut~p7j}w32%cp(w$zq!4 zrE)l>r*zPUIA0Pat^7j@tlQf2iOS}*N`7rgboa*}mSIhK^W*s1IY)>Ge$pS3!|JNU zlR5TtP7>i>&^;PV-TXtDcQa%x ze%{xe;}Lv^9fwTVo|8V+lw(Y#=;S$tdkAHAzT9%34!r2y&G;sbO1!Jx-mJsym9{_K z_WZ($ME7w=%wt4c4yo7wfKlr%nVqc$Wvh{mEx|D*4qcUSdd>d#mGozIMAGY!ftStW zQ{~sHXW7Tu+oUI9ZZrr9iQ%U24V|+EzdUpI_S~Nso^3P8{oVS`i)9~$)~H`iF)ZXB zcCBB@#a3+Vv%9cy30rWY$H^ZbkMlb`eY#945jtLhCxK43mGYpGJYZsXHCP9pzVI)P zM&hN(%E$k6x4wP^##7Q=KDGGx@bKxfsM{5Id_XKxI^yAI^L02~7s*d}`JXA-H|%fR z5HLL=8Ni32tfht;O;fDenaj3})^uU#6Xt4V-%svbo|knjcqvuy9_Dw)PB;k&(8$&t zgtoA}PJClIIS(7Bg)qa-Ob@lzw0WhH5T-p9Z`tY%EfTxk3;*vkLr3)mlUtjEL=W*m zNXUTb<66(9PVZ-5IwMz4#-RvLBW9%6tGub@o<_{mQ>gh#GYGl2si}?m0C}B6{*Fw9 z;Hs-XQ+oD9KaD@*xXvHn#%~eWr7FCDc*qeIsNe0o*kYiZpKY(>bs|&Z+?^U~*D7wK z`1g~8M@^&)4BuZt2yCu@{MkHdlRvxQ6Bi>B5qtNX`~kkYz($lS7m2g`Ts1{zU4MVC zseE+rTo2pQ@kyt=^^;6_-S*Eb1X;2wjNNKuqx@81t@JE3bnaBxtB&o6eDT+3*M<62 za7!$COWBU^F|THUbf!onCq1vq?G+)Y7`_SlLurMaG#Ymrev1^fP~~*tdbe0mi9%?$ z6UShB2z=DIv*q$r#txvnC$8}XxLufrU?d!?UF-xbLKZ@8S&rYR7uTc(j(^6WdK0{` zwz3bAZwpC@55Wpk#*-eq&$h8{*NFbY z+*G?Oz&BIE3~g1&f^MnEL;f2d<+q(bI?1SfdLFL`sBi;q#s=`lx)(%d>Z!@uS=SJi zuHj%Mtekcylu62s=+B&LW`WIaq!u|OhRr6l(K zyY*t^yD@5Dv+dEoa+?_!-@bB7MRLIpEF4oZ zlD;K@iYh-TsEreo7>AF(3@;faHuO<^%aEUg&#%xHQTNPK=%-7xKk8cudT%f}=O)&` z6h>-O7@r7I8e&LbwISxa83VTAiD0D4gxO6b>x9`=B>IHeMQjHS${@ZtZCy=NHV$9B z!w@fTN@7*3!w`s4H${aO4;O;EjddEmVcs_s*%Z&DEIPdc_35MvWNl5?)}8VbM^Ka( z7VSf-sfMUx$$@bQEk`K3olDL&cJj$c6ba{7 zijY!J!Y$Qwl)XV>!dM=Wj+L9jEj90^IACTv(kY9y)J9V%j^wfrH@03HSE4Pl>(14B zBu6k6&?h8bJ~Pe#bjYMXj{UFs#_;+2gF#a1sYx932o`HyvL?W-Wg2?rhmPUsD$66VR&jrS-M#fpB##znYf)aDm zB6I43b9mb97<0g{8P%EIoAX$u_n4eWa3q<(5BC2HZd+R&x*kmawZ`k;?Aa8`BF`ClXPW-a^Y-0tmZrUVEq)N?m_^~ zPg-{*Bno5|OKJa6^1;?;us=`Qdn`DC)$G_)dRf99`95WqW@(3Qj@>-K`EI`cM}efh zkIxuD3X4i$J+Kv)UZ#3%>?5OhjLvti(TCTF9wJ<3HCMX{GUix4F`kC{wadZcmqo@o z0um|dWYL|q_ud+D23kd2@0hDa_X!q)s~H>Bt~#Vsb3t)YG|w^Ugp6n*&qxk@%EQde zr77?0R}WzxRJzB~Qo{*vWS`m&jZT?e+=my@GtX19H}mBM<4cUxxB3E=6>#F~?C@^u z>ugdGkv%@NjsH(e@Ac&)r#xQD8;u{Q(W|2TK=vE|{?hhUHmO|5s1McA!!^NyvtRRz zDbx%RAjS#MTepem-VP&Mg~$EIeP*m5qpz~hR9co4sI9^59%ZHViXSJKKmY}7+y76C z!Q=JAS|D1|8%+SG)~ll8!1p&_jCkQ)zW4sU&CJy7^KEq4$^#N5y}NuYPA8ADOG8(x zAv2|!AF|&Dy|VCj`Dk&{XkNjtvy*4SGx&j`kru38>%hAQUy8w2{y(kJY+%XCE$Sn< z*hg7mJfGKXg0QL*T>;>LIK4c^_{=&1N0aHTv}Ak2=cT-|eD_#s+z(;h-p2KQvyBvO z3o;UR9XNEo_sAfhdtD%!moGYiTo>A)CJ5^rr-OEz9>AgLbrHt%cz~oYjfPp5%z?-1 zq&|A+ZStA2eb@F>ow*FLdCS|Bc;wtV5e`Yfphcv;`ngBPIpP)i1!E+w`2e4m?p%Gm zLipayPJF_?h^AFEV#KvIqw2t@HP2(oc2UN~0WC{P*-;jUZu-#rdtQQh_?dYxFsjDn z9hrouu&k8rLnZCXR$}@3%$I{K<1mu9>y2bbPd4o{cSp}0W6)E!X-qAOJ?firO*yVP zSM&RJ*;l2Hd7J~Sc= z$!feBY2&f+&+j-SgYlu4C7l^VJN!*fsTkYmVbGy8n+VS`dHq;ZcuS@DnGe^yOmt|oXUEjNGq%98RSd61rs7DbD5RxWSEuxBu2qe z=E9R}h$xci3@f6dVXl#HSO!%#D|-35nQ$48OK0%lCO(zc2E|EijN=N)kpmdhADd6Mw8e#B# z?bz+Ec{Pt|AsLarU!u|8d`t)&2lComA7^iTPF?>WIle5L(S4D zXItI*8e@a9ab?S?inlY5#Tlbylh*=0VzJOXd;^{oLhVRay7tupEqVUJZWdTuR%k!| zNSawyKMjsR6>oIg1o&DMI5y$%P#3#3h8zJ7_+^ua1?~wMRC;A3&J6QV$_G0N9YfM+ zliLQO4q0+RGAy$hD@un@1~AGrGBF`kj$5T`j*4hmnuSj%mS1rkV?#_1uG|90vn}ZJ!EFz&xPhmmbbB2+|9x;4g1Kgl0}MV<&2+?V2n1R5C#*zm#_XMUZ$Acw9>fvMzcldDOsc~aV60r${(|VP39=BoiQ)W zarfmh%?}o-0q3Y?&m@_*tk@Q*F=s#<^KU!j6xt#jmTatPRj!wlw;maF_4*NI9TM%} zd)1mV3dZU+S5Wxbl{ItL(jC+>>qj9gxqj6X_ovO;hNB*+XY1 zcw1jxoFAF6P1Q`#5y@NYMpCBOdpWr<#_6v;-CeSE$%CZsm*Q@VaEQ*-WN01nUFbxo z=^`^8dktSNZttkx=KmDG96d?=A^slzB<(%il+Cg3>@Wj8RwcqEi{S8xu1%8sLpJmGuzfhya)6y$tkwS>AMnN8KDh4bPgcNgN zC>U!fl5lB6x9^Bp7`hEF#3}HWnfD)0~Ab#X&?9XkJdl z_Yc6-6047){&ZXjh!EOr1ccD7bWHrHj{2s)6s+PKF6tk?GFtS_+jP?le+=C^X4^=) z0sDG+i*r#P51RMz1oyC*2O@77-#sA*@$U9)95+4kOddBq@N^zG-HT1qm5s1u@Mg)4 zHx0WgsC~b~9p=6z>S}L&oK7k{YcM}806kK56xWl$B!oW71I;5OKm{6SKy9!1K;r^v z-~&Y+T>%Z=_r?uq-U5jqrM@qP$PXZYDl+7I-uL+Ms&(IYywm$bQQ&`Bo!-5s$DT#w zriY%v zERtOt>5?DQ@_`aJ&y^IJ$ap%|G{&&E&C2rShD`Ex%Hvyy#`%s`yN1o`b5QPf*c8?8C%SO#_&ll2NyDfmkXtc{$>lZkj!k}WpsVwEqX zaPlv6IbW>Fs>8i6z%1f%LpiTZf!lXAVnyn>fJMsHD*ni6QmiH#5ix%{#LwwP-#x9z5wO~XwScja1La7Z z&KX?mZa!ocM0N}@48{5-I#JsNa&Z7@EVXupIm0@h(|8Gq7AwR77k&cAA3!X*#Y{0M zJe6P%f)8Zl@C35;V9mh4XDdlQmlS#06-5STl70 zyC_HTNJt1HUeJ7Q)&M4z8&_B=0U0y$POQ^rnqhMVqX{rOnG_>WFLDv&ojkBel)-@m zGGl88!&=G{OAh^3Zo)YGCDg?hUsmB{@Fi4jwwnTK!1eOgw2-uT2kme445isjpmXMir)k>MBvA(4rN#qmJ@rVg4a^jk{or&QQtodwHQ?(B_}ksXGgW1wu8%<7l3I}vfPzBAbHwFp3s8TDnJAy(yd4;%HbGLS}q&JCj(V01}b_~ zY9$dXMtlZms(nPXxK%JSB_r`n5r|YUFYrM#rL574 z;=#1rM20&2cx8^L@Dp8RR&lxC1O`g{R9^`|n6Q<}QI09?4R}bUDndw zhhxYBY7XjZ(WvBAswFf>@%P8QnL{{)8YyeKL4Uz?7zvMyTJAZ_$%`BF^Q(NP`IMNH zSu6Rm5GT#2^B{}l1U7ya0@rhQDzB)Qr_Ca)-f9esBn4)@et9rr`YV;saN!fboG6O+ zBLnh8Rj5#F0&@j=DwQcH_An`+!)uD;poK>>zR6Xi>>x|{q@Y1R)?H(xGOCvg^o$Qv zQE*kr-P91Fg{KnF!>|2c5L17uHRw+yVC;_4{2Tn-$I>MvDiLUS>tG?}{^^l?&;7C(& zkzE`7)_g$kQgEOqHpOQg^=j9nYaKXQ6YK9YjQz50(52-wUlVHp7|+{+U0QduHL-$# z@w83crDZi!oimSyhQ2mP5Yh+zvUW>@2lH(MA?A5HM6@MyT5F8*`>fcfa*nNDAq^A^ z1haAuOFxNj=yO-F(78^qPo-{)d5Iv%YscV-w52HgVjOsyp~(C{+cH7&j2*!~QRW&( z$byO4`ebNlbXYm#{hl|O*O1N7o_8)`nZv>S1y1sZm&Qdy7CiKfy|0hFj&^AAVXS_YmZH_V|%UgliOuMYc@ngn^G7_^Mp9b7%zF;_|x=(PhQx^H@PqBC`?JmX#Gl@QOHCpDis zJ>iq*%+}U$7II)L-~BR^8w*;4p`I;0V{WqQs#a?PgPFj6|;9SR2^h7X#BpO2g(%Gc(3l-|3f-+<*B*e;dv3dzcVI-_nZ+ckqB(*A1J}x`bB$^R;RZ0&aW1Q}`u;Rgx zu89(Sdk^+-tB@WL411U=UUHTa-vGi#PE(4353BsT`_0C2O;0&Bh;D8Z5ecOj}{5#{(3p6v&2qp zj-{Wd1wx7Vo+#c!w?^{cLx08a4!wsyT#>woUgRUx0-;+S+=E2|Jp@K5a<1E6xTw@C z+Y>cV6cwAi+)HfHH7wb){GZBMb{QM@KK!?_)w)JSm*|Iyup4*cq?#+<$W zYqVqNyP57kUJaNu(T>6IW{Q7wbyDH+XUp4!Sno#mpDJ$>M}|{4csREHZ3|oAnjgRl zJ%rB40(GAYhnf7IF!#KT32l=_n&&YzwY>Fg>EvMI6MJ6;Kx+ELEV@8(u-bY)Uu zhUk3ygy55=ljDy^-blW%qJV^kOhnDW*_Il5i4PNPgee# z`*VPa%6qb)q2B6d`Cdks^1xg=g4my^k+k3}jcHrvHk{KL4ZnbqlwiDf(*x&pGX26Q zlii<7)5%1-SK!DplYP+ZbYx}ej|RSa-P_kgS7-CZKPLkRG9_qH1VfAI;1&Cef=2uG z1o>?xeoS+mmeOD1j63gWXT%f#KIr|q1W&wx^fIM6P2(KN00_Ri$zkgq5nyJ)z=J2+uimnZC z3?W_i`<>P88A3oR+W6T8Yd6eREmKK(lWBA24Qq~uJc6te^tB#be zSqR4wbJpbzPa6i@U3$@N40`fVu>205{IHnl>n)lZ0PPsy$7mw z0yr`Z)BNk!BBSyqh`H-$b-HEC^M|d$y!nOLB_$h7rIVeL2jNa1JKI=U9dynkl|qlt zbu<6Wd8H~cMH@rMKHJ@|iV63ThNK6_F@~DN6czn{WSs+a9$okL8{0->J82p;wr$(C z&BjJ!G`1SsYHZtS-1wV3@ALjYt(ETVbIsnreP&iC_sY(hGcs@2J%mQleL0(Vo*jj$ zWIT^@S9)eGruOW!1o6g(o27pF(Bn(%oqNZ2RGNgI4sRhct_6!IHegq$ocrVhas^bFcSNL^X)C9dhxEs zMX}0gK{dAn^To0QqIg#*N7Nj$L zSRlF`6kMZDC|x;hpm#TPKAI3ahp)xa{ItI1U=~*y1XRGv)RTZ3clo9nCydSP6Nd`- zo?DdPBJQ3msG-@E%d;s=qy!lT!upbPv!-e8osVqU~*kgj5_ezc#0EuJSe;Q|4wwCZYUcYYqH^}In5#%Nji21GjOCsEsYKE)cn>#g&U}?Ob!1 zulGub4IA@&kny^H8dx#PVZEoJNeTMDmyBXWgj;u=jsCN8v&uW{CH7@8vHW;qV({+P z;C`D;F4$gWtXQrkZQ`=DXZjiGWMHWJVKy48pkR90-H!NVM6dohHTs19D#QEKoRt$h zM~;T)C(X@6s@iO{qzmT>ipdSA3Gge?&*jgX|TfYtzcd~m&uf7Ha`6`AML@!QquOq%a7<3~4W_gs-C!zV69t6|-l8Y2br zc6;nDxuns6x!#-iHJ2iKqaDM~Z{hmE@!H(uNA?)T(#=31ZQVvPi($bf;t(Dm!jPk% zxI$Oj=^F@tz&#lzAHUIxZyN(^_*ad%(6e*AS{H%f?aO3H!Z%%pHfC{w%kzh|9;w^e z)t9#>anX%UZD04{YH*B)$6LSa$2%Y2cU|tkxogkxo)vV0B*OATECnjC*@}yt=?7^K$D>62jd|m6Uz$Ixk_U|9#LwuB=IM)hN~}o1#;B9V1n` zDDC0RLoamOa5m9<>3wJ`hQE`(KB_GCYHsp%6QNLqs&1&40UX8D#AV%N={ncnUH9)s zy&u9&Pr83=uq2<4Bw>W^v&Gxj)UyL%4e*UDumJj>)vmt4YS%Ge#f#&mB`#j&n?B1) zrPbX$Q@#G+Qunho?XQw+|5@%Tl9#{v{s?DW4pOdFnK+vkxJM6qi*Z^rLAobHzt;gr zPfHNJzn0R78BrL%f1Hw>5lXhn;&Bw=JJrhVvK!s9rKq;Mh9a!TTYO0jt3Llr^&0o{ zq(*3GV{oUtjAmHV>cDEbMC2kpTw%0gt`o^61Ic9^iCw+4;TG^giy)s|F`g~GKyP9rB5pwl?<5&Wr4(_(T zw0&?xtL$l4pE1#wxF1nZSl4ZBDc>AFgGi(Y8)x_|E#7yV8ep>YIR#5h2nzI34a;9O zeTC}xDiZtp*M`0Y>QP{!?Y(D^fa(jO@yUz3UqTlh4fnSSDc-dXzi~TWz74&}gPJeF z;<8OmO>V9(ZVRKsO)ng}nq|2@~HW)3YGlYlM zn}$(f%fZ2y zB=@%mzxUx1L35Gs`fB=7xMHz2_bMvg(}#uaR=-Ib2EDxg<~R(r+Znh8m1?1AsNWbp z7v=7A1~5wsA5>p7=>D{*i|=Xxi<6nj6a8)U*`d;NiGrwx!NJmF11jAin_yufyAT`v zI>||WC`o+?NnhPX6Do7~Y%ed}*z^4L4Q59I*WzxB>T6D&k=yu!{ia+yo)akvIPAGk z_3wxJ`fYZ1Lj8VMU%(pnr^NreQDuI}_A`#|oc5?*Z0HZ)d9VNOt%KgyJvP-qmj;!juf2{`IMjm}QX#ZGic3|H4C|WaL>(rco6c4? zCKY95V5f7-9VgzKu4*L;7!K`3jJV@)@P2G5Xzi4|bDce-LP4PBk+1e@X@^w&H>g#Y zL-9&r?4Ct^v39LmP3;h$vazp*R@hQg0PRskLE`t%BWC_cs?{nr+Ky>g1vT#sGaq1& z=+~Uqa=%1vzA8I|(@m1a*c+s!r(Yv?Vs4C;Z=WS!!TF*q{Zh60tezjTc$yl07w#`9 z_ntIXyK)px#LVcIRwOo{vRn+TC?5%&7s4yE_B^IE7@J%ZHi`Gnm!2ei!77v!$F zg0Il(-edqd3Z(Wf@dZ(iw`@Kq;nd9L9S}*Q;A-v?xd{!dcS|<8X?AA1hgBwC#Wa&u zi0cFSJJvLAIbakgs7xk)f(+2j3o>&)uQn3~kCcIxuKo0Rgsaf92s+{E0#Svi)D&#c z!aut$|K-lC%%{OE+%xc1#1{gKF_eZXk`zNbYxjVOJ~XKI@Eq^d_xx6UC4S09z$DBK zT^O}AMU2EfI2U5-i2E*rR}T@5MK(b9;7?%;$tSBS5}c0krUL~mYD(YX$wfKV#{FDc z$vAdG&XuvWuD(#yAQ|m(;XBO6xebQy0kDLb<@47=G2uP?aV*dyNhg6J+_04M*%Ahy z2~3OBt;}#6#=yDe?HvdNSrsko$tEzfzqOV(^$5v7x2&{tu;6}UppUnfY?bAUTO-}Y zdtj$b6R$)MSm;GtLWh?rx--qYurmFSi)1&C07ut25>(pQ#65_k$>ki>2uE6EEzG3} zgLxbek@CXmYb?-wD8gtApvD>#?HM{{p1}vCI=>~wwIoJ z#J^CQbArzxmS!5!E6;U+!lt}j8|7tRj*0o+eM+5^m%HTSxa-fYkpInoe_amcybRCKvJTRbHjGoAojOlgqbxE<2Wg<>3%B*<+L29^^ zN@9T0=3&mN^*mxnHGqz|IG;>I!C;_Rm(*5;^=nu6cU4w$Kl2;qm7CJV%vFr9dP5`Eb3Lz7U%}5;D?1Iv* za3dn!RAA)^7)2F5jw8vcXGHeWf`5ptwbne8w6hk=UjMHV_Xsfe#-1?AP_c~;x&bZONV2*-QyXh7e19&YeIM%0wWcwSyDr=i^afdo(G4e z`U(PvlLFsZW56@W=zKJ_#De_P8%8cfAFLjG1xNagwFy7CQ{Hey9*%Os=tVFBF`6DO zkFi3XWlk{i4!i45zL3$F&=DLnfM7BL5OW_0l&9Wk0I~Oh-~tfZ!JSh^0D|uW5eFdf z@)+SY07N{1urCAq)+Xa%Vyt*LwGTiFWE4Gy z!Lab2VCu&Veu5ZD31eR)w=kIk$w?O7OEbu!bixdUAE{QjOig6L#8B~Yb|0ykKq`d6 zF#n!l)<@-HAeA5c8mXnm3`o8GNJR=g6Jh|@1X6=M!w*0QxB zbzBFObO{nAtoR8OA@KP0uwl9%;@w5GU?E=%#Pq|M=663c`RJ^Jp&gxLr#Zhv!X>Uk zYcu%>nIlQ-)51eizyLr(Z^}--J>hR)ph)GU$Z|$)9W4xzq8}=RixpYm5un_+xc1vjLmU zV@#$H7ZkoO;nB%NNShKD2ed-bPML$Lv1^gVtAW_yu&g%_deuv_*H#J4xT8(bZz*O* z**i$-h65d{^MiY%OJ67OU!T5!w?SwQjX-1l+G~{83SGOh<-51_5VME#(0U08cFsX= z9$zE*-i~iI7MF2xKD43p0BuX`7kQXs`zwHUojzfhwijrlUvB!It^d8;UT`4Ke#C)= z`sJ_Yz|uQF@1Z-MLL5u56x(5jguDhio~E{5=ZM$5u}Sis8_jGiu9)y_Xk!=YU*v_I zgOGL<+4Wiuz0l|Gnw)1jeWIlhZiZ}~?rILsZNo)As^|SpTt@3Ty#iidysqKjqR)@h zTlUI+qng*RZ(xt43ldkq7fieASb+yY86RCPqE9V)wrqOb6$IXV@81~SZ>h12&a+=+ zI`017`LFwibOd%kfoDeE+)3cR1khXkRp&Rhm&I`AA%=8d?L6HN!H zbSI~yb-UBMy)7MB&+})q{`7rb<(+R@?4olGv-O?q4YcW8ukIH%RZl*JIYPpdGZd;Q z`0PRSt5jL-BSi2;x%Y5+m@LGwziDS*RUPCAQ)pG)?i6Nu*$x*!dU94VIInF|$ZJm$}C1*l(p3%V~Pr zZ9P+)3n$tp^!yB+_0t|dD|M?2T1VMXHe6kEFcI}0zaC%q|2>Mks$H`@MRIV~t&Zo(ENe^C{Gyc#Nt?`_q@Nq|_Y!%gS7lY>C!9dA zhPsX&P7??EJL*C5&rGSfu!(CrudE3t2>QI>GeWGKE!S2AcJVNe3 zaPL14b3&RMPSO8A4|76d?BF?O+5)}%aI+WiK$sZXY>k2rOV;RmHR2(6KtzEROLuHz)j6r74kY^$Ew6YzAq@ zodA=t3&lxErsTT%_YXfC!^R`N<}_p5w^fJe*ulgVrSq4Ut3{BbV12|o-KJTqA67(A zG~1yZ>V>mJP|tn!3fxd5zb`$rNJJyCgT!}6#QomV;zpJ> z)-Ntk*fGH!iH*Y6y5Jr16eTgz1sFOj4GOuIW7xVozTgkb9DiCQIC1zEr<^3^62dPbx+>rAZpJLtobPO}T7qpp_RIT6& zXUj<-(Dfq_<{J>m+4>Q9{1JHa5m@mNSp5+=`VqMB50UE&F*ff)7@|jMO>FD{M&GFb z^dLVb7FsnFaazBRL)Miia-hT-)*{irg3tB80>i(8&dt99_rC(q?Z1K~ApD0-_zPg4 zuK{cd2fekE4uW9)zv_hFm?Tbc_e;{yU7}f(r2|_C!tqo(WG5-_eu0*@rKptri9@ zS2(nl8X2xNQF?Xge&4O?Qj-U0*`kmKtcK;#T@-$fsq{)iQLp{UJfES4kqD9Y>nZ^v zO%JpO#ytgxH5)?z>-tu37JiOq)5;mnFJyQGu?~liFx= zuVJm?HV-m74(_w=+1E0&BcJ7Vm=+79W`y~<-OqU^0CDRx+NYSZb-IRON7=pp**H%N z@oCny8@QOV4%W1~Z$-p6GjVwqOvM)XDqa>$CN9!Kn^HoAGC|8ZpZ^ttpxJG4xqBct za{{Q>6+iubO*>ypIQuj({yQZ#hn|%$JBFYqmU@JQKh58gyZ|^|ZMQ?J%OnO@r0Po1{~t zy2yO6E75<_R#FazrB;NPtxwMcPoBSH+a^yII*6L| zHz7^R<8+>?62Su91utjf-h9>li|(={w&LR8Vzt57p)FAeC!w(&E6sCQwiCyH*iUB*>-yX3c>u(>)e8)%&XQ8Jc9b~%mcyqLQ=B1k6MnPUjF2iHE8ONpw9t- zLkl=wL4YIlp#+nMm1;4i8;s7;D>C?`wTgT=MYi!QE|E#4T7}ZUIy73Uvs4Qx+2nDN`2Xz8E~9896t|8xmaVr7G!HtAn?rE;HIo(~6DK;*4J$ zXBbhW2+2*yB?6l!)K{lD;mT135!fn+JT{aU`(W6FTiM0m9)9 znQ4|BZs&wpze)`u@{>V;We*|d}2`i@v zY2kNE#o`o_k8a6=TxJCxLuWTmRgTp}-GW_eRrX)p9s8?}KKncE)7nN)F6X=cMVPS2 zOQg{9Lrtry`PHIw%1%rUZEqwdZJxz%o|PMzIy_f*o!dLl-rFZF_t0}E$9lqorIejT z?AqRpj6l#^m?qCTuXU#9&Z%qPz{6?pyc7NQ!ifoh=KZm~A$D;|SiOrmc_qMxG(dS42^RJ`GT&RJwjAu4aG1By=G z)m&vcR-qKIH&P$+?{!luOCfnfw8joq7$)7vGU5X3AX|7v8`R)~Y$5 zlv$QJs=Pm!QHHD%B5IDHGGZ}SDy=2kVF9P0Vvf8dpPbp7If{NTm(dFZ45kAC$K$2a zX0jc}@IV07DiE-eIXVXftb7p0J_wVg(oO&Y5eVSl00OQvN0SccGOj-eFCT>8rILQK zug6FV8usahc{bwq+QMQNe~uz!Lz+OCr8ZQZPP&pp%PRS-$w3Tg6&z>{5Vg0;6opp( zhm%XDl9`4W?2pUxZ2133D&I<`^vr?3pM z*v~fr73id}z?cryAsHqXRv?4xltb#0IFka#x*F?1;^|c4fi&YSRHsU7ej+IqBB^vR zoaQJUT0G<-MT{ZE0}e=r$Qr@~=DM$3>>n8TRtwS+3^I0G#6 z7wCpfYRPpZ-XUhOarH}0k^+6T&`r;itSh&pxl14iqtSTS1Qf_w?#@f}P@|#Lb!4*| ziL*jjP`X?R5~92@)Rb-C3tmdd!=$SidV_!uQ~hkJek+0qbi;0I2%fP7@HJi1jHa<9 zKl)|;o@aXE0gaO1#_NtE>4Cn~UqhaI#zBsT6QDa6We<4s4AasUL|1c1nL0+7voMtz|D6_^4* zy7vJ{RAeW#DF879ASCG@5QZng9{{A{17h<5X*r5?03aM@0A%3_vj49Mau0~Ti^p-58UW%AVAF3_I z;Hnw>8ktk0__;_EK0QU7v60|;QO@A!H|YlKYr2*_OQ2RyucS+wDYLPL1oZ$oqg${rDSN1)5~B^jTo<`F*>ubnkU_bVRAD z`*Ium$~SAjJpPV(R4qH9#oe$e(qT9*D;r#qC-KrvewiYp8{GK9iW$#IL)U3M9Xa5l z`y+T@=||`wNu6NGKq|CnZ8M@;VhuLe;*`4ZU~$dg1a3ymMG0$EOd}&a+{obrbWAA96Ci1F^t8u7<5+5tKOLCm?{_9|$Nw$c_O5j01oG0&yVV z)AqU4UV3_6yEvqjc&Bq5_=uZy?`mow@knM(|K0?wMDBQh6-06|S4hDOD%8e!PtG@Y zPi2lW)2FQo6pNAE48j))Bj zLc(-)&dhqAAB{ZSxUS|QW)G{^5W!#-^`eOdB|A_Zw!4x{>b?8%H@lkEuwIZQwZ2udGYlqe6{;qR{{*lhk7`pWl()W0$CQC^C!bxEc zdZz({Qevk}XU7^MTnCB)=1(E7cOnOVf=4y>EKeL?!7tLf34S7V`<>rM>CZKriZ~gq zeEb@Fz|)K;iTvhTdwV1X>Ikgz-jz&lCww;a9J>*to#Q_!YA>`BMh!~PyNTT~Fa3W| zt{dPdV`BiS{`neH;~!KwfKs#vP?=rrL74xb)&P`s2K3x7R3f{Y4^;B83tB0A?c4jZ z*Wv+N44KZ~=Qr3;-irNjwE81RcPDG>U|~Vr!O)C%(aD;G}r>+y& zKS>sBxKFKkt9m0}!60VH9vNo1uc3HL@iCM!{Pi6eepkG`zXpa%hV0?Muto89@MEZ9 z_$w3`9xL9ad<^vr*+YOKj?%5^$I!&^R}e6iP`YLN7+L{u$pnTTO1F^L{1u+0&EJ#P zK?%ZDTo+i1zA&n$ve#yG3DsV=TMQqYz?F|>EZJ=4se5cRP^=U__-M(0nWdPfo=zKW zm)BY7x4lOU8Lcn+8c)%&x*GT+o%OqH$)NH<$cZC`Yor^Ma`u2psh3N zynaa*~VwFwBe&c3(ZsSn%SWi8($8BnqcAJ&s z^O*F$9nG2N70BlAD_-00&CS_*=iD7X7)?_jZdNn07Q5QLZltP

dAhDB2QV!EWH= z-jr?@im@UG27akzKH^>XB!cMq8ro6GUv7CL0#W4>8cuxAq0RQ}cab!luvdj6{H`|57 z=`j-*&T@uotW$RlJl5HP9oM`El#1=Fu{vAL3(Op>P0hs(-zapt=yS&#H?Zzfp^~=P=#cPg|W;_6z~L9Pn^DYhZ<9rv(c!1#EMUxSbg`pqqMrE(jP7N?U^tNfqlaTD*8v4fKt479HTs(jCo|#Rc zCN_KG{dB!|-gf+`wf?&;^Y{wXXQOu#?XST6=5yrZmS#lc?=m!sa@mCx74o8#sM4pj z<;HZ_ROd$8sPJ_tXy}PF+4rh*+&7!7f+A03*OTZj#p?Bn(7sE~M`m@5 z7U3b7ax=I-RxEst<&*QPiYXSWjxA2k=Zzp{)XJX4A8fnn_ucE*89XN~`<}_3iRL2u zxp>#v4FBcN@qm4R=SF5De=dh7u(r#Ol9?m>Gojq?ayLJooX*$NQ?)0K=E3IL#ZDUOjfOqKHmy)4V9bjms&nK-#i`S7_l)>4r2>P_TeRCKEeASHi2 z0e$U5mnXkn`k#aHCf6Kay4@pL{Cn%@arh1L^3Q7xmQ&#Qx7{;w^y@?b2^jqgEBSGg zR&$2RL0|^CwEq8JqE}tBoB3X~9?&?Q2sdd8Tfc`Cs$H~nRmrPNfSwM)R1gJV z#KNWFii!l*cozyR2?Yk$h}|6pPJtgl<-u6b2K`}gq?ODmxVa!4O8zEfcKH42f^or( z0@}q;Cf1*0yvSq9|NhSP%8M!2(5-rnmhvUx3!K8Q&C`pW>xX5Z*TeUW$^~-^_inIW z3{9lQ1o?I*#e;C>!;dc+O5_T{AFo)=gewTxg@n4?TTcV)ed`V>{^soYRH(l>g?NO& z84D@m2IjVq`u8vC;`)QG{Xkt*gPy7~NA!9|)XtL~{i!pJpY^QM9B50y}Ut|XA zV$6h4$0>vj(ad8~6@y-+Go8u&^0`^?GpBT0K4;KhF8h#g32Ck(PNG+C+prRfYhEuB z3Rx4E-nq7HX_voPJPM@@8DKAI07fwR=2wxEz8zS%Ytdy&{K!Oo3B* z*w9qAc9*Ht;whr8j6Y^xQRgPKn*p>-G0I*zV{TipO{DX>3)ec$f(hu9v3%5ZJcIWO zxaeE=Nt~-j)^?4L0M)f{Ma!-eOs(c~>Ah;^WiLiTq5Ge|i6lTkHI(4Tbn3JJ%Hro$ z%aHI)Zxq~qZVtTbciVwZVf15k*62?mhe!z(IE(DS{_?c{^y5$9)tBAi zu&9u}puSh11(-oYJDn~E)@ommU#T&scGrEyebXgx?+1i;oY+|ngIrrjyWoU8yr$KQ zq)@;1Lx#@my!N)5+W$~!A=pO3*}=saI(OHE+%^BPw#g{!sRg_%n-9;%Zo8KAikWov zz;JcJx@Er9(d%X}g>q!%o+R`I@xD~S~XI9)cLxXmln3u8^QIZqN;Rdx0$tYLFd^vhxM&iTn=_d z+DLOm9j_RtewN0IItN{WVuB4#|PzMbKZqytZ z`Q;w(1)J1+Z;B=2*N!K6r)AQsr6(&2OygMcL05(4Jnp7Qck2haSYiStE7UnynXP9T zUT`xzmTyZK(tJuacX>?RNO(S&(wJM8LWF<%L~~veAeuFk5ngJh58I7Fs!~3K@LAs? zUeI+judO0z+08=wE*-5eYxo;MbdG2x)qfgNT&1uRp9IxDFZ%=>Iv>*TFV{f_pdHH` zaQ2N^pZ*#YxUHFjR5c-e0!VKwblvl=AX{P48!{OX%_{_-fJ$Cw00;V%!j5PH6u+-y zz2=TV;Ufb72Lwl`SDS&+n#oux+@N+-X0v{~$(RM)pk`BMgMOyT7&Y9WdQ()*T%6^z zS>%afZS&uvgH<@E$@RC#*P|d@nN;acV@+n34Z`!7p@P~cySa!QLpyF7F_6_~@3~QG zqZ!KG%W3j;1E{y^QSv|~#OoGgWnQnM6wK0h-a@HXu+Ue%WGQecxJ8C#8Ml#U;B!JF zh4I9XZ~=q-81@M^!n=w}lu;MG0MreFFP=-(gqeL%dhbbhjS|e#FAL;u=V%~uw_tZy zU(Oh|M*{S5MnoGDIjE{8sV zIO{qWo*@IRH30g3F|@`Tis1;7{6!Bh@cMIe?rG_B7hB~Yx2?dc#f$e9*1XLCxK@Jp zcdJ=vUm(!)=B-*rspXtO{u$c=3!RY7(?OpNOMX1}FGE^@qu{Ti!FLTVgG9B3JtskS z=EL`jteTM>7G33iC4bzF*z)D_WIMZ@m~YtxBfk+T_VBiA}Lt|RwyF5YiD-h^Kw?` zQM4s+wr=t{q6otsQ}25nr!buMNMy4+l4XC4o=xwukKXw7Uaxm$q0B zu*1_5m#B9S9!@RsCge|l&bstARcwDYX{~~^`#d4DUur>I`?K4 z@ZS?8v`XVmXBRRT5~Z}9u*E2BG-L|syq-MnAC1;i@N>?h{K!aCrM8)WO#MJFro$m! zoa{Fr^;d{vg*Gv^j+^Jvq*ggKN=``T1DxsnWKmTP#be}9*mJtiS=xQF;rYqzITR8; zaPpWJ1P#{*vq>OE>aq^W@dhGS@#^jwGpyy7Ub-zSp{35tcyB*P1Z#U=d<`^6;P3x&nR?djT$3Rjd? zlvIMz*(!(&hYb*jL!hjnsRh-A#07eB1tXl62}j7V0%f6L<2&nOvSAYU`u(X*ljz0D zp^rwOWULfk_t~4WyFP!`_>Gej9s5Iq@AKdNs9E8L3^(NzF zoiC;wi;_{d?>;*!6O@k6uZsHMFeE|=gY}o*MR~@_-t9S;HT>>45(u3x%-`Rq%5J_- zZsda7ywoqhIPav)psSJ4NxSn0gx?q^sPudF(S{1?d$x?Hqy%B77izGC>tZ_)r zqD)H)&J0m+tx&n@=w|P1h4aia#EfgBoLYyd=}W!5c?{Imc17VAX4ozdkAKf!-5%Xt zH7L#t{tPhDf+}%7VNI?=sEK=4=I##+bk7`UA{lQ|`CP>bsqxAAEB_ioEb*5h-!zPIz zc$!7ksGy_=oGH}h;5cb2UFS?`5-^vb)VuXp({^V` zKaG_RQ5P$;gDhUwiUnIl8L@l53fd$(Mcb~#)Qz~b2{9uVoqOP95tG56x%rF86Ki2N zq2|DevgJrb(hQx0%a7_74hU}59+8~D$3OohmjyLQH{0;$Uh~E>-;KXImp{VpvJ!5%6uc!6u$71i9wuS{Yey zFEmS1Bf^y#A}+bVDHLnn{cIF3A>S*d{=%Wn#@&Wsy=R{K@LLYdz21dKC15aGfoq$>5nU>{HjFm1NrW}r{Sk}2M3<6{7*u1lo{oB&=Vcn zWjqwAi*Tcolx-pm8Co5Z=08@PI21jpXT#eAY`vTh8AtxyJm`c|BDGG42NO@A=1^Xs zy&rH3*zr3iwv|Ui$rgGErn_TAPN6`Yh6lO|YcuVID%3GaGQcZJ*kQzxH{K2)XUV&uyAeW-&=|mcgpITj)}Zur%jp7p8o;hx9TTn!2M3XD8%VX6rNVYg%ini7zoIZ5cna`(gQ@eNW)M>C1O@Zu4I zi7V8*M-q!Xpbk=b1S%vl53j$QUAeDevW&mzf15+bWY$V9Oli{$Q_EkMC^ii zTv+w~oqLJv`*AF4A1|m-XwQNRVLX(VwX|CTHaaRc3w%@6Wf=F8k#Gb78_>^UB<$F(4rFP;z9(mPA3t!8|{ zLB-X!!#pQBuj(BCujoJROQ^%y!mB>P?;}i^ggoL8Fo$g@fGLC-Y&-1bg|Q=hVPg^u z5qi3Vp@ahxdVW}Hyio4$_jocxbu0(gd^ZJAf`FJ+QPl4SI{gaYH$*sFz~w~PsbcM& zKaTMRObQ93MhIxnH5{Re*0O^aAg{mUjZ=O4k?>oAu0WmBl1h}BiaSN2Vhdq_3NmDf zB?6;84|^c@kGg1WU}~a*{taqvl%YHpVD|Gfqd@V}V@=S5TEk7yX|eW=(U-u*=?bvt zL;zF^6=gy9LAoK%z$XSvEoYDds-I&9z1RcDPI$;NBZpKm3b!%1;R^6@u}0`T?E%;W zHGeonr~B~!M~aF9)d@o#p$c_D8KUyUGBHL!^2`wzWv++>;@YT43-}jRL|7t#^JI?L z5W&F_N%xpBLdN<^-x{nS4QYTr_u4!i1)56@Ttk+K> zEF6JLW|5GC?EWW$;=&DK<{b2shb-*53&K=N__MZF+mI;{Pfu9+6e9p5560Aepqr#(APpQjtwT^OB$y`%TEs#<``nQsueVp z7XAyv0NDiL6WYiDdCb>}c2;C7Y*f4<+|Uaxr0>y22tsZZVf}-(p%_h36(Bs1qzP>B z$AmF#@XROv1l6b`c%ipQLwKRsj>FtLO#jsAw--8?4rO}4HiNM=R&i3N2bowty`;^Z z{=2xF3pLi#3aU?LAP(+NXhIJuUz8H0*xh6Ar1<7&J}DnQ7za%x#2KYDCP2NV-#o(T z#~Hjay(dlyIxLTvIOix2%Ykj1GNFf<_aM(X^ZgU*n80_+Mx-oIL{a|681n;M+5{#t z(C}}rOKilYK1b;GOlg)`5TdA|!k=(JssBgG!{1qjqR)aRs>Gj3NT4HS4rY9zGCqC1 zDxzFj=i=?XNJ1wY_*lirwbOB)h%b7HBi>}F?QW``%uT!N|<`SAP&LU0x05({LEr$f!Nrv}@6 z7W(b8Q8Z>wbpst=IoK56BJr;@db5WomQlP>3%aVN3g;3}@}l?QdBu*TZZx zJa70-aG2k^JY65XN~Sik3@ktCQ4r*VeO50^xLPX*c zF(qPd?cmfSD0fGWTSVkI&bd;*f|87<;z?&5!8Kzz#!yT-^XX`YpYR;2V1JS;hIBeo z@du%1ZzdC3LH=ZPQM`vULPJe<#Fkf0>le^4_z7+jvJWvj7%7RzE6=vy0aKOGWSd*T zH1A*6iw-sls{}_yD@_du$^LaP#OdC<;?NQPY3fUV2!KyVu&!vsZOj?_6tP$c#+$<;_j%qbkTvmHB z98862Emjy-0gjxL@a+$%pg(x-VQderh4S>jnke_AVpb=+6Q#(!8=Jo2OX zx|IDn;)`=G`@pYKwQH}!Ct|Hx(S7R!tPJA!lvF!D{+6U@-V}C<#L;jz)1s^J)a1zZ{1E>jWtF019`2BH;p{XraVKn~k;ZrsCEo zNs#fd6P7FlrTF`TBMK=^26&bt5Yi=$QH4?P8UMW;{Rbu;UKyPKJ-i|~{(E?Nu>ZjB zNzJ!Yx6tQ@AF(jx(=+4cVN)~3FY!0)&*g9Vn+jOpf9HHx3uB3K%>@!TfyK&j%Kz=# zTosCQvu$kk8BZjg!!-;;Iab>3mKN`lr<0-+i^Vx)v>hJ7vFZ+{`N702JrP>iY1RV3TXZo|Wt2^?KoK%s?GcoV1by!ZRKf&DvJZvzM7 z<1%spmFr1FhvB(8DeVA(8yr#fWVif^pRhriE*b4eEZYOgxr5p^a%X0bJ(}wWSj>kp zo`n~f`wam%&O5)>^;-ul0jVX)c}$N-eO8$6s~pw&!Xo|f8dE9t0D-G7X3R(Yux~mp zk9dmM8mLbDFHxok{IXO#X!Tz$y)e3$iTAGM#@`=qg<_z7h(y)k<)MMv^oetoh2kV<4OS z76cibH&>1d^Nit46n_RBOv@C6OI(GIm-mI!E=ai#lxRj$IYDUqqrnHucM_wE4YTg< zS+5MPp5QSD5(cGjg=>@QX5oor#|NMzlaO59(a(}AiSp|T=O)z~Vp7KUjsl*iUt6v% zoD4k385@&m706!LXWI%W9o^nVODE`JJaSupLRVG8U!7|i%}a@V$aNY?$M(evXN2*SOz7LfuDIyvC6Njqt z$W_JwUKvt}-B@Vev#uGm5DdnIJ_rbSuR@3va*QmuK8h6$G*8Q4@vgaDwYoR}?-IJ` zS-_7z?b9LS<^3}o4ocN`Wsy%63nj%Vda@;Yi~40D5I068>2}gvZ~zv%ck%Kl0>vAU99}BD54P> z$42*@7Gi>B1`F?&pUffqE3p|e*=WXhW{ zEG$b}B$McB#4>FyzAX&>uu3S^*Mv=t9&Fy1Cn+syPUUSit^hI|`EE6?2t0(Bx{_D| z60Y&BhWrOO(w(;E4+L_s{Ps&|T2L<1bu1y`h3wo|R53dzG2+}F1BFf=gh$T>R!xiajdMwEY=Lt7}@3c%;k@DMzq={+Ty3$d7cgB6m4<0 z7@nl-B$Cx5OiuQ=^ndK_;WRedGHh~JpPbY*xz$2fXH|-_R-(?ck|E2ukYqMSjLB@m zEP-f`hm??ZK(H2pm&ZG535O(CAkieU6>s>9Wom<}Chg-C-$ShWAK-LA(4|eV>Dz>8 zgRWpyemDQr_Z~UD(2(Gn5w?8GsJ$?=ws9--+WY`xg!9kn_Z6gdPy3u1pq|Vqr8A>5 zap2%>pSwmDW8$q&_j2w}K9W|-Wl6nl7bykJAFLFLqfckfv6yfkQKSIoHl|aHM)-ls z*Qr0@QcAsw{iEY|N&-;lQsdx)Ie^#*Ai9nyveTCs1I}J8IGqPq>Sd96nNgoOs%3VY z?+=YgFo6#B;>2Imp+Y+KOM%`>C6oJT z5sjb?#VVoQgEoHcQkvYX=|MOzfO-$<*eComY7sI zYV`Wq{fg_zzY*cA0z?`8{?-6v?H|?Rd)y^X;(>ofgQjtx{w@-x_dY&Y-F$OI7IBRTHAE{_;oFH(8^}HyHntap&6+2sF6W z&Xk&$tv4ja!-p(cYXKemHIit9I}Vkg9y8(+y)3h#-e^N==|Vi^{m;BPhJ1s)5SgR} z;C%aL+o`2jQfs<3#@r@Z^FQ$|TFCAS9Po`?7THs+H;!ZFAhrHCTEs(&0vPCOe-r1C zrA1n!=TvJt+sPPHd`f+bbQkqX6({k zCV&Ep!(dU!Hy!eX5&Z_>C~O2e>+x>zw_I37dg9YJo>JMh<}^Z6Pmop8D*#)P*I?1s zH@!dj=VHO=S&!ZNjn_b_6hPsQgF6!&{L0EVG@zbF0FlP&nkX1*n5BSO0F{P?k(keG$7g!hbXyhur9(a;0QLkL z5x@lr25@m{nCpr8Bz6IK29oN*k%$-se{q>gcioEx#z5tJbotoN@O$a#CpG~V5%rWj zRCH|4gxv0%-a|?!sH)qhLK0Bgg*(2j)%SNq0~KFgW#erz&&aX2%sgh2>WSItNVqA< zSsD+$yC&&im6r^KgaGW52r58u!$?4I78+(oQa-l>KyZ^C)dNa#Z1;rR^IL%PKKr8E z#X`7h$=TSy#wP6wFH#B+vc+YKfS#SO{Vsn`rUE5hxU&O9_QtbS_7zSJKsV3^yiu-0gPGqbnAL(6fD{QYycMQpz zv48d!|Ac^0;t-UopvTfsT$oYUQKU~DOB8MmLLm=Hwvm)_;Q97vz=o{U)<03PN3yZF z_+z}YSeq_^Jfymnq){(4ao6>&_rZ~;Xa+PCE@rebs~Ux! zhe4RXXsaq{a@y&9(gFF!#$Jtzwg>SRX_9fQ;ohjw?-BpSqXH>Ek4J5lxu{nXbBOY!k5(XF= zc3;jR8#r?x5j0#LA`;!0A1yZF9OHij7>ZQ>4Nye#KLa=*-~2NC9|4NNj$7f8|C-zX zGY3V>(j}0=$Z{`XU2Yvl(^B3@Em)iLDkj-zMQQ)e)v>mQjB?Xy2eAG{UA;!pV1ui; zA~ZaLnAjczTw@mwm=dX>sVJNxhy2{AyhHBcCr@v9jA+f zw;?{<@g*zNc|vbx{dtN%aiw8NMx!D-E#XR-xL2ISad5{lY1yg%n;s2mjrzmNI}XY< zxMm2nr>T00ps~*LO5H8C^hPJV2#)FE+n(Ku$xrlLnCDe7zYt0c8Cxn^jTTDVhVD9h zU7cs)L)g)fNH#J^mm8~B`gbzfMIFnjX=hB10SbFecGH6`*u#m$vCZUfw`|9?+dh${ zT}$d|C-k<)Kep+utHzE{QK_XzD{n{^8-5?h|7=)uhXu!Uqtj?;ux9N41NOr|7M>q; z(776Co(X?4<_{EJR806>f7lBY1N=Lk`#{6k8Zf|z5(mHs6DGh1R20BRI`{!;MsPLN zHC_}ZH~@Cy2fzx*02nJA0GER#7p#!t1mvqYc~AFK1&fN`tFXr;#5^h3Ha}o~XUhvK2rMS7tyKfvO$5Q#QzZE#x zew;bT89dY`1ceBikw3uS7rIYsV0%IyH#)NNQq7tBnDD}J(?(pKl^mxwF*Eu)GjRmr zA`d94L^maJcIyJ!a?yfDNuAAz8qbhqi>dxW zG9yQJ?pa%RRE3C)rN=ZSfkh^%BEB@3(~y$ZVPIm<8Lp+m;DpsF{=oxFde$tT-jw*< zsk>$caIYtkHqzOiV*ia%Z)+Aq<-$M8t_4)N4$4f<`E}r32Er`AFDblFH^J(K2lPf_ zwRyn;aa5*^Z)&`7fRAhf!_iIgONgPkr8}qK%b#A%1z+C_zJCg8M{IuybZz73KIh3r zv2D2Sm!$`f2nU#s5R8oMGr}4r-gc+Fs;)6j|0L|KtD=B7gVtXUghBN0#VpVWSuPP8wXhI@D967P-46PMWE10P{jqL0FvTuGu>=hbW9GwF{m6 z^Bkrh8KSxfZ6CNZoXC#SrTBhAdU6AsTk-gpcsDf6*h z=KH~s1>f{3HD`J77n*KFmBB1P0=18ht=n|R6kl%Usb><57S(3{yF}H8&J>K8QV9(h zovz+up&%jWZ<&->8b~Ek{f_kdc0?%E7S*P0`mvdG75D9LuaS z<9Xo*rh{K1A7?5UKM#N`lT`Gd@{=*7^Kcr$9YRK!%s|MXu$_}E-;<)=W3Y*Vir=W-uqI+jO zva$H`PpmRSZ+3Z4$S0`FY8TFf`(W4I=8t^ek5h1K$Uo~X(8oJIFwhpy$g~^CD)JJ2 zkmEOkz>=-Hg2M1)*Eg!7=u3B3LXOVzAc;S0;^je^z4Or&md{)7{Hz5Xs{vZ89HIgU zV@FIUa1^2tK0vwecR@!mP!vnSQUsYtB4FFKpnc9lFtSl(Vq$n^LlySI%5~(!uQmVu za)4Iiju>G4YzQH$(!?|Q)c`!sBn~ZETLuBmMl`sJL{yoXqxcyIFB!`T@S0;ISq_ZN z?-EyT*~1YNJq?8p z_ju><@&Uk6L@BRAw3$JjkWKgR;|&i*mRncR4Zm}r8zH01n~9MYADKTo>KMQ3<#g=ikcPy7S{EWeKCqo>s6C=T**td&LQETnla4rQJL1qsm zx!k3|gT!#JGtSm6lYjqX<``Zl=0ea_G)O#f*!xs9IDI9cJJT0M7W{Z6tS=5FScdI? zs1aZKkWiiL$SuL@ELH>g0mza1plbiI%-#gJ$T_q7OQ!ipHu_8c^N*}s4j@B<{w054 z0m#x@|HxT?$!!0~U4O}^|HuSY0CL+uvJZi_o`CO_z~Wt|bv1p$lF5-Xieg&aa!J7K z^ogp`$0rNl^FILGiQC9e(W~11rT>|}Sk2hhMUkK7(^P|DE{}DYI$S%4Q%Qnm!*U@_ zDnp#g*UE5rtQrV4ZGUFTVnoDHacFsHs6iQ+qIQ~F2@)DHLW)Td6;(n2Je?E9v2^Ad zA0??UlY$~v4TcersglOe;~}FMCYF)LGeFbd<%Tk|^!XE?j>g5xKgP<2vW}#DZ{G|I>Pj14+N$JsN_rJ;natgpnJXqgVTaG2qIFm z>BCRb-3LO$szTTX5KvnF#7WgYCP%@jhPwyhdtUTsqwAZCBB4{q#)9w^Ed#bvk1R+N zQmZ_pbHJZOwgUxp{3%QbwoN3Pg7%4d|6w-|{0y()gwEmwrpV(3iy5 z?BeA5!^6yS_2YmHyjI1R%jcQR!;4ePl7drPw$3d5Rh3?r#DA%pYy6L@x#**%Kd&AC zvuZAS`H}8Fs^-pDdpA3>Rhs;^Hnl5A>nC!`)b7M;tbDzi?q1W}?0iqySW)nP_c$s? zQy#*k0t)AP%REV((G}V9|JM${i`KY-VaZuJ?}zap`aZ^pU?UW zWaR~@3EBArn{VDuedjH8AihV(HcC@8w`ZLme0p0PHwC@!50hQvGmB?0qr=0yS+Bc` z%iWz?Qv$PBM}AYO0)`NI*}2_keDdcX*A}ce=v4g8_mq3%0X=qW&*e%HsJ8C4_6RmS zp0G#YKk{C{3`E?|E7l*mOZ9jAKb7Bm(?jWQkgC?Gx&4$Aq2C^n|DA<&+u^Ub zgDNSiD&ymB*@|oWLHHryh@+_Dh8fkFZ%M-R<=0dtLjCRLV8MLiupZ52F;?Z!vl7Qw zeOhy)K;wN}*^x1&u#|?1pA{r9{kv&lVnmt$yaWq66$Fbt`WQ;eS77Bp<}-}375fMX zbr~n@aX4E8#@LE=H@KoK6XqPU)h>N}&H5T_arQ1lKIzJkK9N>i)*xDuBuWw3qPlbg z7hS1+RL+EY2yWhR9vuZ}R0iCvAq-7Oa8vq#w|_km#VZyD=#V}F2B^AlBi7I8mIkb! zE8cVv^0JLsW5{QQO#U@5>Tvnl#!T^~E5n9BT6Yatf?VTU+hTq%PDk3#IZ!|u~4VmlH6}UK~uobAuvWKImAfaFl3*!u!1mO<#nf%GgqJXE= zaif5(r$-He4#=Vc@EYhbK-V(khJhxeFhIEsb?6}K*$AV6lauHm9EUp9;q=d3o6^?` z((e<};c+4zU~`~kIoQ9*7`dRP%vptQ3Q7n?^_AOqPDr!+(K;Xu-`9+wqA>%wj-$-L zrF3~wSHyLAdG3%g;-)5_ zGtzFL6L2wOkKL^*<%HP|WOG3KGh>Z6tR~}t_7mJ{m#Q~soinmd(*h9?3cx&abxL+1 zlfW)>Ob&|Kp+YSiTUOw@F1n(W)t3F-r_$h@C>Q-ZgF^}k#W@m|e07RY6zV5`V6^9Xj49CB_F85ahkhPaqwVeP~>Qosxa-fCmDv$BMWj z3H`7E_Ta+`-l!{p3r~{+njYJ*0uW|(L=j+m_ox8VTl9B&|BwE3Y~q=4Bo>{QIwto3 zVtN5$8d>iEVsbfjJON@3C`=R_c|QIdFS4;}Oa;z3GKLkI|&2m_v*SY{?dB08uz-pr!so3wzU zXH|K;VGaYG|KmRWw+R3BDcYD;Kp^3o5TcR5wu6)4a*ov2!GaWc{hW)K92DUCju6X? zom~`N@%Nb-IRp&`-${^gLKFC8w*UKuDEj+_`2Vr`>zxpNoaAgjEb&l7zbn~V1N2#(d$@i=Oxw1>%)8_D}7-;nj z<;46S(fU$HV?qCxNe?grgyuvhLF%=(1k4OKgya_L5$p0q-ge(y8 zX{ew4c<2&*o25L_yU5N8_`u~T;T12JZ{O;D*VDn3pJ)R(8m#VOfT4z*jNRo%Exmxw z@846kXKoB;PZNP`-I+Ip@*ECdz%EXFpH2h6iouMQKUksr)pG_l*qQXA4_0gx=k(}R zDztSOq|Yh}8r@e{e5!|iIzpe0Njd@hntYz!c6JQIU{ENM6|&ICVI*yShdH58Ba)tRGjAto62$V(*Ulf)fat!t34v;GT6u9x|MS5^;&eXY+iJzdvN0U5RmPqLc9Pkd)mcb5wYg*Dtg`zC|xGZmuyhy zOphM*@;b!pMuvmJ!M~--#2h_ZbY>k!BpfVDF40eKcMZdh7*}>HZ!qA;J;~a1)P{7g zw99W$U*egQJa2s)#eE?@EGW=oYTFdJ9E8-d3s zas2&r{k0u97G~IcFVC#=p!cS1Q~u9$lC9Pyu3sVHLyW+5-$5^MtFck<%~OnBhn;_o ze!AWJha~{lhvq)S^~-rreH$TU5%k%M!{T-Q11JNh06NyAeiO>c>GR9|)W(;K(IWJ=RGzRQxoc7$C$ZMb#a78+Qo@!3?;52mw_U#YihmF0qam$ z&$CHeldQ9OY+KPKLL*Qv<=K?0McUaiW3b`^J-k8o8~b!c1poB*LNnuLGnEY70v%K4 z{+vwIoI|F_C^p`&ElP}&ImbafZYif@vLKOBDTEfvc!I5hE(?Us>FqhGL=j5d!?_;T zuuBtrbFXUaVi7lvse(5EI=emh2-htcc4?C?g1CU^8Q))U5x|##u=$5EjG&b3o-s&d zV-cJL-?JVXp+_5MP{y+Hms{q`7=_$UT2asqa9{V%e=5AbtLr+gbiMATam(fWv#P87 zCn?waVUnjy=9(^G)5yzF`}6uFW zHjHvim#luzc>>;;Tf)X_!|1H zrivFku{pTV2`T7rD_xXTT%_b=Ygq{`HiY?Lzb8C_y>(M#iI;Uhfn=hK`KnJf?VKO$ z_TFi(mUo=1$75{so-eDZB495?w8)EuduJV7ZE-yLq{E|s@fM3;HG?KnCB}0RdEmC4;qC~7 zpT|0n*q4W=^?IA<J3`a3cp_P78ESnp#-n|`}-VGqJD?ns~qH7(Flf?Yt^3+eLG{sx{ zb+@9%IdAdW>!!N+2|06(7q5ou&v1~D5D9AU1NA4Cg}>ys36%=ku&_pz^G7wxeIQ1h zoY6xKAtU$==zwrkqdNC-qI1DXn$KXRipHsx*WzmX&iYCo@~9<`%|&(vm5%KP54qtB z)dMu~)v3B6=o$UFCoyT2G^mqIhG9GiS1|L5)bJr)FbxU{B*+y-iZuA4-oPvC!Xe05 zvrREn1<~2g5r=#-B1@4D^`7dduXnF;?TQaD#k-9oK=CLo(io_bI~6FBCe9_p28k#U{gQ^N-_?2%bVz5bFtM7VNMT}no6$J zMm@8F@)j9kd`ftLmmqym8&CrzLokKy&JLd4Z>%(jJ-`?e1N3cX5B3PnY6*S@d8HW= zWJD1JDyrQhH24GM=a#hVN`hh1vhCNX;UhOIzttlslNTA{$0ML(NC2RE01z94V zDFKTXvB!v?B>wb)$-vvy7Wd~K>WJ`n2rr)?=Njr0B~B8_#)Xm(<6K2#L;!ZwHw}B{ zpp?Yl{i7@m1nkb9IF;~7uz(al74UnnJI|;O2--v{@~jYQ_EaC{zPgx}c!E#z?C=+5 zF!=9MnQfF5tl=L$tHbH*x~#Hm(EHV3JP&Wdp(_urkF#A_Rhn!ahhrKzA!Hz~k=7-% zzI7G91Sl3c-I9Bh1vA~FpD?lQG3jm1Tps;?4|E z0jWUQWV=#<{9ZYF_``+v^$l@E?P~Jy(TR6+JPnhmXekz0_-@(jbV)O}y8@cRB#%V{ z{Y>}HWrtz~9>}DK2iL3>`xq_0M%R3?a_MLegrNsrGw+-J`wBryoo^9ozt~Q1kS^pb zRMOctwg$dWLgPNSyLhTLbWc=J*|}@B^_>WR1}ua{Dp*8?^J#q~5zhWmp3^JBz8r6xe?vVHSat*Lt*`q? z(-;9ECH1!%?hodlT!K4zWCI1vC2!V7QV^VBRM~@~oXWSZc{X$r{!RXBW6@q9`T_;9 zl~$L^NOM4DsgrD)eb8FGAk`j>Cgb4i@r)p7Hw?x7dR>9vC`Lmn9+(A#qOol5j+zs5 z>&a{K^uOakne6*Oxo1E$c3@V{k*AdwN~G*K|pXIAPdFLR3nnTI6dA zWk6K!Bv|A-_ULD5s&yd2-%c6U-LV2HvPv>c(x&NNp(&ae`S6&_7#jsK%hJGC3`sbX za%?$BEoA=~<<#a$I&p74gAAOH2xxo*?nd9S^`eE`u^n~1bmxR>HyQ}n0D?0|LV~0c zsy_<__A@9UbDj^>5QFnKstFF>|Ivtl2EyoZrT-In3k?nLk)oi$l>2(TLg+l2u**qt zFVX)i_5L;bq8bFH{)l#|$=xHJAW@v_!n`Qw4*#3QuKy{m1k8^vc9LN-$E0?TO7w4+ zKVT}odMTL=m=k5~CYHdb>|oF_YK5I)6w2pvM2E~cLtu1#EKarWP-;-+94D3{%(zTW zhZeJ$U3NWWGq`U=%cOJMOO;Axd6!Shqq3u&RyxHj9dN6T^vIHIxz{rU{#I72f;x6L z-R*yD$rT}v`XpVGGN@EwC+|J|HinL${+{K}wS*CQ;$WA{z5CLBsZW+6 z;S%6=HWZ^^r&CcwyI5V+`3%^08NI76M~>gLC?4&ygd}d4lfL}4Qjt+$@H(5M6^vpWV;6*lJfB;LCR?yVZJn?VTX-4JDYEfWXSqbY!Wn=pUK8aB zhTTH{-;{BlU~wbhRI8+o*LRE$+atEv{(qI#p;7P|Q{_OQch^LYhkP z|H1{GcF-@q)|85f!b7@dZX}VgksPI!mNuR$Oxup`$t zBrmK2l7ndy$Qk#gGlnq4-6x%5tK~a@-?SphH*k(q%m!W|k**WOTAte_T!S+}wW?N7 zi!-DI^#ADmKSh+_3tXMgc7c7J5mpV)rY5-FkJgz6wjqPpop;0NExeMJL&#}R;?MR8 zBe@GqyU#fRE@p964`-2UEWM)hmid!Gkzq#XvOkqXcgh2sN6Nbx^*XaA=9BG_sN!R` zvlnjWsqzQAt1=E?P<=($UFT4m_K?#s`O0}mcJ?|maF^f^oH!vZrcp+**A-7@k~>no zkm7-XmeuaxBLZs&PSg?C=@b}I4i!s*8a!b^0ma@mE{Ik@6lgTVjX4S2e<+9zB_(1Q zAQ>T@Lnwn}U5XYE-^@-CI*0~E6PAgzW=#`FWRV~Z1xbyhoC`=BV5@-%Em&qCJt`5E zYg-HJH#I^97MrjTgWb`h26AtX2LH@GAq^DFsR9y$I@G5Cb19624&WP=01L4Exrxu? zABya=LbM;OUuwoJlmlwa9l-Y?u@431JSHC#(Uvy&XTt>;Wh1D>dKEK zsz_T0fn|f_@yla#iWE137|Ei>TL%RQQU$lj$fNvM{5iylI-#|Ymqar$d2+0!zeTe0 zj>DeH&W^BZC?%sYF0YhB)pdJ8)wTUR?I5b8A}((Q@p;lR4x)s*m^;WzWoXin20Bh! z8x1R-8+rkBaV?GvijRu9HcZu=J*#RkMf|WOtCX~Afx~*W@F0^Wa;Bhg)jU~(X2=M6 zD-67Zt(ZATM~!&WkR8Cu9>B>gdO?9XeJDjlISnhlhKf1o0F5x}m>OFq*-Yh}d$$Tl zfd;1#9J!MQd8zm};~8Dw=&Kp8Iq>r@bz zagR@k2x_J$U&nyg7_P3~t&Ys)H9N~@n31|WJ-e#eyeg9tc0`6chR<{r%%>;Jv^@S< z+e_StH#;{^g{k}Z{qp6P`?#0$v)HfpS4{z5Zl9-9z~Ou;2fx~f>oC)ra?r6ZG<1+= z-|imk4x`o&KHeWqj~*DVw%!-4%*i;a*(KhVGpE*1WEn%>9aY4m#4N=d2f#9Q2KDZT(wY$0AS=00BAyfC(Uc0*9 ze>)G2@$s|=k5OJSSSO7PBK^dcO`jhk?rxjG*->(W$Il8zHCZL{v_0BS_XltHW=`$B!Q?&C{e+f)Y{7{wANwDBxCLxaS&Wbn; z;4;i6G?x>Mo@nHQwJ67(2uLRWDb`1zM+Nv?nW9MJKqnvJ*jM4MCz8>`|bGTz`c4rnO7BQ9>~cx z%rxZHdZX*)bUJs%Odm(^s-jc;ds)NHw@5?jZoWu%>5YS$m0Ofy>r;DkYl?q!OKtKk z>MbJyry$Q?RFYWsiPJb3Cv@Z-w{EV%Mk8X7iEW4X}S%2f-;Vx)g@3<-~W}Avk_poXS+-+(;R-X&e za-ae)M)RN=W@=?DJ-PU&*a~%jiX%ljvbyr*LfQM*gKd7Rgd`uRY%+uGEcuA}XvwYtGydtyUG+o@i@ejJOz=65n!dv==>9_3z? z$OCm(1+E0xo5A=%CBk!ApsHAI75ba-UQC44n#yo*r;eYur@JP^?lP_Cv1}6rsk?2p z8v5bQb6UqOq*15FLHSN^k1|a7bycpSCt#Zv_Lt&U97R@cZ4n z@8_uX3n|3?{{6nrRYwotOh(;uKLiAXPCo@ggBG8^=QpaWpLIsZfe5g|dI1R>Yn87Y zghjj_iG5uG5)VV~@{Ydg#cu^`Z##?Tetv!*YgxmVK#z_!O!*UA&t@OK<`~2vzF1N3 z@zfB?BY`pg&dU_$-%C^j-j%-;%LNYARv#E1676X&@7iX z7Q7s;O`MDO`s14BIP*z^fjkFpo%T}FH;GN_TqlJqTdvbs1dmTm1zs zV(dr_hVEN!BJ*7_g4Gmy-F6!hX&0i^kI)`}T5$sjSava#n$G1+=sZnwt(j5%%GOmGSkgnSnPL5`)>Rr< z(gUrTLH%gfZ&+BIN5x2GXZ*PI?(HKib8BW~UP!sdOEo=K+l-T)iQGf-L)`bX7 zONHpj8+W%MhDqCgYx{~hLEGrX=C78{?ivTSGVymW!0AfLia+CKeAl~#|EB7&+yAEO zYIVl-`Di)nxj^fg>h=~sb!H&p=RDZI})gr`DQZc)RczFI2VT;x-9p@Mw&)B zx`5(KE!!%o3M{Z4sv~)*hd9VCJQ)Xgxft5BM};6|PiGeJayHBz*@L7Jitf=-XTM6| zlzHi;RYB39bujv~PW|Y2Q+Kz_w808b%iP53P9vN5C$~bNv~Q7sn=!ou3v5~!Nr0qW zskP?}pw$34tqqm0%u^lx+`(GU2Zt%fq{lXB?BpDfO$wjzU^wxPA504*g@M*{yaa;5 zM}K@X3;!MLL9GI@HJF3w_^gQEUg6f$_vQaNvxR)TMSW^fxZNQu1<76yFv-b6N>~7}ZUKdz# z9#F+@LIv#-wgoMW&tHVw%^JvEM$pb0SPNB8a@`Z46Av^!_!7zaiFb>>YTjSD&B1fR zn%5SHiznSyl^IR9s*&Ju(8Hpn?BN-9i;S+28)vvT?q`*2e*0h=N&dA9Op^K@1zFg= z-^U_H_wtv6{G&IH#0c8mDpeii{x8Ru7{GZ8`G4V*z`3D7c#Zp+<*MI4!1(Ovsm2;P zdIelc@z)Q3;(}~<4j`8&3rH$&H6=V!8x;6hiW*uF{)oec;>FW1pu{&a^wR8`8+klY zCv9n;o2ZQx**rI$TX-dRHC|Eu!)n2ZV%Cn*NZb4d2@fW?Mj~P4V?9uyK32TQ=@*!vTTIIEET6)1!^p!?r~5nNV^ow*gy|Kyf)| zv5TOxSYay+J;pNg9Bo&wRI|r7pDl*?wMIWZeHOvoM43&7bQKX=I4IDV%sYZAW-7-? zO}d2&-yiH}3~drkxdeQ`#kWI-HGo=EO|XU^lcIyh&e>FhARkZ@(uBjCOxN6e%FJp| z)kQS)gdz^_E>*pAK;B>)lQx6{FUnjYKQtZv#@s2Hoi@=){z+?SLpxxjh5tg`T!Zw> zR2w=x%a|7}9#2(KSP8?qY-F5m-;&7;pOCXE@Ebznm?63T=FgmY1e%Zs z(0DXeBtb=W5uouN^YIV!@gVc@?ay45iIrlp!%l~%@?vI3B$6E29+j#mb+)z@u=FEQ(OV;c z8EhO2N3K+=JCUU!?5Hz9$X0!v29&WinJ_TY^j_MXRf}PD zE+rdgvdFdt)=h$`XSHIX9Ch8$*>-r`&ICzE?I3ntfq^!l@C9QW3fz?)DS#cMBIzjF zgfr~OC!rFgpGaHI#xh8+je$Q!+S{|TLj*Y{nXB~-+wOmzR+z42-~^s08nQ$MHKxco zDyw&yP{#n5Vc|1{60**UwHGvmXHAE|#z$(A+H^GbV2E*>E@v)qVb%Gdiy1Sw1qZ_*pPAuIQN&CYl6z#{suUHPLQ}6Gh53 zv7=Us1~i2sne|4|rf6f$tF8pcW>rIxGKemQ@SZ6z%3j1s0qw`04X6grDfED0*V(m- z8j6qpzf|X@!3igV+7`1M>t#zKy5`pR8{#8pxL_l=*d=C{XyD_AFPfTQ{*=s9A>R>VjYry|8Dy~a#Abf~(If_*c-sgw-! z?d_vFYA!F+vYMqZTiyT`@p+0DRMgtyrn%sM%Drd6`NywN+7pzKf;O72f?mUR9RSBG z{8BWCamu%JWd!Q0-@pXIF8PM8axH5h8NscME53|Zj~{BufWNGZplnlh{fFDZJ z90Q?ZELajd7OKEDY8+O;Eh*s=51PUa4lu|L#t%JZ#9uBzOF>b6Q&CIXTPW3xgZO1W z27%@FHG6uyI6OUl-icAI^(`W$UOK-c9;IS4lTT5#(+ABqv_oY~M878Tq=a#$qX#ve z2Q}42<;=aTR@@6vzKQpgawwr&sYth<&c{)^;pW=igxQ)kg$5_4TyYl~yB-w$f6?~V zL3MoF)+p}o!Citw(4fKH-QC?axVyWA03o=$dvJHR;1(oUzTWwrbMLvgzE}0?RlPrY zR*x~~m}@n2Q_#J8t@)&JHniGAV4uCZ@6E6|;oZR=**L-Lqi>!~ZaB=aa$Bargx*2XwHVis*R;mDwvjgoj^NP+*gs+Tpu} zObh%ZuM*x{*_H94d_>_dP!Q-jZmqWrP`#Prjzi=>b$xKMKc*VelIMP$8UT1skN3TX zYpNG~m`9WK%j;!OK-$(@*_@A4#xA88zzhy1*_|%fT{&iES0}B810tGUiCzYW}VH6W(}^~;PiV|y~ztuI5dq<6-_#o!tqa6 zcty2I6oC_y{}&9!X@dQ6TiWX5`wkcmJ9C4;Ut7MfPNiDNdalo>C>dHos>u%71P2_Q zX`)`0IThfu2|J&0fghzIiel`w$#00R*3K?FfPzu=;)?E=1~w`rd3=Fz&!xI2>8T& z^U~$w+U$r?Yi>sDvf#WI((w@S?mgC=r~5g)gP&xy_SeR5`pH@AC0}Iz2%je7FZ9`| zMemzqZ}@>}=g(K+*!OEwHdp-QgqeQ&TGHsawn*OUB<7(Uut?Kb6}r}eGkQ+nmI+xW zQ0B+D4$~xQZ!~jGnQ$$|XuHE%E9OiPZEqPEqhM`<*qq3iq@(33gKX-nOs~^2VVk%< z`6b(%bT2t;W!BDoY~LJbLmlc}{``+LdwLz}=DMFl)FY2y#hY9SR@hn-#hTTHhiDpW zi`RzIM(r5d#1Pp8$|4yzsGDT%jc4SHK|`LM_$|QUcUt>@7Hnd^LLeAr)8C1+&OBK` zXYv%E@)Qf0V_3H;(xc|13Dmr5(e3L)BxU04_}XFI=b{_~9Y4m&&;e#`(l7Ia4+w$R zx@z$q073+Tkag2Om)R5`L=y<{Htiov?OadfMkHRcG2DShqeawTh0BGXI+g{}`a>f! z2Ny5O_IBklBTd{-QD!ofXfB z?QWq+5sauTO&=3uCt4_4IeSbwG1RE5mAH8 zk~tOnAnC@1AaP3$qpc`evi$07hk;xw z3`Sa300>-wAZh^!i(sUPbu!y>$)4$l8GIz&W+XOJXPVkda&VC?WE&Poe&&&Y5u)Ly zC@3U9h4S7Ww<=Odau4dY_ZTdA!Trc^?m~EMWFjuPi`qUDZmK@HapYx_zw5}d!EEA(z2Kl!#PU_seCTk4V)(>h%Q3c;uGhEwNze^45 z&#H&g1+`h5%pTj6Q==!#m|&N{y)TcIDy{!3t^|oI0rBQPVhupt1`@A<#54LES3@_E zvaaO0a#(2JEd>%`)!4GHENjKF$7tAQ;Pd2O9+`(A8~X;&H*B5$*d5*d9vuU^mwe&% zyT5fkI==B|?fzW};_@1zP@^fb;s25MF_CiHhYu?t>cc3HB z(&07mq_#d6*!=hS_o!0&)iKHK$`a9$)?Kduz3bD(!swa@eRiW(v;i}d#YcL))v~9b zGe@uQ&NOZZ&u;wv|Hq1glP}BpXYoGEAI*(EFrV#y4^!d)Gj)9IvmKt9~G}}t>}e}-SJNdw|8^rcV~O) z2cIXZ--es6Kc^0@RdY2aD$Fxmsy&J1rycYNi|M(#p_TVvHLewdVdSjvR(6sY>s_7# zPnF*M+-nqvtKsZcz8MDMbG_(eko6&LhRucY+KtHKp0_?-^}B`7{9v?$z3F9biR^42 z2lro>xg;X;btLrHQlkE)AgmZw)X`Rf%~(IC?MkGLAikDYaFPqr4i3+k9Xdm>-Oy_RTV zl6me6`w3W%xc13k!m)ey2}JAM^~jnk;`SdMLEG6jH+Ej^wdupweZ5zXPNR0?vi@MD zB)u?4fJg}n6H@#!)z`rbzGg-xBqxgj=dG?fJXD4!Exa;Za*G*GeSF6GONxnP1 zpu7C{=IXpxJ8gcShl`aNgU@++T{bxE-#ADvlw-`LLY_i3MRySOrUaRoaJEv`m(~U^ z1&z695Oi>oXD$Y`Ei8QJZOm2QbS8xNqK#sPvn0;3S*qw6WXPxvFGJi+NwSF`dUk|9 zO?ZZ;~#d#Nge(C*8nEuB$=66*ZoQRteJhEM$9LI;Aq8=<1 zd%7#fn6kVqJe@Tjro!6uxzR;y{!cD~-sSL4CL0zeDoN!uv#NJbU?$OgLs4^IYlR@2 zXFX|$T2fo4sa--5>{EWL#;A4rW@v3VLoC)^^g`|7V;kMOoq}sVx4~kb*{DLTEFllL z9J);n>MU8pvCIE#zi5Tp>*HUV$Z#A2Sb~4p)?d=-sx)UtKK5g97QW2+hJU0Z(LT{r z{c`XwMk$|reG+R#Ppp~rXZgXWBG%$}{v@3kd0ro>Zq4{fq)f`#KNA5NVHP(QSuqWq zoC}p0#xV!o;Oa`?Ylu!T8Vs|$EW~0eIC1kE1=6OzxTg=#wv&xR-?msYaX}T*Rg0T~ z(G?0?-^UT~cpVoUm`fIl4iP#&D%;AeuXatuu^fwv7=~0G?Gc=~X_1Wtv(!-2Vl%b8 zK5~qGd>4Dcg0|!ZRCVs2SwU55F zCflmHiWI@9^E```M;TNf0F8+Ar`Qka@1kOI+>fdiL@?4jR|vyP@}q;kQbHEyxbZwP zK>f%Ja~}G1?CYDB6Py~RXvqtkAL(+X<&2_^9~7&~^C+7WJeA~9GmB>X^+&J8F5y3M zh7@Wj0+>hJ_4|YsAD{@zoUbyuVe_eu42#K@B~yc^27uH&u=L1*;+#72?V{^1*hzgg z8|B>V`s4_w(tK!^?Aps!w$(e|%{C7`$O`0m_Ikd!7xEniR5dU}E8DacG4%5AZs-Jj z&D^RZd;m;(f-|m;TWA6Wsa@dYlKiA7 zjs4W5zL26ufD^~;dwgyk)KSJ=EjX4Y2>}7y!mzv+0%?%|X8^>hiHS(b(=we5xas_` zJE{^=f$Uwa3J$bneyfE+ba6LAb?m&Ae~Ss>3hn1*-Y@IZIUCCD!VZyaU5F1N^`qY( zL|ibCj$qqm30!{6Ges9MY_f|>TW^P$eO(OVqjN820FQIwF)|deW*kX2)cAq1&8>kB zV_pO}kKO({uM1i%thEwoTB}m1dYqVKaUP815E1^cMS60J?WbZO6CQb+Iyo%W^>j;+ zgUj#RffzPZ_a9gdJ>8!`j2MVHF>#N=Y9Mu+o>58z8*crXk&6M%C`pv(3M8GOH9Yy1fAc%TYVK5l zIw=+_5*dxK<#q7v0jwF4;D{7z(z5VzT`*f1(LN=t)OvwytMI#i zWM}1IN^`}w@q!p*n0Gsr*Fm!f&}K-?BT|S-n!UQry{h3{;6+L2LqETrsuSH^NSami znvc)UXS==3UP-J!~rI*0bnwyulQQ$hjL@Abyva! zkUV4v>^KWB_Zd?=4+vv93oVQtF5nvB`BS?O2%7=8>u{mj1i;<{!X1#;@#0%E0Q(OJ z5xD@bQ88qC7dM6Jh+QcJ3)a@R?A!!L(;`BX z(+Fn~mm}SC7{9rkYrPhdto{~n!4JcMPX0BB&8##kOZLUUFUZhZwE=@rXsKxA~0tOvlK zzHq|qAHTV_Vf6g+TZ56+3~yp3RY)(HO9d;rtmZWA<1LE?_iCg2n}DPN9CPv77HMSe z?x!nN!0~e`az@RhsfkeDg=i@~cns_IRslTWp@Zy*6x6I|fCSW+3?XrDDZU=O42PWo zmcSfQ(pXq5@8iTVBeiC#wBZw`Xc^|H=}_@bFCHGdQkDr5;^qYk&DtxrF!xdx^gM#y zHdF#cW|MCo2$(xw>&V{i_)^72y*;3a1 zVN?ATSXcb$wm`ezuhi;e$yj|M$iZxbP}$lo;m+m$H1;cUn#;zM#M8#pCrMGqp)EN! z>M-uAR@#WIJ*?&3ZrSTgBiaEnZl`G?GSS0RFQ+MaTb;~X?z@OQ79`=R9!TKjuRV0&-V?yD z@Cy*IRIuIoHIUWa`~h)Oi=XxNUvq5#k2!t;=D1>CG{FfBpGpBSdtz^YJuozowPN`v z2=iqReAUS5V-96dNYrfSlnk^;_p}T&vkSi&Z@LnE&UqJ-fi_Qef7I6uN-^D=_@97 z{MEI3;+$7zAN#PtDWcvm@!L3i$6xC`@}@>SQJsAxv%>}M66z9$fqGay6MgqG~f0F&rJn*eX;znZ6(NFq_$ycqm)wvVFLQfzkPux}m=I z#MMc;9g|r(w!UBEV8n!Y%d2tp%&Hy04o7+3?HmKk;QfGQ@C$Gxh3QV98a8{A;;tsTXlix4Ih~uiog$AW@Z9 z|2?}zcH2Z@t@F>up5KFq?JQPeh?uP@#yvVD1pLu1U1W%#WxQyg0K5db5eYLzV$GCo zqU17cp(N$moERm>eIOA;jd;N%$tNTH!!!^xq7ao~Cjpg*x#flR}ATx*bEIA>C`M+$5T>VLnPetlld%3P(BxUQ-5dcqW0{@kJK6<EAmGe}`ZpI9|i`Y^1f{ zggO?~%y?1o37*crYR;UWPD;abC(P77p8{y_-T!XZ%HPKv<<$niuP4O{0S3sIXA> zn%Z1|g;=c0Lj~o}b_*)jEq;3V-xpd^10GY-+uW(CnOVL&OAs zfY)p6^6RP!zows`@j{N^8d~Qt_k_eT2dF|CY`@16p&=cbhL2!%g@A+cbhe$zoNZF_Nba$!HMP+LMfp%XQbvq|}I z=*N)E8d_YYIUzOiyl_+Xe&1X(LzR^=4IiPR_g(@oL=WK;E`!C#i`oV9J+Eu5u?N$% z@)hHs3!lbVLC7qv+>L#@JT%Q@moANDguz2$8?}KDQ=v9T_Ty{$0x#@8kx*kE5^Q4| z&+j1^hX+&`m`&5#mm+{Y`y)#=^_A1gbNIUH1s9Q1+7^n> zFwNu7v=z^$iy7CM&8ww14N3)QnZ9`aIp`I9vwV&cSK}S_(~Nl6a}|c&X%{wS}SXw;+8fLM1q>;5zyypmwg- zL$r{ReoC|b8u-aF_US71TN;+HsbiaoBpFOiEnIojcVjbIq$f3`V2P9@{9B!WIvdJ; z3lG^A?f3m`us`mP7xk^Luq>XnPQ(0EW}eXuzC381bU+vf62nA*AYg(V4PPXU%uFVL z&Bje>!hu%ztzH=tLM=k1Je!&4+Wxs*{`=nX%<0~-S229=@s?Yb*RJ4ihjN-f6P`(V zwybJFaFR4NvtHK}J3rYRhq!8R+%^skWEmT_45_;43N$41sjc**yFFZ5e7J&}^+bfy z2e@^hj@&$~VsR-VsUHDO3o7VlQ#kQIC<3O|3XirHbRZDEUj*d z<_qiJ<}L(n#72&8%T*z1-F&z;;no&XIi#|4&)O%+{a|dQ*nny| zwTAkMQwogZCUB@$>vC`{zkDrwrF89?w}AP=f;R`UG_C`^Hsi!li!dT-B7HJA!3mC2 zhHbp!Vis+kVfuHVh=YDOU+?dMq^_pDGk#LX;0D(=Sh7>}t2EODtqtUNIcgg&z2Y1} zMx5~c3;ekDDlPesNXCM=wko2b>5C6PPl%P)ij|0o_KjHeZY&>s3LkK*Wa@g?mWUX{9>7f<;5 z;#Sv^L>F2dByOTL`|z?i&usM9F4d(nV3#u!^mufg3B2eTeJ_I0S}4}E8N9Ln4!qn6 zMkTyq(jg~4akpbd`IvjAAlevAv^`4VJ%96<`-^ben0C^k4bPZmgdWY9`>I&l7$4H1 zP5?iR1K2*9Hs+ah=oP>xD*$H7q>X_k9SR2U>Y--Lo@-1v=}jfOJ zx(Ey2C%m>CS{D|gkdpzITsHP4C(exF!jMsU^eOkKaogdQcO>B$B_GB3w&>Vyi7b{( z38gcxu&!fDN5(yKUh|x2jee*Mxa5(uA33>yA1VxyQb3>bjvB8RUNIp6g#2QBmw=Gi z!ov{|K4M*u147>%zX?Ei0toZT*&m!x5F$Tm^{to290`b-U+fWTV}xTI3YhUd(6PCY z95tkJr?5QG?X@bHpCkwVPGPyF+sjli-%SpDNMX68+w)Q|Uri3YOJO;s+tX4opG^+D zO<_5r+v8C%|B)Pc{f%l8ZOC&dIrGYN`yuSv#f z3}%bGe$2a!Nj!7jJ;%$XA7TH+|MQeYO#4JqTy)~ zBC^r}8Lg;`LkQwj$3#@y&nEEY&$*tB%i4(ZYgc#B0V-Yl?#6*g9fL;B`>YU3b1S9v zke87~Wa%_oW)msZ#0ILP#n;PTKDhA@Ec31p36kHpQQOH!>~rjYZT}kY4d&jQha}_} zB*?xhlFO2+;}Q@YeJrUYar=0qcrR*k$?(mB?R#|VqE*7$l~sbdIR5?-&79$I62DMt zBI;|4ocyO4_R=T_kKK8t8u^@6*z1n6FCz-s?-ACuR45E{IT}%SrBuWc^V!v~T2xBJ zdw9*PNe0+UqR0h}zSBA$$;1Y@bq$~$zuI9qhv>@^*0sY zX?HXg+tKbH6b;m;Wh+9X7d6M~k`YnIs#4Pi!iq_OFc?tSqX`fe4hlPCElNng%`oyb z7%>^#(Y6^J2-Kgh-KCx83hmB?V53IC`8+1$T)|C?bdeH)?zGL^S-Tt1->-wv!~(VL z*l}euL`G%6j^a?e)Q1)@cs*N%4NFL}>0A{`1{S|Js6&s!Ezkk2gDC<=ZV`(@H?FOu z$h!_EI(gzy{L5NQ_}CE=jiBg>cON)>rhdv-Nsjo?O7<>H6i1yc%Rl`05Gc{ zrW#REDj&2{Y?meXCep-GT zYvIM0{IlsHr;QhME%+ucMUTG>=D9^=WdyefzI_?d@FkEj;6X0|C(Mu^>}^s4a6@@+ zom&EK=IAvrw=XpTcO{r|)-0ZGXTTC~AEv<7JEJ?y zu#sZ6AgtC8FdBk^qY-1U0u&W_0|{fU;R3OnQmIlviLEBX5#-SY>HkyZ(e47)tnh0_;XOf6; zt?~XSk~4o?@XNhd`(rwVD6i&3VWIPht72BaVQ1kOIyn*Wmoh=djhA-Atmbg`04@z?0o2F}iX@nQA0*~BZ>}=t_6;sAxU)YbMnLv% z50ql$LMm8#w-9^+JAMM#`d1k0fK|&@jDLbqKtV%55P^dRgzg&zmC&TN5QHNpZU)$i z==i^Mw^)Eq6`DGLm(_!+=YUxeVt*Wp5{!!{5WawEzU5!3m>_^uaX>2E3tf1}--sz- zRE@z%qzCR95I4qE^sw^bg-MF5C~VKd8juq5L1RYWC{r3kB%QhwpfoW5P2{2_JZX`^ zSBb~vK&AH!NhvzNlY~%aqo?d$7*9;zcE)=TZ*~OrRa#v#sJxJZruTqF730SwyrfYD zkVWO+S>&)2oi%|hLw~ad8ABIEgsRYX zH3F-{5F-bdOF4Pl6*P>B#Mf~(V3^*24^t8^=~NC36a4RC++rl9{+lSEq(w)h1gA5_ zPTID<8j*73K}rcp>$p3YD=(O*tmH%WtSnJ$Qq67Xz%75KWrQ}eCxMmj`>|LsH{#nK zsZmG%yq$7ktQrlNH`uJHqw8O8<%2ip68gpXKwVJvwvi&kvGC%%QHc?_f zKMU%7R>;z{rdtuKn>32_**63KEk@{Hq2#I(KnAbdC)?z#C8YIf(MHe=zLydF0REaH z`%5+i5TWyJpxNb zNJ|Hd{773Wy-b9I2rTCAaD*5aG@kk=U42Lz(@u5OG_>_3r93o2a>h2go*InZ8+>lE z)3g=_vaVQG*$9$nod3v8qX*>n$EO}>L>iZg7_-?9sUWzj3wdnXVIixcGSdGi=|*!p z)j+dPIJ^@h>g_)Xwb~8R&@W3!N(Q|Az?o^}n+uccYW1id%BvQWGO^OvrlnTq>&TgH zSdwt7Ad~G)vzbuVjm=b5^Iq4!n66DHb29~;QEDhC14_X8J!HnNn zzLUkM9TOJ(&HZzj24d0ri37Q}3$|q7>)K^}q0(>{*O!6sTe0ny<@^m=FLXTkgt1Bf zuIA7Sd<~Z`bumK+;?LXmrY%MK9zKXPMDr_382K?pqobC~ z&BpZ(gS5@DKWxvRyGiGfKW;dza^a+98$WmnM4B2;nHX{N3UbB`g}scBzsnh#dHl8} z!|y8)-Ep?*Mw9sM@MXC2Q26Lh7+9)i%_9}BGjmdN>y66CGg+6kpu4_PeZIKay9un0 zdkfP}ZW`RMUQ<6>2z$+QJ&??zyzb$KAxKIh_*E2X;CD!U4E_PkJ5zS|`s3G85+&C| zvpN*QJYmk9y_@M7adOX)L|S(z0U?}rVu~+^u?W6551pQTzwQOY-g3K1Vqu3zxp}4K z+b=1C{ggR7^Yo^Y*VasrDGL?)=M+XA9r24xqYGJ0b;2j^-;CZqQ2!SEoqv=*mz_Pd z@Zmt~+Fj6wi*Ar}0aab_vNU$@=LdXL@72LulKSI*agl3CD^L8v{$9#S78%Xx)95iP z3Pcf%&m#V{kN)}YbQ<-8znnR;w>ZR)u2;P5EB!m;9$80os2*^m8)~Hl53HU);2j>Z zX3p5+_FX-hnPB9~!(4ColfJFviIaRON0oM54jFc&r4VSwbA?mf{bzr9QS`-exB zE$8G}J*?_}P1*Fhg^ldBwR^T!gLNiRt_*vr!!3=-O<%8O?RCxU^z#N<#BTo;ZhVQ) zGV*WicH|G)H~E`b@nJJ9K3TvMO0%|W27V>+*h7aK+|C^msn5T@atDz{bG?thf;x$eN5e-fb;W02R) zaf?UtmbE3@?Q8J1baq0*x%xRuYV~D@DfC&^cMs`Cq8=?Hf2SE(8{vNUSe>hm06~oM z883`$Z^UeK^Q%;QXDX~ho)rE@{1=y7w?CE0J)ByG$PQ~@Yqh;isQ;X{!}fHU2Xb`S zL7oYK`Sd{8c&@=LYk-~6<7oD;arO{{)jTuQgf-g*_#yXP)b`+SHUSOP0?upxo;8`( zB#W+@7jkUaK{JuoawZQnjTW?nQw;G$_oOPH3lSN1@wF77I29@_&iu4FcP znwqz*A?ONOFcRnf6Q&(4Vfi;K1qjRf8&=ln`4tF*S?4rt#ED;!_0S1K)T|sd;h5V{ z--b}Pxz?O;ZN_d1SOCJj*ATRYtoh{GJMEwuw?7aUX98=fa1`<5XJj38gc0##f$Ytd z1<)Zwd+c*->eCPcdo!8Gb_A9m9g~JW*?{CD=6nr0)b%K&?8NDR)s9+5=v+FZ5{8_< zI2x~1KL+ZJvZq)3#!dWgpfm+rUvhtP1Zv0g3cmywA=tR@@ zo`&5PZ$UR*#hJ?cN4?%bWn}GM#gqU{1Zijjfm4Jk`WDUa!t{9W)f|(g$_)E0 z^m^T(ab-9xp+$aUF!3q=Pu*Ntv{DKJr|%-Ru7+c+YOp#>$22&TqVj)4Y4!Rz>R~f! zW4>Sh_1`02dDGIG{?BAr7(BH0O8=qM?!CJC1D2I@Twk@_78G5K-w?FJPX_*hXYRiz z)o)V{Y3W=t)fRM$z?5b^jS!Z;20Ohko9_m_gssc4P=Jke*#lQFn)x4>wwZz{l+5n; zA9Gfq51_Vqs-SPF+BPl=tJwDQJkN#Os5c4h%K6Bz{eNP8T=Rb zoS9ya8#pdKhb1^9KT`mqMOy`83)8l7aTvq4hEN=0!O(w7y6(w^z?Y15^?KUr4LyT( z10bpzTiOCVG#THK_ zXZ^aoc+R(JB9x~|VN#Z4{iS9Ub5>xZJSp!>S~`5E0fF%Nl3xeGHymfD9;iQ1@5*7> z@s??VK4Z}+8(d;{d!dD63wVJ^pK(_#bLni?^!bbzW<~W!`Bg)s(EIi=V@*10);cuy z7==zbhvLJd(BJ3ca93QFFz2Zo=08D-!Po&G zIc)Z`86ef4lY}Xkt+!YspmfgZVG<3?{rX8UY#W*JM1v~tUxOrT7P|D&V4HTE5y^W$ zq3i{2FomkU*!Pz99DcUbj+FQKO{EiwL-Ka^E!491>G_W@QI;FubR&f+gTbZayDTo+ znbhDQgwktF(V;hVEC}($c0~5#I2m8hy2|v_TYIp6I*LZ`5gmO$^_p{SIV^K!yxts6d7eWEeq) z6)@Hu#E8wtJj95&le=CY!WQ>x9d~5&Bup)ZLA)5qNP&zT$S8q~8pvo7!#7*&0jjFz zD@|m^ag!bO3Xq|n0Pe5LL56-3bOti?Q=l`Dp`WIhQLmUbnfb)ISGd8Jsa7!~7|Ho( ztnIg*(li=prEq`1G->m9GF=7)@;*-J#0$@Kw!XfOWCef6NH_wMDm5stvmpiDS!1ti zP0=2sN_7#C`2;e;AR`1af*>ORGW>wi<`eo-sreCPctM5-WVk_w3uHJ!h67|ifDC(2 zVWl>k(3emf20kVcdKk$DY(&5;oInE~iyt8K9b}?F#u{X#LFPR)cMUcoaF)>TY1k}d zggpkO5IFH?wE@d+vxr=9{^6S?*3Vt9-67PmkGkQ93n*=_c>xGA66q4c2CJIbEQAb0 zl_xS{URKfCD@_B%|Nh6vpZa(tw<_uPgPOAhuh7b^_3d=jRDm9Y2AkJ0bJD`z!q^b# z-xJi`saShvV&90Qou3rGgk{5Bx$m$Qlsj9zC`^)%p}_F>q|VkMdCG@SlCV4XJK3_- zCD6$t zU+lrOoqKwz+w&23r!~N%YQP2jQaA1hVzZTc3cAC^C=b!|=!6f~5gLP^;O4^3n{$67 z`p$NwUE^#e!Gn3Np6pV;-9luuGhiyL!v{Mb+3P`B00_MEW!gs&_2V>cshThmBryXy zZUDz5hd<|TF5;{0z(H#*S&-d+_T} z+%{orbG(az2}f}7sJqI1^wrq?qt1lCY&x@kM(u&XRCepwhp>HbcYXzXhh(!0Zp`e8 zOZJ7)8kYMv76adUcdL?mnv~_veLWA1$aeZ$u?K^-%Ff_U;05;Ad(0-9;JsvJ;D$!c zrs$lKHMC=VJ%wF5maTPaYXA+}FDB}@B?l^RHR@_LszGa|XzYQsImTxJ_LQuWwTo}h z{wyy!>34(196o%GD1rLq13&ma>pzdk!T5a*Op$b=#w4rV1)37wu+z&bt zaf_T8#)B$&(ECf^?>3Iu!_|Jo{J69*$J$&lmmy7&STvce|PStztjwz zy4=){QS#)mIhUC8y>@Mw21T)C#`MY63yo33ccu4oNk+-G0hT?pusXq2tc7USTf zFPH@lkI~9f+43=K%>~G8KCYZ-KyP4Kr`fP9M z>xl|Jcs26qRb}jncy60)V>e&*yuVn%W=1UIr-ua&NZ@#Lm^ zMjSA*`t!CA!Q00#@a-@HK{GSCG+=d|Yunl721_wpq@mz?mKlI;2ZkYEYG-w z#ewT``cw_Z3h82b##D}SMJ=}!MQBiYhS7)M(wr%WPsy#=k3^xGL+^N5$}F z&M#K570FXIa#TXkESImv1j%vvBeZC%Hp9YdsdwH{XT4mq_-#x}x?xto9{6=pOD(c;uo+ctHaf&m_I(<9X>pW%XnU zwzs?8_k$X&tvo+ekYJ7d}W0{tX8fE&ellwm@T*&Cv^q-`J zEfj`_=02yl8HP7%;4c#MGn{=9EkktId@eRRpX=|dN=pG;ci%r$X#A?F8RISnQV^wt zxe1{nws)zX1Q9Ks&erII0;y7gKsQbNMKU1Adks*I${Wriu}k)8a=kJ{f5SNO;7zN7 zyMATdm!2Owu{fPeLNeLKOpk%7Tm+2X&4KEAGJ02#^bTCwzx*K?;nLf-VbwPs8-5bO zYL_#)^`cgE99Yiypftqjp9GO;dd_Kejebno~2l0#O{HCi&?(*ZKokT!Y zk=~|T!?7N0_c7eGOT(B?9B1dzb~7P3Z=Ac=@JxvZ;Y%2WQ1=YeCD-aN|KKfqsGppx z2OHwqn|4>(v0$Cz?Hx;&b3Vxa<>&=-KT|yLiNs5LQRs#8XXq~3d4CB6ZEesD1%9-AZ2vZA?TcL{EGH5dL=Za$rrh+3AaMfOOnO#pRY^T|dY?u%K&rz<-g zMl?$fByE>ZXy=94K@KLC!9ARz(^;57me7@GzS%+qP)*<=i#cebYZjr~Yga2k<4@v- z!w^z!K6Gv27=Fm!{ zRt_s8VZFvy9h#=FN(EL9kpSAY>RyB*`z-Qx-2_R#e zjurqF*f>amd^Q~kAfK(n?;*fv+hJ(}O?HP*59d(!4;BKvhPDn`{~)}75d2V3FS#wT zfy~4pO5nf}L)&3(+Bh!<(6STc*2D@ju>$}-$B=bc z+b}Nz&TrA=luXZ!w_}I+Az;kf!0BVf(RX7;6;Y@xS}&zqgeAZ^T*^#rk&70 z0>Ld@hZvHTMHHShr24@06h9^L%s5a*l>tREQq*rS!hBycfggO)mNFUX8sjUApmBGq zmdhuWCq`_Dx{X=Vh*x#<3HaWh&8l!C?lQro_d5R(jvTb?U^(eV8z@`b%qO ziOurG{X-XNVNIA=f=Xd1h7=kkG2MZN@DhW~iiLo~kGb+2bPJ1%FV18%BFE!v04ok* zP!oVd0Oa@!hd^);fQ)}(2?!1Vkm@fC1Hpa(68(kdAlL^$Y!F0tliHDplEH@z_b8i9 zLMagHz&fNlkMRFX0xwf+ZmNeWAuY}YWW$PXU2P?V=z*5 zicpH!!2SW%6-j8rZ{qL4zFGp#jEwj104F8|^gqs4Nm#HU!I|eAPb<0bN zp&xt^o}EY12Rp;azwW5Cq>FSi9CFN)LX*(J(7`e6n)QZvL}LYEL7|*0Yc_?P zIzWSu2;H!&3mc9I+30xV%f1GXLehZ^n2aO_&SwkSy_5XU!rq-aTS>68mss?1#~=t? zuvkMi>}9-T-##L$35vG~xU(^|B62hz56JiEK@g|{Uxc&#{~0=r2q6e6!vLk}kVy@6 z1%uu#W?@!>`4PhdE_5RHLkI!#U*HA+KsEi!*b-2{W|{v=Uj9lF0utF0hI)()zas(F z#EMA?1gZ(EVn#{?MrrgF2`HFm#(PMhV8|3^q(C+MRo?*xi^QXYv_c}JF8Lw!9!vyA z8JzU5bKtKNsOG<&ykH{(lJ6vqw$WIl!;#+s^}J#U57T0>9T5WR8OlRBzH2A~1Jsjn zywDH_l7J1Ur;#BFc>Uv2LLgAjKh8hX2fM_(@cIYSHE{^<3ghwB?xMH{fF2qK+98%}5{F72I1 zrS#lqO#zFbkX&QyA&5@E&tuWu{1ci;OZ{ep0!zNzEpp_&_B3q@BZMn;+f~I@W+zxnMY5c{@$p?QxVh_9U#rU#l0U9b=`7vymnG5B3%35SXwR2BteG46jE5Rq%o+}rBl=Me z0L)taKsqR!4Y9qfL$rjrvZ zd>-E)igR{#dboQYTsmGuo$+KL1lk5Cuh=!czHY0`e_oM^YrBN)pK@TvDPP$We)39k z6+}+@*Y~Cl4|Q@I{+}zj+*el?{kbl1nl7+8hx3z)dS_^lB`o@^Zm-nZoNVpZ9ga!v z|8-wIv;9cvzoy#Fu+rx4?Vd6~vu6q1Pv3`Lrv274^=)=In`c{fh?=giE|_TjR*%)^ z#n6S9OOikGIz|dx>hwY2(C=P4w?g z2n2_qixb>^aSIS6XbA4IxVuY&!(s{U?!hIvOK@3SgS!NG?mWL+x9YwB+_!2!RcGgW zrn^tubj|Ga9>g*T#;4E4M5F%E2l1lr4M_iBa;pDW=K4-*U@fw@ZroCs+boDlN_V-We$E1Q9=39C~5hu1P!uBmOv@=E`5xtY9o(AAQaRp`e@= z?YS2In#Sw>-(%4I7ALO9hk4g#YxH8VBEE@jl=EidZH!y3WFc?=)Ry;qI=5%U6Am-s z1Y$lZ>>XB7Ub1Il`7~tt@!k7i0g-@LHsZZKVz(Aq%=vq`2!4{-7wQ8n^q{r$^@-$z zp^e+qv8&~a&D)o!i&o@-`^Tw8C%yyafTy*@w;}>Z7)70itb0S&{@De4G44(jw+8~0 z9?za$j#G<<`fQ!uJ<;>mt!d3n2wX<8FDuYf?@h%G1JS23vK~5xUVlY_1OR|-9 zlLqvY6Qxef&T8?S<5FyOL_1ofPd#XBTIL9kHyAfbp z_m*O@9Li|6tKSC;XeO&%EYuE%Z<=NXgFeg%Twbeu3d@=>@$1wV=+4X^*!cHGv{Y?* zO!$|0n9<0mM2i|X9h)(t7Nk%X*YkwXvaz~>191`xKIR4ih>X#w^sEKgU8mi=?0lvO z^K{GlvOwKx!bW|*k>zcB^AeshIO;K0w|vGrv+lW+))hXE)U|1897Fax-y3&+oM~`& z%lvwc^w)2=q=*~MbX^3SpV)N_a`NU*nT7pbg6meJ<(P{`6=R`0DdZzx)eyDVy!{$# zNUDu)g{iCxuCAoVW0b2t+g0IOGFCLd%^%nIT5Z-fMRB&uA`*Nji>MahEeVauVqs0H ztE~T3%PZtlQe?OI&U@>?ZVVa|*9arTu;_Ofal#+otjlTh`c=rftY=}v#?DXXoQb_r zBZ`e$rtNK%q~_gLX{Mm2V;=b!MF-CdjhKz#d}{nu(8%{K3A(1!7$8v5Unov4;d4T% zr(BWW)m~)Avdvc45T$#xwo#9&Tj-|l$=Gne8Mua^!Mmhu6-oCX#g!nofaR<2+}1XJ z$+-mM;&o0U(vs+p4I82GfGU`6pHoE%(eTc(6w(_Hbs2pLysHHCA+h@V8vjd9^j!I35i#Q)|)*Bf~ zoHwD~N7aEgroS{(a)AG>P>&5KKPQyL4PG|26}h3rs&&d7q~67iQEVsKhut8<{rf&B zo1?q)Gr!BVHw*{;G#)utzUkZG+x;YtBd0`<#w4dN{zOAZR43W9%HnBaaI~%A{)udb zCO0%j*uT&I)dBaJP2Vv|mj*S%T1nitNBE8Y$wGaNo8o0l z5@V%L-Kyu568rA(2D>St-qodRDshYza}njq=C&~fg9KdBifGcI?tT@caZ5{w0O7th zgI3MQ4UtK%(=uonTN*ItF=vL z>lIM^Y<40z40h~#MIoE&_}t7hmesH@q9?o#|l>49wURZ$Ow7oK$44dNF=^HqZ-U-tdkcU*GK z9Z&_u*$|>Fh8IbSNVRh6Bz2cQxCUZc&2+LT%C$x_9pz-M2*E1b#P``6(i89sSEUmn zllHRBVv?FG9IjdK74k-2=<}_t{bW1?EnX9++_%xHu=!;26d(2*lLVxHD=dR2$yi+i z4Z@|33B4o(8yghJhB$G7ThF-9tS;vJXT!Yc6b&(D8av(Cr~7_R*xR#XFeDz9J-_y< zuAT(WW~?K@CVzgfqSHU+E3@FJR`M__#!ZO;&GGNTvMGF{6T35ufh(r{0=)(X`h6^2 zKL)jYvyve+)P8z@%*4E;9(%<1-5@E>vNZyYa>m`>RCN&EY4O>?0N?x&Y5Yn~z}pG246^u9x83 zcc0KtSFg^Z>RZa}R?C&@9Qt3jdXuNW^irqL!cl#%1JOkAG@lIS%l@qa#Te-e4hz3| z&rSB>Jt<<}cy=944G8Y@=O5P}r&SOR70=q})$~RY8K!?g$RgG1;r7txCR7-t208!63k3w!bbm(34zW5@Q)ZDj0x=USQcML zX^c$%@_R8V~d_GT6~g$N%#*wi^K^hCo06hWc5NTo4V#`@b3pq&M+4WdMb-u zclJ)rcSs_{KS-Z0q;nURAMNoZ?PSB1eG(AXM@}O7hjx;bUz=C^AXLe%=lT=w!4r>- zJI6W#S6(Ml(s&UB#-c4|+ZZ9uX;DQfhI8&Mlxq3UcM=uN?Id1P^OktNWbI|Em<-e% zIgr3Oq&_%4GKn$N^KUmui?NOMt(Q36MDL>>Nd43whvP1C>7U-9Fo{nRxup+nlMHxR zet1V}canA?Cf;-R)Yn?#qauuRxHhu1I$-HZ(0!ky_g*?sV-z7-wr)wELSiml$`3Cz zx{3FPyxrq3caoJ5`fr{w z-@$#0f5rO0S18Q#LACC{$|Mbd=Kp7fV&>xD<_s}&(TCPx_Hdwo@(75A)l+)POTgaf zR!mEq9DRFl@)7;1Gx#kDtlgt{tK|oeESdMGzbzqrou{!!0gS)tDakUuiRcfpOZmnw z#1EzDw`{pzxgFjGYX!zp7s6WV`Fi?X(A(&I$;ZGNsR`9Gi3ni@LuLw5Q2OEM ztZTi*KS~6Ke`9q!P;?c?R++>XYE(aVvs-XuT^L#7X>9oLA@4QyGJWy(w2d`(@M5j< z)uGeZdHifVL%^kIO~_?js97?d*KmbI{KxGh{lg-6>pssl=*ZpSG>?w8B;R&~E0UU`wM z%l;mR@vIgpp1qs}GH7>5b8vpyMm!ShwD4=y528594tGD)HY-k_B<$$u5Je)>cdZuyv>O2%V$Rl*4oLNKVe>%^AXa4PPKZ6pEzQnsC}_J2l7 zCSB(I6Cs^q(Wn|{Lms;?)@JyMof+6>*=n4lo+PRt_+(iuNdD@M5@<;;Iat&9&vkd5 zP5e`#WDT!A*b;OSp11YI2XWCfD2z;?#9=Q-vOY+$Up6@hqL=i80z*(rC^VPtyTTXH zM4q@s;%J$9PD!9vwc@P6KF*2JhYvzX%TgZux?FheY^ClAHwumh z!ntBdb(nWX*Qu2^`d;`upM%{)Tp|0v)!onh_=r9-zk6ZgZ{N`f@8djCt*NR_Nrv8@ ztTQekiHx658LhXF8|Scf`wxW5#0XagY*cqp%fItLxrud;tg}tW>}GGEb?wQQzFJ}9 z|G>26eZx#Tx3`e*j*c1Sj&e=&R|o+~DnGaH?K=j~;=h0QZ|t4@Yax@YOSA@cDgD2( zQ1dc-`JwD;o09M*!{DhL*;%)eGP>KC4(c$hHA;pU>BmwF<0jR~%nC3~s6}QV`DiRh z8@hLybmK%AnaJ07?SvoU5$iF$WnAzbufCB5N#^N;Ik|WXO#A-4^hQUK1sY1_cgdi$ z-TMW+^}xhb7a4Y4vu}a8WBQZHpnfAIILUd5J~+;(baC_~WZcIg&DFIv`gPXzGgtBv zE2h-_5GSE;uvi65d{s&k<(7Cbk{EGK1a|KGEAIC=l4DrkZsuCN!nRM5==N=Bj*~D) z@H8j4(}Gso9Ww@9^ApC!_l-yf(>RUCg}%rqx~P)KCk@SQz&^%9kFn1VpDj%4j@IO?Zg>*8Lw?I^gszc$_wiYy``A+ zICl{EoNV+7xmTF$t1?L$AE|!NLjzWfhHA{|x?I&w_gS|ktq@|s7m*>$a)n7oe!3+k zl}7lJKuG?5P-uUQfOU=zOAJ&x6f6AbL1t{g?K$480rN)=IIS3=CNcoCO6NK3#)hOX zuQ@1|T)^n#@?UlZ;GbE8RHkke`lX{tMN6u_?%r9pcF^{Q)fppU*pWoV?2>cadags3 z-$C-4C1XU*KUOt%Ykd(}enT?D;5viAua1RO18gN-vOR^N|6>3bBY8BY~6-0)IL9Oi^A~@HA7Xu32?k2@0VOc ze2v--I-aGx1D&CvqWY8`lLZD03h=1Egf;h^woH=+z77b?#+U^zo+7qLkOf*vB=VpO z2qD0_opgvs-%q&{x=PADU}{3A6mlO4noa_>ty=@Lf-6h@xOdxPkM+WZzjOKIi-0+! zIyBy`m%FRSZ4g>+yduWyobzz|=R4jguJvv$aLqUN3nYn9P@N8j}-b(>(X+ApY3LxMufaAeAUswFdrV-S7_a!Dwi z+(Z<4z&LC0bF2&>{we+pk-><;h)5*mwhXR4zv=$TFW8gE#jk9p@zcaSc}i4^Deqne z>-l8TIDqi*$9Z6`S9e~bN0q}K;-d%J@Z7}O)nRUJw6NmZhpX3{IGQt@3pYx3?Almg zy+6G^CrDdI%nAkde0kO9UJVo{r$a044qaC z9e)j-0x`7=E?qQs2}=9`^3|`86I2~^?%}p!elB;)-S7c+qn(jP3yP($jp#RlyolmE z7Xlm?u@dC8>v+z!zRG`gB*-b(u`fKF%g{|5;*NqdsOwMNG}iFVt?xB>;d#0vc#NkB zzo&6nMSWCE0lP(;A~@TekT1@1n(r%%DA_j%N{G3;(7f2KLT1bQ#l7% zaWCvCi_z{g;@FYBqT{lW7d1|+mUVgTl^4Zwjc=zLRyIR6G_yD~nYP zm#&6U4fmA4goKD`UKIOf3AUXrmpl`|ifyqJ&KkETas5)5rsP&;FMiK;s)l_Zy!O%d zz4x^)%Xa6LZ3(e{*016rJpqFb_U&;LeWtRpACrYq-WhNiNp6s8`~8NY2v57iXDmLQ*{}BXex?=njI$=`A$m0R+nq!4rt|_5ia6Ha zg>(i?n=K2bYK5?7cx?6a8S@O@9!blSav!e0OQUj&qrXl|UyOSkUft56x#J?!_*1{}L(W$AZ>1xgn?J!jUcYmg^`HNow zBi+{T&@z%{q?2O$F~4m&%G;!lL+;k$=#}W101Dj0isQGrMU#9ugLyMWvJD`+SuOA) zuD5JEH9PSSdklQMTK*jGuZ3{``qX{i>K?(h<7<*6cka)Z`|VI{hry?p)rF+BM_w!3 zdw6*U)(F~CLsI)NvLHR!pA^!4TGasvJ+XJDqhiP|X*2Q*q zS$6Yd(HRfk9(fxc5y#tvEHA}bw7lZ*-4iR@ZUG0PTl!ySeY1X=SZBZL&gLQwX$C*+ zX*JO5l+9P>1OH=EgQaqoigGfeJx@=4Vp=;tFMREO%=Tm4o@be1l&5%L#gq}^NttbR z?4CjVbQ$9N<E(a^f0Tg*yEfXOt+6r zQZ$XAYq}?GtHq~M0_K|L)FVTjS%liD8%EN>9oLXU;uTbM(Pt^Mu@CLe!91aw=Q4S! zZtG9MV#X8|f2UC1p`?Mst78w*zn$DWeHdO2KK_*yHG#XA=SMah&0E@TI;&2Xn4I5&%J<@)A8|g2EbnNd z_}?duG@XBtZVrL|e?G-S4G}JO|6BP_6i(-#`2UE%BQF29==msf(=awWlPyPlHX8kE zF;dX-MKVA)E}{5mnNRakX`<2}A`KUFE! zT^$-!+IXm#xVgKOK?tIxHFBf}ldF5n4npV~I4yzK$j6)wslJjF7Zq*1d93?m??zW~ zrYur*)Q9p)oEI%i*@+hNlI9&_-jSBxEb%Az!DiM0?3QM&*s$TL7%KOX1yK z*eOH@cB!ni&Tr>+dNUfk?ObzcSZN4P@sMosFAaE&WaL`>I@dYez-MOUWMal3ASY_y zfvUN-*q@P*u9c2qhSn|Q)3m2^tEn}#;YYmOIy%e9;{EsHG+2eq@GfptoA2A!)bu8Z4a$`fXLJL-_a46Np9S+BRo$sx@!q^|jvdIF zd%IJQfPd?vwo>-}rw*UxZg+x?2Up14?;xc;=vUR%ueca2?>eK7!;<7TlnWam>rcz? zVbZp_dLZj_2l;7}%S!~00Xa(g1G3&+YyX$8`?Nc7?FA+GJ524-UzQ^b50o3<;tE1E z?Fx1eqr{?P2u?rwd};~B$a*UN87wGG^+uZB$)!19EIznZ@|yS*^A@@N%OH9pV3Y!n7H7R`pyfy!= z82)F`5YIpVe|mH9X9&*TVqe{nd z%Zu8)Cf<}NPJ~Sd|bU=yD>E9f0 z&fhD~U?fv&qe*(dl)x2~u#p^J0hofDRhoVWf2T4^}5M7TSUH>xAKeX;4Rhf*>*Of|_ zbiL$W1h@Itlrlr>CF9QY>qn14FCQ;6;(}&{W~eA350I5~iS|#luGG_wmNly-Q*0*N zG*?l5>VA930!d|$s<9FnAP4d1KZ{Hd{wFy0Sr+&5FZxfYmkjK1Z?6&4D{I4a z#xA!DeT5%`Uv7R*e$;j3Wtg`95MTb?&T_grOMnE-`!+5i52Xuc5rm&ytzv+8;i=8C zeAF9rFJWJ$*&XnQJ(x7dz2q5K-<*}x64G>11|dUd)En1`8=|*Kc<@4)ESX|xRfPM7 z32IuZ4kkQ~?zr?7lvJ2+XMHU#D(2?ZJ;MG;xtvw&=Y8b`tL<^^%w@1oNTslLi z;*9AB@aIAc!qqf~Ee*}*U7eDn(IzQqHTJ@v!MK^2U(sD^qA3OLzw8i-E>vh6@*Su- z60z7z$zn;qqfl7<&(c`h=&j6t(s=+bk>%jr+zX@15bj6sPnXs^5(h6l`3zZu!}fbb z+p3hU<*A#v?dOWKdNtk{P5Op{&4v>s7Pa#IND*~1LaV-|W8^(WR;K!;9>mA*t&(%9 zHf?9AwHxpOGl%BWj>Vivuj|;OaR{anNN@ z*}{urR&TB9V3=FGBlDiAC*H&d1;kY7wd^Ow zH^sb%>|m7sl;bTetFstQV&F9t$E}NjCLH<+Y z+C)!hF|ky3nNvmQKCimo0(a?ZOszmAuRgM$(rVU2eP*#DFU>0V;9@@Y2CVNx0_$Lv zYG(_PlyG!9zgO=+$5!f)-A<^u3N0x)KmoVL)S(pD$cAs#8r(AZv)bN) z77Viha^X?YIhn4uzceT(VKF-^=F-Z`HE-cyIT=YlDo|hj>kdQVlf?4*5}YCmE1&BMrBbmXk$ zpbScM)@R0Qrc?WrsNi8Pu*XHVbH&W>0Aec-{CA?YSC_Juwd1f07IJ)`jXL{tVZp7G zaVtFFu~{4EVWBvm_e-DunO5O!_>MNigKuuncEH0zz$N8{Xe4#WRZ}UpEa1d4eJr5yKw6GT}~ zVuJ6_yVVNpK*aNW!G-OE6)0AQ%Rd%U>UncDO3*)-Kk-)T_79fsH~Lw+w==SwTzaN! z1pJUo-woE;m^&YRm1|QRvHx7CXjW3>5o}RC!4@?UW>z{Hb|T5s&a4N!-KzNV{F$lZ zFTEUdCm&74<~@SdL?M-8u=k&5V=FXVjlvN_xo-Ot32Ga~rXs^WH)bCZ{MEKEiWDfn z4_Z92N>j%!KjTh2OY-;$vz+Wex0Vai?b4Zi`-ks%t!8P>(+j3ohRXw#sJSUCsmqa%DKFcZVbz*2tG8B9-%@9Or8Hi1xf@?ugV}PeIH_&rgxjp4C;jWZ>T2R52e7X=fXq6%cWul!bE5 z=SJ+7X_FY(XGJK>B~F}o4HkfpFcj;Wt&=#Tha3@M9P?5NkgqLSm$l8qKgf3lv#oeF zX9W}>A4ZLd+s!cc%v@$O#`x3A-6>(7Jl{lZD^dSu5~m{kEzdc8S?>Fs^OX2y)uwWH zcR&J2Oz*a~|6k?T7;wp>n?iB@`(u(N;`XJ(R?Cyye{9w*%pOybe0Cu9yXKXcw)Zni zkTg&rD)7V3l+!V^?+0r_1@rAryyXh|KNs3Z*6&|?W(J~ctsw(!R%t&ZeVDk(lPm`! zJ?hM*AylI{C8Ft5YN=zEWhO@2K)RTOawu$YqKZg~$h z51XxXjHy>XBw1BD_j@Qne&4)>>FuOdrAQ}snx>WO>5hOko1F!dLspjr2b%ZP{Kvs` z1B)4v**1HF`&+f|%@pd!Mnuih>SKu#*x>5%=3Z7-mc@cQWux$~3g=AkqM zmZLfgGb)-Knla|t0Zuk}j|-zNfje3`&2Ui6N<$Gss*jj`z#&`(A;x_a${=rDc}OQW zrdA_srNiv4t?G4a<9=bxIaYc6u9JvJsyazr^e^y9urtertQ>T4BvAArBOv!+Bz0F% z!*JY-lk^lqT*u~E2^q6ffba{CTr|hb0?nXk2vmMbATM_`Xy7b$K;!0JQZH2VI!NJi zQ{77hc4zBD2J;I4djr#M5F+*YD$7ZWIKoqiLHw{W84@2@B=ql|8p1MTVpfZ z>t8Ok<=*RmIl&od*0;_!%UCWf3f=iD{97K;6|l0#!2wED(%N?! zF|~It-ea(+%e||}Gi@c!fllLxy)?F03Yd?hHU)P({Z`4m!LQ|877K@Q-GMuHt=+Fh zw;OG@&S`!E&qyp5Vnt%c-T{j9l@<@lzb=avD7v`#oYvm*=!bff^&R}vJ~K*E9e3p` zqS(7a)H^j#QvJiVZqyQf{rdgDBuRVVptWw?MOz6gsqWiBR)#+TtX(VHOySm?tmAK6 z7{?3o$P+${n@}tPrZ;+3MU*{qWz2F(mE^)-tUY>#{cD1>NS^yuirr>|vLBuT7o!sOm2dF>+X zk)$k5M4}buAUXT1mj>?~t$;0uqoeX;Mz*r) ztUJsyjL1G^UzefOVT` zUaay+1^=#|*c5rCRrqCFWto?YhIi6w`r!m9R<{vDKN&p%w(iqJ4-b>*UtSb~W z(ho-SJ8!>I7ywRci98vA+0F_o1zFudia5t!Vu3_#3{BID7nhcsSO9y%46qMfQU<`S zX$K-CRyM&+>UJXhR|7SaHoc9x`lpSa$`1SS;i+s*6=SY7e%>%dlP<jQ)0a)hb&SYlZfgAm& zah0M+H_NweUdt(%yFEUFWso)4RuT8CRl0%O-|dPrRnmu+YsnJ9orT{dwtQHI`~Cd|wmc^UW1S2vnTAt$ zLyByPV4f2?qhNOMEJ+IR9sOn_q=?kV$iT8pgJT>`@#Jk3L@c)DTtc-Y!3sEO!Xgcn zhPn^D1T%IS#n(9bH(5hX_bhW^PTg#T_^_o&*I75c@{gTe3snnS3l*=@eq{53n-l`V z*F!gXwJL9&phLUaKTDCHOLMD4%&B^q#T0@+qtNvfAm9#NDFpLZ4}a=J9b4v>pkoS% znrDxi3;EaZ%NifXF{Q3h7r~^-6;05VZpEu|?_5-qq~8rrFS*buGS0I}8PIX(0lY#K zAiADJFx$MySp~>i$9JnJjs1-2u*GLd!(eker&|tvcwG~)$YBg!uR;i2Zy%IyM7e6{ z{jqAPKA{e~xkKjC{?Ptx349|(3B3D72|S&a8l`L%YIti&*%v(Gl*`{usLLisbXjHT z-Qf;S9Ei7q5L9#p;4!dw(MJU*v&gmFvF*TW#`Bl(H+RA9ss%H;!`UK>cZ_T+~0 z)c+)map;607RUIA8LMSmvPd^LX@vei;eqzQb7sk+E}gYq=wU#r>pj3(iRubxLkIIN zYwcRQbx~(yf-As``@*CRnB^k85?E|&yS zkWaE#xEQ@SqE)K%e(5?fOpV9-Zzi(!NA;5KGGd-5=~4JtHTAjf+zB4&SMCwsERn|k zR_T30;;MSj_K?-{enR(Uw)Wj1SPIv{MlQ;I#w)u*+FgH+wMq{tC|DkER|1juq{`VK zD?|a;h(H4Oi?`x83gs_~lYBKO!$~gzs|Y+UTZ%?$LFrv!q!rXjQcL(5GPE~o@Af_< zJFos*3pVcw8DO=idonGtE_#!@I)DELP-Nw~#nIvOvg>G|$&1Il)GOP&&}NSh{1GHZ(3Kw?fDV_{G)OHnXV zT5NhRm_iyg4P8iglHcj$)d23*7DY-xhSsNYGK+|E>VBd_Y_60yBHOh5ZtfuhPaA(c(Gk`ck*{8Zed?Vs4i; zRWbeH%;3NcjN5T_HxE}Hyh$UQ=BZavh1O5t)t^2cK0qrr%P~nfy2fLGds5G6yn++OBZ~QsR za~wCrvu~Y@au}h__2r9G`84(oA?D{so@0syUgg!~cl|wmJo~7)F3cF&5zJ^d)IBB3 z0sHLgx2;|+45V9>-}QHYByP)-Yk-Aw}IDtZrIK63vX!G}~aciMeFR1z9qk(H9KeT>+!4&IefLHy< zhLk5OAs~nD%|00Wy@Ql}Kx)HeoFQXE1>(*NgzYN{FBBxn?f&Af@<~sem7f5O#_<>* zBqfZm^^>|Hhk#VOUkaNwM5Rwfe3jom5=updksq%9)^Cw@U23CgfGC0HEKkDI8itFKZ0~kx_;k}UrLL}SF zL#6_t2Veo<1mFb_0{93Z1t15Y44?*}4PXEO0k8zH18@fL0Py`8?2Md1SbvYB^I?WE$F(8S;?uZL$q$A%h!3kunbfc78(-qC<8 z56C4=3~cz^kw*j7lD>U(IZc+wc}>m$z8S$6oVCzR2>@$=p8zcYn*gXTIK*c{C=8W6 z!0kSrzbT{-7d1`L&2KAGPHLxl{9q3i((G0SOkhhgnM1b7-fNnK*hPIjq*J!Yd=F4R zWgB#pe@)7X<1CLq4{)7pWr#TeZ>p)q&N$x%?YWE zc0k?&KSv2hxOwBM z;`z3P;R#+J@Bc{iInbVfNvVy``+4V#3KEO094KaDlAw^mTxicNa6BX>xK=C=+QU61 zwUH0_Xt=7}vC`!ps{^(f;9m|LUk7Yg;J9!LLmP!Yo_`!0YV04H`Zb*{`Dv*Q_Jh3h z3_$$?sEmM`$cBm~4+^O&g!UW(asVLr0kSEOXeMC8fHK;)F!=K6;~8WCm7)YxiUrQF zXciEbGALxd7~1o8Mrs4?Fz+1IP311Eg+W+KA5S!&4fRX~6hdJIL|w>+y8aaul3WVy zSqEIb09SE070-Mi@~=STWk98Y5MT^bZsj4<0Wbq_0Pw^IJ5LgU zr0xJdq|qcuP6LyKF<0cr)Ja==KL!cY7KT8x7KR@476y|_&PYq!I7g{=hCyQ&l~1NF zDt+cIDhON>(E@$g)6TNgASp+H6o4*jpbc>H&$Z!)Mdg5Ipaq)YC$uMMNNQtbJMY|D zPGYeWunz#+%UQ)!teN2{2Z&Myh>`(lh6HGj;jq+3|0u&#x-rs?g}aI;Z!3duhCbff zI2&rG1tM5Y1S^Ba)O0QtAO3c{Hgp0S?_ z8s^qf9`b&Au(PEhNGc9sUr!oa4dk}?~~%IU$XrxevcG zu4Z=G@v`S+f3kC=m_T#4OkCQU%K;rPlr6*ElC>K>&K2^kk8Y=bJx3gUWn4=rTM158 zG;CE1G>1sP)x7rKtSgJAj5#aw?sl)pOKMU)LYyKfB|E+K{%(uC^f$By&8%R;kyHp+5~9lI|AGZN~9!zPv6 zS^xSE7$W1SytL!x*tls&Z6>Sg;dSP2=H2n&gZj_Pni%|z`=$QrGP6Y*>N}}Cy~yDV zclH6YViHY4Kqepwu>z7UAh|=Ko0@t+e>WtO;@8R{eVLWQM&?D?iK_+%1pr44TjV!@ zNq`TvY&!iLruul_&Ghjo&GqrR0gNs5@!nYKlVSwLmVUXCN%OwT#q3oPDvqgRcx>X!NHnlOHm>PWZ zQ!j9x&Sn4#tQ7&>v;eMbVn#qbjJTlw*fb#AwLYnTlJtO!FyM0cpUW4(B^+?E!UJ3i zf>oY7a}*8EyW^j5H|?H`q+=e6gPI)`1s*K;g;Jm+322z}&5RSmTWHG(SeT$}Mz}Ef z`I))xEt^@qH(^lN%y_{zbYX^^v@%o`i8`L$RaM5ZiA^z6LA2w&A`WRKovKAXk)dc& z$&a37&r;~npr(VBkSe+1yP}imEMJrqcMk6h9>b-n?X9CfpNbG1g@_n^H`3gBTh2o) zm--XNHS4W3RgpS66j}knF4diH=U<`CL#o#RD6F*n$B<^w!QL)WtLY?p=zf%1^G>D0zX8E<&<_$g(<1w;gf8?bQp@r2U zCMKw(H0(!@{p1X+V7q*8X5^1)nC)S-g=2J)BwjMCTQ8#6F!f?VJPnhFad9KfAEPpq ztm%Nmv8hQpjGCe{2!<_DSZ>V6co>%8_&xuHj-oYS z60RUv6`c$P6O$VJo^)l-^8H1(NQ6J6pn3%LnShj3%d+~%P>eXZ?~kbkJ#l1$<5sS* z=ev0t!Qp+BQxDH~e7wZ=a&Ua`q#03`G+%o}B4T*C789ZV4yJ*RK~rg~HT#5)j^ufe z=&a)pGJ_jLD?9tK(l+il`C{LLw#XCHaJrrsEyvg(c-%Tck5IBjn5F-YHg3u_R#}WoHC9gb$gH*8< z;gI%71*Z}Q?M1*-Gsr8SWl?0r(@d@VPW4>5`1w7iObdcxq>7x^kYe#PYdIqeX2E{T z0no_7OeJA}uW`20TO^OSf@GwX-7uoR@9n?6OyO^Zv**FYT1^HJZrO!dD~Zd>cm4>Lbjt3hkfuPOH?On)1Y+MDL|4cryl$z$W=-PDGG=ZPoZW zD*YBbSnWuk(;ONy@n(#hg%sDaLvfT7)AIXlWH0q(YNK9E?ng8XGHZQa6k~zOa4`3->QYsO8)X z^60ulZ0q%3>%?WY?iG@k`i|+8lC_79wH1?>i;ur5YHFz*2PkRkB=H%zCYrl3pDV>w z^0GAg)DKrL;7;>|_Rqyv^02tOyJn7!=zeKg+ud1ZUd>r-BpaiPVX`w=OP!8RyB17i zWn49F*wp8$;8m`vDM-hF>Qk+nG;EG>uUe1nG`)3AH*YxL4~JW|*IJRy69Si$B=llW zW~KqR@02lGM#2N3?^f;H%-7Ld2CJJQC2om_?#4gf5)Cc9s3w}-i=wYqY0o|&dw#@9 zX={pC#9DH`mlF^%)w+XB_BI9{FMPE5MeO@Ki@Zjz_6SkLT5IK{cn}>8^u~K-cZcNe zDws8yeGwMl_~C{tr`XoSJu|1cLc>=%JF5nQQ~&%W&K=r6Ihvj$$1eg#Gn@OU%#98qTKFd|5cA zs^vc4v$Qpi2&cu=HDEV?B$)0Eqv4w(;>QFB3)pgKKR(2JkZxDE`Kr>`i7a`Io8^=c z_Nal^$})wNHg;lp`WF@^{aLTPDArb-G&@AJ5%FK4(L=VelT3Ajlmn$N<7a4DeN!I;ha97S<)WBO1073yx z>Gk$FKzE4<*bKqz)#vYW5kvb!yaZthXzXY@mb7*0D4AwbHQ9ujc2YGYaEZDqMj#>; zN9o-DMpWP8%yCZTjf;I7FO@56hRHAV00LB8Tj=7fJO);8+ ziD&#|hz6bz=ae(h&G7;2bV^FY;>I!uT47p^4_GNV@}sK!Unb=)@v_KWON~bs$%5JH z)Zu)ZS(7u-4p4hHlSK5b%HeL9m{T2|V6z%rLQ|7W>B%Df`^4q=3pRG*$7G-9fhQt( zj?#rk7-(V|E#1|Qdj)d6J$v!?^g z5(<}p5g$9t{U#ykXmbr?OBEPXdC?s$ zG1qMKYv!B+*W$!Erss&wpZ&C@Uq;Nh>RqT=!O)SaP?cKTG^0>OLf}z*fRPS5PUq#!MuF){<6-8M4#>TGY#bX&E&+c1 zwOPsAZlk3)Saf@=+M%d)wj6k;!|P|1eR1OOFj?J%u0)}or0l*A89OA{4nls&HoK<@ z73E~X%-mP)-Sh$bnQOlkC}-Pf@Sz5b6tkm4u~yY=w0t*;*zHVS`jib91Md_sc>_i>$s zpxCBfyUy;~y8jp}ZMGF#bR>@g11d?tbg3xr`}HFX9h%PoO!=#*MiztwGWuXxHNQ~4 zc4+?w+8A%1qrIdHI&_OK%?4)+c&kBs$Y^ib`F=Z+V4=;IM%H4f&zC?Jt%CTNRLO#f zzyig!5x*Y^Q_X&4rw(5SXu)r}{Tf^gF17}u!@0l@E=3#iXdCrJvvMwNO+?Rf3U3%G ze5dYj7_(ESxOz94c=e4&c7zsObB&!!)01?Q&Qf8h%&F`iFsY=S)&tiX{Qf~lHDfdr zc8r!!b>2TWdL4AE8sL3O@%xjh4uAxt#q{lQFAM!zdaV2Toc86?QzSvt>S?nT6TgoR ztkaYA-&q24Y$cXHWMt*>V&HKwLGeJmD$*NYNEuvr7Nh4yT)zmcU5*p%wCgOlwCyCN- zq;&^BtwT)|Lwl9yg{sW!JtQ2GPStM9{HG)ND$?9--3Wx?JzLgI9&wfCg=&H2y_z(# z7J3!x6-n*uty;GY(Rg|R&@embt){5lQ&X|+pp5PJXTKd+ev+XfQppDrmud@~)~BOcAZ+IJQt4oJcN z2Z->RE4Q`%;V5C^5wkKaBA0IwBswILW~LZXSB}xYVayt?S(QEnkDul~ z33hh-SK822dD=bL>{6kg5XH7eqvYz?N6(ANWx{IWZ&8Pt1M68FqLq< zYk0D`l&=W-;CHsP9(nSPh?K?Wxri1mU=Dsb!=qZ6iGW&{GNC`u{-pOX!grD-F)}C_ zE8?3OT53~)uGh!LYD*qztari2a!(rhejYD2pjS|fcb+abfL~C{_eLBU0aZ{7@x~h& z(O*zYa-Jx+s3_{3r(YPa?DSO6DPmx-R#4Oh`p59S{n@cryb-HSH zxJ1ju{UlLNZhqM%XXAKJrExvh>+_He^Cx;yyz+f+X0baq7komXbD{sta0&Dwy-Lbw z@A!ojOkr%D!u`{FvG{++YEzzMwZ|y-dSN+95}Ob*$*puW$L0!Gk$>XCQ~Sl0la*m4 zkIzoU?zIDJL?MsQLykei>FPnt4xHN?HXGtQx?+W+QPB02=Wcf2+XC9NYoz4u>#5AJ z)jIIC1mOfe6!L4+Hm1Kq;nkQKHv#RjYPiSw=bA!UMeX&mr$o}ewGiA}5zD%?01&N- zWpiK2?(K+WYj}O4cv)vaS*(%l@>MfSt_4TFth5Ce3coIYz)agI)q3%UHxB({Z4OPl zi&-~Uz-FTolZ7)s!YG@U#h9_1rL0Y-uT{X9jI~^{+jm%bYeWg-1G!m1=D@ayZ zs7Z;EW)l9&>{tYN=bov{LWnF?HYs4zTCE&5K zw>}e*^Q3$uYrsxDcwkU} z(gVNHp}dGan6omSQbp%0E60vB5J-flm;NkD^gpVS%|D$u_7eUuFWrHTXfZx zseqXks&Uyfi!7o|5H{C=TR|Vrb<|R37jX)n?jwhM*h<3{RZd44;*))klCuyZoIxx? zO_M0HQX&+e!jfGn5QxgJku9W-6Q6w|AE7;P>?-o+V0w2vWQg<5sV(GAtQ4u?4SHWr zxNrU#HL?&wB?Fxjh}Vh0!NTtmSePnPpTvdlXCK+geh&yLU@aQn4qZN;WGxAegV!{S zkh3Muv`rLx#^kOEb!=6I+oR+z33b%ctKrRhpB0I0@DjCW9sendcrU~ibik2Xsu5Md zlAiU`wTIhx!m@PxZiqHv_vntC48tnayWpm9^kCVWH#1Y}k~+y7v`i&?MtgthC?^%F zxeV)Axl}99ywr*|jA|i++Q2GoL`-J^9HC31nBGh`hgp{m+b2z%2^e>Lq)nojtxtef z_>}dGPp8y$+55&7_&zT(tko;Tl~~BJ{KXo3{Y%kQ;LalAWhWM{n@rb|k03-4p2yBp zXpxK}kDGP|Z{k8xW?MRMMGAi>$z%@k%8V-l^j^M5wZ_S?(!J;T^KFb~R_1iILrT2JKcZ*Zw$B&OZtnotS zCZ%V)v^I3^RNV`2b7k-VJu3s^N5OcW_lgiuNFp`ovPme+4U|rPB+kUjOvpwRiPPdz zl0k2twJ5U_CcTd!Xy$gjINgpuj>gL8NhMmyF@aKF(?UC6rZR!Sx{0&!$x)o6GJ(um z)Iz&ir!s+FpVQKJPnmcNnYpa9)0#Z;?1xdfnHP9)+V@QmVBP%m#CV@G;XW6gQs*=8{)x0G~bX;{XPpL&>YI!3^Lej7KD-4Z+zqHpT zK#kenSaQ=>7>tBhlH>LE^5b;T+jX5Ib(TCmcN|qDR)@BjouOO2t#`m_-a464ZT6DH?Euy3JgW}?42R&kQPd&-}$E477W+!{=n}m`z8}-up0xmJ% zWcZ%yhvUi7{ct^Js8EXrU0(K+;oSG2UK-AO2)3{t4ePw;+;< zE>gp4yWW(M=Ay7op54zokqKPnXFIifg)kMkR=^=Gaw=JE39NG@7^6SZ?_v}Pfu1~) z4#}71+4h@m&RLW0Xd|Oe)Yw_=o=KaeVrty4w06_KEpbE}k}u{SA9UBOIu(y~%;fa* z5Tm5ZDu-xLepK7R@h##lZfsx3tF;Zx}B()!i&< zG!LkuGRr8=ip=I_cb@5kHS3cz9k;{0vktG$e@6KeoP+5wff^f_x@fkx#{@!3;|XjGGTSuoXP5 zRj6IzUIXckI$WT{rq@ovH=6TCVtMz#O7L5hpZ7e%0m;l_L&nD2Z|)JPJmp-nbCR_Z zq(p0_rba93L*0j7pgPhV(nEAMXA-k{o##^ej^DouPVT>wFt>2c%NaUJnKwW1;U)+$%{4zKd-TiU(mXO*d9$v_ zaa*R;lBHyTOUn*~6{XW=fL)1+EL0QiqCFb3UecBYReh(PdM%OL5di*$_;#Z zFOs7)T1PI_0E5h&vRZ}Kmb2%I9MzVO2$^ApcN%6k z;eHCWj^s7UVrHH|OvmI+*j6T-AddJma=}HjqDl18OQ`jP?Q_P(*0wd;qvf%xVkoE^ z7(qJ|=NCirhl?9=!AG-ZbJ~Lb+A&Zt^V$?|722=`K40vy)UA{nX_5P##KDV(fp5n4 zba*O5b8%b7@ib2=JNsibG1n*vhDC>UK(!|0Tv0VBTnv*Y$$=NhN+ar$?7#=4?G;{m z>Kng3I<`*Gp*?h0$uw{4D zmP=%vPqSdrFP|Ze@B#U6b<2x2=x>e^%j+F#bk3&NQ>z>Vb9o0rX`jnMhj8-#sA0@| zo-#(7x0P)+(i+!g^7g2ccBxf^{{ARZW-;|}LddOC+=@lF{yFIL9^F~&E_#IAe8m|| zS|_Y84Bc|Yn?4P2=Fv8~kI$E}4dqX>u3LF^RDzIf-MEJ7cBd00&5r041qo z3>U38A%#SfknDOVfN`=WTzPji|DTCaGTa}X)1v8+GTa{BBQ!BL3I*QSM_-Ixr7-{H z{v0oG%ucd(l!gz;a$BLZB&)YS?fz-cob>h;&?C!w$CzQw2#AMShm~IyZN!RKD}Fo6 z#@S#Ic;|YNP13aqj$8K$Y~|Z`!mrpQ-$Ax~1V*#lv0V4xKu3G6eV(AJn*kxN%6R0q zPlF;q+nV-akZg;0M8p#C8rIiNhV*70-?KwjMZ9}Goe$jUrh*i{sMggFvT$1!ajt2f z2VuFbj?jwUut$3>k*kUO?62~HZC5oq1U9x6mm6zj4E)%Kp zkG)|ES<{Bg8*@3mDv2h@>3gwDuJ;70z+H-da(P}^li7|l+i11Ixaowa;9)Y*#{|~p z^-&nz5T{4PxNDVo@*y5Jl`S<$(P=J)sWgoJ*McX$ZhSJVyu*3+vd`(ko+8D-Ko+M( zY3TG|V(HBhOP7wwfw5fG?0`ALOw4YZ+8}gF+a`$N^_iHN&Ip?f#1lqPf5(eQYh`Yz;uE(96XM94%Bp2%;b1w8I^R&pp%)xP>mi-Hrko60};}H%3)fWSs%}Anv zg16L$iaJ&DRWiEMnu?^R&0_>)_ogkn*E(iV=ae~4%Pa4Grz%}zSmPnqq1u#EP^6$% z)^vsO8`rk<;zsixWAs$DYu^O;3-Pd{}A8jjO|N&njXZ}_s$LrfKrmB%b8 z(h3vm2 zXZH7i3;M@^rD4;*b0?!8ZjOQj#G)MLqpcFtfj6kpNhV!?Z79!$o=e1~Sy1-txNsJ| z3c+Kb{Z!zK<&XV}$Av@u3Tsaw<_H6CD=UejYPz)DX`R~X^nQiHwa{~cxO#nS%icQHA6w8owj_GSS81L4%!#(Y{$JlfXQQ@FW__V)NhjS~epWWm24^MNHlNdobMVkgym!9Tqdo*X zS}ojD(kI?}w9CI#uEnsSg4I?S8`-83?dZveV~Z*$^D=Agi&>TpHsadaeyg&i2T^{$Rqg~;o|}Gt zzQs?Fp6K8K>~x|mQ(JQI1m=E%q-`?(3LCOnUoGp2+vAc21Fidknz^Erh1_y2{>jJV z{{Mj9r02WZCMgkm3*-@Y4^5S--gNGL0AZ2$ zmPode%fZ4^^0dU0#Nkgl){mhi6iW@glUZ>2Ne;N*737+RS2p!3~TStCTpJm9no2Qy3Y zdQ}QCIf*9@;>YBd*T>8sO2{pQMk}P+A=w6^px+$?kM3IyI3;L&u z+4K`ofhU}){+$@ZMV_rA`b5Nsrpl9hZY!ma5%OiP=^}e4w595k zl%8JAtHzBUNfEkJ6D^jCJY#Rervuk%8Z_ z9)m@zT8q^Bl$-a*2WqBA7dL#_w!>$KX}~W0>-XkhkIf>UI$p!i>>h@nFTsQ^Olm)v zpD*Lwq&P>#Yj2iMlU;05>A6P!(M%J*f%Idti0ywL34KK`T8nEMq=0)1rzE-}@QoQi z-;Npa-PYdIwMQ-SLwS>c*Trl+7FU*?N_Hzp<+tZRd3m!~aAYY6 z`aPMyBhHC$F6xFRJL@ysv849umvW8gCVx+t}vG&icH}(xGj*D4FFZ+!2vV$>m9r zumUL7c_nL4y#xE+}DLRbRS$ zSkxy)?fYW8;j8UI3~7dtJ%l5U_AWG;h$DtoYQw8(5_n_!5TRp#%D893Fq1ENZDU`j zg%92U|IMU#0+tuM{$$V-LHK_;id~FN`xE~~^%5e8T)w6GTsLpKpb+2JCN?T{c?X~U zWzZh25dGmJc&lJN>EHpdeXP=>_o0FgHatCaWcwGUi)5vy$k+`1W5NZ^Dgy6U>v9j? zeu?+y@lSf{LFx*4h>+r zB1Mc)S4$4+^@If?;->}J?46>MKojo>;k?*dz-K~A02*p3(&XLW2YV|^!(H+NQEw|Z z#2JD?ID^3TJ2Bp87ei;Y6I1{oB=-VQJ>rrYx61hnUEI8qP8W;ic;3?)1fK6O&}~lcqt9|Y2vQ5l&_jvt zY$fLfZNJrTC5q>!zZyJ{MTE;xyKMqU>wNkGJtXEi7h%U57R4ar5R}~rw-7(<=YdkT zc>7i6jy2o1?Sh@&SY)vduE&}*8!^AXQiH}UFd}a7kqep=$}ckMDF4~!LSP0f4^{{fbwjI>nm!*6!l;ZJ*ic9KLj3dDY)$=(zjoVP=^y{ zNRA~ATNboOgf{fP*U-h3(g_Gsp5lz_eYUl4HfXmc03+1s;*_iaEUDxpks{J!6SKz3 ze=?@Lj;FO&3s+`~_9cbn+f-#Aai|r=$oU6sB8jn0ckTTn@$b}_Z4jr%_xPR!ZDMd9 z4Q{KN15pE6b{a5zmDwK|b6g%FHZinc=E`^?aKUhhIxN%AmePR(08Lix9c>YxlO=b_ zG)zYBG6&+?Y4St`MAaSTAA0s*rvL3dB#O5y2W3iTk(4n==y_D<`8uejk_4ntR1skE z=tgNGi&xn)hN^2+?{gBc-teY1@*w2)5v0OXk?ZDuq+=0x19yYZP`WxJHXPfIE)NVQ zDbgug@vdM^5*i{I^GKbO4E_%PYz@6QjP*VBbobt+!0d3=6~ZlbL@{Z!=?&#J+tGPY z6cPunwE2o?%Pm5<`~sizrv_=^juHR!d)^%GC&7P3O=Ur&&QpeGA{bDv5)AH7*edw7 zC4)3gY}W|~|1`qgr^dq#FYslPYQLlNr2n7axSJrGCvo+%p@wp1>ZFi(;$rH=@jaN4 zq%Ap_NP}{+ob@jsF&g`6oEBp~Et3~zGBE@Mu~$7qVO`o_@RNAnG)rCD0kF5=>xa9Z ziO`*^mrkZDg@(gpC6y#zD%D$sask5VZjU-hnm=+Xvf}B%Zd%>~H9Gwl>}^RNy>3%} zrSBV5Lf5%JD?|GQp?L7AGJ#U8Vb&aEKzuum3gGKNfx}RfDUKFZ+(qb7ec8}O0?!Z{NxmHM)&dPWwV(gQ zx0|_$B^qgm5WvIJnCn;|tv1DsmDt>^vgyIf0Q$|`47&QSU(2)pO1}MZGq`K;@b;Ra zJ!XsNcI|QEo_jYu``>I6y!02)z9IOo=28a;!!cvZ0F*hs)7pLLd)!3L^i-d(WwX2s zK=XZ&jZW#PKT?wssx)s9o`$!L2P?$g0&*C-Vz%!bb4qA@RZht(n^nGgvseO)hhaj> zdC->nxJ9&xA zDn=^>m$u9lB^Vl8QgHWno!hV~?)3cz_>aG9(2oDCUc@yXQ*2;yd9-QfLcu3NK#C&U zIL}bdLQa#s{(htM>=mu|W^vfEl)dzZqTcp4PBjgDo$!zab!H!nRjqf9 z(ZnYO=R#;>+y|04{1fs8PsVVfZO1@Q{hY}mKR6M%|GR$J;V%iGxZ?R_Q{RFS6}#xn zsi0Lux*6cP2x*K+U%Goo!EOj{;e{a@r(JVz%(L2n)~yP63SY$4-85D^=s3ggG;z|X zV$=$}4*KLz9C}Ola5uf=Q|7t}_fbVp8qHbR>cAVSuxhr?BShe;rxZaF&kWEo`|s< z=|VN@_C!LhjzL0|F0QUSr3=dl-A$NOqOMGB8h_@#gDSLes{qS<7JeuD*s*mvK_k2Q z20CqGspc3E*$c2h-8NuBN0X zIeGUxk^(JTQww~m|H|bD;P}uAx+hf(@2k^-y0X6#`<_-u&f$*iJ$QKzWyp^L-}50V za`x7+4pJb7{`URD_JMg8K((qZrS)=`;@i6FK6vE5zP2{LOLAA8uG+&f&g~NFXYqHA zSn`a`&paV)#jIH zBY9XRB9nWF^3}9bN~%JOWc-;Os5W7^+nuMU@j)7GDizv{Qhv&EWOZH;Y>f(h7gnAMVOL}y8h^k5aP=5Ug@ZO{ zh4P}4?ZWpuB5mu4+gFbOJyTPNFIwg+mJ7|i5o3Jf#loy-6DZ3NM(jw2@&(b7NwNvs z!I717sJUghs$BI}r$6A;floKb=z(%w2B95VcBkRM*1ID~$9RZA+tMp*=nMkmtVid& zXYILopa&5dEAd2E{??8?;PUd1Y3tj~%VPrrn7UqGbhHwRhPXT>3fUxEVgs4y9XJC>ZS zI+;*ZZ~)fnfW+WIy(`#K<@#=YMZw9U!sYQFI(4i90ch2LG$d27X&%;;$p!_u(q=@o z_c_I2>tU~JauDhfR`KCyKqyi#X0n5G3SPo2nd^P&MD5|74*c~Wwdh+;SVG^A^a8i6 zSH9mD3}YA!^}PU|<)d_hJff5(6M)ma9*#b5!ZgQ`JF~jMn^MyOu{P^K+8*U^^umrg zrPf5)f)Q~ffiX^|+xpr(2Sxd|KLXzi9oAr^PKI9zml`nUz7#eLcN95Yhv;A@O6ST` zkP5Y(b?5A;@j1ceKh-5U&RxCE453%~l1%+YQcP951vw6mWRvR;?& z?^N5V%`y$_vLn0G;d#bIlL6MZM)s#T`Z#TN`NQSHew(~L;Zc9CA-IW`*PRt~LZp>#SnAP~J1Qpz)H3pP7y~oY@|;dtYtetR$Uw#( zxzgfhyYI+)27DOD|HQvh?Iows5C3-+6cc`l$C`KkSqy>f)T$7T|5j6i$Lz9x>E zv(NpoTU?(+^iuZMR%g`?`lX3#2ycfuF3lW!(^aF}06w~Zn&lD(C&xiai2l8B+yaO% zr6|*ReHMy*^6kSW&mz?&G-K9E6K8Y=VWYx*?%AZz`osu(dr@;cx3f)J24|vd$iI;z zrpv{zZb4JAIdj&73R;$uFI8B2vvaE)9K%1X@HN|Yz=U@R{vj%$cllZcYT~{F@{1mk z8klaxXs7oZ_lMX~;BzHM_t;APU*2;xVYqol0>eEy+3QldVjaB)mgRSpCV$y*w`cwa-WK7G=4(!;oT@3fq)Mixj*xRc7 z^~~9(RjF~04EiC^glonKDP5QZh#A%Dy&g+-QQ_;NKEkik#6AB9gp-Tj@R|ckW(zv7 zJhFoPX+YrV_&Y|ApHhOK4!Pi?8q19^!90OuND8p7g4d1EwEk1Q8MG^el6lHBGIQq_ zXMMz&Lk4EYpJ7#gK-E_W2w@U#Q*qf0k6o13D_!2=ey`WBbGAhW2Q%=-pj%1w6yI zT}%WT?!Rz5%c;=uwUU=Q4cxC?&`k@R123$RI-N=%^TAsKnr-T;9cgQJYRYG{ar>}{ zANOf3IokABZxf1aI!Fx@x=)s_x*;MlLqpAr)zEFI6hXEZ`GqZ0y{B+r9M$m3j);qm z8KVOlB{TevgS=D@(~P9=L^&^j9_h#<<0Vc*&l2UQ$YRk|&%&3zj?6Q-jj zKK`zE%ReNq0S7`bP{N#@z}M^qnIdlpE57c2C+4N8_x5uxJ3#22Mr=nd&cAaEJ|i%_ zwga_oPXzG+mOe>r4mz-yyMX|!q82f_ZGuT-y0xrvqL9ZND00E?^xv1hujYzTKIPsw zz%sZ`M?LBNVryV@b{mn3EVwS-y*mKtmO;>Xq%-}Mo)b0~$(p39{sl!TQ~!rL3%`3` z^RB|OI5V=7l)-DvfYpo>EhJpw``(CX!UNlccBFK1;g|4dxM$Du3a^XTqKW~|K`N~z z;S`_ItfnBV?l_eA6#&&8hQ&vF|Nf9Lty06!xN%inAc<$fN?^LdbV)(?dhm>17$=}d z4RFhN4v!XzqJO=~1*w(Lq5F1wVzxsz?!Q*K^TXiMteR*q`YPbI+fQV&=1^c zE_)L&PaAellC7t>Y5f#wQvGa$#}OlGjWMg%=&M)ZYeRx4}=w zQ0S57wIZ9nimG|c4_V6vqk@#9JAjqn__^W}E1wt2t(wg};Eiu%xx$BZJ+frPD16x= zsLO{>nWv&l;_p~PEm55fo_QQ8n5aiJ$y7b1n-=>5Zpw{diq4`K=GghC_Q>{@5}AC_ zxVx(y!CQDnGG2m$ihwxBnU|^SAA)tYP~{flo>&<;-GSIXQX}=`VVn)PFW;COhfUz~ z^MIapxYUpo!b|60Lt#SR)h(vcRGB4(w0>qleevg82Xt=h=kFcH$99$h_Wc1C*}#m# z=H$Su>yJjHbH8+yxJQWtqVDov`82BYaK>h4W*ssP{iulM{9es2@}BlJhyZpR$*Ptgv&>t(4lq z5|t{oCEz>Zz~?VmL>^iFxUz;*hIzIct0~V+gMh(4BRKSLUK&Cm0OW8BRZI1RrhOHU zKm)LCvros@fxwLP-%7VD$KNN5u}*GrFJzPPK$ee1Y)CmENC0nKY>eIB~Q^Us3%) z4(+Lb?yj3p7YLmaJfIm4;7=7R4E<{pI3Ehr0p&l<8dE`3PrnW1loG}l4T|u-@>t6tu zKah!=U19I^xW01HV{oM0G_eGdClRrMitc4YsO%k{`;QOE5#~&W*?fQ0-jv+})A z7&fbFLtKKq7()s$pUD~-#7yVOcAUIrHu}?N0sSOony%f$1^1lPff>9XM}{_JAMjXYw81bN(}O~dr8Gze z@uvEKPQbFR0~CLCb*y-$tPq<;zaEHU?qq+{)`onx372BAbmZ~*QEzBHzFGQE z39hc+FXKg;{*@ZlXFeS&rVSQE^MO1PzP<%~RVcV8NJ?yyyj&S=;%9u}R6ZJA7jo^k zv)3#+cU+_!2fVnOjKw(pS_p!Nch{Y`_1`6h0H6cA&*bYG;6qE}K^MuSr|P$Th)&L} z)%c};kV89U;(X1ajRtWfOc$p2GFf)yd6aj9JL|;%Ix}NUW_D%74)yh*6=N6lx~W-w zj13qVO4^*@e{*D|Cl)A33>)j8_}C~8@x@KI$a=}Fe9Fxuj4EC;%LgR&&+9?$NHW*P0G>ou#_`QqgG(2%RgRXGUY%>>SwLeAg z^bQUG^3g0ji{sI~=|I7|c-pI!^muQgk}KXasI&C-8|cQbi+YIPD!wFxLOKqb6dm87k7rj-3b#f+FCEur;j{fso!=ndJjY%X^}gX8pu+&k=% zc)7T;_S|q6vi_mk@tPkYr$N4zmac-4HYeXn?Cp!}NN2!LJ>n2J5y+?#wAhtS+OVWk zwj2bd%>`jCn0nr-wNGkFI5nn(S5WM*u)KZst1UR=%IHx5Co2l&fP-eUw5Q&We)VT7 z@ZVqVFHto{II|In1@^{caTP4 zDaHsYkCH0#)o!bcPXEhY+ZCUyvUunZ;mKvTW9hm}pJ1jghPbV0TbB)97*E0F_iytY z8SKF$4=lD?+38PlU#M`uM?s_v%@i@(yPu7Uqf0*tz@!;Ix!d#5DX0=-*03)Ri2t}q zHi9EQKT@l$xE-iaT8qAs;;pYyrU(zm&!KO{74JFrgB9r=Q%Jwa{g#es2<6ieP{S%9 z{%c^gzYq~st=A*Hkq|KmETV&!9Ayg=u8htnF^ID$ja;ZT{6-GdRjt3?(TIj{5%4}t zi~Z$#*4)i}W`YbI0F9E-3tA(Jj-ed4t*9k>yI2Wpj`QExHdo=ZwK8h%P1}Z9!O{?hOyf&0s)UlYCTzPgtx?V{9P_ z)u!9c91_i|pb0FtC+6NuW6>_&$)pxF4tZr+)dF5;&MUQA047p5`3BO}&hjAAzVM7B zu3DXrnP0+JCk~=hvpc~~`}iBf+Fe0$MA}jksaEB`%a}OiOAaXmG{S~SY3&Qt^xg?^kxyC!7u{1jt?1L8x>~PcuCzx-)`nbS@Tb1r)0WNMv6II zQ9yL&xrbV$#(V6}?kzup;bn^zdyY%49C0H^29?Vh^)bjW-s5xxuD|P>b3?KC^5L(7 ze5+iH2XYZnLUpB|FdA~`uv866eJku(4-F;R{Th`e_>Ryd0L3Uqdbs-ZUlUFP=BOJ> zb-Yg)8&Xc`uzqo#z{tf^^UxI2Rg*JNN%FeMAH(3oz(f4LL;@8wG``5|0A)vp1@8tRhMQX4Gry7xd{x@YQ0n z6QW{tIhsCz%%(`=J&`&xrY?lS#UbqHOD^xjHi0rY5((qTAh;15cG{TTZ*DSgSDx$# z!@F+tU+f^q;DBoNEXc)J#eC}0aS(2;uGD($P(}t4?(a$m zzv~5isd!pmiig?X)vb34WB6|006yZbxv*8Bf_EIjz$CuByECYESc$r|5I2-*%khg) zjSu1D#eiKEZglUME|%#7S7WjrB*d`&1DPid31p$DZw167*uHN-`OqcYs&##|C^f-0 zXS%bP439xwk%1GLV}7CD{6F3gvA+h5$L>ZMcX9`if^Cy`-zUa6 zf_|IO%F|qq`-C%S;rasc@6wjzO)_3Jn)$w2{|o2=e;v9YyXLgU{1k{Q^%vZ3o*9tJ zqc>OI;#tUH=I_(~(bNz9^r-neW9E5`$ottCg#w$iHJxz zj1C%qJ|TOjI8RsBCpLPm5Ld?!{0*}HrJ_zD%=7#AV&x{@$9N$@XgE1GDBB5JwZHek zbeh_h3*OGY_u%Eg{V8P6@s3K{TwUJU=KG*LmhJ<+|R4ep?YfX%HvE8*695*6%)Kb z?WKic6@Q6zuTOjSmhT^D$!>jp|BYj2Y6!~NQ2=$oiu+rL?msP^_k0_m{d{Axj!N4o z&CHN6juvnb=cSf3Bq5+Q2Je%z=#>!K`A!}$b8(>*B+(QF!TvHmmP7fr@y0?P~HvS z2Z9N@95(k@YIG`lTM>8WitSJn5eEamK!(1OrT`PDO*=basoCDj!RsUdtwRhS=O(CC`Dw3bcptc9a)EZmo5nDl(iRzj)g+W7h&!CG#c z-*kMj>8kKc*JAZ<-LA4nxN_^oZ}@v(VLZDbjj4J|DC~6V)>);8W;yA6bEzJZro3_I zXRX;3)Xyw>vs0bb-e#S{c^i&gu0^hoxN3G4A+{=^Q9q6hE=Bto$%@aS{<)ktF`#>} z1NpB7J_eLMiXx?xxrtv;%d?x_M^UT3Nu>SnWB29(d5bA#_$LbMep8}lxx$9mhJm5t zOs+i`B7Ccol2SkS=L{ppZCK!>_WH8?2FhdMi}Lp%RNfSSFFJVc{)-Y18<6;5ymbD# zSe5kQQpYiUspebVAt`lq0+VizAZ%?Jt-XK`pU(5C`H82l*B66~TO=V+L@u`eyT6;f zdN7EP|Fq>U?SP8m8MHWvWn1t@av8YF=A-^iV&mi+O4&{?i~a`tjk6DLYq*X+CKacP zgE}t@ZjZ?)V~C~P8GV?Hp`K^;XkckAi$7WP=!T=d=cqvhoJh@TpEKWkfL*P*AL`xB z6M4;7!eS`JzYQ(DmO~j|lKK1+`==>wy=^<7d?Rdj&)>mY9T93Dq5B z&J$JlWc;SARGjRQWYKH8$)B_qvLcFsc+5vRvd=(FF+Z6xB2%t`HS?~&nocaD(4pk$ z`@i7C>H1Ay7+2-I5WXU*=eL~I_xbE~c_sZ;&w;l8MmBSfPfUvyR{%C1DMVGDrjng* z+IVHNq*`=@xkX(7+QApjSD}cu|AcYRtg)0-%8WRp2rurQi1yMAnO^yY+bth9!_VdD zo@Ne)a*mKIK$PXnVKs2x*&G_z?pJva7*mESR7%UqnuVE?`Qyq7No6oZHv}Ntep4ey z;ve_u12kczDfK?dvpYnkHJrA+tFpFETxEw@LPINn(Au5wv}R>bZTS_yLph&)MAyWr zds~P6H6;K)bzrPfo^^tM4F1B75Q8In+uI9c)SVmIE3ZeIS1q`bBkrB45^F8bLRt|J zI5Yl`LvsMl|0~Qd06C-qfW z>{vK#x5S}e5K+k9*RW%2Ky@TSoQ}&5W6L& znP~6p=yBHxC2)ankPJfS**X_jUqY9O*hmZ0J_XcI-Q?eo{iO-JGv4PGZ>cc&|FPud z%vMuusi~(Jr3}>dX~>D!ektN)lVm21d#g1x^sk;;Y{0o5i4~UM*Y1(=*cb|~i7l6l z+je~A1;>qGc-5wWqvo}_aqWHF;zLihvJ!E{KkK_|z-MyULL#NS2Cecth&P2#lRShf z^Hj`2cYbE;l7-yA=imush<+!fYxe@7@7p&lS>tPoCccN(hr32;d^hfyXx6`36{Of0 zTs_N-#lG75+2L@&lXn8vDTOtF(i+3t{5&3ino(*AzInAvaQokLfdxZ zxmI3w{iwRySG}b3={K3F6u!gaoFl!b&XUd*{z3VRY6bB#hW`O`K#aetgV4?ez)=+w zmr|?@&BMYedy<{e6=V3i&k3ew{E7UjK8n~MvvN?)8BuEIF)&+9h!+s)Vu@68qXrlC9N#oZ+X2suFrw;IXKylcG)!Z-queDy< zc|_2w&v4h6ouan;A#qIUk|v3f&QmZEvgMLkY51w6yIh-r4?$HlVg6R;AUWwUL9_HG}gW#q6EcctcXY@X?VD|S0Hw|i6at=1kcSw(?otIiUY{`VAO zn8mdcO(N?q@>p-CAZhCZSZT3#^sIG$u~T=2|KA6A55P=pNM?!mJuJ{1CCQTgJfcd> zOE>PD&5?7$9jY+}~{YxVT&g6J?x%g>@oF(V9BY z-OLrzupM_)rTPILgZ2Q+X419-xeq<0RASj}6H;wX^+cf05QnoX$K{E#(pWYp`PI7ON*!c_U#4-`kPJTsJ@ComfrIfh?xxhd2&6zI zOJ=va^JgF>B0ziS<^cM_1~=s)g}32=1^|ybkRr360H|cXFkJvrI;WM+&>4p9RX-eD zL2L16c!0*AIg$-0u(Bb&$Wwe&tInM{{8NO7h1^d0Q9kT?3CadNT0NZSwj`M2YV4oH z`O>GHD&>_AdMp^07+-jQuaVT~n%z7e&WG5omJXSPk#@gc?s?Krlz7If$wD{H7LY)9 zsIcc&jS&2#jZU`!U7L~FOk#Gqmb%ESF~E&W)6&|H1k7L3Y~hW4XtoFp_?183&LL#Z zSl}(j>1emPOKZ$!Fyy{c`glPuJl_6AM03$470dEeZkSobc!_;`Sw9WuB$gr$HQyI#V@E;e`6%sNJsO+N{o{o_PY9nT z<_QQTUvS;+P2jwODjbj%zz@R1GX61b0znYdb*dBl*d!#ky6;ejXZ#_YHR9;9TVOky z09a0whYeFzqk)%$VTX;K;=h`__U?0^_}&Ejb�DjF!)Ln{U@bc|xEYfn&0Jfh-NG z>)Ei*<0B+f+1sr&(C;GmTt1ix0d%L7U!JGtWHgh%57)O`bHc(@ z`-**oDcwo#S?rq9D~2tzuCBM;RsiDhE#vYIFo_QHm{qX{$$1mWR6=Ee%*zPe(dEl&@c6g1vMAW9Rmi72 zmxj`S@Ab9oW#+Zrw#=QB8?~esbUtj~rx9+)bp-v@onM^mBNg4rrK^LPg_RA&nvLl94N zGnGDer%7&i=AWNZR#d0-JhHNI|4Q2t35$8h0z~eta`>bhcgs(JaErP{wDeYkmL-*| zV+U%z?v&fF>=e&w=io-v*4=u7b?h&p5@01W#@yJWy6_f6u^7A9ktT)!=ioG_zSV}` zW(8a{!4lm{&uGTni4q6$uRfs}NeQ7nvPsUpfg(%~fn9uZq6CpOT5-?#?Uo-90of}{eqm$)q>B=VO z;@`|Rqh!lZXR5Jr02+%M+iCEi{W(W8`DI90r0rO@0jY%#7Pr88qibieOf;j$s;aDa zWbY!wJWKs`%pF^SWgd$AlgqS4WDafi(zb|#^zlxI<1em~V8hQh%#FvcDz!za4vDOK zlaZ_h^b2{-5SO=0v}aT!k%*>d&lJ)v76uwH*P z+2VdII)&4Zfz@I*lm@9y!2k~%*>PDVN7Qk!N_Uje>&_zFa)VKTgkifFnWhzE@9E_e z72N9xVO+z6CiQVt8Td~)Tozan`h{GLWE8ajx8(CDxTkzsH+%Af2K9g_TCfPU)RRZ4 zZ)~n%1Aox(o@)XtgWPoRERb1ISW+RO?!Dj^qZZ9vRK}kcDR+4en}N-A{Ae|OZqSha zQdkA_b#Lo)4h2fhn7VSjhcJ$iZU+x5O$>1VZ%i$tE}4oSMA^|@xUf=UJ;@z?X5=G% z7+f9Pu%iS~so;Ox{}&_?4ih1T!;l24Sv*ycF~0Tb>oQ`gGwS{6bQ==|88~Y~QMIzj z?r$QuVpjISanMxoM*^U!PM=Jqs=EtLmM{br|G;+I%4?Z64`ldsgNt~u@#nUpWIJ{c z*A&gTv8vCdpxbs0N(VSuDPn%B+BMNJQjrSje0y=QYOH#gr_vkO&60;aY?+;qAl&-o zzr(P5ym9_{DGyE`_0K!YIXd;X7@1N#F1h<6g;GoIAVC;vW;Pe;9c}0I>|nLs=f2+Vg|waxx9ZsX&*8j^P@4%JVHoM)t-JQvQ+*FYWeLRDsb&z{LW|I%b? zC)N(u>=f&9{MA(PaBL-`BVw80&VPG-m}hKra!napr4ky3~OcpR{w# zmjXPzmrvBb<7C23w$12{0m00$CJ*2wJd;CUEU*-+($yz_L{_x|vNF@7aIK4u4-DRa zYl0g>pY`su(8hli)MxuvLRMj`aWZr=kD@A>PG=(a(v)I2Ha7pGizfaBvxOcM>nyh~&A3+`3ykd>aSo6loycY91ee_qExYbtT-VdDuG2 zIMg(NT`W1eM>~GJ9}ZOCTMUZKP3m5W)pBN!w#LH%Voyi35okF<|KXZ@FFSxpwa(@b z!bJ2S35LAhQ{9^&{F?3j-V?r&?>JWM6!>DtU!S_?s>Gp5lU#wvU#xZNlHU!l&TDBu zAS+r`Ag5wykRgJ;GE-Sz3f{HxYvSf>+JX+vny90Miif#tgfB>+lD9&e>!4i91XX{`GVofJlWSG zzRw)o&?Wx3noh?tcHfM%0rgRWouwz(1|aPI`f%|I0jQfLBWq^67=qmEWS-T7FK;{E ze0B4_zLD(Mm>>q;SFA_(JN{P!Ih8MR`gfr-Q%*u;IHhnCHqA zcV2y%AhAym*z5aw3RI~54TO%iQ|N1h<0?L0k`RyWb|s^+Xv`6;!mj+(#1pEbq@I(2 z-^F*R1^(quC2rBNu04vA7VHnb~{V=*ALV8!45n9olm-`!OxWGBGlGzFT6MwWRchqSf(I)?H<_SKq&0)?W&(k>v#gqI3=A=H zMU$U8mP31l2&=A>4FQf!N)BO>>8FtTC>p3c!3Im$96pyZ&`PWIZi#D?95(hkP+rze z-GNi`1}X&{&jS9RYJxZE?>!S1D3l#!nDkxZQs<= zgi(M!LrNJ@3Csz1m?=$C?_bkoQh=BdO0>26EG%LJ=%25`;nBX`&)trtPvxat8o?DyM@ zuDJbrDZ0;g17HqZUJICnHX~?=Px~z`YK)+_U;2&S2L0U9iHh54>K)|cXUXg6h#;dQ zb6c!a+xUS$&IFy&nZ`H4m67Vg0oRequC>i1L5>>?n1RyL%}i8+LaZJ&K88u9cVQ ze+W)N8hNr-GGgiKX)$B`ot(lpKu|e^`XrF%M^fsdL8R*y;%L6653TN5N^185-y`Eg zTvze=3({{q7 zG-)2wu2!ECfUf06zgE;;S_5{4wbx6z+WLnjJ4hQbFt`wxNYu6?wxxq9aVbvsiI8u| zGdJc#re7WHqkYt4I<{t6d3)CflA4m#)2xk=U4x%$lQ>CA=CNu=6Hp?lUCOK&0+wlt z3gOKeG3RdBDJEeMC{$mVd}+UMj}Y zGui&g1&m6qT$6WTP1)MqY@ZbaYAU{<#)nZL`=@diDg{dai`gp|v~SX|9^0BJL+BAC zmL-zZ%{t(4X|b14lhP;f;}IrHN6;#6j$K$YDf0gIRmATx;n&0V8w}a}cvbqvnWQH* zGB!wZGw}_g>}WSOU411v1D_~m^W6gzMFOSC%VtPZBW2qs(9W=u48lf$#6U_&OZ_Mr zz;5esd{g+eA^j}&T)^}uLl#kDq=dOE;=Pn8kqEmUThyL}G%rrq_9qMkWgiPO3_L}= zP_oGS$}qG^Lzi#fQ+)9fM1dJMQRZ{|VjQ2GyqC|ee8k*jWhJpuU?%Q{L!r~h0|I&` zuF#0-B(3D8M|)JlLXq+9cwYlWrEDOI-SVm@LcK6`Di%Tys85X}qfwgUr7QKfN<$|R zWJ{cqHRg59$5}ZpYXT6oBn{FR6+U00gni!X%F8d3Y(znze$9yk)IW zGL&|boaaf7QMJes%g6X*fKL+D>Z_e^M(Yzffq^UaR|se4zPNRmN+Aa}Z|5pPS}^eO z8wGmniQ=I^_k6oGYa7Y9WMf0inN-i`-xL8-qyH;%r7M;n5?H_^VC99=xlp| z52;H;2d{o?s~*nDek7pM@SP*_{=Vu7?Mun)aGiM@4FQoC{ftf0gTdV7Glr}Qh`9J64ybN4;wW*8Vcc3{!?c|STkr&P{V#^T( zOjrv*+@t~W#3%)_O8WvB?!Bzp@#2TBL*41wx~`0RI+hpQn>H?jy&5KTw4of1@Wt0^`^rQ~Y6-^JLp2{}+pC2H9E`8n-+duhxZTL5u%4LQ|x z_=46+QNruOUVBcvuOvuWbngbw1nYQxpR;Ve*3HuTNbG6M0zDGcd_5t!A~FfYwqM(` z%PHD?hO}^cCa`h?Kkzc&$)w2u;sKKjm1PZa$sdnSf%7pgZ_sT$bn}1JvG75I zpjadH&6V;)YYuGt^5Rw~WRE=;uw0Dt?-JYx^2s~3WgK8t9PLiEOLM`E{`kg{1D)pv z??L>7PoLksDq;(OJ3xA9&9eDmAJ9U-^*2RXE;`m9w?tCs3;U+?OB|XykRs6T_mM6d zRbp3JTK%l|S+?EXcb3^J+8fYaUm@2H?t$92NEb0n1Et%!wTy=>$ z51cYFE}5p^_57T5ETfL{usmbOnZ{&T4_|5b?=1oo>0xgGCP3N01~}FB;?z`P7{=)E zE6J9tvp+mkvr`S8N{kzNMs(p)OMI7;U7!u6c{~ANs){RzID#`aJA0P8ki;j_q$Ic4Z4M z82P)|hUBCfx7S$c5_>Vaf>(l)BiJ@l2{G{jr83(}-Rgol!Bvj8 zF|R$m= zG}Q0{T|ozWegDx!rNY@OFAJLM&qVwK!k`JS0eXiFWT5|OBMK#1{q!6mqw$$ATJFut zH!%Dj7_B`=-inbEjMg9OydtQ-)Lqua3;R^GL)thOpi2TE>=n5%_`j4r=&^-?REoCg zkkwF;N#+ZoGXdhnwvYn5z_wSflM_8k3*~;mS|WKs_~(ZjkUriS8-CHV8=ci9RE_WFlwTQPU?ISLQPF#>gI^);&nrnxrWST?n1|8>0 z6O2L9`YnQJB1+)(uOA+V1oEVj%+?f+&gu8{hgpG58o{RyinfHXb7mk)t^4Zkxot3_O8B>ktUXS?M*wjo+PSy)Nw*ZYv<)cEW3XFjuj_qijVA*Y~KC1KvPa&glob)BU%Gr613*M z`O=i6{Li>K&meJKj5sh^$8I;5AjB@1h5f6VnxtRoad2NDa`(x+(&hxtM$;x087luN+oEoN%vjLy^g6^@f%Bno|jhJGkUg-f%oIcg_ z%CYNhg9%@HfxpTl23dqOx&}Y*=yd(Wm^L$i{$PRj3cb zxy~Ue%y8`bg6{GLg@tsB1AbtwlqY6@{!5oW$Z1JS2y{#!6Hk|8gZ`&b6>`1nWRetu z*U0>S8jE!ER1v%i>OPYVVqGiqqKnZ;GgE0+a@uXgSrG})yv8X&WJ2mKrE{DZbLPh+ zM*{dA*B()Uq(plEaj-#DaU(gf$(YC#vv3{f0^;Imtywq6L|c*g%|X~gmyclw`FxpP zeBqi=)w+`1Jm4|O4PR1L5-@-;=M&EVIHaC!O-~PF84^P#B)d3YwVqc;Vr;4N)nqvk z91sYBqF7Wq9{)=_mh*_411C~hoXctv36+U{F3p8Ff|7W*;4R8^ow9J1#Y9EC#{_*#+sWRxqjX<%1H7(@|x@2A>Z3Ms;0x{Z``pU zptjmQl0JPw7@=OuCW+c;s!8)yn!pTfXc85Y7Z@vVWaQPNwTwjKG!F5ewt24?s^QlO zQI!-ntsidxMqb(1zPoQ6lmcIwKJpWZNjo#d1NY$84LvIGAl`$~dIynETEC*f3eUG*#f;2rh3o{!BOsFq%NJsQsYyD1^d&%b z%`G~MUTDLiRcAwi7pHg+$j4iI=co z3vcM(!=tVkzCb0NZAq3y39}ZLxG($Ig&UQuW7tZMzgV3istE^JQp)rRC@3!jzI{?c zxZiPcSlW9b>1Rw_736(+*r(T!9F>m^&;Ya9wvTa|TgNU7{{B83cDo&5JV=FHYBXUp z&F#zquu@ysid*R|D$D<#9~rchmV{LMT&Xzln`+_%&96V5q>?z4_!MjBu zCZ1}s*{@&Wd8o3E_p8r2Sn~Ny3D^rriC#`kYxM|z9#Qi20s z&7lwF))yL7voksMfH19%PG8q6d=XlVnY~_`eX*V>A(#ggS2E&3JX!mO2-?jEtQM+s zWj+V#unhazE@N2uU1!Ba4)eFtNBUKY3qpNvPYx(;8sPn>v*$m}BUW_beX#}4(s>Ro z#l(>ViO$DH%KLy@`8lzj>|>mREHA!h$YblJi+TwSYiI+ln{|VAOPiBDed5%SRNH|m z78K4iPm_tkNys+Y!mJ!hX6ur_Dvv=WYMaaW*5u{;;pG7@2qv z_9)LbZj4QV8S3C2&0Jl$5m7Q?UY_-lS!jRpjON|WK}aOqUqhfpb38Y3^a#;~m9Zeg ztll1geoE8EaiKZe_hhxykf$f-z7pbL3^=0t4X|n-yzExmY%nYBzyg=+Tgg1V+E3wT zSelbk$W3g{=3E{qwwms+2)}^c6f|`rJyrKt2h|*fn&1gEE8B<$G_meEV zs|9L8+gN3)wc=OkjJdiB>8#2>T-;C;H`_nkovjYw*?_Fl$kIC z8^em(uil1glk8vGk*_&@21Q_#?ED#I$*Klf2-%3Y8Z0Lon(!Fb=meE?Wn|p_xP%N{ z-dcpZt7CK!efoe~A5Jq%?4F|)LLC#$@|uA$#Vo5>L)HWHDCdvm`I(3j8>HV1|LTtU zbiD)Z1Vw`}{DjRv0^?Qgf}gH;hj`ob7GCza>*iz;y-r-~&Ni&x(ymTxARR^}TjMYh zNlOB#&{x9x{toxR*H`TMXie|BlawJ^`-Pm!1JJ|P6=Xckn zcUX_IuX?|BlDvoKRDw$0{_Ut8h^edgwyTWBU=*`%Ev%sK%v~R0$z2X);7uQr&7@DH z_*(EupSMA>R*~EwB+(k<_Y}IH?uKbB4YldO2WdJ$AiganQ1{aieW@yyd8|n4!%O}2 z*4uY5||Qn&gHf6?{34 zliWm3`d*5c@MNxIZVFt;QGJ;guc5`2v``(#uLn<-)@s`uWc0rWq1b9?WrF#;wVP@z zmd3ZLw??<;b`E#e1Cua5C=E{t=zpg^1dR=N8O=-;e6=0YiOLK{h#)TbZLY934jl&T z)D?d7f0x%#;En2#iMQWA0a1EbQr3*PL(368)fPHD@j>nYy~MoysBIbv?mklTVyBj% z5nTF0>`%L}u2Fuwz7gH5XuCHw9aqb3qh$1AFrk2|ckIOVH*_;9grOWpEUagp@!W)1+=NNj^=SMTt;#+`xCC6= z8&P=<^F&Cp05Ko%YSermm^&)}b%}(_iB5^D#nwks@xYcQ^LCUZSF7?>)GgV9T zYGGqy&s1$L2gIz<$TBajg_Iga7ek^6YrgMzHK@Q}>{wIqr=I{DN!t_O(8Pk|8Ot}hbSyi2t?a)%$6 zO^*kjh$k{FD~KA0j~jHi$Lm$$X6E1o0pYf=RUVtTtGuLp@2~UW8R1UJ$c-H-uEODA&}-VS4Ylm|Ed}wlLIA9Og`{PDqXX&pa8qo3 z)_bMlN7yW5b+W8PH2^An9e0ojtvZ5Zs@cs!m3KOw<&mWwbBSvkFbIf+OWppWAs z`UIeBf`onmBsrgRd2kuc1@zomz*^7-?yueYwIa*y?^TD>Jg7I=)kArH|Baq6&8ZEE z1#qV*$?Du_TpqR{ny0nQG(N#B+992J4fox#hpk4`50rg=NFp|uWvQZ@bE{ZAjqTA> zl_F!((^}|17D0M7Ir04k8OR`eH(mm5S9{jmjQff)E$K;jW*n-*w$%*2%1y^8e;mO_ z!)sg%_5!>gd#Fzb$=9-O2-Jv*cVG8E2ZpQb{?8Ds*f)feIFk2d43et;@dgVXxchgC zOi5&Ilk>o!sK9z4p0Lc+)$7FVga);nEe7CmdO9{{NWi(=;OI(FfMWF`!)5tArPkF> zX+2R$wK;VEs<>?Jh4biE?Y5@S2GG*jPbTWDJ5wE(2wjtUbn!r;1+bqRvhSGFTB9QI z1E9cCm`uTU+(RxSt$4r~!5%yMR6FXXU?qUyLBS|;#O|w-icS?0;a}hXwd@8ywI$~& zMeX}0X<4~O60i-)45kZXFivi>!<)bU(8(QAXkpBEAfUf?BD>3;aqcof6QuS0bxMyZ;Zr*WCE{+LFCM;+=6k z33$FiRvQgPB4pW@V^w|MEOBJx!#fxL&@kegiVno?>#8sH&DaK?IP0aVOU>Z$*qaIw z%F9xDQlO<{1OV6eoWFONp<(Xp~oXi}H-m^@pZuIkF$&upn#;9I@03 z6EoJzfiJ?o;Y#pzrlmjpz5$6QNg%4_adh`+j=hYiC}$n7EUr;uN}4<02RhqqN`90o=p^7}I?%Fa_Bq$Lb`pKW@w@56nENdIO<;l3aKKpx zqYqhj@pEvLCmm(3u8X)`0k-`mn?VBDmk^9c{VopC3K(-Dg~(dw9D%ACin(SxMU7us z0-W1~)MtQC$`|{XGAWP{TS%+*1K|!Fw;|!nApnhz=G*loGoX#Gv{;_L<_dAEfv|m@ zFaek`^9v6#X@bmx)xXC}zmLWQwVdR`IlVsbZBajqp}AA%JI8m>nht*#zhQSZlMQJ7 zIIj(1cZ@&b<2rTy`L=b_v%`hkP1NZ=1XlL_@YeX=D!g;tT$@l-SK;w5W0O&eXFkpI zppt46`zoe2TZ!aJMkjrvxaZPnoXZOzpnFkTRp}6gFU#v_y6~w!y!U7RbwWXvD~2}% zMI8UNWNCpJpOAU z_R-9!O8>)-^rgv6xTk{jv+62mTRNv$*ocVo@9pD=rN2OP6I{0dqvW;h54Enn?mInw z4E@_4ri8vB(}Mm}3du(_ATlC4=T_!zLt0GN=t_?$(hi`=)rX6#ISP|Y6xA=^aF*NA zbiIYKDRsB;TB~l-Fo~67{YF(Ri?-Z?vdE9$%X`rS!B&;!a`mxDFsGLrcTl%l%Q!&b zPjJI$&G3L3ztQpv9q3dkY0H$iXTcrx1D|064BGOCCf2wZ@d)ikyAwE4euq@PQ2l2U z1i!?G@lnbchoT>4D=e9e4a6F)GV74+;G(u>XUNpT=%?#zry`U$&Z%zi4FR5fi<_ggY^m3tFqidN#k<~!~aNwnItaQ z;m2X7nD0w@iULPt$b1AoO%ks*V;ou*Uge{6`j@0T|Gp#`RpyAks?Ai#*d zG-7?PvoB_X&RR+W`x&=0X15COo%PmdHTz#SxSUjaE|7bkopE-_frY+Co*SKigCR0;+$n z&>k+TY4hI;;fqgnuyJJDr@cop*B?J8h;k82iPncY|9NjwuY?Ax(p!qWl@p;S;ss8C z#Sx=I1%6tM-s(?v8ae`1gcT5bM(tVzLeX!4R%WPwtxvS4x2}j=;QW7S8wb`U*9sCn zO-$CGW9IQfsr9Wj*dx&CH68{vDaD_%Ffo*M%{@qd#Yh<*T3dAKS<(|RaZAYGAmdH` z#?HEe+@oJ5EN0(apr$nM+M3+V`<0IEW|@`#^q~*!THvx}wvxqVCT-mJIwQS~sks}9 z8uVSTn}dL4FeJU{C6fn?_E(L)T<-WfMl;n`R_cH%wo6`>NOfTS=y4QM0R8?(k@Gqe zb_gynvaT|)U6(3xr3TrC!=R{2LW?OGTEv?1DGmp{-*|s2l#?TZm!xY{Tn$_|+s6S> zE=j>f+f3Ai6&TF5Q$Z?&h2R0S2*ba{t|?OyXlA3?btpPnWAj2Gc504nz}@E|_EMfN zwhB_zM5wYGDytqaGnhfd{KB`hO`Clkq0=+l4q{YuBgFbTa0vM|_ul9>lnrohElTX@ zX;@1@7Qh1{r&>(_H@@F_YH35>^CL1?PV&%7i0+UKTZ1$s2^ zDZpjd-Z3I-g%v$ApH3;o&sMPZ7X8lbi2&W2kJb1zi3!rhGZ3kjM6I%sJgL={N#o9Ga#E-R+2KI)# zwdFVx@E z&tfK7dPt=J=fJNXh8GXAXvN_|rx&*@y)g2C<@a2N706I({qD2J2#*mWk((vZiTfJ= z(2g3I8~nV=)Gh405PG7XJ}R1@@38?0I*;G%wFh1$ z4Q-u8h>z>zMO1M|P2*KM)Y&?r%+|uD18k10AJ=^MwHgA^!g9ZsxsvDD@ ztnHU^X(b;gP8nef(atuRl@y?^50z*I9E!az$n^h0bslJIt;N*7ppU^x=-) z(B;OGs03c&eiA2F@ciq)y_u(pDJe8Vcfr<*){qLk?Ss66jPOGBqE_!q$W5MzxrJ{` zM$yl(u`_|Ax}+{}<1!L|ai3RI!kduLtTx{{U29TUX45z7_TpBMOpsq+^=x%u=N0vR zKQNZVyI}QgwI_NXn6)KYKLw~JH!U;hA&(j(F`@j|d7TC7O6|Nr1%K@*k{@e&Z}@Y9 z^8Wfc58-u5SKe!&pl@9d=n^Bvcqh@Hi!5P&L{$MQPDPe;TqMd;?*tX?&xT0}uXe6Q z|0W5aZyHP|Skw`)_`}GH1rYj77%Ybr@SNuQ|6V1Yz_ukEG4hiL#22iPR$Ce#zZBUL z^9UGq&>v)t5P!uGzaJR&b~o(XW42kv`KYr{;+7QtsKj79zT4uh;l81JKS^#fh{j5I z@VfzutiS{V<((-guzMKO$mpEJSfLiRDsyT>2)Jc|MPbrsFakm#b&0WU&tFVCG z<;cfZ&#~hLTWlPQHCa&9KZz@k>vm@5MFLVl@)W6%9WKG^qxo8ukTE!OZ7^x$E@)E)#sXV?cPmQZ;`+u2d z6*D65OE0g{_r!}!lJux8=_O*mVcZEHbt7_QDiL@>VJLZ~pK&l=KJ^vN6xc~{aTP0y_CyHA+sSzmW(nuDQ=i>pGth~=u; z{>Sr6aS0>W+*#uMR;AV3=fr4jGEfCE*wfb{ zWfwk8XJmK$fuZ`~Of{|Sv{5)#j^Z`l*UlQmgf$Co5`WC`Re`OF0P31LIlaKF{vGC$ zH_5s7yp^-m7>5mOzG1sHFtfaXI5inm?lj8H*1@ea4g88&K$13{wL8*m==S^6I zSe}KB=RInhc<1;eQB}4dFx$Xf0IM}BvKqI!cSktxuSEgLi6fZti2v$*N=sZp_bkGl zx+(s>)ocK>Vx0c5qkX*+5bb^l%XSNI^^2GTK1crKy41{S*j_H_TxB#gqwJ62zat9< z0Vq-Py2N7Xyk${2kXad?L(`q-{5Px!jHfA|mb1Ww+9LGtI;-o4+}1`3IehpNEmwFm z=JFjGLs{@K8bX{Mk7J16$n3{6A|y1%X!q!gkr_lAfaWY3fCYN>=@2Em;2&Rr>%q&C2ha48Y zA9F)zgSSrADGctmEMk9zFkDzB-L#<|68NN-42~M~3m70HzHD)t)lKyGCV;xCdxh0e zrqs7x6Q7|UOpur0wTrn=4Ko`-skUuCyzhIhiSVG@4t5Ux{_dleXBn%w6ltBecvBoh zF2>E>1zYnOi6MdD4d(*GL?yejj+Hq&Lkv{h9AjzlGa2j*(aa5E2+%i8H`m#BH`L=Y zKDj)e?#2)^jaH#bDZ+TeLcQ@hG~fF_D2$7_@ZPRBF%?3?vr*XU`8?y5p~iuskt=|J z)k}pq7&dqv|9Bg4;EoruL?zYN(DxvlXZnc_ScHH+{#l<_IlkwJsbr%Nm$iqiH$c)F zFxsMl++$NJg2A3oS=GOJrO^1m737Y~-I+ZNOf;)h&!ei`B*(SeIh6BlkXbu()6Z+1 zYRrCV_G12S$}{Bk13##e9DnmcW;-k0zvjJ*xz#&P1Q%FVE}GkSWeD}qtILD(KNECk z%oml5)nVBKRQK$A9pVo_T8K}{wig@n6FIW9t#wvz4x2mAa5#TRy!S5`Id?1*AJ|}Z z_&OU@c zg0jZkRYPqqX8Xxsx$qu*m06$tqz|I!C%|*l>$|JX8UBJ%B#;q|dg6ZK+Ag54Ij4Ww z*-k^$Ktd#pqSHp&4wC^tSq-2kce7jB|5|45#hBO3ucnQ>x~eQj_za+1?--=rSq{pO zaH(Z+_dQNM*biX5~OJR7$1U7qUGhfsp3tYBtCJ=1R zm-)(V@Vz6DVKFr46oiqe5sm#{^Y}zPU1%=^`PQM{qK?Rgu57z1dNi|y56CC%&yzgS zhNV0%-YYUNYB5;9A-8w%u2w(jkP^|Nu2WA`pwEE$Nh~X-y8?$CGtB+K4FzD2b6qUf zE_Qj#r!EVcBAkSgVq#0U4I;B9ev`%l}sK6tX#czKDtu z1bDYoM5aIhX=q7G8~Vi;7pOF_hS3Kac4+5K?7m|3VQ8+&RageQfkj$W(H_qK_i;w2 zA>!|E8ZyPxy&2-eXclDzsYYkK7dl_ez2)kck^eOYd)Mj1v-bd74lJ13IC*_iBuD8d zdRPE1mknT+c5I=|W7l(JNIqg>%SxGAQfe}#aE@*)!X!S@r!#CSo3l=Q&1$-N|9@>E z_SX(K2#VTzLdeRW9nc@AV7n|P6A%^Qn!qf%iy4F>6xO9RKM0U2Ct|28CggF1 z=h6alnH8mt0!+r$qXtP(@nq(hF9P=23`x#M|2Cj9O{vh#CrYYx1AAlk6CHl4x9a{} zibjQ_-JwF!Z+PrJ*Z#-aKxrK95hoGVnJ)6H$}4>Um4GtJ(x2*>YXZa`@c~HT6YZ1^-G^4k+vj7Ij4%rq_HG^P#>$82rBIDobz=R1g8?ngQmYBuNg+QKKLN*1(~7ZzTe2B6~O2FBjs*BaLCEDm5M2H9=d6*w##z)6bgC+=L#PNLva(|-?W|?T6mQT z{h?yUSU_-Mn~rx3U}sl?loY_hrvbIf5ho70kJjoFEP!9&fVI_fY~x3P)RNM z(915Zu*~zXz;G+e7_7p(t+m0>u-ULmMZdbHd?WhN|37pXuwl!V#5KQeDT>Hrv>bXp z)C3t$l-OtMxvc;*l=6CI9F@8~Wt8zEdR;N42hdC{AgT^95dyf#0Ura&i@r+dblGjf z(Hnm7roSa{Td1GX6wlTJkbDiQr7Ic{M?Z3_lLzm<6n8?Vi|eMLICgOO%(RKoLo$pu z+9qOBDxIzYw6Ugr(A7b6q(I2xVc}DIKqdZWRB}J}@K1LZ=Nec<`4!gF*ysdK8cB?k27h-e5P*EFkra30w7 zP8{u@3+Z5ZWYTz&L%>@T*<-nQyp8`K)b2?_t{J>YR+?NN9KbpDZc%wJqhh zqfZ`t`xo#-4l$T$k3%K`w_6l&Vj->o1fmPcm)+GMwgGHWdhMHbSt=zVj0}QyYqkq$ z$A@^SZ*$-C=sTNc_Ybq(#QlTlh2SI%i{6xUL@EvT?9XQ@2{LLjDA~N>lUHI|aWPhL zNaDui53@QD(t(#n11k?`YLAe@-N z*eBj<{j4=XcN;eY`Kd!$;wCI3#bAh5haCiNB~ zYvVwr%?D-qwLbRJI!UVyXzxXftm&X7@d+CP+sF$j8~KrOdThfrPbYtOY%L;Hrs zh)R8_=~mG9FqiZ@GOscR2#iJGk(<+k+h%_U<>>v`O^UK;Pay(9f*i_sY?i76NDDYl z-ABDe$%M>jXQx(3g1~xla8M9BFf7Z;k&J%35~w&jT*2zJyD~zlG3*g##&JEUB@%$Q+O!*qz^g4`!Oe|T z!ncLJ5Mj8s?uoFd_=$0?j{_QKqCNV;OJuvFveHb4z=z9M25#I%02N{Xh(H45SdvT1 z`Cn%T9;u6Dgzjm(IK8TU8;Qsd!0 znN#YJV`o@+INI8R$DF}iigmz;MBjxE)Mk$v)5iXl?{)B=r%%*z=ML{nMXWLv`Ym9m zmf$21T6(+&SWMnCOH1m-(e7bTmPds2{dIM|G1b+c_Ds5CXFLU&idG;q!hoxiH*Y4M zZ}2+bDP5FMGGc{4jG5UZ1ZuywnaY|!eCrzm?K!G($5z6NsloQDK3XAS*xfVrJk>}P z-@jn$^yQpw8V5W2lw*kn51OFs;-+Qf!Cx=oZqon8y1gcgQ$wShMJNn8fgY#~V`ip7 zrNrzFKW*5K%_v5mK6$^=T<@ithYew@E=}v-iI*Ffbb(lkO|;{kQQ$frgy^mv*D}YKALB-@{2sUaf%lc;)a;%OjKB4!^hU z8@N0lKaIA>f*8JOuZUs5Q$avX!n)FiKy3oAnG8d!7B|W*Vngsp%VfI-QG8&5=hKlJ#(Q-eH+_M0Fg=4^XhLnK|LDh$WE$!%%IO zZ0d6H>bSGV)Z6LVvz0^sX!Hfv3xsXLXXO3{nTNfLUl-oaRi`nn?)|QAo#c&NIx30e zs5zp1`?B^Vie^cR3Upnv>!+ZAy%xrEh-J*2eQ%J4T*a@Rc(nua22LXiVqbnOo$4_! zJ3$SJ&XYXgUW}UTwz6S-unoVv!G>OOjseiE^nUU_#>^&LQfFyh_ihqS+Ee0P(oD-# z7oigScp4rN1Yjjr#TPH%M&q0d1owxpB1aVU7p{75mg-mwe8dX{@SF(+?}wR#?c<2T zqD_`%DS~)j=nP`}y#lWvx+B1xZL!;$5bdN36rpT~1AE9iBL|_5@y^zt{qVMp@Wgd^ zaD|_s=Z^=j9-D{(5@*thF(>t&$WU2*_m+?$<6Cdz^XGn6e(^ocjDQ9y379DEwmhYs zktlXZ2QFQ|GMZHLOCEy*DuQGZwV$uWSF zPZX4LWRTKg!4%lAMqWiFQUZyr-*QG3t3JWXIkl6NM`SDU>)1T`?$?}K;g#e2tU^x7 zBj{^Z5Tb~R7%H*-nsw2cIZ$ODwD=}}dhkng%O`M!EoS-!Y3-2-xK!9f1kO+GuEbjg z-_dC4(tTP_#yDct7+>Jfb7)0UR_VC~W7|iD!rJ)hBEo0{BhC0T0E#?)6d(_x5fN0))*f`BGIUA8e|B2s zWou3Y?TrcBPKCam3hh$Og=u0wTiH3GL5rq|&=hbAl+5cgtK5g(!+{c}(mdCI?9_8X zwL@=o#_vALR-(9^kD^efc8_3wYBm_)@TV0BES~a6XJ_wVG)xz;jhNLPwPZpQAF3`{ zWYV2rCBvd~HZrwZ;vun?VV2y5GUy<2KajJMtcAyWSK-;abKvv;64a?lf!ivBBmvb$ zv74Q(@1}BV9~+N$E4n=!W-U8Df=IN8^u{_Zp@I%53{+F*cH+ei9(E?TL7^=N;F(lT z#M1c5IxlNTGkYbeR_6KH+RDAC)keZk5!w%`3~^xj99mY|c4umc-4P{VfS3Rw%m!^0 z=lHVH!_P{FZ_c)nBN;(goH78(lI6Eyysd+%(4RLz!Y=4&JdI>CHtXfLZX@7RuAWXB z7dH~j)Sg~rqU1Ep>=pv=Z1Nv#5-NXAB^p-GP%kDy8EE!Bd6=D-mCF?nQl z2KvbdUK9GyEs9o_70t3BR1-|I#k_>mT3pU|jET%|5;GS82XUOztPZO{exmmJ3_ z$94snf$jp;en|;&z*7p>AG{QtP&TR0a=H}opbdqetfX9;_=7j5Jl_&`N6aKIP~k=P z;5a^#D3jF-r}xT)8IyaG7^BiUk1A>l0A2;{*01ko*wQXyVJo&}?r?aR_7<*30uNa4 z#{{p_4)9p%w|!CDUB7TMyFaD4eGqkn%pm{w%Egst7t}>PTSJ2H)p?F%3*t?P7k`kt zbVfcNl4x&$Kp$MSl~GXQ(i3%~prZRbMa#X7=t$R{kl|{R!)RGk;dau+4W*=-zQCf8 zRf+GJ4F#9;$fE|N_Is~4Ldre!AUF;QX-Wo^CZ#%ReEAgPNq~%*GY}$ z;JQ%defLJRaFTTlwbgb#7)ochXaET>X`<(7-v90VqDM1yYd&f!6nV?FF?!4I{bubw z!wqrP&D0i1hMVFGE9fNsfIC$mCX-6uoUL-HBsbRMH5l1njrqo^GV-o_pA-2qABb)t zE1}&Sd!iD7$t+f2dC}WS0AOLN2V0;y-K3pGD*~S3P1pl*wqgVI{qb8&`u&1C$y)9v zKNc#oNrs38%#9%_ov|wnU>#_E{XFqs5UFAma|O%z2CT3fuPqOP^-$L_RMTn^D>nqo z&IMU4upDz)ys#TltiDt)N38vExWQ+IuTADIl+ZgZ@q1bj2C%Kf)&8h}AiT%W+EV-> zR>cZD-Nso9KMFm_IrA(a^4bTyAZhio@)Zbn^N@^26Dh>FuI5Ym(HS4;o~Cjx*@BePRd#11N1uDYIr`$k ztxDNnF(-@^q?|jc527J{(_@Xi36KXgda*NlXD%iLU!fcdq?o&r;KTw-v!;*}evJV@ zUz~N^LXDk7f>hcxeMCb1M;9iRT(UQj;@VD{prI?=;<6h+f&<;Qd~*TaQxRCZ_7&+C zRi1pdhu9O^Q9eReIm&FtqyE@+gsgL2n8rI+TD%uV8X*u-&CbFuP?6g;U@gzMM?WCa zm&hg$8VAZkB9H8=AVLi@RVM^S=P!mrfbpEsi)jt=GH)_^g(Bw`hUGd}1GTS-2shax zIo7)6$ex`oPT<&7Gmd=-SNss=K6QFpki z0iZ0_utoRU7WJ>3F`MKP&dfr=u!=>fn+4U@S1ywEL6OX^sQA0o=FKS|l>K@gOAfKiBx zxhracCYy`jLZl+oM6Rb)pq?MpJ)Bq?T3K*cXdPUP%Gi?%j$?oqeoRRxAesV>3OYTr zWfdt^Qes67B1boQ+=_5t#i^Q^DrRso*igN@__$u1srIKxMghr>v|@}7(S&I3Jm6%Q zLZx^Q+o?1E{)P539{F$0LxlBWC;eP?SbcQY@Dw|^AS`{`Hfy2hES)e)p}Ov$jQ8?d zvan4Fb@pYHNHNGPr!eA!c5;T_gS8vn4 zv}+OEBn~eNPkq4)<@M3$rQm+IG2+DbkHGN_PIne@V^%^+`_tfhex9v1FE_-nc7({s zgp`gg4XA^mLPKZ8?s714Z-cvRq1buw9nurqS8-oq({wF@yEpCgF&V!P%o#J1`)P{U zC-otPE(QOyjai_eR}9xFloQ+2S;nX{QE5c-+lPA#*_^Rbe|8Or+Ya9h6rmAU3`%IC z^cA2Pw<9(IZ6wm!ieJ~UKhPFDf|g0Ef>fG=Kxf;h6X@4py^;YNP?K-ZXxnLrQgdD0 z)Xjl4j_cW@mY?Hv^6-T|9)=fPbS`D<{QC@Z;c?2lx?<)#RxAH;KTSxx^IduW;6{h0 z(Lth2H@L&`6dG4J+oAHY<=^2;EEoGr@FB96BDG#YaN)U zuOQuWH&30>SMf%4p01ON5w-^J>V$ptGrCDbOts|>Lg~)gV>0B0&SrELb9n2u11oL} zSKVSC7nbPy$7^Cw1XNglJFW+OflE)s7suZmu|s%y{!+XPTnf=IO-5tk)h8bC80vs$zExLB?|W*AS^DYncdej(!h?(QRAtb63Z%4 zI89p;*HQ|Tr}$NyRR1eVLwMW4H->@&@ntyonMlUTf2bs3_cbQz4LH*{k8kFulZ>lX zBT6s5f4F!(8MrE0!fsW7w+lB}9fS6C zvg`(#RyM4U4eor!l;grd{Zkj1% zmEWgNVt>G(^sVA7lI&E3B=o41O4GGZpD1)W$Dq#tGfBo4??I0`lw-hBlVThT=mDzE zZ#0;yVTNWuyvBr`gq4dN2$E8>pBzJ|=nUhh{IV%EGhFFgujbpG$wgGwU_HDvtNdNx zx%ZcUbiIdlY_URQDnd?ShFbVP1RaBpN5J4{n^B*EV6|3K1d`J}G-iv#@LcJz`=8u) zHsmiXSlTa_H#0Lo4Q32^$@D(h8|6Rcnmo{dLzT5W2Z1__V0}I z57ViH2STysf>fb71`f@o@YysvI;1YnDXG4@yZ>40e3o&A1j8#7V~zpd{rN^858gx! zr2YlmSDXcp4LJdC#UC-vgN`4aTPmvN8(|Ru9_v1d{^tLH5%H9rR{5~8vna5x9H(j5 zV30XRI<%2MRwh|xLL2BzvtosiX>!GEigBmlT;CpT_MF`gbb9ahY32^ zB9A~!40j4gG6T!j=Ox1kjz5wn>p z;=o}pAp_kN?y<5Sq&NyxeegAQeK_H7+r-q7p$itXVi1XKT^?-H7ew)nj`XxulytN% z;B2_*($U`x*jL{Lk-*ayt)TP)wUQGMW55V4TU9yl7$A}P*eTcK z$rJP0hWf>=A?jX!%>V5A=dlX80T>NEUsOO@6kE&}n2M3IrvqxBO+cL)Y2f^lzu)*! zyLs8K7FJz`rk0Y2mRuPVo5Z-R+mkJxG-u!TWN3CQ{DJ2@lP&RTd6dq3NJ#0|4=@yS ziUMk@qgJDeK18Ff>i88$_b&OLKQWilO}EN5CevE-53M+c6$7#UlfGB$!LRA$DWgNT zq6pazEfvmDw^B!g)EE|G*;{H=4Ypg_M%apBTz2Sm!$up-KxWUy@j!ApRO|E_ zL}JgYl^c(xJ7ha-bIO$LMgEAr@zx8@!>+~j4L$Prnplzm89?U0tqc-g`9@iMwo~h~ z87RUk)codw&u}UV9XmK%?2&IY$E-+r^?Fn^N*ka%4;hJrj;jFT^(q`po+=n2z3+2A z%qyg@1l{2@c(TIG`z+$TK4PhjF@#2DfRPZ=-A_=oym@Oec;ST7Y~80c&ogC7-BOW1 zpb=i#Mtp<04!A|xamBC3RkFt9cUuqqRo%O(QKgo2E}|Ige$bD`Fgj@hCH8gJ2X%AM zSYzC>%%WqP(WAsFBP)VW?+nKexfASv)N=C^uR%4FA4-B zayn*mvxNx+)ZStMpp6q*nY(Vo*;HO(Kx=29&JETni_T4e%o90JI$B3Mp1;WItqi2k zMi)~p>}R_o8K~1amvJH7JG}7@KR)bFNd;M+=<#;xcQ6Vjy_qY?0Xt|>dwlJdueV(( zRN^+JN2>zIm^%m5pfb7mVx0*6;ilq#c(9RrwOLli9>|gV@lU3`!0W7(E zzj^XFhUK!ZEHln@y46meWz()r7#rzoXrvb3tNzT4#6$sSsU&Tg_pIiZ&YS5pbYn}` zOw{&)LE&~ilG1AR`l8GVgAr|oaL}EI4*PZP0qSaGXgP#TVu;70K?A17TRHE2Yz}WD zz?GBD@~xX3a-uVzBfNdQ36QJkqT2-=G?Chqsd?1EadqxntsdXmy)J zxGITb=HPs;iHnYMZNcOAX6A(K94|KX=ML$`2^z78dSCS$D+!?aOeRoPg4I^P#$7Z# zXrxydzz)PhA{H)~zLOZ4*bBStH7!#8ER+` zM@b~OWCQ=*FaryohY^8OC??KsxS07yF$DWv*6+ViYNKC7?Y6)6FBp3$QrO4TmSosa z$>xSgeT7t_t%sG_cI=jupeqkEI=RmD2n9A+iyJwSEnPU7~X@7U_cM1$&`L>p~2^LT|YB60(~YA)Do#h3_Jic6=gqPo(5tFRZM+# zzRMB6&N0@smi_;JI>Dk?$I~@Qd+%b1;L$YhOpUnGreV1h0FRD4;dKIs9~H?=?p4YNDVPvcG%M>cAhny8fqrrlDG^h zxckHna`c|K*-h+*$piTwW~{saPhVZbL?CjP@1&?%lp(LIZT(^@JmkLJx^lvTV&gA zA}=@(!Q`x)TTS){X0}ydaZP>H#E3|9q#Tgsw^Kmt)2uTLol?Qlq6sJlxR>du8hmRb z4d0@U_4bYdO61d-qe56`ilG42T}GEx#cq!o_SHnXgz>--HxiG`f#3RrWa5Fw1X6>oC5y9`|l_70jd}wPo@8twD@~{0&6ALlItqcmu`;k#G&p|^l7#1 z4`(*Fae&w?&LlIFhG#KRkLce^&DeEw0jyxhsm0YC5d4E-Q436#8n>gGFgu?6b~EdR zRlPC5mPp9jc_V6+UUu0>jbG5gUNZ`6sOR5+9&xoDK*nPvguG#iFzM?*T)gizzus$XmhFwLs5tqkp-$gN zqsbdg zQuMT^h1OP#=Q+drK;PslEFPO1bBcpLN=PKm=8bmTXIyIQ4j{K+h%{4D$c9yc1{2nG z=MIGMU)=L2++^r<0CS|*EQ?R$x9Ge^> zVsPSxvs@eppS<5j`L{z??=!oYp0sCqh4H$C!;_Xd6l6B=>AHnBWPf%*ex$t$Ew@

R*DSTb@8&Lq@PEkrMV_=)SDo8|3p%JxN39CRWgrw2zo(@j%vf7KS zK0mI^yg*5`u7_fa!6EKu)<)L85FqY$XB5i`t>Oj4SQ%J?oPJ!3VcV4scz_OOggtM# z-1};Mi1WQ)xoBxG9G_g!;d6OfDB+Yyn9*P|)1M%LQGuW$HbMS$c`HYjBjIQ27lqzII!{a zlhetg3;CkK+!ZGZFv`yCf;{Kid!o|5#7AmkDS1)NXt#nE*VxZJ9VO)m&;npk%p#A2 z$*QmHwuy__;dhM0u|ga$1Gn>&RFhik0@1GG=eJVrd&ZKc^DlAPIX|9XP#Fg z&kk(-rHcnIT0qluLbkx|-#VZ}TF?NS8RYT^6 zhB%n=8)Az0Jpmw?Q3cH34sr`sQTj9JTcO`P!DsRHHHbF?cFh6CKfTpDci9 zBJk^o$R|T=oxz9CY?ya%~E=-;YZIv4%_5;noVzaFEgV|?XVn8b-P`tF-QO2%+9IX^GN zj?61UH0x6o&H1WJQ{-Uwk^e>VVU>$SkCFoy*LOC)Gle_65)tvKx&SkXGu_od0JY z&?IktEbq9;+ly_&i4AGunwU0PS$)5YJW1B;%onLeIm{$5eXzJknzCwNJDuA|)A?mIyU$no6TGB)EC9!gI zT^tk7tXTVaJn%oU>mcOMr^-Tp+md+8KoUFfhoQXR`1v56Y#rzqiE<^QfzHcTyU#-78NEM@AUf56RB0sKb@Bc)J&4Ajz_b<-vf4V z3iMMl_>JwDZRy<4u^h~V`_=cMQ3PmX(jT0GeJ%g_8SEmZ5>e!yv;emwe{DNy;@0AU z*W-I4g+=#|VQT4RgBjO!fKEiRp-Ta5Vfa1vNn8Y|PsJ{Iaamd8^WXA1sY6n1Nzfr3 zvoj-k90y`?Gh-fz9k*qcuC0CPGT(SPMoerO^b&utVmO{p_~ zW3bCZdOAoh^=yQ8)3s4MDBxKV^hC+~JPg%ny0qfTRK# zN*zu#3JjDBlO7KUlRFK|%)h@6qXT_uw`e@7nhY8TdIL+*9ckD?qX1UYBaF8iP2J3} zZu?9Zb6=kvesCBku<^?Sfs8>rA?`qJv%oQECNqGGznjs#S@m#vC;n<;56b$34Do!W z3!>4$W0aAT_XLb(^72_tl~UlZvKCZ`Sgn|*>!3V2w!mc($jsJ(W~kJUkL*s?*#W+e zKNK;XM6ikS$~p!BUgGeKXG1@^Q~KCnOi~SfH~c*isSYr@X8ZcQ+b_55YvwJEMie>^ zv1`F3UJQqT+qkWC7!TnJiXd6{k$%Ed$Kq?RBtdjyyHVm^)l2Rs{$hZRUpl$ztJ5ly z&jz~KH&Q&;{$|T|OH}b0b4-Pc@VcBOn)i^|zNMmPmxah2f3~u;IKr$34`r7!JPvzY zK}F(q12gnM@Ng2`QmtUX<~uj-Wqh4IPi&TS-p?g*^UEkG9&wQu00~n11~816{QmD$ z&mYL%6OJM4N!A~`vG_eCq~1(VCP&6QP*-QtM9g9X`fVQQXpLzj3p`N6xBS9V=xqGg z-{$LL9sRfW8MQyc7V}$zM9drYZ@eu<0yT78(Qbg$+F7bQ>3ECLCeKx^xku4h#41KI zc!xe=$y--eKDG<3jPAP3vDv4OlC=>L{o6nQdYNI@kS$ur80$$O$G+lNTI{lFyNaF3 zSQ(*vz}=5rzSVI;uFfM}3tyPiY6Ryn_U^A%3URDA zBmKg9FkTi8k~vdDkMNY<^Mu*@@>>r>>$|W=dXM=1Jj5>=f?zi!kl$}C(^TNj#7Xv3 za#XW&x~k#UBE1m=1NknLEeXNO45@~3 z^w8Tx(bA_{Ki~dYUQHoqbSoA9>@c|Dh|Twkrzm>ynyYmvD&m^`UEaMjsu~fi;}>;w zG`3yi!0cxONfFK=KL{z>&Ye=HqsW!4*WTb=EjOW2=Ukn#FL*_O5n<4IjMZmHPayx& zhD&qpc{Da&MNb&D90A*W0pxsykP@fdJC7Uj=J#ucM{!EVFhrhrys2sYD@?=Xv20f9 zV)2*?7ESgM6Xe+2Qk2pq13P9j5)tPcXVWuyin8MyddBb|j}xnu<+~)*YKsyQr{~y` zbQMP>C*`x5fZE02i*9P!4x*qxRn}**{<@3#RG`o9`#Dp8+<#a-6at*8z`ysF_}=eH zuS(td?~VpI*W0%_tDST^Iljp`hTYqz87Qg;`IitAG5VnV0(S7>3Vu zA|s86D~A-JvlXd+AyY7aogY6t(Ji$knCtJuUIFoF?vKJrv4k*NDkm-)fkWM>f@177_aL&!IErYN0qt?gW#aT-1|!JdIPdV&a#4 zC#FKR93fT`Ro&rEqcci8EQ1_}@Yiw0Ah!6MQG+?#y#A$Eu}4BF1;KV|Et(0|-RqO> zbSI#Bv1ptNvjjf;Q%EBAhb3G=j!bd2W*I-N6EWQ@8Eo9zQI_Z~Wb4w!jyL1aSrL}g zJ$h-qL@$J9Az8jcD%T@EmB&d??>>RW{^i1xJ<8-q6q;0*MZkpd&n-?u)O8}4!6#UO zY*)c{aBsc$K%so)%>jibm`^OkKln&6iOs4%ZBJCoIZ5- z?_|?rYmgFoA_X3+lq0X?)%zM`zO>i7AKn2z6plG)p2Rwlh9LZsU^Sivsi^IbuwaxeAAV}A> zWU1`_@PA>n6F#PAo?l?89Nrai@iHMD%)j>t3T#>X5}gke7QIE{!)D4Ihb|p8G(JVVcM@rT4$kRqu?Y8RPaSP7>$uI@X-A-63pUXRWoS8rM$d^Uh`zQHa;XO3(t zUg-V`UpG;5Z?!HdK#tSe_X=T|dpx{-YoQ{Iw;0KfGuDwy#_ZEU*4Hu_#geEs&0v&^ z?wf`@jX2NS>Oigp0^OfU7#WnXb@^)5lh^hU#jnp}%eS+&Ha{L#M_0=Pu35MA6-dvyF7OC{I+w_)DzTmra^51y@h$y{eV@ty--T#AG*KCM>?)LNlQgckE|lO& z67zd(qNWfB?@YTtIuw!=p}m8nItJh*RGbFUyBM;S)1F1q{xEd3`U~Bu#BQ3MEXee~ z*e-wvEx)}0IuZAo34wVy_DA2<9(tt0nl##(LJ}57L$wO%3pFYAKl&Jo-gME-?rLsk z_}#pJu+YA@4|pIviY%pL`1}xLR1MKISlv2 zD_pJ`OEmT!=M=~mA!6^FT2Z`lOv9UX+LBt`CHZ(Lx~r=*(p@S?SER<$E7p5DJKP_WWd1U_HMPj}yoqb`rHJfeH8Sk~1$C<$ zTpCE)%`&D%e?`IOa(-~x>PIplm}5-O6{cBq7L3G@p4pR8wm!`c<@ZmivLOI2z|uW5fz*R?>LrxbV~7a=MQQ#q3pWXIFvFa1(hGr4!Ru8Sla`s>fq zvHjmT)2KXZrRKmSD&sd$br%7`mhSSs8r04=r;d$IL*b+wE7GnwS8*dI@s5LaUW?r90Fc9F=0 zvJgr!*eYt}4myC?&3(gLU#XtGyH~fS7x+->4#WBc5@7JZeYhIDHk`cUOQ?nzoz=t^=mYUKrzW&xAALJzt84l9<+}@#h zf4IS$M3)@T)Mi*c1`Ht`)MAk}Go=@o7TE`nOQyZO*wTdDKp)Bp zv~G>lmbDwtWTSo8q;tJ#elOt_a&y4;GwS|(iy{SbLEeIS#YtMKSyE;2 zKC#@3)E*%sD<)v8sL^Zu*QC_?qZD@VXfFIXs5P1?%~GW`4AM)z+OPe@81U{mE^wo; zcXajlW&mxeObdcnJJobx_c>_2$_OoP9=b!n_VgZQ8`J(>wt-ul4gOWF$3lFyHDCj< z$B>_R0eq(F>S1N|o=nLaR-ok?DXnd8v!k+acE$W!q?7|&-##T83SNi^-(>zPRS9PW zj+Z3?J08d~Vqj_*m8b#MO>VIbDoFYsElq-3rGSBQtz?zPioWNf%Rof-@3n8f9A-^2 zsk9%>LJF*g42#0!t9A6J=65YbOK}y<*sN%PV*zj|Al3FG|#HZ>VzHzxRW<&o-<7kI3$E^H%unTqG=Z zWYh~*@Z?97-e@N&j<(2Wa~q-l{Y7QQ%Y%hu{nZ*+vFK48h2-KLpNT#{y=xQ!LPutS z;tRUe!_{ohWQ3y6 z9)z^DmkDoU{^}Cs?+UWfhjP$oM3W65V}FKwv(ch})dl^45T|JB1ZvzMR_gsmHcpUf zTxJz(yyd8s^BnMU0mN(d$UaR~8rujz*RO-kf$V(ual~(}Bj}i%E71e?A|^z3cZ*t& zSX7+}Yekf_1w~7-J*I(=5h8-whYnU5pFy-*?GVESiXNXqYVb;%k{uj^ofXc*UwBFC zi`#6LmdnTiE9d4NK;vV!>^4GLQ{X-V8zjP~Uf)0iNEdcOpLKb=fPZ^~3yFI|w|Thv zqW}TMd=B3fLfI!teH4{dUeMfgtg>m$?~Fbq9UuF_a(?ir4{Ru!@S)vF1{rI>m>uQm zEaoz?h! zrB-n}Ggl1?gRQCfcw6N25#^$0`zWlxVuMSVv6J^7U*c9@C0_?tYaR`WJ>~i+0mKiN zER=r|u`F>=5q!FKdg{+dCS<%+MUv?ks~+q)@ime9I_ikEf!*UY?taOq&J4Z)eIJ;U zAn}IUec|Ut;BTK%)N9!!fMxksFq-iy(&u|2}SJe|ryG-7S6aU12GfRL}8 znmm^MGg%QNS<5NpZ)_WrAEzo74IxZKl~YBltZevpLDQ5B31%}dM0~5=H3ESroLr+Z z$xvttK7aYeY(7C(Bhk}wR42II(~-`2S4W>|(s~9=U*owSj2w2d>T`lZLaH%tLv8rR z?HBWM+3>jmi*Q1|*`^&n{xEhy*RI^XI8!Z|^_xgS6x9G+?QeBz;FmA8nbpzW=`?mC zlz}`|XsJ8U<|28P8`}9TX5;2{Q;ZG+r)oLA|zct=E5jT4AB$R&) z=%dX8?DRZ5^xb{bIq3GvmePYKP8gUc^jh+z77py(&&E&dP495aZy*xfg6Cus=oYJg zQ3vSV*>ZV7CAnO4%`N=c?ua0d=g)VJwO6i^HX%qJw%>%ubi?pmMu6~78-NHt{c%NF zu+@>zpm@ubvRVdyKc*EXA`JQf4rY$Qzn9Kfj4@;zjg1l*iHA#X7?cibeV|u%0*V;u z5hImPE$eX4v!Tu@)3CV(kTGk_G}g7{PloEg0ffV=vkfp{{FZsPZO3VJUU4zfZnC9e5>SeL?*UvS z$yxC!c6CLwBA%Tr+;GPgEE|R3*_8j-96~8yyKJ5^#;xY3G>xEQcew%&6vuDw39*Ck zR1cdyzUwFSKF(+#q}<7tsteF)mOJ;KO64YYuxI8FBcp+I8x&U%e_M_=q}nriVHsS`%8`YWgySPXCU&4-1s_Ds4k0-@i|HHfh%-!pwVSQsGJ)Da zNig_ru>k|AMzJBlhfE9$ZzOX%?}NQr7@F3%uE)%*EKb6n@-j5t5EyaEXp|1-kU+>8 zRR@?$SNV7%g1+s~7^M#SNd+Cnv_cT3%`u!$hVbQUHN)dX#ZF7_=M?NQ2K%n91u$Z3 z3MK-})=ch=>$nDaXWcW6Xs7!grJW5HR`v@J_#FJm;Y} z@@o|{&_ul;4f@P6ayF+kLu9!X{Wk<$PN=KxRikYCw52~Js3=Sp z>!wzhNlN|kR`xHA0i+%1giUbKhb!W)hs!tI_?T+=z0P>`g%cpHwf|;S_=m7f(jNa5 zT2|1254};=LNpx3S?D43dKZsxPumnAd>+YU^uG15!33aYddF_}#>>Bq=MV3nHK6XZDcC}u0gyc32(#MSo zgD~yOG*ArPmMEiSO-mf*vh7oSEIbJ!NTCPBbFBuxR4bbvkSdDcAQ^ zwVZD}>`3vE$DY3&ab4;g&?%2WlE*cEB=y{H|J5#QUv-TMU5=L$Lbq5BWbYpl_n9pEj*nK1@QMyngxDbL`pfTo6MX!D4W{rj23 zl*n7Y%WtGg^p~n}|7wi0&AW>snHFX&nE+E`TC=W)pKP8QrF1yXG-B?$p3fzKnAkdl z;|z{=Op^BdfOoPyr{Bt5#bzY&n9Zb37Z0zA- zTD2%$UySwN<<)xdB_X)djQI2amvA)TWYXF<>rnmYA$DO;#agor82o zJON^FZa3TD1THDtTW!jsh&PCC32@6;*AdXZf>AWy(Lit_##66vu_{)C3X3>AQxN&5 zL**g&Q2J0PHM-o;RDJ5Rn-E*SIq_l0y*!_=isB-3SO(gp&n!As06Je^Dm(cg1)5o< zirDJ{mxoQBXx9alde{{XBxeZJmt4tLVZ_S4ALeU`HWB78Eyi4dN)>4gCIEqw_J5A2 zIwN1_GV zUH3GLgcMmvw!fg{=gghn$Zx)`_H?LIg;ltc$R$yG~l}4tsDb#N6-LIK(N0+Givrwem_Z> zBp$B9ktbi?0Z0EH87Qx~s(;dpep>rg7#duf*vk@g!@?MMcaUgX-lqjAd+mtfKUj6@ zO(J}kyY_b^_84PBDGj@^(33F1?ycsg#49etAs7}8uQST)UME#y_c> zXuwh6TL`nSsxSqTP7bh#Dir!0jDMmNWvJkZ$u!VPg zjLikzK5_`S_dz2K_k!&y-4hXD!!e=hN9f-64=5RSan$DA&bp|s8N5YoJs6@==G_=5 z)%r14EGQp*&z$9)Qe=PsUz-AzD3WYJm4)M=nn|%N`0s<*1q4Q zb;;^dVPY=fK7HeB+sUcp*|F_`B;4KIL6|5X@`o)qAPQu~sk1zif2OoWGGdf3WtX@B z_mJZYS0htBs3#t}EMsyqwqU}8Izo52{{cHwiv6r+anDMFOEdnrb?AQ0@NsbjWH7VaF12>`H{D*nyu;qW4^ z0gNM(x-I*NG-;_r=gnp(5KVnDy|@Iv_Y_o>dN7%5u^TmkkQyHDu=arO)sj9(;}va& z2tekA>gY&b=u>T4_DCe z=9u8~=<{useKm=BYJF!jMb|eqte_DBEc6nB`0A{)+66}MB5aY_CRAuCMumZ9<6Ve^ zUbp%r8~W_JA`ey>i|(H4t9$Ji+#}XI034vapz0Eu^J$VhXSk>yFlKq1g(x5Ar6yLU z%^WWNZsPQ5Pf)um7WB<4xOjHy!vEqG3B?#@y4CLR8?tmIe>COJNs$MXhnFvf)O2s# zy=&c#f^{PS1j=K$5d*g{4hqWU`hfV_T0?47-i&#D@q3DZ!(OVU4^v`$VL*$U5{$k* z#_(qQs*YCVnO4J8qCi^d^os>&h&tx_M_IZz6bf~@h4)$1^N4WKR}C6$7b@$MavVt$ zd(s!S+&>-B4bjnrz|c6<7I02pxadW`pjiC)1o3-db=!{0=wJFVOYd6|RfPBi96Rp? z84gaO#ZFfnGHXq2 z7|guO;J$r6&UxiQ2`uWqK@IBnvd(zubvQj^*S4S2x;p7+J3%wbC6>T~JBmve=Gu`T zYSwRnQk&gp0VP(Kpg|@kO53e0v!eu$+=tGn$T9`G{s~o)xRBo7uzPVtDVUOXmB3Km z0A`*9l-pNISEfiCSrE|wGzE)xV6s*TSYl>1APo=sWT>=tny0pr;!DW)r6}miFEoIY z^b!Y!Wn+HbGD)CKh3W4*cSx6p4j~Yt4AI22kDwG^8!oO5;CU>*Ed^j?IAcA4v*Q#dM1$Eslabh35&RTur*@e|b%u{R9!OHfgNDsb zvj7VXht*w+=-9A!3ej3POfUvHqD-PoUTrt3{Hifx0AJK_-a1NPh*7^P#^Ff^BmOJH zh!d;2fyEC{0_Dv{Chckj$qt1%V%uvP)Q|-=Bwpt;rHRW&)^bgACrsg~44hBC~&T7lQfLRtev%~ZC9~&!1FWR&iOMy0J2FHt;v_w^Uw7DEm$axYkQ^I@VQ|Q=cd_ z@mi+|g9ZjOdgf8)z>blvL*pw$7RWp;LfT-X5V)&jTFVFgQUF}}y*4y#oxXGs4u^n` z_ZsrG0(3AP4yAQ2Ux$7HbhQ*E3d6zzhY0PLC}Y=i_LMf?7o2LB{NRhnF)hAAt=EA; zg2COOgg#X189&K;D+iG(9pLJ(>#OK0*+?34E^#4ntZy3ss3FmBQkG?E6074yz|x~kHo4!AjcH1|-=cM1UKcsuR4Ok+u?;{fA06tS)lQngCexnj z%-Vkw2wA!3BvEAAzEY>`p^Ivvv6ih&r>b3@V!WG*<%|i#k31o`_zCBryl!4rm2o8z z(>D3l9S(cczETJ|l>-azyMssX(8Djxu+a`)>vX#|E&}aA`_pG^i^0fk-Ik`v2p1iZ zWnkh8%Ks<&a`$<2R*a=D&&N3oeBMITP}EnNJ3zRQRaO<43^O%Zx7CvD>Z{d7z-BQG zi++S~@LP!B{8@!BZfjzIgJmQ?rcR!b>cUJz&i@VZ7qbHpnF!vs5zf}To)$=xJ(d1Xp4BWV!TV`A{7zGJcg*isv>Ut7%&uQeFG@kVh2`K4s4PSXiNhZZdbThx)k{H5H!(|*ziiEMVO}r;t@o}BKm&=gH@1p=J3IqoQ z@roy-nswfwCV%+G$=A5Lg=B?SOWM$;jIc)-vGg&l@j;y?dJ9aQYG;Fxl@|29cC+o) zrKxPc=R8+?v;=ZTb&$C*W*^=F**+rxo0^|~?bzMBV9eyPR*ZVmG3jC4|2twsMG|w5 zFwmxgp|;{z$1t{vRupg$GB?q3DJ0lz>suaf4P!5_gmgE1FtcLXhn*~wU9}fis{vVc z^L08FNcp1RS2-yP$gu`im+$P1M)K8b_8;cR=c?@0mLUz6UNJLoDt&AT0o?X{t%;8W z&$Rg}4rdh{gm`3qS!&w5tu@M1V&F$oWjq0kG~A~UjV?1lu-&{vFjoT|L@h!-^gQ4$>W2F{|bdaA2nuaxd0bZSxd7u zKk1Yg*ibjFtCXX+sjaHNb1y1TDA62%<_e-}+7Qp8-v7tN2(rl8G*mord0*JONl@@W zG#PrG?b-&=HC#IE&4oN^GI(peD^7pR18CMz!4HE(5XQye{($V+fZ|sJCHvl?99Kk% zaOgs8ZQAZ(ByU&uPSOu!$~pvErIE8}w3li8aDTD80A!Y!K<-59+ht1>41OJ(lNB${ z46`!S*MeauND`W0p@ii4(2ieHQr<3j%T>_;o}Lp1u@|l#LUl@cjK9%yl{R-l zTPg-hw{v$q!}xD}0V4F#TMtuHtd`C^J`bZE=`yg#hN*}$B3X~9xkp@srGQZ=@{&mB z#@*|y59DL^iu37MYkmgq@iO*Bw=yd(J2WM;Cu?YUBB}mIBbj&i< zVLLMMl-!`aMU`<41(9PLA+N(XkOL%7grWdLbB6vi*W zOZI}4U1>*`jHFS*#RnFT8S7+D(@3@pEaRgxKn@^K+$WR8NapV)UYZP++jbv$dONAe zKo{iwbuBd#aCC??7Gg-y2FMQ~bq7v>+2XZR)W%rt*^?|dA7M@`^R7zz&|o~qI-&rbvkU>j!H0>e5ZI_rsJOt~@&qwO8bU z^PQuB3Yy^}ZY9eNWN%kth}y)6K{#%;*qXJWMiUe+=6owkw>}0@aTuoo6ZR*%?_dy> z5I|`yxZuCK4mXajEhs2jJbh%uQCO^cEwlCGWNjL%z?XjQnO2el|NZI!T6 z6w91JwmY>3nEr)8;eG7=lzwI5m=^%+lw=QrerBmB@3)RbICF?JzD|e*M7j!h)+6Uc zPH{r#=<{&PYvOUFM0Sy)U#++m>C}o4dA&rlDMJi^m@wef$jEX0f2qz_z zZ@mW>CHTO!-d%f34I<7TRQ2Xx^Gr)A_HE!%Tfq^9)#NTy9yv1^PjO-af5jwB zd+{g&)v4B6Kml&z2v1sGjs)P3kW$SfO<2NtZ$s4;=vV#zx>IT;TJAf#6VDL;3%ul%fmfuAY*dyyGBN=k_}}eLTTbRraNB^_%aAkn!iK zPXzg4u%9z~UZwh7*uNDqK>Zs8dc60dGT2wW zrD^8Yo!am{Zj#JskSF@nQsZ4q!7x9EeIQ(Hi{EL>=uoXA4f*`f5~|s%eP=EvG;Un! z%@5USB4kPzC6|G6UpuRdNP;j7>%LFGt>vX3*sKIwH$tM$&G;R*m{6t?zV8VIu!soT zZ;Sp*P>clFpQ?=zyxR4cP{~81M2cVx0$hXq9By_7-|8c^-ad?1s&mP6)v5dQozqen z%8~xuTJV!8X7KgIOggosE83$|OE(m+shv?MoiisUF;~CAmaaHo=!TYyIKvHAdc-#` zGMTy>bPrCR2Th?Hl&D(q^zVL-LT+TTzj~6hN=2c1+UAXD*vDN(UL?Drc@dKp&GqG= zC-f02Pi6{JwKR|bAnR0dSNHvET1TvYA6cIg$h9nQ0>E3G_t7wG3V{dzE?04koihJpGQ9Q7Y_r>;MbA%!p#_=mge(O1z(u%#|4MRQfYz6Lx^MPto2+7KQ~qrs?Tx<)_1aV&lLQwJ{7`Js4B zFpu7vF_Vz(y9Zsbgbv-mR*=vyjq$tQ^fs*cNm-1gWR{2l6gm&=K9bPI*<1(v4?;GL z?qClnpf>cQu-__8ZrHb%>TwxrLU!85DoyzR)V-p=ZwHEyi06s5_pA^6nh87C7vVGA zHAqlZ97~3&4y^KN>g9`;1HlT0Vh=lKHN0&bQH(grBjs`UMC2rk9V)2e-t&=!p|`&l z*3@K(D=Ta?owF)# z@@{#fV4w_neVLUVnSYT5_U-IWu7FeV=LRSUJ*g&oQdvT5Rw^v;YeO!H#N1Ml6u<`q zyh1Ro5*5Dh}eMZKdA{oOF0ax47*r0e4X ztj0K+mRj<6$Kxm2w`duw|L~8MBEy5+q}2r1k7bp@D&DssE%pB=8uh<=j$yA>+&NJx zSxcsyU3daZARC9w-x@G;+QfhtLKuVfdrm`hnlZm>1J*q7yf`YL%hq$UbS=;!2U6}B zy&96!vo+B-gZm$PJh*d8L_wSgXJ-D0u2_sbWv%NXWoETIHKzI&g(i0JHP!nugCAJ5 zo@}l8{F}>d_sYhLX7~j|s8^}gfqf7cDo^Um|LMEGZr+J{gM*t14}rC=3Ek@~S(){r zNuBdynZ2Xu^e#tCN>uKCE6iGI6VVM$q%t2?8QC2=S7?H-xP21LzjO&PKH{@f3t;VBSTPB z1l`X|zNR|=7YFOm@V!eBbTZzCUI9mamT93FhNkLW)Fh{H`m~@YKcAezLvDbhP5xIG z<{RTM?SZ2{P?;o9OvB z{DOR8e=c1Fs}f6e?NWyo0Dgl%s;I-{W!;G(BGCWEFUrJr08fJEGS;tr3H~s%5@`d6 zCoW4GWV?stI+BeK8Aup_%I|>izmuR#i;1?XercZ2_N?6lR|q2)1MxBGUn^5DM6I70 zcU34iuR|?J096Ics;**}lw+sh;f@3NEN;yPlJQ?$NAOnBoH0f9tSALRbX_W0XJ5I5 zKFLBhcyDGqKE(6|B^-nIZP;3j@TL#cW4 z1kkx>gQ+>TUpjh58`;_utYbcum9c5Te>$&5$QBj2R~)5%F=#{JkRyuD2@QXL^r)8L znK7=ix5pML3^NGqN|;%)$Wx9smU&-2T5E}7%F!4ba!PS@jh^i*EI?b)JgSZ0F&`!W z--fhhk#mLk(+-O8fV*gv`6fP|3Qtv0u|oi0vYr6Lb0%LN@tSKA@_zT+58o)Zr*!Uc zScAZ{*%+|2wo7bG&uM}L%6!3TX7b(#TjnvWK=Bl#SRh=*q>E(QWdaI*uS;LBSzs$* zS<5f#FFQV$Q|r%tZv5Gbfpy5zHj{f4?-F3yNxoMZoA#deXf6&5x5&E<6=PZ%<(_C4 zP$ffG0S*xmjn&0b;b}c8!N3(>eKMBA8Y8J}eqc|uZfQkJG!`@{zgPBM6Wzt}C6PJR z+R~zZuWd#G_fEs@P&rll8zH!+ut5MNK-$0jG=c7Ma7%;B>zGyxE8Z(92W1GT(F-|3 zVj9pTi!&*%)&N486uNxR_sZR{9u(zepwo4g42+~BJMOAhBG`|IwolmyQ?7I5Fo@38 z(@U)kLws*E^)JKrHr9W~*Yo=0bEd(h=Tz;!BdGee(r!@r8or8}kJ2ax86$_;182?n%)Y{1F zSv7pRM8B`wMOhz0I*R0)ACA}uQVQzm`WSkqQ8i`eh2PBkF<^gBxySvY!-hYc^@1bu zYJXBD=^l8-^^u|TycheTb4?kxsM++7TubcyPJ_cE$l#tJr~F@oWFzIR<5Io^lInk0Qt8Ua{U z$E<4FUGj=g95EbV-?xKe>q=k$Ksr!fRpH#5?ZREdSiyoVEcal>13bzo4iBe4cQZWZ z*Ra*PLe^>+a+$6iD8eX=8h}lu?hQ2>Wk05d9qP3yJ={))>R)&fLfIaZ+G!rQu!#x> zev;s94?uOcME}0dUw6Y!W)FoP(W4~Sz1(g1UFSMe^^X))XI+?%Q`v_dcTgSOtd*Y$ ze~B3Ewbes&<8V04h|N=W4W!OrjQMwRU{z=L9|h~hyMl+(E9>wxk| zhJ4#RB)@N-p+IqfGjrqG)Ldr_nZWLz!2&0=P0OQ7cFPAAaCUTsiW<05*x#=Imj= zHOgF8u-?&Ke6k&XU)WPIayfyDtyxf^tk zr;W+dy$ub$xEuAc&?bYrDY-^1Rvto%w@ug~An(jxD&i&V>!=9y^)cot3)W||xn|VF zy;*aGqnXgg*cp87dmV%^Mv3K~oK|HCj;<#9K^P@?vpesU3Qy90%t?Rd*Py~uLCV0o zegmAtws{_tFqBN;;!M9z`xcw942vhFv>Rg-!?U@|18S5(_EjneD&8NO0mYs@WG z(+ZRM$ri%mDvnv&7m_Iy|_bCmwa7uxYnw*-z)Q2 z-U5B9rGaXzJt2f2tNmdN->&?2wSI~c*7C$ZWX7Ooa+pDXyF4v1)b66;c;4a%x`w$c z5|?*N&>6=XOf^?!X>y7i&~i*Sl7YPCQ1{vcV%U0PGwq5{&|XJQ%=e&cmgQbzeCQU3 z{ZB{q#H_++Q^3}|+rY3?wKo7(ig6WhX=DSTfGkm}9++II48{WS!kCFU8d%}k!};~@ z0x01DczJN<;rYDz?oRt9!aKd~T01?QkEYB46EIckL(p4TWLfq-nOeRlpruoK}Hz5_`)XY1a{g32gqN$58ZVq8g z!A}tc`lDsAYVY%mT1Yhv(G&kzon(`}ZVg}a zZf}r!1=;fGy5#WD$#NRK7^`$TafCnOH2{rbNGB#DVz%K@#V?2lLPlEr3GhxwcMsuL zO8RR!cD-M8f{9C|gop??%EpP4$UTNXIYQG1&vLD_6%m42-4W&Qla*rY*7pNmgbTPO3w$GI_@cy+RNtunLG`jI2e;jr6m zI>1_-j5GY7q1Byc2d}m$`_z+>l!ReuacQk{RX8Pj%B1L)o62k?Yh=wP;vP1ct31h+U!2BW??NSyY7Flfb1v>{e7M2 zx@&dR43$A7uRW9tqp=3$p;X^iSMK65v>Kl1q+V%32i&Mrpe4q5r5L$IDl3~0Ke1wX zDWDJXaq_tV4;su`KwO9P6WLNo{z-WZ-x@URq5 z5;aEZY$es-?`!ZGk?I|})4n$#dc)@3ka@Fi-E1Po>;n6-=B-lfHTMcD|6egnDm?F` zDB2kXKcuMAN#&}8&#%1D0{fvOZ6m?7;D2(Z7tKz^Eq-lFJx7{;e%p(c!#UF#Fei_~ zomFU-bxdM&%3V`w46wH>j@xE&Pdt(SN7As_TswG8q7XeSWF+;(F ztDIzSmqTBg*>lfVp5-d|J)B~-tG_?Soa<%&^>f_74?rcQlm(c~y&&8C9GKFYu$^@zVW6%-Lr5P1%6@7UMQ4QZe~dWLU@`yfk5R(<5L);VM~7V)^~0Rj#9vai!8Uly(_JJ z4C)vFy&w|U&@S7EP{dai8s>)1p!u^f^Y!M2inW*1MOL5H4!LqD=~jrAAy_F!J>sTi zsZkgI1Ok_lPTez$EBpMEcTfmobPNZiC?+&1Hm`w*7EQm{pRrF_#oZi%?4O(J=H-LH z@Ki{Q260bzpC`9RAvS^Hg`Pz5L0jaT$-B8McIykmR$j6K6+vwvw8wiksDf5=Vk~91 zugKhM<|hDd3faXVCM0IvCj*1Oh*0Gq;^QFlH>(1Aj8k{(#gmPr3>ps4em+)7=`n)U zdpM)B4S*PSZNLMu3{TY9)aYk+>FLg@Eq$9(WWa53OXqY}fQqdu=v^Hcmb!L-O7eq- zbmZI)P+6^}5SRoRq-zPcoHzDC`UHGso+D}FaF8}*YDo5=t zyzplW)n-2&YhR+iXq(STI|y(^IP$sC&tH&%li13j~^sF-R zxvXBf?hSU)72BqH|Eygn@|##~4Afua$o05Y^ zx(U!m@6m%uD>jUXeWlhH$?AV}_QZe?NXYMvivyg=)a7wokOV1BuohXZO4jAb1vh4Y zGB^>$5d!c21fd*%i0E%oe|t+0D+9ULk`6(=I#<@de8Ypi!oI5z4{9?)AEo2sbD68c zJ>u~QxeNs1QWi8}lX0Y~G`miB<2xyf;w$lP1!&#bvU?HZaRi95%uagkc7Z+(S0?$T zZgE%lK#(oH+62uRzAqw0Ashc%1*T~{2T@ST%D#E`W!H5$%Y_tuiVi7&dT+x>a4wDk zWPDS`uwZI|`PEdPmd<`k1VPls=?3{}v1Nl|OaRNNfilC-X3pL{aZ!!!>|=fp%UwQ# zCG5+jlVo{PQ3N->m#^OSeFnTX1<+4m63{U>C|%P+sLFwav8NjP{C0U|2f0z%27Vs}jdhn#=`E@<;i#c;0@ zs<5m(@Nx!RVFN%a)ZW8Y@{_EC5srEwX~1N=eNilTzXu;;VbAPxHwkeAQpSu}y`}K4 zn>ZtQw+*VC1(?cRz{`{xEN7(xsOJDT&yieNh{EJ&sY~8KjJkAx{N_y%*OR;iGWoau zj)hk_zRxtZnohzF*47w&{zrTnYHBaJCHxM{V(}q#g;=J|^&nXoe^G7X zQ0`*c&jqKMJdJ@dUZ!5etu#%`cTrrmdAcMw-)^c(zYlpE(DKMo(t;7@QX|MyCx~{p zb>;SmlgB^+(qXUn!bL%TP^@tmZHMHt)B&9WB`)amB_ea3Y40?&!&7Ds+^4nV=d>Wp z;9Hfvd{84^;^Pq!-c?zVUCrg9CWhSCBD?R$-}0EEP0Y@qQyj^drZdKii?-GIozq3m zTAsozPAT2rVwg!K9ey!&F6WI;)Qj%tBzZSTxCe<^Du65Z17W@?nZq8u6j)F}FzuIj z`3;|U#O0Hwrg8lwHP_LI1xJUlL23}hw-dd6p5tq*l*CU*JyT}67x|SnXQ|>Nl3vmc zvhOe2C3&LN2ouw;X^wEc$Y`HB&>Ty>)IbGYnRuSXyg1fN?k7JDWil~SDQbuqh%fEF z**0ClgKdd8hAO*PD;LG(kuCA4ZMcHjDky6fNg@J|Z%}_;nXE`Z$3`+i94B-Xg*oE@ zCEYs98fZ=pe7xcRI>Ox!^4St@2Ha}*1I^LuAHc6QzKC6$0+wZI?~o}^@MT_icBS)0 z2~q$Za3~w2gJ!4KXS!2g#_Qmh0uPBWh@{qDtkkuu7mkg?00(1))b6!$%kKamtNl!y zE%5SpO>#NOK*GV4k}@0L^c@=-3$dTj{-rO@^Q1a}x|5Ny7*w~^xcAp*AsFI6A*b7H z3heMixgkYxe?zP{gnqf_rwNlsKyRtt^gPgh1c&q!BV|&3eaC>Jop2!A1>$HtT+LAk;_#-o#Dv z6|mD?_L~iGZ0*&~Kzb3`tG}7fLIDKYrJ9C~{9G82G(wJz1`XeMDNkET7VOW!lB8rj zE&7KnLePB#FPxrgvuVD4j)w9s6o*s^;wON{VZ5VYAkOL~utRr{+d6nu6^=N2DXEwL zsNw=qI6P$Xqxz9J`xlz3p5oUF+B^IkIl8e(wE}cOgW*>R%+R~UFF6znDXo3>pGK6} zAY@pvOp}fHF%u(ChqnnQy1o3w*a|J+Rys*)EsZI$hdt3WbIjBTbOqEzjAk+ztG5m} zu*5>8gsu-Tb2s*K8X_6aY-e~bz`#;#i_caAh$^?|xR$IT`CPo&hYS-`H_*3VgQk(7a zH8O{hveMlquZL)W#gPf9k}66c3_vvJvx3Qo#6NbyPpj%sJ`o#n{aEF%AwlUP;xkkv zt~e3~;Dy_ASkwi3pC%xr!hej*iZ48Ac*iZ7L4IWCU73n0C^S8mx*V(uv@a?~$6e&< z%{x-ed1Qp10mA-T#8959r>ee@vZ7u*c>!xi_=$EkgQyb?!vCl>so)DP=P!6gPtM9gn&VkG zmGWS?F_z11h!q>NQBI!WU4wE-C`1$^`%(t>+NTQR-?;B$1#ZvE?*WSxv0AQ5IPQL*tt z$#)sxBAs|^+_UEBZhxubH#U6~>97<=5RU=A#m+}r^KZz?Z1MV|h;)TuxmH{hwuA19 zS!5AqlZt~>*7E_D9+UgqWZ@Br@4y|1aHw_el%?4GKxj`BO&TUzak^F~Q@p-&9aHzi zQhWOI5cr%$&zRZsZJMKzfDT7XjmfClB{8RaARn14$Ep$WZZ(;^$d?^$nxy2W*WJDneN2OOBimG1 zk`S?)1uSg;X?+?!8C?(-WSww4jxTRu1PHSNm!<#rU3B+HK#)z(=VM~X@aYF=mI}}E zJI3K%O>NNqA-eV&oKHSW(A!yq5*APpOl${FAMeMgxQ6HAngKuv2EkBj(~Z_*EoU^6SCnx=@5C0b0L>u|#`XUf1-Bfx}RFx20{&?9on{6<a!Z za6J2>PGDc0AF{5vjy`0%P3{hX6v;31RP*VujT))S*Mw=OJ)rO3inq9yHs zH6|hn;|i{N>E%v1X~+f~#5F7o!#4N`sj59ub#^7Vi(FkDl1d^(DLt>Vxo=rhb}oCx z7N9ti@iU4Ao22E(BR^l-g`M4epveyQVvyi{?ibefi-7>1RgMLxbKZZ23pJl<4T($Q zfxVbqGX%gvS5cD~#XvV*Lxk`FmPWeNz}wj_U=B%wU5alj;=DWX`|K}?idancEI1aU zr^<@Ri6CFD<;azO8OaOc42OIw6?67S%b;HTJeL5!Q0bH^;`WNZw;o8_MXuS-9*xdC zhHnAEFmd=}cUe&Ej_?jwMT=X3gVoWbFAMF2^e~x1ea?3pO}$sQJLh}y;;CiiR#OK| z2@wWdaaNvxIKe|Hv+RS<^ddZ+SVkiHoPSNmiGU5aY&VJo(sxsW*BhYH=UVFIA?6>a z334XCml@&U+5G)IAal7(g~wZgT)bws)M01+#o0NDcrarh~{+jV9x#3qLZ6|c!t=RCoQDiv+F6T>sU`cC&Hm?E}jgmFJb2T0xyl) z=g=``7{84%TkXY`#0c2wL$ju6*uG(%;MYK3H}l0Ya!-4pYJRh6hsPU+RB7^yw`lJc zfnly*n+OWcXI@c)xwPxgpcfzc641^Njmf&w8EAPbCwE7bQQMpt zwVWJw@s_JEI2X8rl0_eQ{p9a#giIO6qKNNB^0g2K6L1WDs!{x2a>kBd7E(TBZiS~1 zLKsQsyx2=3`Uwn6N_6Qv0p2uLI{SF}qG-5t8DLCSY)TP0{Idm(2Q%$bjc>}_AM$!e zbzh6ESOCU;Fqtcp;wnb$W$E-aPuO{Bg3c>noexIBby#PJ(A!IILasx9_*t+cne_he z3Li#g;kX6WNFUUB(s&?wKv5#XrX>Y{f3-L!H@g~ThF$jqD=&GPgoo(W4VC6r5qV~P zcN_j{Sy_1t2qro{A75S1`eDjH7po&R^G{U!A`AS+N7G3MK8k`X8bcZ7!*6lz19yq$ zU?p+8-|q@4@(XsH`Ub_tEQAlTu!k~N?77u!pptu)j9{NrT3XjTuh)lkSVM4LdT$?j zl%w06(?`G6@I58ouv2>nKu}PNrE>XvIaT{+<0nxcJBotlYcju59+3T);kGAVekY@x zZ}+zUx^W*uw>hIWxvb%-7y?BUaJ{qIRQvtEfX?R#qn>P zu?0`zsJ$;lV&yIPaCuQNMP6jLw!4=$bah0*23SQrTVerKG1d4k5I)i#5Y{#(i|W!9 z6jr~={McZ@!0{S?#m+(GHcWz?{|vTkb+p~1l9(~enkw~MnyT>Qs7$FlcS*|tB7X$0 zB(9Q}zd}!jugOQaE(upcHEPL@m{M;lAzR{`?rv^WNR6J(3uEOD5w#Yyi93Sm-$A*T2TW)F}%Zd{gUrEFwe z#eNVolbMxKFr2@&Ki7cPApBr@>#nYCk=5VwzQ%ZsO)dw6lXS}$AXy#Yii!`noZh|6SNjAkc-C8RBHGGTD|5l zxFr#q#cX20^@Z=}o4ufS%fu{g3tB zZV?JbNnNR(Mm9^09eo73ou+fS(Tp5P3hkEqMa@;?pRdW65mebW=Zb@k8_!*OWhw31 z`81R;d5{-`|D6RO1;2Z%q)VAw1uw7qDd=#iDS4ZuwmKr{)%f1vFsdc`BT;!m0H__6 zl;(bNt&C4LMrW$5PcvL;zY7XAXWvH8=z%$x$asW{D(`ObO&x4L$wROo3vcY_oJwKA zpEb&=h*9=80pK+c?Q0aI{4l|n2xM|W5t4X2%DiqTZccb1!8KLOB zkMKxpa$&2J-7`-oWTSkO)F-7VA0wF&b|d-+W*>DMNtHsH=@ld4d)@>yJC`gKG&gY6 zsn6wXsaKTdI;-3*F!BLu9tlIHi4g%8N=11WcIAMiFXDDnEi(}}EJ4(E zpd9!|DnEWhe42ZIiHKmv$iWCxV9fh0ioo?rLGF3~LZEv-dGMS4&Nb$|B?e2Lgs2!@ zNz3Wdd)t5FriRc=AmWsvH(GXaX4pf|R9csM6*}OENoywHZ+{O3kG#yF{dju>rV3jW`K5MW|NNbfS92EM0p0>l8sgxQUb-_W=l7zP5X}J z$NDO*pwT#eyVB72aB<~T0sA~4Zt)O;>BUB zRA`#{Uh2L*aY*Q0hx*7#TUV^*ntHm%k6#O zYzb%LEIo$r1CF~ys<%XS7A>;unn4(f-q-ZV`#1{h0Shs%RR$N1u$RHx+_#Iqfn2VC z%vi)e6L8m>3yKwn@IFGTd#9s;WP(I2g+|nu3!3oGkAfxc+a$S2-Ty#9IKNFD%} zV^n^hpAub}?gh1K+LF{|;Ag6Pd$rxGcmp ztRoHoS>?P&76;X{G-U@sZPK4`jTm`JE>;(%)-U;Sg}>L1lFx ziNvjIZ=&0CP`w@YGywf`ZNHoF2z8R`RPs@u=)u*=oiZK^Bj6lm3gmbjISchKYo*GlvW^Ju*s7aoEEhh+i%Z#3w+UOsYgQrRb7T^8{HiCHj5=g5?t^x3}UuVaf)7O*0 zGV}4ER`o!FJnHo7TU2<5ZgmB4U zGay?aKv_>D-0@jCUP4QLEm&|V&gVCFzNBP;?<5+*Gf1kGMtG@@Sw2gSNAa;j{QDmQ z&AjOvNo~h39X#U3em?KG|N2op83HjdN*dZTJp-4ER@97*va%n2$)B2+W>X5DikMeuvwFxAep2M3YZgfd8YKgdWJJF0JQ~w`;-WJlSjYKvtncrKaarQ+6gbr zH3PxnKGac{d#H>%bjxtGG2<%g;~Cx==(9)4P+toiPKC}x5KY!ya7bz<_={5tC3C+B zf6Oqz49Dn`X+pbNI9+u_hA_s@6!gQ))6quk{@$u$${st(jb3)IBG+S^_9WnX+BO`= zvoolZtAVfhQ*2aaOlT_&^UwLuv@~lkyg~nWgHOlN1%rEy34X19WELrlIYu~VcOvNL zL0&kon5&hh^09$yYH6tY5*Y1=ltfGhX-P}}J3RE)p}ptUvBa0pFAmzpXaaFUlocZv zY3_#t`osZQ;|sn@%|7GsFB$5rX5eQ{cDSn5!{;DAHJxgN{#ob9h{7Y6^`s|f!a>?r9fOqO z^f)S^|LG;APpi&q;}`k}ZSQ1r;sWW8T7sf1HmkRFu>w)1XwoMsinlSP5O3-;G(*3J z8%e0evt_`o{Fxa;&=bJ~IM4$InX74+mB(snhI32y>pASk`^W}zWQg{&rE=ggG&}DU z;bc&nyP)p$hI6QuJ6vsIAab*z?43wpg6l~ECh9pyO0lKd`)?9TlUIk)5HrSEeBw9g z%v2=QS+Uo?OY1*VISI)kN`ecddTsZ`#AkFwsxC}|##YaiE(G8|`lY2^*c!R@Rs#3L zpx+*dp&(yuu4XT=Ibe*|rD=0yhl#eQ-ZHy7j$Qg8yjU=bvclhfe~t-b6_T$THm5HT7b19 zSkXgCt6|O$UZ1CQc^MTf*q@u6TYJ$iIEHBv6m?sW)PooVfM(nUbKDUF8g=<@xs72t z^u*46tzwIJXE?fU2n+*A%_AQJh*|$*8|wBn_P0Kje_j5$(V6D2j&RDww>Sq814)0^ zTF}ghIFbg=?73B*&YNPrY%~Xua$cW&Y7ob&1WK7L8I-v9O6U7j^W>UltF}?<^#+Ro zYm|NY>d@F;v=qcu+2KV-L1>`}$0COo!Pw5=rMEB#w)9Jf6V!sTBYzm6%gGJjyT4^g z&DTdSh`*0`YB6<+xyepYQc=88uFFV-m}r#+!hwSW+I5hvApC=MLaJBJ$!7t%u2q+H z_!UDDv?*Idjd1n&fe7byHM1Wg>~rw|v(LE^1MRI6ZwM!_QL1i=MjKdTQ4$f;?d)wO;(M@I3B_X)gT{h1Azsq2dmq0%WsooJxIuyAg@y`oi^s5+!+pO zqtQC%^fiTxwPH>D6ftSOpMn&`ThUA-f_JWxu5v0#)JXh8MYA1wf3ugAueZS|AA%+%4ZW)&qqVLg%A6;N}Jub)+U8BA6{8XQ^0$CPXIK^lX=_4mVQ zBy-_?sA597VZ=hLh?M0OQGKRiBBJhiBks-(Ikn<$2kc4#@es)>J%p|9G8ju1!3Or?XqvL zBIbcj1kP=*k>NZy!_u|hYI_V~p26j*HXAGJkIXp5RzXJfB%`Dw*9 zexDS5JFDk&YGRi+^?^fDJ+f7Vs!Q7A4zjAsGe$xgjAZv1SdE!vvC3}>z~`q0oLy8;td>lUnrRdVx4Xv0 z$vnciJE!b2_X_c`h=U3OE$~k_o49Gdr=7-1Kjcm6x_5ZN$=^HDxGwIY9)JuNc6ABPj{ZCaGMR<_>Vv zSU6>SQciA3)*O%o(|e*&tqPMSQj6A|SaP+wA={Ja2aJZ~gR-z2z<0MA-mM~JGR+fk zs{xKcul^d=R;epz81$NXlROC{FvN#5vqW@En5?~YGgP39nVcd6lB2YEgII?_=R5Ax zru!4i-TU5cTTSd9>T$qoz?o()j&OAM2&Out%9}PlKuYx7V9pbmE%SD;;sqKW#3Irk zwPd?LM?@nueA3tg9b-Uo%UacbTqsW?nMdDAXH8$M?FZIP)tzv{ulgq!x;yyLGc2An z;v+4DLUnOH93U5Bo`GYQ)1Rjz&PB+?09eff;auK0EuC6iF*6uh@--^ZB$Qp)Te?Vt z%Uj@K%@yF*mazRTgT!P41Z$zpNzryeHO_7*<|C_QrrlzoIF3cE)-+N6iT6tQ70@m> z_}zn>7v(R7)NTt4A3O4iuyN51_zGIVBP0_oh*r}Uh8)jJ$)xeCQ=`&W?; zkW_gr)7<7=yt7HZOzKPgH`i9Gs73@Ns>#r)E{+fxfph!{$ls@9J6laJw$kO)hV^$} zkiu1~l+j}c0bCpADO^qD5U2SH>o`|TPrD=>K;*4CZXtY4O4@xuy3zjZOk~>In`$s z*`k?3iA>olA`7dASL4u;fnJ~;B$ik4!mXYmrv|d!3v*$mm%zhakE1oqQpruWUBye~ zY_kJN6i<3t96k+JcsbLx|12DOu~BFIm1<-YtOTqALWAsf{Sc(0QFN}&6hFOq?gYS6 zUgUx1mJ#0Gkf-uhunz}J%Wyn)5(7#CGH(aVv0 zlxHs66 zdw`^!kEn!O(UehAEDFGvlYIAi9cP3`2~N-@{f&WRoXdNTjW&d+jS)(-rj!9)mhN|Y z+lNOXlsrN(L|&QiQgDyvJU5F4hm_=@?h2gs(dBJlN?XnFO#x6QS^pfr66#CCU$;rl zIRnv;A&{Au$C|f=glibzE;j!RHV|jN!H+W6GSM3+7q6+kQP5dzIMG>whvlq4v4fK`>sO@gJRL?0`H-t!9R0!PWP}6L z^`sz|HBXa#yfJyvs^q|~eLv7XTOmlRZJ^{CbSLSGn)&Y-jaB|;E`U!-MlTCo<9>Tn%K&=U56`$!l>$)96Avi2Dy<&O09ZuA3MUOi7=o=WGAc&1j3pz`Wpi1NW0nC(wumi=$19uw zmQ0mhPMG!!FsKvB9SFcn+pF&C(QNMr{n>sC`qXz8G+vr5dz+1b%KAaA zsh|@N)n8_gkgDE%%wmb^IKhyC`>6|_v9h0y`!QxD$a#oKpp3RoxVPCa>G%U z6+v@}h}S8dLOsXzU8)@=!Pr$%OoB3`YgxvVEU_d%PYo@h++manQcLvH>F>`0HN*5qT?2T6)V#W{^Y5b~g(Pme z*=GojCTzc|To;=KAg@yy=GMS|jeOb6+TJn2A5$D>!}DL%-E%|vxQx}ETgr(Qt(*k5 zsSd7Jrins^i;mh@&Hx3Db-{Y0P9Se6jq3XY^S6qIt;R_r>&pLTtunEKFGgQBc<^OX z8ms>*Figv7=JzJ#a6@0%_7G`n`QNJUuSp+sm`NyvglwD+ghWfR2;)ywTQV3<RdrEYtDHGZpk5%hCfR5}eeYB-R-mUmRvcq6a{5Oh|fd*^6F%5t|# z_1G;*Jq2~^vZjFpH|75#HaD!c)5IM{N+Dx6YQ1i(2wYT%1VlzFhtE204VJ?Q>Cs}` z*Y!TONWL`Nyyz>CRpY(L7{Rg0v^+!PDoo*?p~0{L1X((ZOo7_icVA!ih-OTh#F1+L|pX9NTwEL~Fdcv_HTn?+kv8doTyN8`7gyZ$P29&J_=>Bg<_MqDBEXR&f+gJ);wh8mp>gDCp`9!T`Xba}P)xvexgzn~MZq`sCaC`g zxfd3lb)f?DN*Kac=-@wPX0U2$58eTzp}u$@3RT%<8i@(PBL~@hl{A@@BU+ye#mgYh zvaPjmX}nHyTdt#0+~4G+8|hUq+7_-`Jqv?UK83e1hNL>lWxvxTYYmF~Ay@Sh^EJ8q zs2va8*>XU){*){oJDd}Wv95J}mLiwKL z87d=Dd4hJfR5=*=DEAhK^{(HB4)!pCvH;)y*YG#2O)X#}_3hOci)CKm&bwv$t7B=A zDWfYs*SrV*!)Ec;M)19}p2qZ16LB5wxViZINXkTM*Q#^UtQA8V!qVy*^OcZ0s}(4&a1X)%(KI8kVwV315&_HU%6Cy(U5k{O}ag!S)T{M)7?oS0(I;TKVTWrQ4xIg18}T zm!$@w{suVbk-^T9{lQZbszMb?OioMLpyOio_687|KR+HiPvcd+WD%sp{$<8>7I1jRoL@RpD7Xuc)Q4OpVmKXWl(t{+@5Pr> zu34$7qhi7$q;<~=(PxcFmYTxW!R{}?aL0C<*Hp6kpo5VojT~CP#Uk3Pgdw}?GDKsK zS>Onx-MVUrK7&(1*y-e`x)(8*nk`jxAU1c~4m_@_Y)2?aro9xWTv!dFVvl0km`zF& zdw-dE!}YQUd$b~l=(g5v5xjGKH}Yoml-m%pO#BsZu3+RLv@n`f zln$;}p&qt7Tip?)C>!ZTai>SUk9%>qx7Q#=rY|+iq3MV=h{}yPy8%FcDuZ^5i2m1* z0b%1bGi}NajUsu=-7Nips853@JY`kYQl-%~NVg>PTAD)mq4CL@%Ns#o_#1dw_)^3E zDak`NkuCX~0=mm$wYIWmM@~VDEde{(w)fRrapW{+k|$ftt*~mXr4<6-SSXm5r3ODq ziRTXrr@l;QaX)$2%ipld|xtBt!U=W_t%tNcT_YPut6A|>GyDWm zY}hZLM`oQFQDTBFt%mZq9)lHGMObWjRxvn5LLeX@AZB4OFd!fxAT&2-FuJdf;b&0b z&6~19yn;k+NV==Qq*e*sF`DEL9YVy5r7IGeHllZ8hCU<-JFh6iiR_m7=c-SsFEj>!uXuyefsNHEpK zQ)N@gp_kYPdw0jcO^a%6@f-4|;n*$8dj5(nIayUB5PyzbFWzLaBPw9!!$*}-!_%bF zsS74Skb)dFEW)t(a-cd|K||zqjpl_;+v`$03?KzAWA&6edgyT9YSg)K{&k%MRpu}a zxYV~<=6&bMc0mY~3&>lD5?;yirgeAe_M6CcaCD9ujL-QT&SPP@>kN|(vYV1Ebq)=z z1>)Bd}+P0slQp_5~M7@#Nj=zv>9 z%O1d4RfwO?k1Om|WYpda=lJf&u@#~mGr3!yeM%5vZ}IN>zbV0e>?oJFB;FwvzRB#! zm5IP8Qby8lmUhli1rgnMB7x>AfgvU_-QTH4-U94s+FbF*jOnH_4zAZ*gZH!gds_N< z+_{Fmc4>`8+~cdm*ULMq(Wh1f2rk8e-^9oluX!OzHElTe<^gvUQwsnIWv>WHaMKu{}ymy=-huh8cJR39Jzg!4R& zCT&g0r?M>L=zUe#^TMt(>blN2yUF+!Z5rGNEaaofokb1^Lu92;S?-MFYV@mQH)3aY zcJ2htPl3lXE@gK-qNSp8EojSrdydBdBA&MNpoQhd)85OL% zY*0nM=VZHQm##q^9VN_tE`m!WiL-Z6=s21N^0hwxXYJG)MRV&)O#D}*G`xRT$aVWe z8i6;Lj9UG@ACqWJWs?i(WBbAO^IvvP`quw6TY_ijB?8{onQF`K2X`l{{;98(s84bY zPy1U%u_H|gcupv@COS3ldR5o*pX%&X=r( zr4yZYfCPW^NG+7nM{NkwT9~w{AY2a6o_V3G+@Cqi!u#9B-acflw02Rf3cBwIaIb)p zPU%bB;qu&+*%4zZY_(v|Y*lInquWf0vFs`}^qtyUzm0g-PH#ElcUcqEK=JM?5<8~f z3H1OW<#S>>z0vMT4cQRYnzJ;|#c(IqFVi)wC2j5#I=o!nGMB+9PPWq}FH8>N5`#vf zx@gSn(Bhib>hzJE@8U-7SxhYDq=`le@)#{53>es5OpQOf#AR*th{U&}fmoHBQbV>JIjCE5dFsk|if zt>(c}y%LZZ)ZLm08W-W$UE+iHy?brV$sCk6*&$h*W|zx(9!E-hLfiFEuNRsl<_Du4 ztATfM?|=u>YD}M{J3-HP>NdO;-GFoih@hiY*eSKD5Qbm(nbxoXMxV~GyVWG&fNmjw^=KrQEDj0%kNN6(>P4r0t zdZWDoez6ahu>q4drYWV6qf&v&5H@ch{1!^$pk%{s-c^5b`4UyLH< z77q~jv_Dhb!a|A7lD~qtIZJ+K+qSwb2;hEN zFK_~2S)9CKG7?i}L>U-$wsBmo4vzY{#cy#yEJ@J^K`$ivAq21bXrR0)%_O}2Sv}qQ z0%=H$@Fm-9u88M?2ipj2NKzEctn&$Y$q-NULfbp_2Ebu#Nxp14@j-uTXvojms><|A zd@bop2l?H}g53-(gJ6P8*^7M?F8*t`KRjE;#Fp1N?FM^1^jVE^+;ras#@MWnF+VVH z#lldhl%Tf@VU6l3WzlA_>&h2j@UeX8W7Um%m{dPR8?%oB3@n0@YQGQsk2Z7Khc(-( z3pP=*uM9P;DzI4WbMSHybJSX@&+SZlazFbf5|oDou%E z@DWiK|3DPP8jxYq>D9Zit1LacL|erT{hATEXzqj(M>%a~9M-98y1j00GekN2*(JX8 zhi5bYOCG_z!gh9=5j#wKPNEkjh3zjD%NW*FmxQ9l;}qKILGFG~XOdc%1-GSU7;DGI zh7KiUTU-|F6W$RR6(BrP($r6f_fxu+=Q35V7m}(4!(UAiu{XZWIml~Knt_xNc{=*8 zOSCrz-L4zFNf^6sX_N2_bu^c62m56S<_uQRn}*l4&?jm(0(e4X3(x`DEZi`K0K#m5T_-c$8#z&lBV)NC*@` z1^J!QZnfWlJqf&2U(exC&vVhRqJrH51@d6sYP;>}jDwE7lNf?@cJMwrSs~qQsUJ+Q z&JD(>ta=f=`+|*_t~y=wR8#GOt)52GLVpfKhd^qd4*LB{p0O>`W+>Ji`8)KbLpdOS z0>G{NAyncSD*a~8ES0cZ!g)|zC@N_RmvHki|yekD_qvSUv$U`qd7c??0OlB#Ar5y%^^r8JI- zhyvkP#LhuJ%I`OLe)K7a->rqMz8Sl6^-I4EkoY8i9lz<}FStEZ)jA(yR}YbSbf`ig zopwfa0p-RExhd+MJIcPMQ2N7u>v{#K%@(#A8S<0SyL|VQ?1SsPW4Wthxfo7-SRYsd zkpT4-fhL5_qCI12=9u6>V(7wBP~g;k@QkUyjT%0sPz09UQ*4M;e?a_Y%7zLQ0n1?U zV&A=CsAH@pIrtuY8tPdQiIZd{D`~PR6ozmQ^*kQo@u=i>ssyfV7rWDdpC1w>zk?`U zVRlx?jnID@=4&lu-F}2xkw!U07wB@SV>Eo(dB*dUNcEXKJ{#7G1dN2%9+`x+=G8xj zh7h?;S=f>{w$3J0KG81YmECZc`pPXM7~j`OJJkq`QoF(WJ!WAgT6gmfyx(`g{;BxE zedEBk9&?;>a-Ph6biQ=4KZ1ZKvRIZSMP9$3YuW~?-EnwaXNkq6pPkRTqg94r#>%SA zF)bv+-x))wYeqeUU?n%sg8qxh1OMcz=RgsOF^rUrS?-q~R?q!N6-f?MPqUfEQ@60IoChN_}Uu!2qsL)QdhRGiLKDklo z-MYTJLr-fC-cf52aNf*%XSWN6`Q(iP2I>y_7P|+=@>`m&SmXN(&`bJa9%Ey|mW#9C z*p2NoKNra$Fn-U;GrW@_tFI!>j;T!KPeY!akx( zYxTUsr3itye#sOr`Rec4TDrC^wUFq}ZouupbT3r&{w8)sZQ+xq51cA%g6*`uulg5- z5X)>Ev>#P~3wezTN5?NQN}>}T_KR}ZE2E(IvK15#!bMB0Sk!Zf1Kzz4G-3dyfWwUz4{+yMO;2q^dELb7ZQ7oK{e+s}5ZTkaTBI4oe_K_4SZ| z4W{W%;Wlp_OTYrqP=C!zT=On$@`jjH2QPuP_3p|jjO)|EkPVT+1b{b6^SWE+ww#Tu0j@eT%J%Mf(I$Jkc(-p zsE7Rs3a}Kn>*m0d$RSO$K**;360%2oio1RVI@}7Fz2{!Vxi-d)Kx{woTm|TN07WrQ z)_4eKr;VsGl!kc16!MATmaQesP@Jl`2rLjaAhbv}E^_T?G16dYo!RhPH*N-Bp%YkI z*21CHSj|#a+%X{*1w*Iq2y*=rq4h{*v%uMEin~6p<8!pW>*?V|^1QfWh^7yCzvGXe zFWh_w1bKLXg@l0 z=OFk*r^A5~Quh8RzKcF6I@?NW+)f6U)TN83A3E%EaRe!Q=q0GC#RpM}A#NPMcTd{V zQskyV?l4|O^4v-eRy{+tllh#D0PymY8TSb#B}+37KGN6=4w)&D@dSVP@Dj3mFg@Fi z%{q^KTE^jK-13N8DW)#{K^Wz&{Az=N=CD|+lBP9UqS7_PRP4!&W@pAhIn4!zK7 zaQAshFkkEvpdvEAM;3t!R}pjNDG)p%5Z98ucxPf(l6!kf+OR;}x~an*s%F~_Mg&(` zoTN6C84pQ2%EUPL-xo}Q1%2rJk!SB*-vj>wRHObMA8Bd&J*1HxR{N9XkJ zbxs+g?&hmivPTs{+x2oZ;dN`BqR49B2! zruYJB=(`i`d3y36dl@dgv*151vi-z^mVzdKit%+3VYcqi9JM_|@hX3$L&tVVY&CWv z6dLdvAt$C-s$)@>_1_Q2)s&%ud75xHY59X^!Mz9mQh<{{+5^qg#TE@oT?EmL(6F_(Q$6T6;6kg>aLH07)rmxs!PHVVFTo<~0MU_v92I9oF z(ps_FNtrvlEMLsa5IvC(sYnM9&q|bP)-t@c!F>sm4`2;S@~eXIUc->;PurHF1J7pT zZCWBchDf`7a5%G-S4gay)*pJ_j9Y!pz{}VcpLTt&a3UGwwXn-h@dp9pr6EWAArY z1)2Hl+sJ-6^D}xmyWy+sP%59Hwdjo!3L@K-?3i05*(}+PqC^Cwnsvp#TfHs~&4_WP zJ&S<&rwjTs~HdlIQps35lyc5nbf2kGi+>1qpo!Rhd~aNpx`u{E_hmyS<{ zZ=8%{Ksky!2Oqe*l5i~aOmR0FgvWw$aSsfU5kt5SLYc&0eYDZASK_|2NQnk;)6>@? z)p?B;f~gbpfWtT^qy(Yb9*mWt7J(-n(o45MUs)AtL_bm7 zH68J`(e&rAPcu(uBloUGRTBYw7WWhLiDtqKJjIHy%6*`%{R8~9WX}5Hu{bv=*WC3<`&r~)eKHOw3y!PEh2ey zix}L!P?=2jes^Q^k{t@F-5qo>XRL2*fzx9~h>ICFfHA!h1y%+vwPRz}1SWle{OS$k zcgC5*eC>V!{Sr9Z5zBu_sn#yFU;bO%y@58IlvU@Y9%Ae@G+)m$G(IE3hLyb#h;T|K z($7>JU8wpPAE%7m#IItFKuUMXTDV52zQPLKf0_xFf@lAoVnes`HSc`t>=EH# z90UmKxOz}}j6U*_I=Z&5!`wHz-YQOrqd4){3tB9b9(Q$-vbKLOc70=0&|42w`O>z|0BoYYB4$?hMrkyc-sI8fQ+o=?5usEZbk&9uwPvF4k<))WR14D&tsk-`T9956{SUOj+r`7b0?6uISCqNis2v?sUJk55(Y zRUZ;`r79}Or;I)YLd*rA#F?g*>diMsN886+(uc>imxQGAp`~DThA=TfKg}Cf z=C$>AdKB7Yj=T3tME04qBX$ZzS=As8TJdu>U=&J zhcSN5Bll%pV@>^}f1GgAilA5~*O7za@Y$In19eG1hDf~r{>KbZLZ{oe)?8mAk4+VE zW5@6skS<~O&MSe;-2VSAD~O!1{sLgRw)y7sz4C=7#f#uX@1U+mo%obSqnQgOezzLJ zpua?LmbBrt?OJ3fAETrYu3cZ-`+unmehCh5dTvffSl;JSsHcH6OeHR3xc^3?&0)-TKZQv%M5ajoq_rM8g&f2Zr`xltdfmo&6JnnB(BB#*uL8$#5CW-CmCDx z=ePAT(#VQibD|WQ-R$LBHKt_*EOZnSd5T@5U{{INlB@Pk-VB7`*Is2>G>3TYO0`b! z!I@CN;>Srm7r1Ja?*k^TN8RrvTLsZ(auwkEj>?42chRssrUF8qr(ipY<8-}-UL{nCv^T-}g zNF#ib?+J0fN4j#yPS)`54Gs}A+!;do&6dXPW8A)Qsjz?_yBQ0nSNzx+wI2`RaK`SQ zDeS4CKS;7*xy~hjcT%^s57M`rqoh3yvSUQ&$W7H4jx6X9+auQMDg-TGR{oH+F0%$V zSb5=YgKFW^h%Ld!r5iz5Qg0bp9NuU)e40-z4hraHFg7GFeP=O(hw;*GujCww_KZXY z%B`6m`Rkw{%7`;YV*jykUZbUigF$VE1atzouTxrleb-_vcf6znrP*DHcLgc)YSVr+ z;!ZV%rf27v6U+OSjc%Y-KIql)kl0NIYX7@MhULMEmuNHPMH|vb>$fEoBvs71@Amox z;pU9k{Nrj_XPGpk{v-w*sV-7C(-2}2FF_4sU#$;o3V`w5^1DVw6#={4orgS#K#gFj z&m1VKoauD5#T*`xnEtsE5x}{+%$L8$I9Y(pvqk92z$4H$X`?8uKtpaD=vsXu^Fbh9 z48P0YX=d!)3n6(G#L%t361iv4uovP4+bo`JnduLPAa0lLCnHM^*vLW|JSH>qRM5FW z`C>^G&!)bGV|sNyM&66)Uzq5e-X%SN{T@CvWYMFZ)J>l$z-rj+&j!(={rUQeXWAYE zu#WcBFcmXuB`^C2mP+bu8$Ydv@*RO!990{-#L;?zdx6AZSbH)vRp#pN@XwLK7gx+^ z^3Fn_G(ohLDcbd*eOkmgf?f%60lkJPyr_Hll8AY$R#RT7e`@`c3uI3_1&g~SDzvBI zNN5G%FPcxXu`99K7fHZ^R{!Ey8B1PxUGLIAX=E!9cwzvFx_@5Fa)7!^Sy8pok&u26 zUJ!Nu_P2y%z!NACFlj3v8|rij$Npz%!t9!Io`xu`v z(BjTQ%;FbJMx?N46aaBoC+_aah?O60V&wo@7Qn&5IJ)c$1>*q#>v7qcsA7<$WcMP{8b(&KtoUURwb$P(^}$ui}fH}8PEhO z{=5(9{kdcr$ykpq!LPy$vASJf!Vg;=NgT0~Dn6Tlb4t4Ux8?#OPp)R?ZrpIBRGQ!= zm^ihhG|B`v9>_~U04Y09LHKtNg>QMyy8-rooUcfV@W=YqS|pBb&Q?K}r8{pXaTtC3 zq8#2)EO;WrZ`oEUMh0cjQxygq&kp+%*G*@CFP&Z_>y`2)z&9jefosU( zO%3okC3r_mJ8CzTG|a7S>_6D5Yv5i%?hr2pL9*c!aLM{W^8(+G9!=b_$rw>UUK7!( zed?pmz|;26IqxsEjqAw`$iu{fi_e-dEGSj73<4&y;0Cm_7%sT51o>#bObl@HRvTnp zm94kQ;Dqw-!}D0=Hb7NL@jF5k63U*GSr625LJ#^CtpI5CHzh+@>(u?u_faB}cOR#Z zi}()1e?dYT`h3nB*(N@0i{p#eLAMvGAvCBXx<7)*=Hx@ zoauUpy*_wyoX2sX%03PXd|T5^)L{svOO3)OMHHLNSD-66G?twYxK2+5trZr0IjUpq zF=nMMKxBT`GQ;paYaL=`%Vjq97OyNCzc}DiIvgWI5_LpNeo~|Ww;c$`mSP!1++NTX zg(=S_5Hv?O8Jd$7=TIL1v(4=XS7&ftywJ9{YiT_2k$YxWZEt2O>uB=s0D4$2JQQf> zJJ)sC(N+H#;iHyI;(eA!AHwZ*dcXUPsso|ou$*)qObAIYcM9dGSNZCd0DIWNZq8my za2%BN`@|laC&XF@8|ELt)IB8B63R~9f_|G53P6V}*VEHkY7+d8+?2J*FDg`l0AN+S zK*^q1P86jZESPR~Ew=n#<9N2eZiAXmJz74REnBLSt&F2Mjn353UYi35%Ix3qLE=7| zA1p7kXGs2Izvu*q;nt+GOQm3AlnGt~FVpOX36Sv>!nu^I* z%j~bWR6quFuBG_p_CeP&w*IbsNSzNmS42@lu$mB+r_ zp^u5=hrCsY%DQ&v(pF5IcLmV!_aeC{a++Qt${9pO!CnzS<I^VNNixpmBe7 zJ3cMYX|z1!%r%-kUBrspm{0gt!wdxgRsBV(l=5)41D7I`%;*ltHnR>benDo>Qdf$8 z!bg7<*!Il6#IF=OA9|Sh)(^*!786Pia<)$lTz!|5xr-5!#spDiXFy4A8^6GX?uO6Vy~iel=RA55QX9g%~(WqVd|6{>|(CQne(Zq9oiK{<1zc}tYN!r zx-P-C3T5pzFoZOM!_#9yv^1|Ar;A)pDw-)*`zj#d|1JGBDAD!Xh@n+(zxn5a>KZRv zmFMkiY28P8hOMNVBtP`l76x-DsleIW77?(kd<9`s3)g4@u`lkS1y^Ur49}b!_hvs$ zcXqN2JK&Hf@GNH}Gs=qMHUSLYBZ~oROftolQf*yUqWAIuC9!k`py_t7{5d`irQRKu zVSpdAkR}j~T}`HEhPuq%LAB5frC3ZTGQf3+EOo^hJt^!qg2K$7qTbpcnuaHhJ9DqY z5~Ve1kkOPOfnc*E|G=TSCG#XaTxZq-mMDQ}o*PEJtp198Ej&ke3{dU=_uwNO1f4O< zy=D1p;2wt68SbyXz+ZFYF);qG&T--C%QcLkEG$@2QEImo(KEl7K}+_ zUL!va(M&D8Y;%dLLo#<9%~DbkqvdXO!wJ2Z?FKo3L`PmgdgS~6o(mCxSjJGMG{o&_ zPgxf~g8vF{@~@R@elCvXr20vXxaVe~2nn$q2eA}ok*=u@$ne|Vdd@oKtW??0ai5?p zx|G!TXhIRNpHM(;kja&rD>88P(qU|(!PKkzjG|^qEA&esruaWP;{02#FBg@EGUei{ z-4h-OH=vFnoifTIwRm-;GYHQZMFcRxT_7U_d;F@IY0Hj^ySVL7RJAymljHg8(`kLm z%~uk9cYvi{29;&DF56E)Uqb?w3TB##CUSIfjLjO42HpL&F8@}}4Pf67o)QFTXlUzH zXO@1*NxT$IuGX1KhmK9G}ccCW|^B93(vQigxxykKaoc3lLj`_4?sH1=K19j zSpKVR_OS6Z4(+?*ahO*O$N*(nGH6UmR@BM;Y?o)sr^k(`sF>gOGgdn>4`HE~N;pYS z@ksjiB6Zz-PJV_%Y!)YkKKf=_L(IUV+{(*fwz-L~)^Wg}q}%*}s59;^@ldbCTgc?b zCL1nFu{H7cl^KwMV{|j%Q^sfNxlnV1W!LIam~c=pTs@I)hM&o(%1l!-(81c)#k;W< zaL%3ap>C9#pZXU7{YxnGCbhA+Kr-wD9Yfn>I$`IvEBNs5`5&jTcIB$dBxbSI7`JPm}+& zvckhTymH36dIol!x_D8Yn-H@UKDEG@prbBFjo4mlTP4YMeNVT1$H^-n^{ItA>d7}V zuOXIkqK%;~;3K!Q%r7n_T`&eo_ZFRGRJoIrLi#}41q22xzKgD#c%Wcx)Pua<=PR9c zO}~U$T+r)eV}iS?Qf)i z&eZr@)UIFYIX8$1ZvnlW%ghC5A74^*jw zk?Zq~dOj|Sg{ee}S_}>t32`-|=^2WS3`FYyh zdb)|BX50-&C^zBAoeP|u0{k{7Ed0>kqncfP`^Op9@MxRXpqaa=wz7Sam!%oV3ZaYv znI@3DK@Bb{c`hnf@JC-~fn-}17kZ5Q{e@Oy&g?C1(@o{&8}W_q-o-LqKRaO+N=$Yv z&hul8ijk4&b{4#2aw|f|fW|0#NlpM7CxXh9p3XZshL+Q9h{a{XfiT!DQMCb8W80)b zHs5SwaYbKW$G5rfwXDQy{8V=Vu}Kxzv&pH~FA8=;ay=g3wRq(0X&p`>?vH`&z1=GI z8-m`$wP)p*tDc8siOw@+aq2;_0Fx8qfRijI(hTYS$#UalX zZL%CMou+{@MP_aej%C>=h7erl!24jtDsqZH2xFVRmXQHm2EeAPneIOK9fwxf3%Ww< zDHb$|C_g3S`;31!3dwO@=)sVbocA}4y4EU}+2Yak0pgn|YhJ$Rh78PLnv9#6yWHlO ztSv@nmv@AoK8wV-IcLa>;y{9MXfXJVtB#23CZx908xz&6-Qy#QfH46dnavNLZW7e1 z8&SBBT^uEm^l15F%Hs!60%pjTD(~_(he}y{t;j`-cZkXK%8cL7`ai+wn{Lmx1VWyN zFZZ3Zc%+sJ%YLtnZUYY+rxRVsJ_m>EkN{^9z4lq0JNvKDd6O&c%n-Sw!4u^Ftjh_; zDV_l8j!F+Hf&onfDEPA5hz}#ECzI+yNwYHPn24+g=VqbHxz!V{0%n4&j=|UWmCv@) zj3zVBrm>XG1W8CRI$ii(%yB_bSk|uk*FfT3^o*Z3^O9NJ({tT#kR`z*H@N#!qZ$!^ zbMi+8YSC&~wyVRvE~BM%tm2&kfy;T+F=O@pdmnE4SwzyG4xcc)W06Jus?a1sLbH{I(M0qI9r<8`9CcStlLpJkX zdMqC}`I}U-%<+&Jw+2zlwuq6ebU64ES&CAY&fB%85GL>?vB0LN_jIjS|6yP+!>h{B zeoa43%W+6mfepoz08K!$zr)h21&n*#f122{H85mHE{|Jx?(x0m)BU}r8@npH>zNG! zFA@TIKm;PG>riQT;>bh%velNlmX8>C84sO*?n=1`3&Bz5gK5Cy6yayQVXg+_h-8>U*gr5U==J7c<)C}a>}r-*v1sYf zVW8pNZ;qoB!s095&e7Xq(qzzs?I^<<$@0<`aRV^r?5ozOebzxuLv+rM7_bmXCf&l_ zL%q^umPb>!p2u;W0|I}v@&u_so3_J?rOl8!2xr;}aUiLUC+JNjyn!5G;ZGpf?zd-M zx_UkSI`(&E<04E{uJ%nL)^Kav>lRug5i#~_VE4V`eBO@;bNZ`h`3BB6o_a}kSesKQ z;Qjbb)*soh3Y;T#gpE({Cszkb^}HJE5!Wo?cI<7BFX^1-Q7t&nqP+k}LKBEBRa`Li z8h|JF9CC)XPTTyKT^b%gNvI_$3gMXQ#H*jbbm%aEl>bO-&$-5Y97KC9wy(M<0yc9p zQTWh}Qjot^pXNpP4yEpJSWiLSIK>Ao2Tzryp#_JQ9-tDx+#_$bp~u87t&wEetvLR7 zbJeN1*TabK$bi`(>2eu4oBSm~J&xyA1fXftQoUSaqwMu;PlG1no|ey#9=~WAHSmPbnp$?(J5dJJdC%GkgtO29k+=>HbGG=H z-LK)Y?9q35+J%tyG%HXdYh0?m2|S%(t?^YY*cR$TZ;>V<(Vq_?E^ZSgG)y4E>0LL9 z+r8`QvKxu52%cz)@)AldDR#3@1fu+@b20ylhw{2v2CS!r>{3CiNrsm6!-(g(3-~}^ z{nG8XivA%-=)aH=>ch#)lxV;83fCnD6{_NTHw*N3Z+pm}Tc+)V+N?bb2Y`XcwF1vl z)+p4K`x!QpaZq=6e3dr)b?q~Vuj~ed3?4nzUocNk(En(FX}4?f#{(+gt4f~g;!Yut zJL-SX#D8#W%Rjzqcz{5vTny#6EFbN>@Ce_Sn8^6Vr+c%62atF%rLj93sz2bO(J&1U z>97>S#>wL23*5E37q5*c>-jm%pWQzy4-n&%!~y!}6&LA{y(u;5>$YCmGF>UQY z=O!#o+t<@c7`J?}5ZbO$>hjPTDrpcT>o3PUki{C`R2)s(MGai&dFu{7y31vjGM~7s zx8BAwXEj|eZ+=eubh$@LCItx$9t$EU*^)WCCaQ;XzsN%DsFzKdfNk(YYVlp)KWr0M ziXqJOD)yeVzn!TTi4z}aje`Z?M&7ls>!p7wF;detUk_OcFC=)#Hy9`*x%L-LYX(NH zue)6cA3h=)1(@jI$&-Y|?QlN^cyyE@QSk&15ZUmNu_~|Kw9-O4V5KgWQO#kXW_gJd zzgk%CM0Q<*gUVNb4&?W;k~o_ z=DC%-@(qxLe|uBb8C-EBlgAoeWBIPiLL64UzTeC?fb!{35kK(#WfOaO#-=k{N^Ef|$^Hj8_<{!-T5 zWosHub->IvGU{ii?O_h}ib~6J@YufvLq0k2KAik}v>y`32D~?Va)|be2>Ys#`9mo% zGZ^5snpWF-Ppfi#C^E#>TSU174dUp1{||G;=#2~o1v zRghhB1vg0k_Fdl^g>j%GkI2$!uYNKI*qWT3Vkulw->gr&U%$cs!9Loy1witI)t`(C zWd9wMB2?$|zkKk~>kln}HsPQb3H>Uw^cX@)q5LfN@7r|^jmP3Z-f6X?0NqpN0neKA zR#|M^ZQ4+pwAfyeCbPK0wM*f;FQ9@;9hTdOD>hdl#}q704AFbOR%r0>#yzPM&ZXQe zOx?)p*Xf`fhtn|@IcFGKL??rg?{C)-Act`7E+5spVEEu-Vh*2DbY%Ce4L_p6*4^L z3$?bSZKNYSI@Gn-V3p+T@no%6qs&SglJi-%pT-)9nW(mjh=JGNie<3r0$94neQYf{ z(9fOcdXQ^x^PRp=rkq{2GZ`L=4D2w%S9ot!}Kz=D(fA9dZRD5Boy zRCa*onWlj;mk7i{LR#Ff9!S(WO(Bx3yy3Z;*Eovfj5f7$9|Grpt#Mfi@< z;MHEu#cc4=M6tPPN*(0=0(|cs=3sszmot$qjgL#^&1fA=!AT_N+MogC zN_LvAldpMj7ES8$5@w&1LD>XvbPx-u8tXz%%C^5%Md=dIE5i-i`TLx~()xg~*^?Zn zcrx7*{dVR$)Nd5OYM_g188Nl&u|QK5%WV zFiA&EF2&eseWbn_rGo&47SWg3{@lgyYJj(NB03R3Z%|~uLt{KF6NjFwC3rFPW4l12 zG83^^WALBHvANSku9c|@60)>b3Nm71FJ4HAmO=1k_NjTECTb39s(!bkyl0@`0-05Z zF^-i+9`?;jf7h`*S=)uO0ZM+Nxij8YW`X`#lC3y9MGi;27ix96=I}5TibD2`8--&J@o6Hd% z&tepf+}o=!E9H+_)6nkKjCxmPT|abDORF?;gCh*&$s*233bp~D^*LyBtd#JAnAg@$ z5QjWz_{F&o7ILnC9!5@Vza=)SyTXx~=r4(~8jFP+>q0Y#x zLiGY1YvwA8Dz_H2zcmyR0BuVKx^7=&)*x5=-VwUemEqNKxkGl-$)|Fd!W z>WQjv8<(agF5N#;2!NdCoDt=_pqg6#E}X}G3{4zv;jW@}7Em%SBgrF=1yZXg97psp z`zMxPz_e1yk&DwU`lFsI)rE>J%U?h2cJf&hjN|AdS`pyPS?e0{C9*YI4tqYHY*^mLk zZ`~C8K;j8Sabwc6C9z8?1vU=Uyk_&e*KeAttS; zk)Oq~SMYg3gMnALUUAscHjBYZy6Z4u6#+rnz!|4vEKC_Vw_$@ak;PlT%;miXX)y?v zumjEf&IP7c|IrHww_8&%-ngW(0sEXQu28Qc>f2yRP2RG-TK$DNg_)eMOX%)ySZC^} zw-cG;SZ;7~f)Uz8_zSF2Al_J_og;C*9>-!rf>dp9XZCvT=|z;X1ks+R zWm7~kR!iYpWh>OV2)*z80m)UUjBCXhWr)s2H8=SC!2~?t&gR|q%ZWrrL^hhJbe7c^ z&uc#JxK${1K!HcSwHN~b589`kaIg(==r!7Q>5lET*XAtB++PTj4DsR27x>@Gk22*R z`@J>uu0Ky7b%g4WU}=^MI+Km0>_~gd?1#I7c_S$9dXL0EgCLZFDx$jY}Kwmr^8AiR;sZF`5~h3Jvi_O!#H$i|=GF^8)8$z+L(`QXyzt zVUt( z(Ba>V1(TaRhNqV$myDi&(4GBhQu0CEG)sG^UFEFdUMRc0ME@@6CK`}M^MndS{NA?w z^ijA_pu9RjQre#Bj<6}6xG7p1RytR<8J#vnU4Oj_v+m8f#^n^a)rO~|qr#-Wx^?f& z{RwHc`O>Dds9=pw!}<0uQH_ES;kyk$hHbX~L4&+3)8W7iV?cib&FPMjUCX4r8Cs>Nu0@l4dLx#e3l>y7R1P2~LDa z81gnflWGWTy4l*2wJ_Yrs>*!uHf*7Ec*1x@x<$KFyD$b++5r?(Yt`PEA}6yN_VC|r zS@-Somi!u|vI$u#g0sgWWM>-2Yv;8l4slV;=TZPMfGo_A@?RTH?I>h@a#M4u>6^;; z&KCwFwaDdx;9!*{^oqL^RD=R&0hyJ92kNuMjwm~Dt!$9QNOPFNuB_$~dVla0l7X|< zI8`+vkuGCV&ZvBwtC)AIEHmO%VqNpsm*wbHiP(s8%{GsoKu{r8$XH$t$HlGy0)F2Y2CyMfWm!Zn%Ri3MaflLpn zLi%cxYV{aRMxgB`k@dV4PUd4=S`y=)TR(0Rt-yW-^zVbSNiybueYM?qaTXMfwUf9L zoZPK?ByGZ+O58(^bv5g99^|1*9FYWp4I(WyG!BvB&n{z4Ca|+1GD88Nn4rB;{%suYBjpE?SpbeMG;NZEbb~@m@o2y_lg5@z`b6xr?k~4$&3HuAfO=WM3X0 z1giIKJ9^@Ct@Yzc`~C==g07n&gQayDg-ML&bJeeU1%Ks#Y{3T(e1~Q>(m{5R0+rTe_Rbk=19AViV-ls?5aP*QCcOXg>I#vN zz0Vrw+RvkKxAoRUR2IEu{%IkHcelzs6nGp0edyu&y2x{ zzN@jKcYMyuwKw?_uDu{mYSvDoi|^3m(+$oA#f`HQ5v@C_9!>5VhziQ6Q|AG~sC5LP zj-@rJss{d_EW{I{hMBa4<5f$abCikze*!);&*@=GyM4Flpx-FvDU1UsY@^gelur#x z8xr#u0OS2G{HbaSAXjk;3#)CM2a@!gB2B2gNnaWe>yJPUnp{ z7qs9l6um@HPshZaj{M=zurMDNv=7G^x-Xyd;L@w9CXV3^JO~&rD5k%yqjT+uAdDW5 z{*Y2RTp(C6!w6nL?AtFgkmNBwGqvkEZ9Dr&%n=i;LZ5!3A-<^>}ATm#YT+==XVnV?&yy;+Ut4HPd+a6NwaSl zmPw4^`*})WowXbA-#6_ZlHY*p?UBW+epEz(HJgy)9t66_vO7Aizzyq}lI<(@Poq{x zKXnLR<##uv{kr)XMh}s9kbnz`k$cfj^=2VhR}L zx4l%*rp{yW#h)@i##N{AE;f5C`o8rp-k-PYl6Kx=yO%@1Bqt@hDSEjcrnWAG=xGE% zwjw226q>^!LSmEUv#-#q)gIc5;L9js`3Ub#X}PX8h7)#1?~a4NRAYKbX+N6$x2$2~ z-Yt;^*m+{YOA+$9WUDQ&bW10wb%s0QLFMJ%XBVvjjD4V>618_Jn&0BRkVFMh+pl1QAqlHO83=zCJ1!i4jUT_A>?7U1?Bcg(G0mABcJ>(oK@X zv04{_usc%>*K&D=1z~bw5j=6L%G^);g=Jux??t9bPg!U4vj3!U&J2p2ZYJ01vTF0wv5F zzOaGW-!FX6mP>tUC$kOrFZZrNZwI4W)t?D4#>1sZPxBz*bhmk81oCy#Og#s^oE*KV z7<9ep9zP+Q!Ndj)GgDx{kbmm3cd-bo6JPpK^1~+7KRHL&^_^^-Q(`Cx0>En9wr$(C zZQHi{YTLGL+qP|c_W*N>vWulbP*x&@s|35!P^l1!sbnA&!wNKtQ49F}UaobK+9 zIsLV$0EoP`$O4Ja)o%*id?zl3ig*t?GVcPMpdZtivjhrsoK#%88gfl1TXU9pNJoFS zr(j00YSDq>MDiDtiNaW0Kj=0HGTjHov7smlA!3^`38IYs4{`jYLyI%e zLn$uc?k<6wtluBHi9}qTzq>OEBH1aL6c)rPg|~jH!1=^6IdMspav#t1I6_zle~vX+ z0o_pXO-*#e4m@ns+^4d=T~zwnQ2yGN4%Y)vgQ-+UF_ma2@)m!TuR%fPDD)V|o_n88 zEsx;Sj-sDJ1LI0TC<$N{-RJ@dGffVM`wV`U(m-f|%`Vx!=ydYk;HC1^73E^yPS&}( zXb{TB6G2s!lmdT{#X80d9z}UzYVwHhb{dexvjek%z4zn7(hP$86$S|;x6!m;TZ2zo zcR`hR680z9nj178xf@s0OS>kKg&K@X(T9_MPmsCl-nXIkXg2$Ps4)Wf1cTSY4@Vxu z|3zGL-L!HAM2|;eBHRuVs$PucoLnWNi@ZCh$G!O%Z6TwF2U(e`MMIzUUmqZ5MneXr z6HTu&M$>#Ks%#*2s1iRkcgNG2%7F;2t7;-+aIZjzTD!IViYZqu`z2^*5!NRA2ZoQ3suWJrddXM|QhjNiXBZ99!Zh-l={jo3r~kRMm(mF3#z zBF_XGvWns}c8{3y)53vw*6K{{0j8H(caA5@8s0zQAci`y z;fVqFGGMo5Z4{8`-aRbsVyT)NA+7bPfN)PM+rjtxI(HOefF`{>*n2F?XpL7l({8|0 z`4`8~jZWEGgnp@kxA&Q!r*UNNT$01nk@f%bt9UlSx|` zu7076loIKIL=qW!cOVo8JY{<{j6+Tw-#nzVK1ofWCR`U{a(S`V)M?#APeG&PQbfw% zRME*Wf}ElVOvDwRGg+FTWC{%_e>nyFlP!hltw5a5YrIq3|BXtm+spi1(8~4Ts>v8J z_V_r`DqU~nKkZhrT77bRgKMjlc9sHRLnTb=MCh|Ca#-w*@uN<)UYTYjsMxzmApq<5 zgL=51PGZb6FAGjLY3aqWL2@ozL@^m!R6X2@*H-ch>E9egvbJeuj~gM!^bZG2In8u9 zI^pBzjAcK^H&Y~%kTI;4Szv#Y;z!$I>@-`G9uar^gLT`FOtq@2yZ_s5;` z$(*;T-OZVGwA1SW&0pr|rQ?3@3HdFr@}4D@P^M4?y>?bHe--yL#&j~m*JmMwR@WR& z6)&*9n0z~11RqD>I9+5YZFVlnkg5A$+bbrct4RE!A z)~HA6B-9LbPRqnn?Hg$IxWpDK!nq88{+a?@7*wVn)}||RBG)%af^WiV1;`;h&4~ke zs}W{f#_&bNoh6ry+|cFJy6p(r{suA3$HncG;H9DkLn{$IAUKQ=2QZg8V>l*$Vd4

5-$vYpN<&LBE~M!}vNUZeO~!^J}E{mjbMR^%9T#h86K`t04^1+NyvM_4rElR&Ui{ z@^Uiow#KaWUPD-lJ>_b(U5%ydJ$03PTf9dng?b_I7pX-&2MG(HHD<3jb2p~TS zd1M_E`gD>NF7I`XD{;_}EO=YS+%bAL3b%ysn@{9m(L`t)E@9pcrviTA+hmfdoM1z; z2>`gmzX%#42zdY8I7vnh9#pt+UdWV>A5%$b+T46?e~1Lcq6P`@hsY||U!Nm;dk?Hj z#Xr~Ok+eG~y7j}`s04dz8X4A}NFQ7HkKS|wG%2H*mc+sruYAF$o#{KC9fups3*!w1 zBZvh)k`K=+9iC{AV#2YDu+U=}3*$jY{Huqay>UoFz#86tQK#q@g7~JnIzBfoP1-p$ zE7ym_O&!vRr9bY4xJz6MLPMJ^bn_6Fhy*!yHM<8OXzXmjjovPa`b>3#u_63Th}? z)fHr$JnSFdwwDATX$wy>V6y)Vn-qi99V8M7sI5i-tj%V-Qdju~x=b)wh5dzUPP4sL ziF*1rszsy|v?0b+{L>VpBl7<(h}xb}J|v%oLE<R5CVoZ9^55;_n|*uk~7&xu9$1&$ax%+@3HdHx9SfVOH~4dhE(D# zOv}N2o~+7Dm%5FR=>QOj8KN28s*CLtNSgw~W!Jnsdtg(#0H$gp(UYD~wQ?KscRlMKJD-{+&f3JQ<^HjSYl8a^r zl{Z9akjnoB%>+W4#N?y4JB3!?S3>YIE4JJb>^o665dv(}9FjESPH~6)VWwd)H^6<3 zW|LC{X6%UqJ~J?<(bY>gwq5#^U6kK7SF>l8jYoeSfIb7*LbV&;7(=o2m2 z#VXTS;ZzX{hbH7+0)(;0Jp4-Awgw1Zofp82S!r~ir$%HBMj1YTD#R2^5K{vO4Ziv5 z*gN~3k)OSAy`ojOugJ!y+DuPrZnTVH?8>B+`o(&A$#p)x)UhZ0Juekzsi&lAW~rSt z#I2j5UBGg$qhvfch&9WbNnoly-czZwSEPkuZd&2tqIcYgI4kSo(~NSb?{zx#Tj3z{ z)^Q3DAuP0FNVX$K(oH6*6AaV*qqTYAcv?Zab)d(zdvtyAZXQ|_){5HSB&3AljrKsSkOZQY5YyO@c^l#|wZHzh1b4`y z%|b2I=zOGd^vzUt=%v9J9LS(W4+Y^PC!eymX~zO>&DjCPo~1UvpTByAZ>spiJ0$4+ zv@N1bsAjf@O{VmEjU> z=e;Pjmfa@1TrmXF@YJCw&dgJirc4H+#jSMAk89V%NwWbStzTZ6W`djklL&2b_IZH1 zkaqhc*9vWwDAx+sPA=)rj$$U?XnlBI4njx9S4iEvZn zvZ1vx=V~~$4AUJzh|-k_2c@hI;aGE9Zd5e!&T-KCWHS*O&WaC^x(Go3SnE6-KBi}h zZSYW4;*OwO?S%Q&fo!XkjbUA0`rw5fvs|m-HJZ2;d9nR`-op3t#0T0D5$)Z_MwWL( zSox1%)s&M&AaB}qTu<&2BcudM=m{5ya|_*Hx_&`A$Ieo5%Con)paqlceavAL?) z&law|v7nIUJfb2X3Iq#wRNNpCjltVgQ1cr;I~UTnurUA%Bb`29D7p#G}wR1l;k__3(2oXJ2sgjqz)EvK{Z zpzZEcz^Q5{5>Tl%5Fh`mC3e8m_)Ti){#||$6 zI{4eDQh+kUH~zn;9@YAw_NvF_}V;@td(__TVGyd68YK$&EqmiVK zUY9%Zd=X{E#P*+5Ar;neKSdxMS*N$4YKX2*r8U0w?**pJBoYI;9=9gbmHQ`tv?j_4 zkO6BA;B#!O?mOoC&}Yy1;mAD`9azrKQH9VB%We(g9j5ixPSOhfAazpH(AI!nv{)AJ& zh4+t%^tzqmQ1%XV$BpeHq1r7RW8Sm7XYcMssEZrH>14S$9VEUp+DbS+FL2qt}{&NpipGG#|g>t{hloI~9Rq=m;&0<-75uW*e4ACk#Fw{)|2=27E{PzHuHv z5yCwe14xV=T44UeJgg7-0izcp=zueKkD zf~?To!^K9rirWJdL_+gBUP|kXE!E*8_1SQe!-DSq6I$w9KclA~(nDr%yH@NJuqHkC z;UkA3#M4y~iHieHGK7FS&UMehYHjN;?(5_F{WI%RD;ctMLam^^uM9Sy+$$iM&81M+ zsU9@Q!on+wv~nvUrfn9S$}%eeX*lcO}24oMBHWiKSF=; zyLX5UT<$~tseH}E%sCkS^ZX(zTKR_(fB!#6eDU=-4LqX**04Di?{H(!x12?fBD%%w z!q%^tfwaP$Y0R??VGN3gw0PBNq7k_7b|FL~0x{k!E!1&}b4;Z$c4ELBLY~h}?NdG( z4>f_|zXtbnualKw>jIaapB`Euo?j{y`MP0e(U5A@D=ro@NiCNM;I+f&5(gvo=|ATI z*64^+sgwk@^%=3w-En|@T0XvTzB=6_dFySz{eN5K zis68?qCp~Ng(>$~u^hx__V9Y-hTDICRTm}QrUH^0$m6d+JZ~P+Elh4`7y_3g(b@bF z%=Rbgrq(F=clAWvT+Tol`{2Z2fhZ1t4FjZNFfa627K>Blg`kZMdXmVJygTS4DA0bk zO}3$el+U8F5X~<(LLHhbdQ^9NqM2ZWTlk)TTTIv!k@~2mdBoR+ImR3^S97#AaJG5X z-5@E|01441CNOO5RF9fm&f0j)!w?7B<#ik+*e-e`Y7p95b++)LT;7WJZcOy(?_kE- z_ton;{wIolROKE^t<)@b4VnL30|=fkqUk>o3`bWgHp3)aZ4 zAjQbfM|e3YK^F~64k(T+)N2uqshv-3bUJ71%Q#uPx$dyBIP`sJ65kmjf{}mBDDTE1 zr#Q+1%Z95@U091**LPVG;(Q&_`ynF|3o^kny?km5Rt_~r+wvx z&Qe{W!$Mm8ROHtv{j3t_xiyb+=M-0zM+}qOyy(vbdaN3e5#Qk|#qRvR z9JmF_VE^Le2izlApEX zlG|9hszpTq2+*O#=xqsPHpohW@Cz>CPz597^S$Ay0_K7Z@ufu!4{DBcRx|3!US?{YU|<+K)K&)>ynDl;{c1q zA_v>%@6`rg<>hKaXVlbl=Jw?uud`2!J>s7K*UXwmx}=Cq>kt7BEa2zA^0guY^wNZ=}v7?c@6!L2&4<9tMZT@<|a@5+t?~s~6|xQe=2%uBPMu@%@P> zpZ;)qGzwT_baQvL>*PsnL1uGgWH0o&LsL{%kwTb(IbjV>ZEMR8G z$l_j%46rGb|5X_*K~qYzC!E)yrOkt|gCnKy6$gZ2n7#WOpo@}cJ;gD2dWj}cy}$7T zfRVa`L4ENSMy%dO*uXN`*;Ylm6`+KL&r$Ve6PY=HckPjF=;eiPEqcUC{8PPMVU`os z|GNdO+~8MMtj#vwhDk$R^DJFrm=ke@)@PTkNvoI}0V?(xS!AK-sgx zdi~?}93ePO4D<@)@-;^Ziia2H{#{|4g|w00T3^tnwjVWQ2$F6B4L>pogLu&y+e`;H zM|_i3s8s$mBavgIyDdc@@K~ZJ+0TgJ1aoZUB|}@n1*Z|l6g@t5yXD@%m8->`k=iWD zEd1HP((`;r%Z4Wu&nMiLwq=9DyB`ax$y-Xid)`D_oBtkQAx~@=!Q(wINKut&7A#i@R&l`Pb`M=*rdf|aD#>2_o0*4?ET;;)GeP7Q%&+i4<)%)0XWrX zH#43iBS+A$miAC2O|z zD~LjI0;MRQnLr8GWR?t0;FNHrBbH)#>5ljWol<4;3PwUF`?Nvn>V=LTsbX>|={a-P zQ;S4cLH+*pek{rF_A24Ec0QvIwcmdM{cX9eMO2YlAibYS3N@P1lntI;)#GLqC2>OF zucg}@rHI^+?Ue^^pQju6`@^m^f1A7rD}%ytTqzup0J}0eY0< zg0(MoGbmLX$XEky2MbQPC~W<OzEOd@ewAieih;D6U*S8@tVq6S< z;fHNp8q1SCfDpHyO+ghHp}X`Yi#w!S>LM|js_|Ou*&`H~GxjmLP+Q@^6Oi$m>$iX> zQ4ZnF(B%=czOgQ;2Tj8a!+*=b;Q`k_X<)`Y8c>>_f}m_8c%XpsyjC#B)MFtA~~P)_9x`DP-vh>I=$*D7UsvT z{x>Zu|MJ{Wf$+XM7o9$PsFw79@7MzayC8SLHRuWTK2t9Ug?bz6p>ynOrAXv*o)wc0 z_Vc<>Plsvcyc3lLxR+GuiUx@9s+)Hv;g2+ zyA}w&=c}%>T}`s6hM2fz3AcA9yvIHd9?+eb&v(G3zj%Ach=jn}$)h9N%ZB6-LWfjo zdO>3T+IbCeFx1~aUPVe@!PSPN5rF`PZnP1pW4n#fl8V2(L>vCkxx4YABvWu=wWm zx1!XkHNCGZgK9PGduu-rW35nf%Y44-%f!$s*eW73(w`x1*xIxcP|Zu>7Y@x50%C!e!I z0P-7NZcNdwyHKPnrzPFhFStB6XpW_C74zy0Ifd&>oGgP+K>0c|ry{Lc6#2k=P{$7s zyDWxe0ypU@b}>^gyZuPyw*r}@a_{rS((YJfCnt02U;C?Wj1LYLn>X?k=3~f2j-J)M zDAOr}M`J(qJnuK$umCtfjYy80``YtQBFh9f1&2ee zEz#xS%0?u@@ZaTxwFnielHN1aOJ!972}hRFn_k@HiG2#>uFEW(eSfY zDX)(l^AC{cQ&~yFz}sC@AM31y@S5fQ=5gPHT0XdW4V7j?ot=Ydh%x^epEGg6MghXOtzc=-iAgThrjl?4QQIgfPD!<-mEfJ`9R6`qB z!D&4|Rn-6rfo$_|vajMc|1tgfXnpPbSAQ~Ez`g#HJXjwy^rSo<9no;Yc{4+cWhuwy z?)s?)O8;jW`F*R`NtAn(S1d>pPbLu|_7UprA9V<`BR5Vc^cSfc>uv{GG(V(tfTmZp zbhP0XjT0{Oz*m?o&8tU!4`7)hjCHjWZ&$<4y47;&j`LT4W|kHnQC0iJuXTohq~3qB z8S%DEaO{U8b!_)gY5|mmZjkeiZ-TQN0)}x-%x+^J($-6cs#G?I1TV;*D^rrx zVg~A?QJhsT^*O{u3g&lNr0$~aP2%(A4+L*KYx6C+DtC?N|7IkZ?1Y|()y&G&47+JC z+RtjN!*=Jqc6f5RpGCIO`BvXujKDE|)us=Tk&a@3m=5mwE**+THIP#6md_yk}orzHr1_qfAH?fE10s0kCgPH6|3##%_YGwNFe#P z$wV@W@kcmpn4^6fV7C&~P34K)@m7PAH4{?slB3F}eh?-B=bin=Cju1DeX`V2#g?n) z+pT093p$5yEvrm-ZN!qDD+C5nrHQvgeyoudKchh>iEc;XX z8kvik$5L@i^k~W7xJhWd-i#;(iW3!T7PHFcaMACbUQR8A!}_Q(1mTpG4y7A1$&WY6 zUf%Lp>x9q4DcGv~94 z*cHh5*mjY$!6(nW)7YW_IK98@C=_a}Jvd~NUfwc>dDe(Gws;@2Pk~78pDLT|&c3RB zNszjgODGoiVo#QMu3ZrrFXX-K1@Ti+pURK7s|P*LtR)LLFk)mS|6R>!BO1SKHj>S# zzEAi;)?X~tdVKHFT?47;qfy!qIF69iMW}T9L%$AOCJ3}hD+5h2lE8AoPHrw&&9zpx zgePk$&}Y~{GH^g-xiwVU{Qlk49q{eHb=$So(tKt;MYh?L$4`r&+rQRftn?Z<}tS4 zJYoceWA)<*>&7}>L7e_MnV}g9`rVWVhOKliu2AL;$=<;{J4o*4)*ia~Q+@I(B$|Y8 z93nm8mC2B5bW{{QhP)*0)~K2!xTDKsuD<>*Sb@_h-eGbR8Gb3(Ui{d(Bx@3LESy{S z`Q5X~OB*rT*ltTDTwcxJ;V(dJ7klOAunl%(jH%evB-|b#yS#2p{07p~pvgbpgfPgQ zwQSo`S&?*QbXCyiO8FqusF`aj?E8U5MuiNL%OOrT7HnAz^eD5+dgWPlA4iXeVzt|a z(UtQpP1$n+Ll`O6Oe6Y?WyIAw7;))Pt*rcP7~04cZzofIh(s`mFBM1YoJ-D^SN3Np zIR*OH0vH3U7HEF&EOFm5Uf=eBSx@7owQ3B1eAPyOIX4Ac2HO%H@{$Z3rcnqG3cyXV|PxB$^GBw_oRl@Z<5gb|;VW++^>M(rUK#ra2 zSeL7HV*=bdE+S|CHc%auVN$@Mt)9JG^rF&u!LKK;Zg;GFQnM+i;9yjx3RzAsDzkG9 zym9NEz9#0P9Hqdo$7G#T-XZHHevbC$z&Qw+qGvP&nkR+{+ANwTvFba1^Uaab3?=a+^ThqM?We0WH~A>^gg@uK9{s`{!aqSCX)3;k zZ0!t9GvsAyU#*Y6$}{LO_{+9T(owb{Y65t3u7g6c2b&fay!4alJ-kHP80;FG`-DdZ z7fSn|BaYw2q4;TbMjwto%Om_zhp&cFMlO-YDTMvQq=Ho8c2Rp%bWl1f&v16%l#rN^ z$(aI+BIti0EN8(5Nphx%L6?~}{-Z_WXy%Y@vL_|UO4ti`e`77~7z|8z)0u?pfe7w^ zUyf**SI#2&t``txOs1wK&~Gh^{S=52K)~xQL=XR>v6%F$O7c$4=MItw%IbRsK4ttw zgxPSs;RYdtchcVuP9s0D^S!rRf*s@eKmmN#10Z{@ojLEI#J=zjxdPk-$=>Q{ zSb^LyvzTd3!AQhRL zoHGvKh{j9%d}EXB+z0wbGamISYfQNCY!ieK;@K z$@JuqHXT;^C1i2;c?&IU#431w*b}N4&H0PahRJx}Ca;^%Xi|Br#BOaArE`$qbS_KS zu%zXUp~t2Uyw=nXK6DwK%d@%unVkft?L(~`O91qF$O})BMaYosili_`Fup4&vN;a2 zyD-=iL1kBQV92Lu~BCF%wcxrWRC3Nyr5Ae2?dhgT&*I^xPqBG*`^riXOpOou&I&NT`8dN zGuRc+=){?_0P8O+D z3qU~CXy*?iA-m;d}`cc`>9hGrNDfMkD7Igw`+#&#eK!LxA z<{C;1^PT`c%=eW2ewzOyCG00+lUAp@jUKNi%$~)5t&&f0hx;A{w+%vG2frPAul$>?p%5*6FmuP30u=uJy)qkFUA!lkv?Qjx=T6JoR z!)KbY(qr4Di| zuvQ{E(p5&3SEG)&cgsKvlw!yx-X#~duwKKJRyY{MQMjb*CGEmz`}OD3?8N|Z#qzDz z-s)b~j1iI>QK2emFg?*)Y2Y4N*#U~MYaQ-Cf0b=*H@h|JWGJek194m+?PG!%D+?*Wpb!F zrWorjF3shDhqC103qWOqg*eW%(9w>y-n^{0q99dRx)40?zTHBpm`no>7-H2V3NJAwV+dn!xb!KNDw5~Ey23#8V$0Xd zy(qE742rU6A8Fcv1QfM#D*u%byy{c+(dgILrL)NgP@tYbGFF9NiIB=Vsf@fkf0;oY zN}{X<;T%D5Kksqt+c`%(uzf%)9Vo%4B6Qs&J!QR$Z>5hZ7WtEH6QztC+}qFGg!lC2 zq*)mD^smWCZt(sZKx-iNm*h)h7l$ojCGcr)`L~D*-2=sf{kXDfP4wu?75$zeI7E79 z0U&u=mVtAT~nJ4ZRsCSwH8YmY2 z3_S=|INbHD)7zF)SwcV`gz*#m-vU@{M$@YM!7h|x#7LBFPTO0R(J(2$U_82^LRGbX z;yrKIw+*~0$pU(R=?Dxw?w78uYZWSdpR)MszD}mU>d?!Xaumy@u_Z^KA2&&vzrwYw zQRGFwkd5L%^xEg?3_55n7ub+vt(IU;2xqlFTbyIIHetPWLt{eAkUFaWsr2P@7{WV< zQRYeKV^+*eD}$#d+Tj*071i2eaPl4Q7SS_o7}_OTc_YGVQ$^T2JHZ;EQ*E^MLajV9 z*ve?{fN=sF*m#p0!TxpZ>+19L`vf9(^vHR?iI*I0s-lB#(H^-*9W;;yWKwV^^@5VR z8!SO|e-=PJoQF6EdGJdY6vt?p2m{10I$L0Qr&jwGD!v*e2Cztq-nRA(W1n_Og;+L) z(TPaPrFCByA7^gZ7pFi=WUy4O=X9QEE_QiU%msR~B3*lA=Fi0sYYc!!$rVcT)?k5U zvaU#oU5q8phZh8nj`>7E6D`*nqagZ-r6uq%l7T70-tPjM(}{qD2SLb=>ADM}pm zb;V`kd7~7Mm}F8~LwI_OHd$V%EBy1QEm;jsA{!4wDHZM4XZT=@=@vPG1k%QbvvvDo z9w$O539(7rHCBQOb>uNB@uL+mn>ek|+QxBh&Qhu% z9qO46q7>OhR&1t+G~K9E!8mcRcjRWSR?Og?j=ITp-j=28PADZrE5+5be#7;z**RhT zPksMzYMqlNEt|DwaRGZLJdi(8Sg#AW5PAN)^;sRiz-TXq-RH(o1Jcra6JW#L%iv;7 zLR9xVv5{1(+PM|d6!#ko6&pL1S)+}zC)`FaL6P7B5c$n&-NVd@<8P&H3sjBI)73E% z`f;{cM=_OpiAT|U?miUrm`5i#R^yy#m8&-|!**lf*+q8F^S46VR%p)DjHk<@uFu|u zyS-T{ZtT~3gN~w)Lf^BEQpGhkcyc}Je6Qt%DjBUlKl)@e2cx}q9o(ioc#7v5s+G%G7 zq*Xo3eDvw9{I!gf#u{mUa^0J0fOfgg$zue|Up`5L*1ej3dw6YbA0NP+gf6JF%CrAR z)GGT9b}G5Lh)-cFp9OYj$XWd~nM`Pz5K+U{xUudi;^ewG(_0jPs-rZWxf&OcKc&wJ z9n$ejvYineu^5xRP z8(hH5WKEdvQn-Lfl;52=fkgCrW0w%9jk)p|l~`!<+x@|DjxQfDbwQ*YR!OF`-f!(# z@I@)NqLEAxiL*GVikWG_@fS_$BwRtEpdJ*{e5=c8oh4q@D7b+!$ev6zgO>ouw3{3v z4%h%`&%kq2Y^zfT=6!U*jiuG&HiRdZBrjLV5(wT$XP%9HqG@QooE$zMaIucQqS;pq z-*;N-5CL{I?hdO3g-Jzd*i>JwE128n61(4@AMsC>p{fS)$iZAF28I$GXUE~7^!=22&LrR0t{D54P zt9%5UW=pkL^&iKzJ)pCM*`@Ye+e#MLe{~xK>}(8VqdBaGDGpQ(&tRge`XgK=A)^~+8~mSml!mp_V-q4NaAh2ajf_WijV z*3GBQRPTKP-ZC+fRgKVj%C)KnckeK}aBmKJRui+xM<_<^(7YTrC~X0@e8qqBqvwt2 zCxlah>`0#XJ^qbW|D=7$NUoP^amxCe49F|L&uX~VtnOi1dp7z|Eebiw@TF#eONJ*s z>!lF2^1@8oUD^&Y5DOP3xjv@Ih5w#|#ju6(e?f}`I1zfGB(ZC5cHr1ON{kR}pi#vW zkr*-$Dr)b}vq6oaUOOgCqcwK!V|#kt_6}Z{$R>y7Sq(nO%pMU=jiI{jB0kjn9H7*a#x2 zV`ZlGU>0yy%(*cnQ^A{tEuRPLvHsfiNZeT-C(W!|=Q*Gja{L8)z_W*S)K55v39J(q z8Gf?8|00FZIRG*`x6mvvT!s8ZToWb_&ZappDkz}T*+5QxHu8_fJ_!yX*Z$RB1%VB*C$>8FGn7yis0}0bC1`r4k^NqVhv? z>%AW9w)A8jIn|-^tv8RKE;|*1bZtZ`7y}FIWU8%OC;=qP}Fw_*=}vvi|9@f_gc z?yzm|X^C6ogJxM=9?33s`3O8T>oj%Z<5n5mw;j5kd}x%j&mzR91l5RFOGCow7d61ka` zy2l%JZ;ds;!LQsr){*O^G-{&4ug9^ronM6`B}L$9M)**kBnWH_@HgNzAzidwr>W`<|H-2!%SzGW zY^`@~92nah3XCCeYI0iZ0hJ*9VDSo(lx^ZC?@yEE;1p9nO8&p+XzqyA9QsdowyxCPGL`d$0Bx2K-Rm=TM~y%BBreaGJq zCdSgh0~$Iw7P;-o;lt`H9dT%0#6@hI#*|Py5xQ-@QsF-rKMGP>`sHQ2T_7DVY-s(Q z*1Dnq85X6%KlOKmT9}9ItT8cq0#6&LkF#)532g<<<-wL@g6@q&LRCktC;5vkj3auU zj7ClrX;StlwH@nK)c)<&{KK;09K?m#-na1@#`W}0%1~7+O&6+H(0l}OOf6&hZfSc$ zgIFz0e%dT@$kS?bS7ys3U5z$QBD(NHn&BUknt!>tkUfU1qlF2A2HBF4EZlfo!4M6LH>=ED* z&No^Ffa~H%d{=RQBfK~HV3IE0$n(;tKsHBwx1U7(4bV{V*fZr62|tg`zUS#bBVn48 zU1h17DVF8mWH~HM@_tNRJ0{K@zzAF6vZW_5+vA(qtaC+t5z4kW$j~x$dcNE^%9Anq|ejhZdQaZw*f*f;eEc$!8S z0@cFT*{NG3Uhsy+=5Aw^nWH^z+Swu*_0hgsV9h!HINf?@Rtq>rh-Z>&C9#9+zqrf9 z!N_n1=^TxO*~o6UiIOY%NBvJ2@WEcy`@td$eVGdg{yB|bai5qYQcz42z3s_MCkxh^ zi{I(*Z2NVea(pG!>mO{!C@poZVURb;u30DO8*e!}KW!#!f+98(xf4Qs-SLkR=`zDr zy4UUapx1?;j&$?zZ5)29p60v1r#w!2! zyUun1`>?}D8LtnXBp8g76&PweoIL$MccO%O%|L4rig|VBpA{K4Bnb0T+*!C;wF^}1 zBykQ@!N8*dZaA=h<2&<~*;=fwCn>T1-@<2pd*>e+n=L{}AxtV7fUopk+=2DzieKml zt-R0bkv4#0mW&X>{)XeBtEC$gzjV?q{gy+~$G1`qzazO#>5*ZiTEHe&zrVZ(kQPc8 zL@x9YpAOL~y&-)ouLS8uN?5dtqr|q82c&t=hAZj9{#x+en(ePkaCoCToU;OfPX|bGd8vPF0h8{D$^M@*>r`!5BF1z<;jo(>%M-X45h)KQARWlTLsbc1*(! z8y_cf_igaHU12K%wQ*_>JOF|M^r^|87_;hdWPxQUP1DnPIRm++j7e~z-VV{NZFGS0 zQx6ht?s15rXXVsO95isOp z(q#rehAN*EfaKPHvP;C| zEzqGnkoMA4OjKb)9(nvl$rR0HM-bn8Puv4yb;VBm#VUBJOsbVo{iy#J5h(8088)l3 z$E9Tu@Z)IDGm!{V81B1Mp}@4Q>5_&gXhKY2cL4q_0*P|^${|wF?VAw!w>K;rEz_l0 z+e2*}pyicHHzvkF^Ty#X^Nyv-Jp>DEUHkAHwfZ4=T>B^{Q0bK0k#)2kPa#*j>5RyD zRRs{xH>v-i%Q`B?graWFgrjo~_;gjAF>13TsOst(BirF~a>$85W8h_fh3-ddX30F#A*%|#1+BfSq+9nW2!;pvw3&*s%hpLTjWC5-DY5&%_}5ic#DGX^!c3?-5Z*T153+iU zTysE#)6qO@iC3g7v*H+zU=c$ZIKg}@*J1mObcUwf$&mSqt(7Z$t8TLPC_!~UzY)gL zua^1W#Fmg;cdo;$1i85c)DsFKNO2XM0^WuNRdTE$E)p~>AAVhu{mUW@mr{@hW8z!$ z*Dnytx3~TWe{@tLX~sT#?J5MrYvXXm&1SUOgsGnNz#M)K4pFw104DM-{mDS(L8FVz znJFmhYiq*59uuoF?JNoIb8{TG;T#dPj$evQ01(MT)YB4G48LNLu6zh z8UFQ^O~j}cDf^sP73N+3RAGD$TKJJ%> zL=LOt30B?KS8|g0j`_+jikQdg=l^}v@od0i=1DkHKr_BEV^U~NNUW0s2-2P%bo)Zc z(UN_k@~MT>-#2doiJNOB884u3IuOxSX{m||CUK*h?Wa^=Hm9xR=o$I@ORq(xu~4)h zHbNNlyY#>uS)v%Hv6C5N7|?07Q$(w-*vuw3n%KGU8@RsPR!uS2r=d6W2ahqL#A%7# z7Zv%#7{@d`>;aMYx*yPQv#H~-u%b}R&sRcEiHz+jU|?GrE!+l};D{ZJ{8a#t8CUjR z3187glJ;CwI8|}#(d17tw#)p6WLG(K`#k?}IA+RC#&n-xf&47gpg4J?(745<0QmOb zqM4-v`k@bi6Nn(oyCokq11ipVo7C99U)^A7G#rWcm3h^sWR@V{LwyO9Sf|HgX0czh zWTOc-Df<;CrI|JR2iJ9gz_A}{gC-{Jd>-Ou5ubDv)y=G_|8=Jr!lBZF^B@OI<0hkd zf5b(jUvnuo#uUmVo!ZRk4PoEp(bDhVcZ>7e9F%FwCyp_JGOvg|Ocu8ANx?TlGz+$F zv8@?{lAqWY;wS?Kg_@?$!w>CvUZjc0Q%;rOS1@o+y28gaI{?rKowrQBiC6E`kG1+o zs0qV2V~78;A{2Sx0@G=?I%Fums(WE;IqoX*E=T9@W=v-UQ|@Jf%APGYp;sVxWdChU zHn)>Mope>vy5~Ie^b$H{^ux?zHpFk7*cUNii&A|A-Chty;V^3>MKRTM!13k9ip~eT zxEhS^IaDiht&dAP#H}Cpof}==@TLlpqoSHL!F0S)stAX@XvOA&vlWPT>6EFH!}h*T zO1iekD^)q!cs<1cc1ZYlVdqE;Y9fB_6Z6c{VW5=Ol}zi5b%CO06?C77#$+%5)<+GN z7FSSI@rKtk>{RBex~mp3R1Z;ka|%J4SbF6~RA`V13yM}#6vA3%hKnrN<&DFu}HUG*C*O}ef8xt;sEjr=M;9!H$@iv(jK zS;l)~=nhZ6-^n{2v*=q?M?FZnzd~*daA1@m0OyHCd@cv@>^PS_{dan+`_(FFhs?G_ z*f9dGMJ~-&Hle;28&lk_4f{7Mp2a}HbD2^lBay512%Y}iLxAfg@dNmHSEtna+bsfT z2kepX3jimXtn#=vRj^ZGbC`zCNUY-y*&CNJ=GdZe>khgnw;>;&d&QLwz!5A(9hb~s zzjVR8-B%~JC2enh zZR3Nj@dLnqOik~Ovc5o|+DpDf-RRn-;B&&>p<#08f4Gpv>^LQfX-U4)p>H@YEzqP* zj!h(KMn(e|>s<-LUuuK+|F*go9G}(O31m+9NNQ;oDtwqOWtR21tfWyj5mL;4eAFdI zip=#jN(4!YY0wQ6yC!S`+(_OIfS#EK&v)mjX;R7pKCxqfZnwn!x+=aC zTy|!&RNweA$(@So%XMD-$v}o{gis?RweoPT))i+zpYzzug;@A2c4v9u#t6Dgn8}fb zwWFwX!`_=D*$pEZErs@T0wV5oXr__7k>$drzISHU*EeMMwxyu=K8>Jn$Y#pG@o@T9 zExRQD>8ha>(J_b<3)-!K4e>H!CF~o9$?i`!$iDl_IiHf8I=4BfyzzX#X4UT=2=BVc z-wtIP;Kj+#Bz1?Bn2Bdk*=_)0^;Ui%1k4A7@k)|y>n5!W6@1kJ_Arg;OtWq1*%x)j zi;YU(*Ga>RYHBv?1Yxe9CnvIQeB)yHAUR<;UUKDZ|M7fWlCY-bALCI4NU0i!Rmr!T z_o@C8Z40zE$b>NATKP02S1`vC&3n$|*5nV4$_E0|DO}kI04;ixs0x|j|9PE|gk@(} zBNign=axr{0k;;`+Tiu|&wPAbiwTckF5H(xnEREN*X6Bf#AbSSAFW_DLA-@GxX&xm zUQ-b4I{-$S;4^;_%LBgYm5|~|0j^1kI!zlRZ3;ZScQ$hk&G}KRxuEQOsWktaA-bkv zsg8$?2NdY87i~`(v$VHnp-pum5%G(39{9kuoMaKkgvuFKy-rx0{C>hO%qc$svfm=D^;nPT=~}9d^sM9AGSQ$0XiAI$3vH+b z+TE)S^4NtKKk0><#XUc$1@9G46deu_#<>kVp)mUw{TqQrvP=FDy(F%Pp`Xr zKr5(}cyaNF`>kor&0lBMGbg~h?RQ9lttWg1#-fZoHRv?qObb!krd5o%pX8A_orL*R zghyt26g8zXD$stwK;#TO;!MydkQvw3X)#Zgq?iQlY-n>5VK+}i+2iy{4v7&epD_tH z`9YlaffF?!eaQ<_ixaBurbN$Wbv#B!6qVXc2lAtDqXubOzK0>t0Zp$7fhpkiHn(~l zJ^ldGt|b};fr4vC-ITWA?>1z^1d4VaN10J()uxw1G^$lgMTbR9>R3j9{PXPE`Y*Ir z^jPOiE~<9-sUbbk+lVcItwVx<`*oYbB50g&-ESrDw@)4ya-A}kpA8pL(=Wx z%dTb}k@m9w%1&t9t5oHDASm-YQMM&_f^{=0Wlh~F5`UDYG>Cl&D$sk6i|}D+EV0<| zT_sE7;S{&+AM{xv_Mi278>4@cb0BAnwzQOJxKFqr@g<=8Kv-H-Ev85N>yS`C)l0Q1Y>$5SCHs~2?>#5S~kuxKDP+dNJ zZEJ(SE8m7z%nei4sEiqr`l5;Ce5x1>-}8A14Ex!O$kvvtLGzM$?#*@afgPqGk&L94o?(Pyqk5DN`3wcfdL z=T)Xo^Q02sRfn|?Kf%d~nQ!KPj<;dWlmWDX_cx2GQtNaK6^fZ`HY+`uZjYZTJj?aT z>QgDdy7_Mnv2_tH62O2do=WI>DiEASea2vni%)lN8a7ds5t-#-v_dq`ys6OGKgF!;ZqD5Ue&>K zld>_@vC$-}CPp1Wv@89rerLIe7Bm&Ewx@8BvQX3e=xVut7-e;y$r$(BA8RA6yRfto zkR&)3uya+%vMohUE4`lW12PvZtvgT-#dF$Mg!e(hST}+lf}(|3{DZFWsI*_0c8s$b z+T@0Izs8l(tGl1F&2@JUkALM`*%cC12!jqb{hq)P_b9W)p#(GrHD$@Cg<-GK!w;Qe z5X=5iFU6xr=38QAs(N2prn$1X;?FV*u8SaKsDS3M$ubJ{J^jg+qc<&yl+JHGkV?y zHXP%Al;w7m;SBq+sS#hf8|Pz|>y)IBH(inG{YSMd{oP0i7c~A`+xiz6bqg{7o@d!v zHJ=b>+jqiH!=_kiJmViP^Y8k(76KFL$ja4^%F`A*9*OVO3&YV(XB~e0MKmNuzOj3P zCnE#d6cP4aGaHEbK2Va%C#}X7LiB$1vg|KwKie@Z{mQ9&@9ZfWp^7~dZQQKWM#dmn z_9b}8oDA2ixb-4s_=mnLxwlK@4H241G|E`%5Iu?VH^GJ9;k{G-9 zb?EE$+#aojzIQ{pfa{nGz4}Bi${IaL4B1Nbf{TyUb5IC~{s(4j?ww*-X}81MC!ru2 zS&GchiA#s(LzM7gRzI?s3guy}Rob|bNqg+F8tOgii$d(>jzIjsX;gq>T{q?quB)!E zk0s#Lg;0}%h39s<+zW1^7PMZl-tU~8`aTPTIM9?z-iCaguT~n}aW45U$hbbgd6_Dj z6DCK{a%Y%L8)>hXYee8Q0a&9{vM&=0_l<2jz`cEUtt6$_@gF+YNW^yy}3}1w%!8F@v8?YxB$zEzc^$A(;`~>rHD*-OSSnWUyiz+!6{O=6&NmzUm&G6@d_V9&+X|SA zj^c*Z-`ti$AdVY{r+$JVDtAo<%q z$##8|7A?))b&jqiN9eUBzLnwv)V=ZTr3HmOuuSXW)LG^_*yt z-*4ZeDSfknr032|JJ5Zuuu3h{>w1NnYv<_!-;BcmUl)5hrB)(~jZTsu+8o+Joysty z?n}-xr6{BV=}PgoDcl!(jk-id ziM}=e*_zpx*ql+50s|H#@<@4n<185%i{BUVI2X9tiun8Rtt4;hf<;MXV%moVIwD1Q zqmA$4T5!FU3*upBAyYuJUSkK0F8$?(u|-`YaH4HQr0kT<@j^3hrqD+%l_%QApyhd* zGxOD|#GPd-!-`%H2?mYL)MxkFgG=GJE)cMtEt^Z)RA$`76z4ar+3DQHH@kKrL&xY4 z*b>51(H_^AOen71Tiamuz11RfPojTPuwDrH?XRuZY7_SQqcFbd#y-)cw%$eYd&@3< zB`B}{c0D~T(vzz&5y46mVc&aYKG;d`h`C3)l0gf=}b>M$Zf1s=ziRrQ{McPc;xFU2vguscU| z#>@ktx1D{(cU{mRML^|E%2{uvRRV@LlDm>~T(L|nIsDoqDxgQ3Cf!@b3jL{d2^(eN z#3-(rV5*BO@yXc8x{Tll?b!(hLJ4JI&riz=keo-v9 z8xoCmCBHORw;nQ0H6FSgQVNCbGFQiY7kW-InfN&NDMilI8>N!3Cm;qGaplQUt9s%Z zrt_ZoXCD;aC58>~tVmw?hVpV7FNR*h$3vLBliV3L+XQO~mgnIM8!90xm;-Kr{VZF& zF<7(_wb(=|NK?vUw%IWfq^(99xn05XOyN|pZloDE-(1h$nLdO=&NTsGq!t_hu;jUf zxWc^ckgJSj%0^4&b_$d#YhHm{5*)~b57scxEL71;5!$peLY~DA{tv{SQT}!?hu1g@1bLW$JWzP?dak zHRp61WmM$Uf|yRv@wthtM~em__08m~me@&@KeL@^&2UC`=o+bkP)0MV{ixYv8124_ z)lU?y9KFgTpWoIBNBXJD?Lp9)Ya z$xENpo6;jcKa~83AN)*J?G22?kkIf0$zj}U5f~jozOGs_sLn%lWtxM3!)Mh+JMm_Y zj<@VGg*;F!F#0v;Erfl!;cWF;239fGxn~u=Y2rJV?eIiA0SPtCSM{0i;S4kz|C(Wc zhtI997J&)^ZRPeQSJD8m`mm|67p@l1AUMRm#~TTx#UErh4vSu?QZ!$>t@fP%Q~eW@ z^A)XB$63JbABko{0HJYckdBonY75qbZc#h5hTM&qGeGrv=#neIiN#)Q@hXtn4j~F5 z{wo+o0aP$A^{d8o{a?NZZi#zSNmr9~8e7~M=kk|D);z^Q2O=RdepEZ8I}e*6hm>zL zcjNeER7@=XNX`n)sRkwy+r3bus`d0aQdCncSGLgc*NU`s1Ox8wVy?erAcP>J#f%fF$wgd-2RkbnizZ=kzHwC=YnA%@B-Gjv+ghwMWWMugeLsk>l8GFBNY{J(`* zVkDpbQ1qv|@0{>^Z@4_*93z6Sbnm8_-?1k??{r^ifr+CaITITw6)Y({;7O{+QNQ!+ z_QCA?)8~i-5jQ&P0_?g^Ir72{zU=Fajq?_V1;HsvH$idnr@IAWNH{LBtQVuRsU?Wb zRoXcfwS%7{pQE87z>AkfdDX;0T@LV8I%izL%2Q(o&R}-}c{q*SW;Fg7H2rOI;$QNmsx4nSDOy zLW3;C%>ac)um?+`*yFK8k8kvfLg(VaSXKqUph(spIXL>8LcU4Hi39^noXZd~-q8)L z_$H+(Z})mqCNU%&Ns(Uk1+V>cj`g9vKVYOxLFPeUAe&E6$+LO7f%xu=y7`Ia`Jw^P zOW*ch6m~-)USgYA7u1Li6%QMOJU;+sQ0kbXG4C;bk=PiuESLL*FhT$i_xw47Sh-sw zY>{lg_ax3P$dG1%XTPmVPg;deQ#UJp6cAbj>seZaU1dilWFL;rp1W)D;T}tk8@Xu2 zxYg$e{suy<<~jma|ANFR{xMEvL{*#;ef7lUh9v}8X=Soj%^@aMy7KDGBbLi3$%PrT zG|i6X$3^Da(%~UR?kqVxrFl0zNIj%|J9mIuqT>t>*E`(+*^wITY*9c{?VB%O28U_|$G`SqA9Pk^V<im z4!R!s2oq}+@4e0%HT@u z4>UZXTMrDqyb~E)X-rk!oZoKdZ_TM$>;AL1^fhfE7j1G+m$n6RFX0y1ll(v+4&iu@ z$^vS)5x~mHxZ~U zl@77sMxU=1cz7gK9#2~ojmQCpi9{inhs5spAo31@9_dd+n<7RQBu$L&88v8A81w`L zTFb|00~rvvDjy~kW>*|R5Gr1YK}zDLHCT&v&mL#{z6O$tl)Q(NG>+R0X1SNy44xmo z-*|8`d?8}fRZ=z1tm|{e=Vz5Rs8iVtsQpyXk$+w8X`^JaE@a6UeFAFN*%OO7>4=y; zgM8#2`XxCRw7>-=4Cl&h zcB?l^Uzk3PALYPxE4)@A)q|?*S|K!5B0kfSW){fE<$b21-5e7dt7IrDm!gw_hrA=* z@VVK(dl0S|Hm~qi&^FhXb=Bj_(&4g{fnxcu)p_dUD^~iWMHH4$G$~@0p7B*cWYVp7 zLhy7N1Xz_tWOC#IhVxJ+jq_v`s!rO6ruBuluktu2^(Ik6;5)8{NxB}UMmhq+EYIk8oFi;(rDN^AC;ZYuT4uwHftaY8PVumMi8-?rS((Y^d0N^-o-rfZ7!pF>hb;9_);0 zW;{5LT|(~iL2=|xEfkMYTwT2p&lc4AEB`m$`yuu*9`ajxGGT4Eu#16k2^!zRG-xMx-55rxP5kQzBl%he zYX)1rAxTrFboJWV?av=9eKbWe>?>y7)U3L6Wkv#TqK?|tN#gcH7(8qzuAsYf}?6`OlK%; ztYZ;XUp*rMT>uiH)%Iv>!?|CBBxU+e4^$l%VrEPB0oC$S8=TNVY6#Gf_8d!%CnB4N zdh8I~9p}@pQBVubA-D4_Ig~zLA!o<{W!fYR*XKR?i(6%QPn@Tn(w!ym1gy z7{Rczuv32Tv0oge)e?;X*@fuOaxc4+suVo{xkUdC-lC)Kf7~muk*e`tV;x z={lsnFF%5i%Gf=x`v~UUfw@p98h>RSo2ui&@a7(hH0}gNY{3B~jP_mk<#jKrDQv4c zAwotr=#fRyCHm8U@Ko@kTEPx^SEytj7@Od}6#z$w~BC(yRj zyBwH!!y<uSmmf(o89+l3P;SKCE|0mg=>x(oK z1bkZvs<7G|oKl+o_g6VqH4zr?%+)@6!6ex4n!9%gNJ3+SmS2Au)tg)Q{ZWm(A zkuv(iRr#$o@likT-I76X0}kNwmRM|fRxvn5LLeX@AT=~HFd!fxARshmFyHa{m9qCZ zS4o@dw4|;Ia~al`vsDloI;?r{#ZWyL-)s|SJ10MUpd5ylLP^NzH2`5nr#-8KWk`O8 zCy40)5zH2g8yFTB{&lBjGk&C?LqV!#2VpTz2Qko%5k*@IHXyPY#95jS*Yz z#*^#L#hgmrnnCnX5ll*=J)%3xEs7{}nsb3a-4Of1xD@wCL;*IBuuH{ukf1=1jiTfHhjJARdi$x=yENjm>Sq%lYVTqvJ}f*a9}OevO!BJ4+qtBWx@Mt z>beUN3N3)HpX|o`cmZXeJpw7})}d_+lzJZ;`fhu9dRnQqyy#j4T1{yr9LTv4brccT zsW|L5-K8bE;#4nGhIB7T8FD~AJRhG9lOtNPNKa=!*DIZ5LqqCIf$h>+T~w^k`aoD4oBRas85}DNzSG4g#=Q(1 z#9CAXV8-@@YV`*>-YNgYrMs0Aeh5E z;w(@XgCoF`>6;V$WRk;N8g^u3s7wnhY@g+2RUwM?>?W(5@F2)q>B3Yqv@h7((StkN zf3)diromc!lkO%w3o;Ph+^H4rD>ONyVF4sbLFncM{Pb4ygL`LGxM{+HYWp+X!qV}W zX#~JcJ8crt4;^7JorJy=U@^QGv^hZMzZ&N&z|OHAY7$RO@p9hBOU{wrCMypwJiDio z!$a<7c)p&>&rnMP1QY-O00;oVm8(<%^WDvf0002Y0000f0001FWpim~ZeKB9VR&g@ zW@%<`Uu|t)b6+rDFkfkIUt@1>bY*ySE_h^Ph0Q?#h4IFv;0RRAG1pojc0001FWpim~ZeKB9VR&g@ zW@%<`Uu|t)b6+rDFkf?Ybz&}dbyQ7@+b|Hl`&SHm+Feq|Nug|8dMG{ZZCT1vSc;G> zIT0&MW+W%^zpo@Kwq>W6V7;05-h7em7JlirM{zh>*nNHa@@Ze}3-}|XP?rrnt15?| zQo^fGF!0I^#}j9HmTkB2`zQ?XrnNmZnk$`jIP!+_7AakWIM1#tTx%DI>4jW8SHQe1 z{M(6M<*2nw|FbU4>T_5)Wy`OIp!fyXIL_9po#wL?ypR;}iJPOq3H z?j{~(w_ffb$=&l|8QY3a6F&H8B^S-RYk#OUM9Q+TG~)+j`4=S*-7Mc(Z8Of!wg_By zuwV85-Gh&v#i~=+bvG+nPkJ)BN#y%Fy}lb;%b3+#GF9!5<(D{b$zqm_nYej1_wgS; zU6v+X7Pd_N`?WId%B7EqI@2C2Uvj%SCBo`ZBKK*6Z1(ZxWeYGDp0nc(Qge z59_qcnX~pP>YCk{Y{0$9+WU?}&8*iQH@KC}CJF0!smv&uE*K(wMf~fBy9@nxyn&o6P-M4?gPqaSSKquQ(a+!Vco<|Fx-405e{`rE)$L`pwKgW}b zIzIeX&YWL@|m5|yrnJazVw`D0Q>5v zMKfJ{gAZ%|`}%|VI{)|8tjk`Wz5XL_@3}=DlGpAuhWNebS*8)y8@+dHMCc2HgDhLR z8S0{velY!GS-`8kXj$40*4qX$rJEPNVdD*)qQ7sQ?*bn?-PxK)96dbq8GdYuv9Zgk zneG9THY+XB)=r#W8wSegzktmFm98x&7t+ET&xcvoDJuTe!Y^^7lj)r!Lp-!2wE z_>Xyy@-^?D%UkYvJ>k48sF!2gH1!ax-8+tNjVG%B5>AY{AZ9&X!HCbS%)I0xbi*P=r*frn zFfdqYFu)xKGH_dC=}NqY6{RGio2BjDyr~SRcNP$Xas~)M945XAzgelp`K3k4sm16f zt_i*PoyVVnVa_o|1_iKr5Mo>7dHg08XCxM+qMP>Wm%rObpaCvC2){zyC&|QwFW|~C zBVp$2eITD-Lp(ir~L(xWN20aE>lboQ?NU!2b&%y%FfRNCX hKzE-?%fy`G@I+(%sx-IIq?E+ua;J3HM7OMRTL8!Yf$snS literal 217660 zcmbrl1#Bci(uj%*@Qp%*@O%h8Z(6Gc&V2W_!%c%*;&J{(h})_g43xRwq?= z<$LiWA}g!am5Rz#kOl!o0RjSo1Ol#QQ5oHgHW&v60+I#;0)harCdLkQ9=0|~iPHAF z3@Bnt{WZ1q>6(du5W-7;g%9M3`~%^X%%dJ7k*g!CE8wwsy@CioYD!&f=sFr}yLB%b z$A7H{BG+PxDN)1Fngg{ zgiz{ooeNJL@+c^W?z01E_huS9#G+qpWDim26B2%{*mAM3d6?CXv zBM*JzID=tDzN-*+tCtKsS@!hxsa-vLlPtx?%1fpd{^jh`Z~Rw`)bsP+7RKy<-7p3w z`P8nNqvy^%|M(`C(}uBCRNb|CFXKpxE+oRaEJ2B-A6Rw!<{F%}rH{kf6y_T9R4oKU zR-^d1ip{%lm(FffSht&X+vzjv8+uskn^~IK>)YDuJL@y(Gtilun4SAtJ7aUkUp&2_!uY4U zZ5^MPp++PwGx8#$NUn4ikW+T7ogG(A2i3RHDq~4C4|6kqeW3mF`XOQR<2M!W`6g}# z88tEoIr7Lr!LOsShy~LlBhL=6p6Hi@rHqJ}684v)iu)t{uiN*>%lpf@3w^Gs9vd}x_c7Ll zR&8G$x=T6!O_x5qR0_EG9`18tC6N)=O`Ga1dpUl$>@H1TzOGikMbGSoJx!unUqqg_ zIDU7(kDEOw-zCrHJ4;vBB}u=pot6Vp^RIhr^7T{De*M=O`kC4WeojMCSAUP<1g^K$ zmmPic(P8Mvx4W3JjyZo?>NDLM3VS8{2npRRXtA2EA-2PR)0j&GW?SS!}NJqR$x zIjUK{-dXr5_%-bM&P}^HWrve7{yT78EnI7vcHM4#I+eQ_6Q_Hpb`PFuEbY;&I+}Od z+5Q^(`no+|tDfDT_cu0eX*BfeXCf4q{GGa_vL>Fc3!a_~h&h+tn>5_?B@lBjHZ+MO zuue_yvzqi*)oLy7yZY~CK_{b|HjeRpNjxoDTeR#cB}Zd&kE*ksHSF$LDOpG86|%cn zmCOB0v(Xy&iIhZLqX}A{nX}eQ0*W!nN#DV-C^>RRA zZ=EH!%@jP?kqy%)zzl%{M3E%*daem2}A(CL2a&^s(auMUPej zN#IhiCOp=2FQR46f3QleDkogt8>}in%1m9u$S8hsi!PWG^)oX>1zoYIvv0##2##CJXm$OO8!@H7}C3BSIg65$V z?H^Jgi4^mqf8`YrYTOlzl!PkFEi@`?-&9Aa@Hzw8dps4Z_L7~t4U$+KAya&B2y@ zN&HW|(J$V%8+GE~$Kt8$}w+uOP|?Jm3B{I z?YI|g01<) z$0O@C_0aq#BL8)7_vroHGWz;dNXz}a84f=?JJ~A{ecV4C=s!GU_1Z!=war z^pld#I*k^T8^m`gjMBzSf<$OE2_P{F#tO?I6e1u8V~l$c%qEk+F<2v4QXyEE*%`?; z^7@fm64?Z6z0_M!@vmAcJ1?==R{`VIzcZ3i)IIL$OMM-q;J&<@&^L#ooIp+!DP`7| z*I{8w<ihK;0 z!WO4ETFNke_iK~0FlRQnvGVoQ4gKdF!cVFH-Z6euGb0}vqTlge^S-uSLbbmCgd%rK zS#?=cnfwJ@;mMKHB{QVhanozjv#;RfD&XmGten-c=YPX*(NMdTvm9*sesXApJIr2^ zQ3x;j+4Z`00MC~p-#C-R4*>&3if`(Z4^q}J>4ik`=|_y>aKehw~Gw9;<$; z$>dW;A-}9cJ@0*fh*Z_Tdcwy&=!qCfqx)7^Y4U)!=72xLmph&*+(#etM%DMqF<_%9 zQ8BI}!f&Je80b&;8z$^>pVRjVKi5v+BZ(bOwK@wF+)ugQcZ6S&QV(YacP=I0sVI*P zdSY9h->dSXrNL14p2a2nC6YE|9ysHtj~Wn0Sm(CgLzx~ zcoW!|%fB=%g?Lbe&&TJB6zl&q82H47TiGH2fuA%TNKB_gZTl*+guGG105|Mma%dD8 zFJ4cy$Ny_oOFwYcL(J{eLHkDxCCSOhjXz(0Y+vk!Dny4qB8 zyv|hZI{JzD-t9tK<8pFATpTLMHiDWAQh3x0OwuHJ+vT!FRm{+W%rxw7z8jF=hVDPO zgxY`Pj@GjK?wn@4(l)LsKK?x6!?GcKCmVI0qZ5Bgs#$1V(d5o9wz&vef@3d{E-z31bW<{{UnYtOCD{Y&h87!dh8v0%xgVSa%~OEV<4K zJGlKxd1JZ3Z_6jxCsC@UEW@#d&H%R$z5T*Bo23F2oo`NGQY}@5=AaD*7Ud~*B{7J$=6(G#k`zbep zPOeQGCN*wzPCsHdWcLJ&DQC`Pypbh2uQ}!{`2w-0w>a#k0K0Z~ZHk;zkDh7dRwmRb z_kQyVjXhjX*tLw>o9#i!dqdgFjW&hdrma(P?BJqNNAKi3TkT&)qt0gI!L8dGP0hje zlGD94=W`Rzn--iet$24bi2j&k%|Y#w)4Vn3aTCs+7Mv%ocxN(*-r5bF1^Xu0#ZX7? zh6j2h_En(Up6;|=C-iERyI{xvhtY<8^Ebe=7U0>8eboo>Tn2cqMY$USJpVgm^^#rZ zSrhh^7r{RKRf{##@979eX2_SGxE+YgZS z{{-3r#snKMJm3Idz_|RM!HNx!mIb@cH9*g80bOne^i>-Wr^;<_Lk$q?zc*L!r*=6R znw7=-&lJ`-fIgPI-OTK~o1nyyCA_%Qg}N8hn?q!okHXVG!_!mo*Cv3}vp@EBXc->N z1%=7E-nwc!@&mzxD5%PdjpB3vgzzqC38|6)cUqLAfru&1zVV#D)sP!p9t-T$wnRxZOV3@`roR6Xy^XwG8?BHnM~Q!7T>M70FR9W=11rK zxd;u>LF-?w)+rGvTbGkE?A0kG(nYhRs4d_djqg6ARXb)5Lv(wF<^_Z1V^0;Vd7(l^ zZQTT}J370MR<7NR)FPoO(!c>4d$oUhL96NM?N{O^NiN)Cs}S#Ot4GA z{jI0zBa-;kqH8~`ef+w*yNn?~yWjTgcki||_XFjN@M6&RW=y!aw=cE1vamSQ$^(TK zhO#=U+58Gs^7apUMZVV0x4&eE$rB3kvnPwzB-WwOpwK^2$P7(*9{PSpNEUp=J&)xG z*}GVB*Y9c!A`f7 zxVA{n;}NNs7RhHOy1|@*BxS?`PBa@{$ndK)0V$d)ifLzr=)fn(b_N|uC9a^!(83DC zBwJke-RI_7@MEIPIxM`hlxff7MpJV27_ReY79S+B%ed(JOB6m3n*X(rNPF z8dLqh8?1XK&CF@61&JG(+uBENAY3bqkKL|tSkosh<_KILY&v;!_7SLn#=`sw_Vh^y zE^bu(m#4Xk#lu9|^l64_-U4?GchvR2CaxW6|rPGk56G5b`VhBDJT-Bsb%oysa zB)$~BTftwgBM6iC!`@#Kw=Mhf_zN=gB(c1d#;oLl`%4nN7$p!tMfm?nND(bsCg^d4 zsYlRrMn328m6JggE=F%%nwDbgQ*1|I>~6-cHc3N~EYf!EAXnq~|0=EY30t7-_?Mz< zF!-ey`Rj(-yA7ykQCepvRJS+7mEr(p!G4$gTBSs%l;yg@2@K&}N(UFAXQdcODXW+e zfeF$Oxwfxyew6CRiAyV~VAKK;i_t$ruN~7Y?RaKe)mx zpn)q$A)qoj%=iEpCjt-%0>1=7;6g448SwffkQFDOUG^^&fl7M@bb)4Pf;BjS_u|eU z60USvko%Q5a#J?_Wv!$M{`F8LD>emR4qk_7a#ObsUS_Cr%mknPCu!OoykmfqD#ygH zXZR9xyPbO)z)5X~1!R{$GrR5gTmw&Lw&w3Sn9%JLCStPv~MA@ zET&U;2LJeCjZaM2j2dSBcARl$o97c6kv zo{AWOqm3XY0|%JZrWo@5kO3#4aV{kM7nlq{L9Yzzmw+Ddmn&-@QloGmvNV86ber88 z5wh}50uTi7!6F1m=1>8W0D!~>Ajx9{NaO($X7T7>V4?sCGC+ci0+5*QL;k@G%)|ZB zlNKgmkVWkTDu|Z|(ou@ThLBX0wPgY$s?i)VW(eY_xtbz36bo_qmyQVnR>aJ5i3d{{ zFCC;~8HWWSqlovz1jf?=?mXXlTEHcFlY_u`q~F;9Jtqi!x8a@-6d=t7>43cO#LoV^ zjg}Y0BLd;ZxO!L36VOX52H_bCaBMuf@c$8{od|c!fV(_sIrRnu2HwJfd+5m37%`X4 z&H@Ev=g2j_=VF0g!fWq@g0a5qq5+e8vFie2sO9Av4nqh(m#3R*M<6AA{=8*_-6KoH_pzT5w{}VfWJWVIYj*wrp^e}>Oi-5cO`xu<4@t%$lQwT3l zxC3%S;8(Jq3yJUu2q0N5cIecscB%?w7Z2YP&7Ly|!g`-GJ^U1J$MyR6mCBF`j}$n^ z;AOo`K9P-Yqh)&!g0l1SIK#j$3wl+gL=whK@p}0j+C#I%l1X0SBrxs+w~ND1;|POz z#@~yv00t({`yO(FktLQw^2!=uCIpyg0Oqv#dp1shxxo7#n67v5Ewf;US z)d*{bo|C2FY85@9h?$MkO|&zb=%tkq_l%7`4|GA6hO=Yj`e*E7f?klJ;phN8qllMJ z+<~w$5E!H#9DNIkyCQllwuqfcaDNB1(E>d!OT*qec;ttd^X7Kb7PbK1a=kv)@4Q9F z!(O*+fS*;vzj5RHWmH1+rXCPbs~Yz+v@84^*|%YL$HM8@mngv4ak*+l&_RBmU?iJD zz++MY6w+INpP-fA3Bd$#_K_!rM6lbWV54;myvT39@&p6!XS9m=i2U(Kcm0vKg}{Jg$hNLX@o#O!diN1J2TX`;)DJPl_LDWN zZ`YRoZh$M~=6x1`MV?tRv@ZCi7;OJ~2w=mWFKRm!|5E(m@bxeFH6sG*zPwFgfI^tr zJ*fECg6I$Txg!cgIDy>O0fhkz;vjA_rxS)z4snR!*71K4Zd_0pq9`R-t|$!Yp}TMy zxL}d9+iP^;?1%%nkK9lwq6j5d9{UvOp*wKecwpf~f9BYEolsBEGwsK1cl+aH>2O84+{>P2rQDwYpzAa2_ve~nBzPQaJ|>ETd0%RLu0|&Ygy*| z_PH`!WL{SY#ZANk^Mx)F_#VH=O(WzY0YmgIY|RM=n9UC&k2!WFC=^*x`?KW_(@}Y! z^Uv82;unp1{4J3*p2-#kGXDg2g-^DP7u!?MdadL8HC3oAKW;j}q=KEu<<)jxsAP+b z%;nY9BX3Url)?wonv4Il=w5)R^MBNW`B!6};K^&AinESd+%pzleUDZ~-yiNZi)!Ql z=8PT4z8f~JXWC8j`_Km4)zDuFf>lk7b9h>TZ;5@S zcJqZJb3$1bERl!Y7};}?N}tQ4N6d^_^6>F+q~Tv8l5ENxLF@V7ON!09_?vU3$&gq6 z8XWau;m*Bg#iJc67-jKe&Fb$(V+se zk=uP4JvKr4V#~W{c(33utRCqWyGoWR0WUCZUF(nj^OH{?Dj|)1+njw!qm+(Ac zarKb+(-dw6tSe6XB1*0pVz1@E=r5emUjy0f`)41=c>c@Nj8 zgES;%@tRUoa})K#$30YPlu18kb?TuGZq})a{$W%(4ZMm`CYuDBt1lh-r<0gNQ0lJ> zkZh?JN)*Qx>6q-21`O^ApUWSF?cLBf5UKE|_xu{Zxm>Uj1sx5h3T(8dblVu4XR=e{Zcd;~k&+`TbQn-wfHmy-j2IX5!@V zevj$p>C`_3J#X6K>A`Hplan`f(tNKm@+e1rj2>4flXqwkzL?y*VNen-a>>`()2sV@ zU*o0Ij8AdOOvrxGJ>&WI^!~%v$8YjTjGkXtcQEW@cdBG`s|0@#otjO2)w4LXGgeej zMRfW8YtX8J$NZ+o`T2GK*i}(fku>tH%Aj`RB3an^rVPr$y$*ki?(4;}ty`Nk=}7g> z$rC-tf7NE7WI*vMxl+&P_wEM%uL0;Nk@n;ntkkudv%A9Ur?Iu?+j#Y~ivo|QkXv7` zN`X66?hPBcp2G+3OCD}N_V?cnefm0tYTHwGGc-Lut=(GLzU?1Z3xj}~#v6Q)%LnOb zCfC$5y+Opsx+>$Zc&I{@vBZx4`N;uzD2WBOgvo}VJ+Ca3nHPMX@1Y*#Bd;m)(ld1f zYmWbU0pZ{BA-?W=zO2h_F%(J^>^kGP)OTX-f)AIw_^22Xp#nSshlhfvOiO&hRS;YCQUhEIN)5}^L- z`8V+GWA}!O<#<$|js4~}=jL#ita}Icv+Mcn@of0nC@IqZd2iJHYoCuRG5}9t@h*S* zPH5rI?s<6c0O<_JgbGP21xsp>BGpz`4D+SG55NBV{GCK2?} z*foVdQ@3i_;P-@W z1O0Q2dPM`^=Suzd6cVB8)1c|Avr)xIZ}0zJH!hQR2fHQ=a=PE6bvDl}v5P~^c3t5! z_HINf=4L?p{qp@#>-d7;F~p1y<@1^lsLR-~)f=ft3W|&3LemsGaIZq%oxP+&7BpOF z5w5wQ%0Xkr%}`M7?`Zg*#3A*z4=KMv;_nB_2)}0rAV+oqO$<11?dr(il*W1E8mUZZ zMvOA(iSsn|s+?TODpOpR~u$g!C%C#Vlge4dPR~hnpz+C&>=`R)A+GH}-MljV@`N#8c zQP67vDkQEMbQdG0v9?I2vsM^9rd%`mZ1ffI7kj%>ZU<Jfi{q z`Kmmt=!PJD-SI zb6;*9He^!_-Z0KB3;1>mR^9~e*4~$`64L9Y!ULC=CM|1O%kZe){eB#!n_&k@9V6M? zF1EwU^2xDgJrZ*!+@{+t=P#>$&$s*5{dVrDO{$MCy^bxmQyY)&m!OEk-j{#2+Nf=< z>kjBqnVmTBCXVd0ygCj`W1l1fxT~I5%ahSG!5Sx|D*MtYSFQcr2ZVR()pN!+-RZzm zRvSgs3=ffHmAg^t-X7Y{{g0F!I7_DvfcEN7a3K&91e}{J=x;;^*Y-S()tCds6(**BTF{t>2qtvr`yT3o`IsGiXJjX^fs5E9+J@C)t_R&H|m4alZHzN)$#6q^SHgg z@U?OIo}pTO=P#!>f%bL8|5eAP8sR8wV|ZgkT?hQ$QH9l{+8n2&Xlzd1H+gr}oWBzO zMYBv`BsphnE6KT)X6*EJu}xCxUbyNQ*O?TCi}57*G%r$#Iz>+rZZR1 z@EcT#>mKhBO4QR9TFJbmJ0M`=TgxD&>*K}$jTDcNA0sZbmRcoR{7$RFF(59BVU(JrN&XQ9Os3Jz$Xzc!bB+GD;UX;$k>M5IWfMh#rC&!R-)}QP_iRIE{d!kYfAqUc^ zgP*xuWHG&PIA5j$pIN`QI)3oFjY2OPRLxc*SJdwGTCcS@78Lf`R_O=bVx|&fW&`8?F=zuB|JS1=U2-c;9xf$R2Mf4s1r8282BtJIiI>fuqXW zgBfGX2;36m)m4L1Y(7+jTdEWUlkp%j9$21#I-~Hib41tog1HU&VqB){+bvZTU{Xm- z$dvLXif>?>7JX3^_*}SEb^>bRm8lYSCur6`hUOah$EeOfYYm2lFufmo(dJ~Ho!2V~ zR}x^d$5u+%xC+#eOn@M0o~6;W5}Lt+1U=&3P~2i!t3SShltUG)Wom^+3p#4(@=$+~ zTfr6B$RFl%eLF_aLja?LaM)At+-N!kNw#TmE^ z-fNNI?z#jdZ3}b<*ku2Q5`Jct;GsJYK=Q-`khlYVRoNm<@YOW}t3@KAeSOoHGH|xUsuv#cdh!YBjD9{!g?S7~4W8m;QsL#>X zj1e6mYqIaodMyFFHAV2el=IY{NiYbhIov9MLwDu>1TkWp@_ zFRl_=5^Yv^`bCy#V2qV*45-ryL1^-Yvaf3~*c;3{fMr}8W>yC2 z;S<6E@xEppoUpG3iYcKA~=O<6kDnYmzh^YYb$b?;RfB^Udze&gS9 z#Xnl?vYQjm-WcIqu?5tO*I@ORQ#ZOxxM_0y~6DzK7#8COx!QoJQ3*D0+W+k8rv#Fip z-2=0D<>U;0RW)WCEBKkBV$nT3XBN$`ta5n8)Z+qvWp_4tD=dKFUz|{*11_MT8QA!E zVZyv*->3}T@PQD!cria7*;6Nx!RcR+rIq>+@~hFV#LVn7N_2Jn1N%ZG_2X$$-IYx5 z24eWdv{d3Rt`=j$1k$e=8CcpMsnjuPWl@_=sLU%gU>Fng(?-AAZd21%k99KGknuBK zB_0P%g>I^D&qR?9X_V$e^BSCE&8HCINx{pZWG zR%IVO;=V;!@kv>k#gGI9RcmEw7kEQNz9!zgLgedmn=e8QU2J^@)MmGF7h&A_m%TC; z%}Zh^HiI&3*aDGeRu<5q|LF!~U{&g<8F46}ueM-}>Uhk`*o?K7%&5Z6uf<0H>ifYI zojI%I(-ka6lx+^%g)FI_@W4A!3l!BUCB>{gvXCHL{CSj?s3dvVI<>+;+WGOysFO+X zu=N@SfSV=@j)!<$hvtJwyWEG0i9gfNBUuG=RSRB@Bb^I2jLm(vcwPZbysJ9qZhJgm zmm$uWr)A8wn`geVJ#RlRX@N?H&0ovxY!CzcMaR{vu_|Y9MovrMYV$4#%CJqRf->N9 zi2`o2&4elv!&pG@xY$-naq8l!%eQ+I8%rLh1Hsuw=46PPUbn6Aeqb z>Ge)!t|sW!SXQCVWz3Y@_>zVLR;If5-^(YJUf&LEGhNgwL5^>vy%Mjs9%3sz)_stH z@=NLeN(o#}z^EW~NdlmRQkZiCr+-5Ls4yTZNZj!l zD4~#zg1~9UTL2~2aZQ^~Y$i+db4)Vg6j01F_R(O;!~f~@-dA};=m)J9+{{~>!OYUf zWwl_SRXgRN>BM1(Vn>c5XM>UH@PnMfU-QR;Kwk-_FZG}t*Fu^L6h32zh}|t60r4Fl zNiJap-9c>ZZyZetu|G$hQ3Ms$r17zJ(_DfemI32$jXz-19?EY?6vtylO-we|(##Bs zP`FPtuB=l@cA^Q-65>4V%~?zqxvj}0dCW$@zcrY!n4b4a1Z%q^%_Ae7EzDRF7!QXj1S+9eTYX;WHk(Z-7$ea%9ZlloZ~6V zdJbbLGP}z!$TI16+J0JJn*AV^>?-^86@o+X5EtRQ?bhlg5QP|*UBGPw?hw63zGR)w z6EIqx^|$G>J1uWGc3v+N%4K2TOXm_3SFZoKfZ1E|)S?1k-ak-@oWb|mWkdtz$mT(| zUdq7yUAH+-5&2*yW@v2xN1m7a0i{$+I|#VLZ6^DN)I@l8pV#I=>XaN#K?8E@QoCbB z`GSMB#rlGxvGJe)?PL)~LCN!gF%6`Ht5RnNV(b`{OjYWe0o6ViZf&E zTpNnVx%mbCC4F!rB#hZLJ-+kIGK6Zt@HSjc=XHz;>yUvKSTDA z4v)~@J>O^+*g=8K9i_+_Sl{o_A!M3VH)QX?{w)hl`MJi-BFET#bRWu$pg-}#5$fET z0c0WE@zbKX=a{TAMKR{ZXpgadnWCdIi#+es&J3%b5705QKX$!G7z+xK@+?DQd*4?G z8CM6=t5x#E2a2VN`G#Q3Zk|}VFq@aumS3c8Pt9={aW#Cr+i{NPrsjM@E39oxm^H7O_kWwE zl`Cecez_A22NoG+ZF7n_l|Lb(dp9YlRmvK2$Gj9ZYsk&Kl@fD6X_v*40?|q?Mxv&T zy55VI`q8(OzS(jg!H7A%Lotgwy@Tnq9qFWh@_}eJAN9F|mc%Z^jT%!TXAjq?ga_n; z(9JUQ8e92o;sTW4!3Vt#WotsFR;l@aYSos#54|R1HEPH!A3t1cN`0%@Tvs%uopT+0%koI;Alg&&zI#FEf3544TJ=H?oRgv@HW?8zkm zG^ryN8?n`xM}jq)9IW&2@|`djIB5+&Sgq~cPJ0j;bc9y&kXBr4O*lH$Wc44x3Z|v6CZAGJ%pC1rXM%Hkizh3_n%KbZ3=YNI5 z1BA+?YKa|HXlm}B5wo*&5xUxhBdK%UnDsj8uOsMY%O647K+@*9v0r3!l1|Wc{EAB> zW^HWWob7X|xJGL>DI7uS0@GIRBj4J0(%M<aExoV-IL_dd#3* zite>E1g%I~e}X&-s)>?_JuskJX_7Fg(_8n_%#Kucb#E3s=e%q7^`GaM#?|?GX)Ok7 z=6{Mb=@4ny&z*37((D-ajnzvShyikH=Et>Z`gX~Z7e6-yhj8MJ5d}y}XhNHXz0Sos zg&B0c4AC1fP34gC$XIKj{T%NY)nbrlVFD?sVQ&%HbL{Jv*aQSBm;6tL!i4V(c40$% z!6AzErg4=j@W~b?*`oUPa}nL2G&7H>UTaIK?K~kf&laKfvsD-TYtI&?b&O4x?DoD3 z6Om;v`bu#&TCu2HjdukyNtbLrx7r=qJfk|!?eu9C8;$IQ6sIr9`8ZFd_+Lt11e89` zz76Z^z85%?=Jb0nF6?|57xLUw_E&5b4{wvqZp~a<)!pj@Id*i5Q&g_>qhHOZUJMddA8 z-@F>mJ2UZQjv5@bhVG^+4pQLgCh_)mA?6agR(46mhp2nnczE^QoNCu)+PKHmg>@_0 z#_l>69@_t_u%0IsYDV(0LYw+=yYp)0`9GH2$Ny)^{TP=aVAUSK!Z9yUX_}Mx7Q+*v;wa{0y2w zE9#$KNf?$y?O_=Ovptob`$K^4}OD$-5-X!bzFLNSw6BP?6PKKFgrcII>UZj@_PQOa$@4e=zrwFzenWjbPzy z!pR&A%4F68L>1~el^4O4h>$P6b)=gVDJ!c)bq8h8_>p3)kY_>p69{BxCG)+o-e7v~ zzh(~0h|L@8z69Rk6Szpbc02Rhl1id^&i*!hX_*PY@IMgC6N7Xl3^wwC^un7v@WByo zLiH1zs~=9$Af8RRAbE4cgdX`JtgW^38GSg)8o>|FiB$53NuH~Eq=!C)(1h^<%Olr) zzLV5FezG8*#fTwUuYVKs#C6H08jG+}mhckcr2GfON+_ik$b zT^}_yJ8xiRZ(d3Jp|eZY*Cu$Rm_x8iWRf4%hl&LubrPILA745<0LtLb`R`twjP8-1x^Oe3lWK;NJq6`N-?ZY>YRCB|{2Btn%sS3b#k$2g(V3}N?D-Uzhx z3(=o&U4kiaW_=FxW0b=3p1h!NCMPmp%wGt8f#?PK6lpegVuN(qiRu{XIOAlfLL^F! zRSL^CDEnF1NP};EsIMxLoirm8q^X=m$+d+_n+d!sy!*gzgJ<+ge6wbZBo);w7aAs*Q>JMwY4NE2ID4L$(%B7bVYpG}93zqv`Ei7EF z=d}A_)FI=o31$sYHe?-0Gm}(3i{ri=)0#4Hd?en9ISFPjg?Byi6ou+63`zi1BUvP_ z%>;+s!96W*ol-Fquv3G8Xd_f5RVG^Ff zvC_1%|4la=Z`mv;x2rO^_q>cUxPwd^l9IBJGUUvKPLcR1BzBYGV>i7{0^=gKF!eTI z?pYoQC3MyVXkdgx2~kG@8j*lWsI>{80rVSS;_4F({Y-319k8EsRv!xaU9vY^JaQ!S z*H6=m@WI%m7m0cc>5L_at4UCcml9}*^YCq0<_`!q0oBiD0Tm>hz*3TBa7D>l#Qb;z zY7x?2vrwtP3&5rtETTIM=_Q`YY6zZY#|h)h^x;zLfBkyS9l~=-#&2uq(J$eHjNL4R zup3rO^RFsf#(Z&pkyEMC%)Cw3R=%efj5#=bL*@arRWbXw!;d9>cz0#9#y)cMv#%Di zRZkbVca+1%K6W$TuwqYINp=Nim61==Y?P8|nzxzX^)6MO9CzSRpzHN{AAU z3euFLlpt|CH&DS3K%Bq=2zCImPQxHbF}A=vste`(G3?4f@bxE;TYKZ@&pp9k3&Sf* z$q-+4*lKh?ZUy}bjyDOgKo9U5o=KqowSzY`FlT{}a`jD!j+@NR5x6ST*Z;XyOe+Up zoM{AqdOUmOaeVsEyJe;ew&l*QTi^7MCbc@NTX}AX>QUtL+3=uvCOCQW|0_Z0 z!fcy9Mf#*M;Um#9K-v96HS9U}*i`VcZYy+OtW0ST5}iFjez;IgLkH$Fsesbp zVUybqt@^mo=C%DF1B4Uh3aWh+(rgij1a1EPoWa%%Dnh4+} z{%A*@07~s%5FT+MN<@2W18fbt-5Fi1Crhj}ZTlk$VHM6EmU+>xEuGAUnYqD}jk3^a%09w9!k3%hhvIGtS>4*+ zEHoy(_mS8>Ox{$FIxg9?n_zuxHo~98puwJI@;J@FT;}v0W;ZuR>O|$O28#GLA?*fl z%Lx8GRj@xzUqZ<1ic8(^&vUU4h?37!C0rCKzGpsBr}+w9Ypa0>iN7Q5+Q`(4Xt>9U z$d!|Exx(LYOC}wfM`u$&Qy>nn)%@#PCG>Z*&SABunUJ++G zi@V=!NOhVhzvpLv&h~Aflj`5&b0}!8<>%^0bgbJ@0Dqm;Gb*At@0JX~msjVOg20F8 zQ|C{YGfk`Cy80K+6KvyUPKpRs=gnjJ6#bmJG?R+j2fFsc;H@5|)d@PsteL-{cq}(? z8DoXBgXzTu!_ zS&PcKVY(#>LJ0Ug=hOW!#=P=7mM%B-&EgUB&Pso!XBEcybffw!uu2m}M;uAaPBD-DXtMv~?Ry#(|E8NgJ zEnl!I?+Ck#lok<0f?)_wPgabJolo(MztolV`T8Nbd{I7)gQg+7Zf(4!`Kj+l_Kija zhd6e{^Xcz&ds$krrpoMJ4wXDQULO63?vVJk86Zk1-s&2p&LE8WnWvfTbazLLcTXmjQ(6qkxT=|&-L)}0v)k@xav|=7=~FI_e^{~ z-qg?KbawT^MW`=N$>LH)LkQjmLD8Btd9$T#9*5PBz^HLH%{@j#wR|s=F4+*y2^RKU z+XA+#(BaVGFt_};jypqkA@(7_TY2u}M_Rf**~+h&jwiHhxK?Tb^a`IUg0afH0JY*E zM$yU5xoIjcLEtC|ugG?Y=b{qx2&aWFC`?g?BlMrhpHG_<6thp?Fxb}vWml5_1nKq| zL=FY_7)0GbU^4V=!T*Wv)sX?Qy*V-@!t9y5sDGk+9SMKHQae(1KuH~0d_NoOwzv~s zFN8W0UaN)i`UcuCG5U{wS3@#>TZ=|Cemjc>j-^p>{~Sw4^=790M)l?{K~S3Ux}ljX zbohXIu>q%7X*PU8L>ScAhIE~y?;*kim*%2Jm< z!i%m74Qqnv{U*H&Ec6cLY|=PO?AjNw=2bWg`kLOvK~=?!GO7BfF`}a$$7or}0@7t6 zf^n-Lq(aH;h+^Z7_YCnY@mkmFqaazUj6dtBg*cup{WpPpcYDm9Kuo1%gRDyoc$#=2 zRkGSX#ulT+AOrdZ+Mdq25{RC+0>n0!U+5@c4Voi4X|mliv!f2;(1%Iin?z+zRt!Zw z;Oc3M&3gCC7VX2*hUd)!4{HVJKZ_Uk%4z82^`a#dr}VM!#6sUHq$p5;>|^}HR9+XhmK8{q)Y}!nhj@BD{)ZO`)>2b97j3FJ2fY3 zvRWCiUO@3q$u||qONeBw<)I_2U?RML%CTA*vCbZ9TTU_dnr|Sv=44l&e**~G`x1j4 zQ^03p=)YyLHr~Xhy)voRh7Uh)cO~$IdTnwd?YM*GYKEw0XIG&k4lzVVnJK zUbrn=&WZ}dEd${}x$^2aEwt8IY_SYWu`S~Ma>F@V7-P7Mm=WLIAlmaM;UWgiK*`m& z!JydHQU*7jW`h9f!d)6oF3LjB0it#D^BAZ_;Gr{;Pn7&j{J~cu4q@5cJK^x6&wGDZ zC!y23jVuGXG9j@65i(JX12cjoH<1*p9{T<9XR{XI(fNGX7YU{tvS_i%NTW)R_K!_z z(;|1b$7$4*1@d*r5<1}zh8`vbl`8dD-L#O_t>O^bW23;CSO^m>kbO1Be^Ojk8F?8O zI5XqWD+rl?A)$u0h8G2hoVy!Bf2Q2ZNb1F|t#k9!x?{Y2QhQvMS_+XO3D|Jrf6iJ zBv@kSR2`vgs4<#=9sio5YIIDN0wbUWkmdb{%z~|6mp8rl#A;`V#}1u2 zuisc8e{RwJ$i91mJ@bzlmXf8+ossO%vch!7K}lByqk1ZL|1)pr3nR^_vU_JaQ;lk- zRE<8VLuUotlCkRIBBLELt(;$`gaz!FB^Vst&;u`%pi17*h{*DiKLUNF{6)Z(QE*AJ z;x-6D6Tu~53Q0L-1j)MWK2gIUqRLB}|LOD`n=Xn@RjQHRjkf;vl)`h%bTpf1Dt)FR zJEn?{pj-&)Y&lVYbf z1gTXx+nUUDuDu$`fdea)Aq`HJn3@vpK zADG8QRYv@&Kn!Sa=&oE9(W6Dr8C}n6#9fF3q~3lCP0-tT^ts9bF0#AsC;r!k$F8`c zQ>aA>+6IEC-%w}Xh+_<>-nxBaR}SnDo{`@`NAL~I2pM$MHraMexF)R#b$Ii(W!SjXC~1ZaFVpU2-@C|11N54GXsyUcF+96Hg)$i%7JF>d7#Ltax$)Hco52KpRpRUKkT+(m5*r4_uLhxRJs0yG7xi?#e1eT+Dv8GoqgSxnNnarJG5~~L;sNtFo*5W$NrES{ka8{Thk4@`HMuHthJ(`RDUv>*E$Yur0Aai@6=mopo8O0@JWJ{C z`A2=2$o&~0ExlHN&SvIyVN61y-~K6iDI*Io)k_ggoGQg9fQ_W#Qru3$d+@KmD}^RnA>J9l+5 zbLvw;|E9BhDKJ`4-ckC6_;;0_;FG}h?FjX57EpagEJ675xN-&uBnGAw9A`A9z0>L? z)2AZ$+~qdQPoLkVVMUWK1!}&Dvh32)xG7M&Wm9jm2<3<|l0uZ!1V{)MGq5i;zGRs0 z3abWOPz9f};)mhcL5Y{uI@OmnlpKTQI6_>%SC0`OJ$9 zq#y49ZT*|j%m`va#N6{^ape<(l>{Z6;_%KHVTV`JJrwzH_fvwHfTynkhY*(i5MQ^( zouV3{Lz0f^$U>RyFR@#$fAg7jka@Svbr2i*V$?5ej=&{a!aJa_1k>E#l0=FdXpv%@TU6->U!#5TS8b9oVhmJjF1q zQNeGv0%Jyk{Ln)}w^Cmblca+i8MjvyPkYoHGl154r6N?_yRlA!d^qrISrd-H9J0lE zyx}T7=n+v5ouP!!DLWnR&)MTdTOqWxr?$zr44VNgy$Px~s*0}rzN?|Llyy(V$EuVI zQvv3O3;tk91I|0Syr`N6vf&hap+gh?EN3*0?=eE`d}Uy%ataGB?|fDf+xhffOX6IDT8m@c;`Bu`d-te)_R*3C@neP2uY z1-}H{`bATgB2kJO@YQ5VCK~vTsS8I=+(1x4ZU$G^I+rbnG4t8b=6b{_X34h6md-2w zGUa6bV$2h#KY1XgJP8ej7ATGY{7i)9`pY{>wtBKV7kzK1I>P$h!euZWh*m{g1zRHfWU8JR~N z_oHml47S+*rhK0HE72zgb-Z+AS-kP zTuT{BNYwsXeh+`og@DT}^-=s-Nz9rMg^$))&X+Z)x!Cjc0(}((Dej@4fF1N%b;m`l z?8HwIHV+pfZ+?I?M(&iO+8>0a#$P_X`cs|~1kF4YaZGeRM{t2=yhPoePh~1aE>r9f z$t=En93ag7XyW|y5i*Uq2VrRnl~`n$BCN?dAgSc1ck~ma?@;ON@Rz6p@QrNvdORrs zR&eTriYrPj#p zXQ}otud$|VG`_nz@wV>oy2h{!8lGh;f|jbss52tjOac$8^V|BL9r?%iw@Qk#pK3#$ z<`1*w`N{GR>?)zTi}oBg>**pkG*05V+KOpd8#8t0(4vnSNevo=l<`O?x#7* ztN%w_a!I)1irC}M2I7g>L%%#?4SQ}u>IjeAm=h0@xjDHfFyVfohJ$VLexc_nVU9;; z(2scs0Y9+Dick6M=o&-7RnK;dws;~nq$jXmXvx%dWS$Oft=dIWevLPSWpk4;yS`}z zof7S=T1{F0tTlt>k`VEWqU+$Ap^)3fj9!;5!d5%j2=ElGw<`RR)P@>he+Pp2#WKCt z%0Qlo&SMCYY)pwl@0u~2PQD&%ts0GQS8(8@4ew@7S1zL!(0LZrBERjhbSzjn;dZ=3 zaBLtPy?5~mLhHmeWs-!S|M1yB_|xTmPhcj>)_DjFOz%3<7k8=9j<%k_wcl5D%+6@z zR2^_3I&ip$^N4-%FN#~!@#3a0yOupR9FIPi1kYAu3D)KL8w)IR6fAS^{i)b7so0(~ zGF3L_edPCv1x{9ZayVEx(RHjqv0O%L?~J6b9c43>f(!k;(}p89o&+#X^ z1wa^^A0V^;g6IH{+UN%;?!TbXrb%_bnsu0F#y6y13+u!|GInH zDFLq#13ch7YJ1fG_c0D30mZD>2Y7qjrF($Kcm`CAl^6tg>Z>^l>tG&4&QSqzZMh1^V-VccVrH61FsDd*kdqQ;F)VhUwYB|SYjQ> zHX_r9sq#+pRvuavc5tnm!)Pj*`@d!=S%U*^F*J!Y@?f%l#0lT3itojsihbPJl7eY_ zX5Z zb=R7!xItyK(MfRwplvn>Cqa&$1)IX{W@S%pJN(sjygk91ymGE*h9z+v@oGxUR?w2% z_IA+<3y{ARaOX~j>NF=Gj9Ycr^`E926KtFryTkBxeCE1DcW?ce;aJo-Q6l#;3O?Oy-jnW{l!ABTjLu0`_w5n<)0&PwsAb~0r*#yDk z%Ova$qJ^KQl{Rl*kbWu-dU)qR`q@|E?#$gWH&W8U7`(p_%~1Pu^7Kr>SZNMa&_PM| zexCZ%O?~}wbaOkJ)5{@wA=s9ZP(}lri_a`VY2mgUhY%hkHBI4lJw`W%Q_)!<^69H3 z_LCHfDJ;wEc2CGY69n{#wSbI2d<(*NpN?x^Qs}Z7z>%>`K@D9-0SvJ5fPse>fT4tG zCZmRV0vb0tHHyEj*(5m#?KVyboYYQtzwD?=gH!$oqiz88nok(T%8?YYP;tS z>4k&l)cc+3JIzY?{f&aMcVN*1nMswArDEiTC);8&@0vQ1^8-%xWbdZ&Z4<`41J$kxUc0WQ zd6t-Ck2!$ToJWrp^qpVVyS3?=+A1r(BX(C0^@_pRZBMRuhJmOV%7EiQY}d2tK{-eh zFAzDx`hvHsbT5k(9Gn~DathR7Jf4&B8u4sYk9|o%&`@4 zUP-+Pi4$S5uO%Lnz}qy*V@EbvdKDGz{qI)owPRN|Id$DHJ0wp|Uo`@#NMh4vSj^q# z=N*Y8CEBz(^x)wjF@&yn8{NJ(4K*5RW@@f_ARokuKR0Ig(tG zXS5ERJzCgUjNn+h=O_+V)312x`NH49zoCV++n~@_gfJSePsW?c-lDYMJ3IM?D!J2%8^n|s*5_H+X$qm? z92?WW5A%p|9LV|FP9zz4PDm}Px#jNS;$n~FIi!QKSaqqU70mr+j3!?}K3;@b-?#sb zqk3=V>JB^zjwFL)_cNI7a(HX3v<5!O^vu-I$>92wIY%uy%aOHHuC7Vl0!ezFP(EC0 z9A|&tZ})Qr$AOPR0*jEU`K}&@6uVWN(Ej zjcttvZq!;6uZ=IRZI9T$GuFsS0(X{jN|2G-eDRsDsuo@#)R771+xqs1nJ&dV?c80c z=QlKRQk_zVq8a`WtRqOa<^4`!Sq6paH2QYEv#RZ*VG}1fnl3fpGOV|SS6on&ae9f^ zZGe3HeZ$17@P%y6nqRr~c=}sL$1(vmO~#DLnL{?7MI1`1w){_b^x|5Mh*E<18i7<& zlf@%XWt)*^)D;WA`d>>Hes`aTHBoho2vLO!*CbT9LT2=_6i?%7pnomTnbzn`B_r)l z#aOWBg&?RdW4Lzb`vybD^lv9U7_H=volv{Xbe`1(yOyGwkWMQUq&GBSn06@UTQXip zb@VbML(Vr4b>leOx>b!O{m^KqUu{k8ad_azOe*W+})izK#}*!< zTgM#z2uOJX>cn2&Bg#B|9&ekuFf@=xEBBlg;iIYzaAX`e}S;mD7?L!Q432xNBmtf&F* zMdVUb9{g9M5mQj{n*cBjBxiXTxu&Yj-q_bV?-$K%kH>1T<1d- zUELj^5by)K@U+1Nso!=<<&G* zUdmGlNmkxh+bg}&d7zRZ!TD3Rt3t=bH>!fU>@JJ|CCsd>$ndDVkvaCvxvkL*%qk8& zM1qzEFiHE6UZ{vnmMY7~-{-;IBK|ggB9XW5g7o&UT!#hav*i{IS(M7z%+%}1SBan}f!UzjPbJ>f& z52i~bTPlwG>YSVB_Ge1w#I8-s=HKHFI{386VD9rT zb)^%WrxY!)mB(TVL3f(6UIH$`Q%ggZbWR2uMtr=bGPN>v!-9y}k=))aYCiu)@oO=m zJ!3fM1)%P%o)8A@l!*N3NiJX*O`yWHunX8@XwR| z4vKsZAq6sk3jX?u9v!W+9q`j3-6!i8&+)@0Nq6Ta_bmpD=7Y>us$X1nzo!Q4e)ZZ) za6Ysdd;CFVeH{jXa1mkywsIIc^DBQORXrG3$-#--#s6#AM$wSS;~?lNCKk!ZOe(6rp`ieXP>rzt{;B^CQ9_y@ zretJq?b^0);2K~>2NY2i%#3|SF?ZCKwp~-!SIBb13tPnB>}@j?XYp>jHA5ink*3bJ z0;%Fe!@md;u&Q-cwzSQa?1~YpefKDDgzlbebK-9^5Wp2pvtWNDOH+Ly(oG)Lwp8nLV~a3MsQoJq;@EG7(6tNwJ-4hq4Xby1x+6h?KNdR0eI`Mgxx6Gr}XKS)r@W>cjS2b8T)Y=O9t%NT?Cn& zhM(|9EL@?zyNG%3_{#{SXsYZ_LJhP?)y+Z@JDHkIuc57NCCs>A6M9!RCyNSBY%mtg zYTkM6DGC0XNaxVL`)Wkido`H7C8M@5)PPMEPVp!y{#+}H3*jyJ>eJ+ph<7JP@aF;)m`(WIUm_I~XJTR6>>b#aIv%S8@ za#x^T;++@GD3wSJCH>F+2~m2oW(;~6$kZHbJh<;h&KJyU0>9)tWd@9na-Vb8w*wZQ zFCXSY^)7>XGapyq90g3-Q|Zw!x6D~HoioYw+6q1UJdaPFfK=}0Gv1CBpyK{Gh-K7& zYQbW!Zb7N~dn9gult4PCb9Q{HS@%S(vZXZa&=eJ@WiWDQoGOxQFE>Xl-WLHa9tgR% z_~ps@s{@UmPMJneO*tSlQ>yYO)9FF=nw?jhu78`6#)&yAL82~Wr!iu59HhW=1#lR= z$ciys3z5Vh`_dJ^l&)9;8WkH(Yx=I@?M`!mfE*UzAVCH*N&Fm!CBaY-#)kN9FT?{J zV|4k)FGsY%f#|KAXi6CrhNWze%E6CPL>BI11!_m6vSL*Bc{bC815f!wHw-r@`B~ zBkw@7|EytkY1x4KS7z64pYN|$p)N!{vRI)g%tzTI>(3JfxnW{LL6Ih0^`TP2wQ>Jc zKw`te<&d>gS4`~X41yO)pOu`}tRXEzHlnfADUL)L#aE${8rO(boLmZBN=T{&sbf^q zitqcX14H4*aa3DPFMJbsCr&XFaJgd7q#v#KnG($a{p;1AL&+D@+WWLG$!yrJo%vr+ zk?rFiw*ni6?LS8}KwWTQGzm(!DCjduS9g8X?U&4X7l5YQx+NZhzjw+1;%q4tr%U^7 zFLw#l1i713BbZXWNi}-l`I*IkF#XW8Yli)1=s%^k$fHjKb61)xh{dkfb7;ujY!B_i z&%+0z_d+OU?1rHAT_0Y}e^dGBjJL||RTN|iyapho>W$@JPkFu^SrhfH9YX}M0~bYH z&fVV1j{d}_t^zmh3F}SG0B1EoxvTf6x9!I!mkFmb?)d{_lCwAw%lY{wO+YmCcC_-0 z*Py<+XPr;l+cW#|60}2QejTwzVFOfPT?b;*r?Dl!b#uQZoA3q6B{`ns#q%B|@O|@4 z>MHp6IlKRbz+WBFwTtUjA>_A^mg4~&@Ah=4?)M)qhps&nF6)911ZU;gwhxasSkUi1 zs}S1=0_&@vK7^{4UiviYk5s^l#=esYv`@Y~#9VijQPwWkfo<+T5(K`3?)$V~T)!Z7 zzx!Sb=smc-L2deUKK^ES;e8Ld5ZHK`!0^s|7kVK0@wN{qF!2sdkMsRH==Z)4@*C8z z_s8yuYXRtYu<>`#|A`ZQvC74Lmhk(;)xto#L4?yiDkW8l=@Kdg60rHhBfWCzhZ3&W z*Pz8~&?@fhV{oPuNUvc@?-Sf6I6QH?d(Ew0vywP9;*?@xCnoTfFbtdhhQ_M*TFk|E zSu|h2b;h$eA_Ggy0NguwzHY8cn6KaUBHMm74$aMmU?EUR1hb@MCE{xLWISRUvemS1rP4tl+lqnt;fA}}O9({5{i&76A_reEXhWd8o z_jdZ%i2Vew<>rjFja0NJ;_-?%UL|QJ+M~lcUb%|AcJ;D}#dhg+>Rd==$TyYhk&Kt( zlPc*n-jcs}74{zn-Say=OFn_-~qvDM{uB@YXq zk@GJLZ%;s#_sn;g*FQA>)UJ>laV9pot_5Y5$r7^=K5}wIJYJ=7o+Jdmsp~i50UYAU@iq6S!zFGsmXE7 z(5kgnoXLQv?`D~b+_E6Wo2L(cnaZ>z!>}HQD!9H~tpfgV@RmG028r}u9r9he`m6Kb zoP}@w$}tNzAKlN7uKc4L|LD#>I>Z5>qaRS7tc>+{T~`&jXGZHnDU{t6?97*XROF(K zR)@VIM&N=9K#k$y{|ee9@-d(W24Mcc038_g_yU7Zz`#!gb`Sw$d0P5T^egDn7a|B_ zxj@tyzR!w+|G$8pFbS1Mj%~Z$rs@4@3I1KB)bfKgQ&)0DyyTxO1R>7us&=;tpT8uP zL@zWP%KU^GD%GlhZOSp?;Ugs54PP-Z@mmL1QR=Z2txv@)PS8*t+2VTUpcV%oc+Hga z)G&0&6r#beEhk>UO`(RZ!%cG#JncKk2~LZEVN>#A>y`S}bEMCsK;ccH%IqUeE<)oj zRBw>_JFchQBi6?(Gfj824i2)L=qj1*CUJhS8ZCJEn-%pXEcwzKjK?Yp@9Kosla_qFzs*`fh2ZzAbI3V+t z0s2rbbt^^34fw22bYRqmpGXnZOP z7c0a;m4)%KZw{m_6|_pP?x0~Sm0I6up!p|%mA)J0rNYf27?0O-Z6t@Y;^Kxd5d={p zcawy=#9m>h>k_l`H+j~>@~S~rDi>P^*+T`|$~H41(;rQv_NO$`>?Muv%w($tGGdgK ze=O3HXo;OQDARChi4jAX)J0j&@)mTfp^>d$HAU0zEr*Ctz_A6;KM%~+8po&YT2mE2#{MR5;}DnlnYr^Chm6rCl}c8?=m8GW`J zjOiktzR;waQGb3usQXhF0ARi`e_&2xyNF-89aklAV$qE>tD$+chN8uKfr0@M=6llz zbsb0%UrV&;Gy*g3b_R&I%qauJJnuBi)I~MvZ)`p{QJC@`1;17Qtfq719(A4^J#JFd zunorM#yVG2T)S%2xno6A-Q*rsyD2b_Z8aPpY&)@Fh#LgcpngRdQuzZ=!0I1L?pRdFj8ktSW!{xPeA7 zg?|Xa(D{dQ-MHSBQo{|hip%g$H@H1UquwKEgIx6sYiTrd(pV z*-vgQlP3a3?d4o>xR-j5jI>c(39fvW#k{q2QPfDMQn|w->C=T*lbNgli0lLO6#(IU zfV@9Icu{rO=!9{5-CqiBWJTxQ8|T=f`niUhH8Z`((>v_I(%TOb)R-9w&QY=-! zFA^41xYO>2Pr5CHu7H?%wc7q&CNvMGD^{rDiD@ge7j4Wd)c-^qEmUM%OAcn@#sOK# zqW0-T7#uBop0Mq!hJo7TSD(9S0 zhD!vCr|S4^z1I#%-C<@5B@!83NSij2cZ-ORrpVyKYP1#yrO7$xrfU^X(I`PWK4z=l`_T<|mj#(0U#Jkm_V~gNXt3x0nTLQVWSoly^H0s@ve`qBnQj|eKhkjR< zH4+<_^Jor~%3{$(uv10FFUcA%6)8gnvl0(kVe(+smH$v9yxSgeW`APIer^bH@u<^Y zOA*XN8Z>3!c@{iP#W&201Dyu056jn#Dq*W0X}m!z%|_9Li&Ng?rY3=&yd|>GCpD~q z!Vg_Mu%9sdVWNL+Azoro=x3Q2XD&gPHjc1HB6hQrmZ#3?5~Y~6E8meP7?JNS?U$tb zn)HdT^N9{ZGyhf#wl?Ls0o8n{L6xeOY*14yrutHoY!J-BM{K7k)fDrr#*8U(yT=eC04JiQxAus1ZMM4G+r9Uj})x^`VdEZi;j^iQRzw6z9<1n=SJ)pW@`p9{2QuBSHcc%friDhWhO|=jD?zL+%_c5hFnve zoAhapZlJC>u6#mt0&0d5;ii~n2?f?=rmcMj!u?TT7sDj;g;#YF5On7$8!(+IZB?@> z!zDpe=Chx2_c#m0nTB`gddyjO{P$Rc_d%Hp=UC`hQORR${lKJDNOfchlM76O!ebjl z8T6FqS;d=LH8DxB#UGs;@;?~67Yhs$82Y|r=W6THMS=kc`mTQvjbc5NdR>eEa5Pw8 z?mly;_fsaT!EH|l#%9K2ftCn%6l$cpam!Q9px4HA_J4KXs|M}0Km3z9Zutsa?-|Op z=QvPIXA@hMPo0xcjFF(LQM=RxB1QI(#Gq=*tzA!K?x=D5`KPAr&jR@P(Ajy~ zKL1nfJi!_tV7u%=1KVYc6xc3lwl`X^tTczfb~&52rJ95{D^vU`y}mq1g14&tMONg4J_60uAa(OE3lf&R_eKnt#k znUhZi>;d3*g(5z9V0UlB)E4u@H!$!Qt9cGeHO-E))``tl zNo9x>@N2XkT3X0%%ea?eaW8K`o~+>XTK&?f2ufu&1}EaMG~ZU{(I#8@G5{adEBcE$ z=X~yW%=kD|=?z83c#TUJLl;3JLxd8jPwh-U15uG@fG&B?`0U<}Q7qhF!a^eHm0kJ4 z;_+eF=4PL-dRm|JaXVw>RbLT1z6@NFqHG%w#C;<*?!S;EO(T(=?FU}~L*bCI=@}O0 z$cdDw7nO&&g<&iFx~wNwmOfZ^LtT@|&t~>jGrv_NXwf0n(ekb>CcWah*tih&=r0Df zvlC3j=0Qq_Wioj4!za-6>a^{vMVXrKdZ^c z<%|;Q>ZdVxg>`U@xDE~coOxhsch9YItjPQfiRxb22e zX$QfUx?D>Kc`0ow&#oHh=K1SPsAhj^F&Se(n>3~q7F*?0(WG8%Ge@JYJRW;d1Rdic z0SJW)0K%^ygleMzAu2aOh!+nK%IX7zaBTo#86+VnSjEbMTF^DbnoZQpK2VJkRHHaSsszRtKIrmQ+X2@=YwUUyrY!y!|0MIcdMS;W*}46aJh&;VFZ1Q(MyEMcB_pAoy>RSZ>=uTavAJqr zrs&hcp2VZaie%GLBzF$xUaBL=Q`)DDX3XQs$&t9+*{n};+;VMi7Dt!Qd`r|muhBBB8iXn=^nWfA1IfCwc+K*T3N1le&8S1KTacJWVG zlK>#1w%NRR7l*Ibq*d_Tw%K;fKHqy#&1AtjY?@mqKqptaLZdI+bmJ1L(0jB_9*!tAjWT7P8y%B_?2ncN#&vmW4vbWLbxSFHlGTaTI z?S)ijV!y&SB5A-(htepa#BqzRj#WxPsAj}b?^_!5_!aeQ%PIz9xs!VOu2o_=Q|S*v zwLE}Y&b(J&aR{#yLbn1dF<-gHxAyop#Xi_=t&e8wv9@hJLA3^{(TPw>Y%}4}d&(vD zmk_SWPi6GfExL)XAO*)*h@&#RneSYoVIS40hxRB~6&Udy-Tlh3NfBF}q&@om5A6)rBiH;mv-)_u>>=rHp8f{U1tPV>ar4TpYtW zHpXa9{|ZzeGa-nwI;678dbVgcK_oT z)jF4USzL8$-lNhfyiNiAJ4uQ9G2bk=*fM2AZLG?lTYU43Eh~YD8m|)0W4=*tu_bSG zlV@jzd6(S`LjYdoRf2iU*SjpScr54Ach|Z@Aa)A#d>yyn2idj*<`trQb&+~b=MEp- zgU<))`-}%Bc*g_US+Q>ia@Rfp@?+fyWU!qAE*>KDRg7|!k z36ivwm+G*Q90J>=A2@f*y zfC*yX4~PY7OMyI>MuTo>5P%7eKY@dExDi0<|9)fw;k^blr~U@n;JDugIjIqV6tvng zf^opHH?fqpZp?pXuMUmy+Nta^R&e=j_#?1&Z_>|yo_`R%(QK+kh>xs80) zDYL#yLHH0oiun8ju(Hm$Jbkd%^ymrztm8MU-T>>tN#%O95HijiCiv4&0njcVN9V=s z0ivC$)b?XJ!3%;6yt`LB=sx`=dTx)kCty}$W>t?Lvl`EAc>kEyJ0O~S#svfx`YpGn z2Y5w~D0=)x$M+x6NHM@oGb~;dS-`Bgc}^g9kgpD8))6RyS&bt;gK`DFPpqZ0H^kB$ zcCYWNQN9=~<82;{P%Ey4U5XZp4ewb?GgCDuA73aBqF6gH~ztVw}LI{$@ zz!*=}a(X2tNeQa*BcY^*)eRp+u755hypY)U%*C`Gf}kc7R*SATKD70|o*9 zGA;<=C%_GLf{=jxL5=qh_3J;>JmCLOOUMfslGj*FrMjhO`65@!$qQ5FvUnF{`F9dz zfZf4LL7O=CpQ9@yKjb=edJDm1M~*+>1;BptaYS5Xp(IL}!s_@gFSHRo4*Cp97Rb!K z?E<{k@iN5)6ESXiofRk;F+REiMHX0jP|A)B3;lo>_z$bdKdce|vO@pEit-;;eTM8n zd2zEB8(|nf0nU&<)4FW=Pg#AYZjVGykc`I&>m-ozh)LfNG5l~X2aajxNc%Qwvht2o-PJDydr1RO` z&jl{m4UXi7NaJ9{+VquSVQWj=^VR>pJuy1;n4s(~+#Ifn24!bx!A#~CvfO0ZjHy>B zMWJ_JeCl20HR%)4V0^{*G)|(-tmmS!CuBMK*Ki7!7Q=l>(ltW4cJ05tFqhz~#@6#8 zuKq`Ays477JaWVxP;OUwFXZ1sDGtWJ*YNj#QSR6p8bw=jL+OEzp;#a6{twg7|1nWf z@yHq0e!AMh=}h_1s=a?FP`v*RbdRq z+j5$21-km5?Xe$=@Cx11WRCJ?W>7Hog1KEqJ^kl9(XIXWJ8@}4?;hGb-B-W89?N)t zB^#>odS*!AT`#9&@qA`tYbfv>#F1K<=n-Z2Y~T$wNbOmPE?VMIweA8>h=F3Bx^Qy? z|Dq{J1(~=k5*~E;`VBMruJ^mz9!6wVJ3N-c6o!-X&XUng$UWX1f&uTu*Y@G2esbrB5+qr%pf$Pvba1i z@gA619Wf52_x;`{h?H11pOpuvj9_r^DFoW0%K+g}!+)s^Eyb=L9yJNVboQj5xi zfg25$LY}SK)wy-G^@XT5-X0WYI3J0+T5+oNKu54ulESRZHGVy4o6HyshZs76>F)aF zfj(CYE+SV!{$-q!Vbrg2jsf);O0u^2>8Y_YZ5*9Tr#AFsDXCo<)8>nn7Q0OFm*wfw z)o)sR#_1QFgSvSqWJ~RVc;pDu&OMRbepWTk-~T}%&`MQ%^}wdE(k>y)T!B}QEtkbw zcW)DVu)R*af?^79wz9)@P3y$Iefhp4zTW<_qH@ zSS#WzPcCz-GPP9j{fXSWRbgVvT*!2lxY@gbtexIVUtLg$HM<8^Ss?>hn|A)2@i5aUQ`u|SgwbecL1g++|PNLT{ONK;kNibFX$=;6|kG0^wQYqMZ zteG-9fvulB}azHV;xKNgygK<&o~xVds$^A02fnYZa$buFW3C zpi~}eJqfu)YAP!gp8}xcQ2)OC>E(YR))pP#lQkb0t-c zQmQ3ANm9ZWA+2L%4d?%`hH2d6aD;K~4o;DlT&gdTwoj>xSp~2Uk~4i*OzSOuj!N$x zJ>g6m`@_2H#Jsv;wFt!m!UZuJ@aR_9Xu%?eQ?5q85S4~8}CrHxI+rFNe zxvn{Rn0vfr__SdE#3WHXfi)W*4OQ`zJfNN0M?qy$V!sZSq9^|P#PNqa{~JmA%cZ-# z%2a`xr3&Q0z$e!-O3GJ5*EBpGZTSB9lLr%7pUUpbslH1EpO`jWc)iwNgw$VOeQ=N{ z0!js3`P?*Ame}ZDi&Qid1=4U$IuM>U$-Hc2N^+@je|K=Dr_4pBTU*ZQMo;J&Q`qXZ z4U;nr1VlWQa6jQXl})Ed2szKbv+-cz%C7Cy6-z-KuIX0$m}_elVRb63o8wKYle$#< zGp5yS3m|)rY_ab3m%tK@B7D=6d#fx8IJ%iwm$4Vc2#~xxo+{y}%Y<>Me6zFR!iYcF zimur{*#VydHFmJHy;S5I_gJh5_$y!YD++gs)Whk`&^40qr6E@j(pJ|jev>M{=am~% z4}M|-nas?^M`vxc{4<$@+LK+HvAA6fo(3;lM8Ifkhn_bIMF{^l3{$+x9N2=OTXiGH zWXxZ9uP>G{yYz@cGIKr`R|euew#t(*1PzGre2PFta;53&O@FmJ7OgN-2WZ%PLP7 zIbnXatAOCn4*Sk$Q4X=BbsaQgSfSs2QFbBGwZIP9;aPxofedon#t#4yR2^BI{a`Hr zy{67-GLco^(7TN#dvsLZ6@_Yp#9W|Tk1tY;)(nPSxb#+`$@D;*c`mzuDNJ* zS=)6TbsiW+;}<2m6s&wt5tW$7yZo@l_R$bkliqs(AA#2Sg0D47Dj)Uzt+(f#L}F)a zmO`J>9Phr~_{r%-_-l_R2ow&xjSKS`?|b3v&4l~zGp}2r`TPXW#OUa~+e7(a_+{5Y zirtIggmu_M!|1ge5qoAz%Kqi*NnOzBrQ5WdnOWk*H`ue$QG%=__^mn?*3oW_6#dGm z7;p#&hl%Y@+F=U#a@E{y_xdR%MPuhFIV3K>;q&L=>k>--d%Ln;s#nW|=52wwk8wKg zeCLCd+97?HwqP?c{|a>fb|=icu)-3^HtQ4?dx4~Lt$JzPkzbEbiceb5!%wb^ z_PbpRP+Q*&yK}|SZXJ>9n-iOkPFNXjcNFWJ<2ih=T=N+%9K_;_2aq*?|3u8SLGJ2u zSJjZR%}!WPET5}5c8lY|G96cVFMhxE^**mWg=g$yFK=m!d}>|Pp(ihaWo@<>dDwlc zwfUgN9_u2>+gE{3Zkt&p#3~c|p?(g5*(eJLR zz*jQPa54#)6DHug?(MlVBjr+thE(t7_SBPjn(eEhak92_;PYTRtK&0=U=Mf7_U-Yr zqwBQNTDqg2AIVoYt&i7mde5qz2M4R+!c%%w35petn01si)&{4|aBKo6^zL0;N$z8y0tUD%W+)StoXdrvl6`UtOLRbXUDY zQu-g6-LBT$$X*~_es^(yVX3A8-%WR+9gBBrj7KW zmkIo!9(op%+CO@Dq{8q?mZ&xzL)q@)lTOaU&UJilI>p~*#HiN_CF^@EFWwO$$LsWpws&WtP=jWuc0_vvu# z==sU<1@!c?@1DpvYTi`0b5ymzIY4~as5kTkV(9i~+0h?j2|IaF3hE6ONV2-PI*{&@ zJpXebpH4aq?4xkmOLwEvvA8_Wqa=H!qd2B+M^&Odv73mOiADe8t#te8Cut6<`-rZyg03NIb&wO@9Cf=nZWO#^o*T57C`F25tWf zpkRapDhCt&Cj)@lWT{qH6OqLdT$v7?QfsZ=?$g;p?uV5GSA!+7wFq|hWhhSDiAR-b!BY?VKayNHD^Y!DGg z40vA&|K&Qkh25G%JNf1SB@D0SAC_10qk4UL?!+dffK~`kp;mW3?k9d}1<+X)rA;@`%PE0H_v99Kv?U zjGH&6Wjaud2Wq<&Ibth5)(Ky;#a6@H#p?fLRImK0sgT#uSpYy1Dcvn3^sB`q37wRG zd~^%i+3SBQU~0ZG5r103(~2&)#-ycn{FGw5V4!S+{9BBwfzLvQTqX1fw4dlqzg^6; zTB+n3N+4Uf%$_V8<3Hro1`}t6f;kO8;yh&I{CiNzwN*Fo1cU29zUk|11{rMJz6&yy z%C-T^YGrr+l68>NZ%0yB?Tk;3vl+Gv#rSD(c9^uf+r@2dKopbXCotef;W$~ZYfQBr zZP_L+8vrr$B`PVpr{EZmkrY;D8Cb0FA))bWegFZD%>rl0C)uT4C3MH=dO~9g2lciE zt59KiVZDx&qyCT6a^80o{M1e?IKT84IyePVOqegRGc(lK`-+_F(k4cNN5H(R(1*YC zFLW_A&gO(_md>Y!zg18?7qa%t?Ln)hXSg9BqkZ07v(`&oA`@R3a35CY7r)uW1&`2j zO}+YNp!X`CRK)qOGSQ2ji13@1*c1+W-kNC+OQ0!qA>;-eCk{cDKkkmz&VEsH^vT^e zNTcBrRdQ?=i_b6SWm?VUWfI$Q*vHy&prwnFX`+deQF7Bc7~3L3BQLIJe1@@H7JMR3 z$-$a#ob^<0V`%USrI5oHLZhpb>qt2^E`&?iFIRcAUequ$IcQAa_>Dr@FbMt+LsQ7M^`EzlOC_!Kb>mw4B?Hnb25C`&Ev^2Ss(d zwJ_b891@w%e6=thGoi*-<#*_?`a*o=v@RVF>E*tJ0I3@(H7WJFqc+RsXx(Bt-w3*B zKCLu&3H1Vtv$iB_u zfn}ob76t4~rt@JiMr~M1k*LS-?`NHxOioS*)Xhd$*SM?b7%X*?8EG!d`@$H8pRxBw zDU6+Kx~AR&D`x5o>Ic~8s;V?Jw9?PB8m00fn%SGX?ybq5?IY;j?VGp2KW>wZCjplN zyg>bvJ#W9>YglSoMoPEB;O`(i2o1$VxwO%2 zEYz93KJ$)6GBLqyNx3{-B$W!omYy9Nczos+5Q)dYQJ8qN3!uexSLnC5{m1#n zkrRhFB1->738XbHpwKN{Jw+9q;jep;Ja1F)jJ@|oCp=AN^YI&AVkvcSN6%VkQmXdO zaN<7$;kpe?+f70aip;422)L4pJX=`8fP$YviEBI0J4U7H$-C*pT{(Oz6)^LRgv7c8 z#MiLkk?ym;5%^sEU0bTJH}bT=fbHt)iGjz<*-Pged^XB{R#%FNn=nfo7J0uZzm!DK-1NnW(@ca2*xnM}VYbaiFkBbmGt2i&?ldHY&nZ5&+2>Ox-y=y}ccvsGl0M=a{mI3f z`Xz?BVJ!wlhV+?Po<+x$6b8g(8h??>V(^Ou)a9bnS9exp`jwg?z zn2*gGqX$xvvP90v3aS<7pD9!$EDC$;z8?QW=|b7_-R$StXc2|I#jri#b4)5`Cn>!; zV8{69Q}CQ;r1(nX-OZ|Zan`E}QvB{fjDh5 zui2&PXH*C?|FGhb4XDeR1e$a-Z{D=^ifIcWvdY=Ai-`E^4lt!&TUpz)oV~g+ya#p8 z^jUV;C&y@1<(1rTT#iMkj~HT)Ram=CqRi>x1vbv&AvRWKezXjR-~S|ph`viBr$n6R zlbfn0W6y4rX!wr2{v{$~bpfYEW{k&m(+ZI*7fD4=vg;B=y|2wpp49*TkQSd%(BKQ9 zI7YO3z)#5OT2!TnGk(>0Jm+`g+>%(eO*tm#pQ=pG6|2NmWj&-c|5JE9N~XwA^rf-> zQ$FfD{v7H}itqcMLXi3SoYM3^<@~JALN9#2&q61>vCl#)Jh9J0L%xkHO77iv@_2&p zidv5?H+iEZRm@3AR`U7?8}g(Of`v4{o4boG_r`J4n+q-8ouH|Tr7GZBvwnA(wo0c0 z>+bURrDJ=Rb-vPv*2-nTA(ZDW3DX*5OXIdF4lf4cjFpWn2DXOl{+v3%jmVtZ z#g)sP+QdaBs&zGn1e2E-erdenN{Lz0HiP)K&VVP^$Tf?Z%xNLn3X!q<%aD$ zWtI9*M$)xCay>L<;!8YDO_>&uZJw#-&XZ=Z(qK3sz5sjh+)RW|+#p=3L z-Q+MzVa_B>v+vDRs{ou~PC{0o&(yF2S2U_Hem>&bmsyDBE`8%EDgmP&Dg1ip&RiRE zzK}X5J)9UQC0Va9G}Y{Dg~g ze{P*=6{Ug9YajEXyy;A5#b6l^x`R7bV(;9J93q6IC)L>+bAb>6@EU`Dk>dxC7joCm z#<*8Do1@x0#8GcNWqMo4n0o~&gSPt#ym#c6S?KovhxEz&1uCOBa>RRHkdWn-wq$F< zJNw(Q0}xUyvMWI8{B)1v)F!C&QU`Mo4UiE6?yKjhNw4+dt8{yUdjeKX9y0d|Kgx9E zN-#Nmo1covs^^}}34I*y6`-5{4=I`N6~Yb}A$rdX5i-4&6dO-?|3Vzfy~qO2Z^WDK zVDXapOs}~ZpqSmb$O`4Yd7`#4y)P3xP#yQ3@ZRnmPrS$qijc+f_PtjSvFei}0g{Hd z=6KbFGB9~jfwcWUq}>VcC-QUTYnZ{OqWnmK*Yl`ej@c@b%dA-Lm#2ZQgI3^bro6__ zp6>~~fELCsoay#{1>3c7v_GG%Mk6>ti3gnmmu}++vQNxL+xr#UJ3XMTJ0^&_V7oJy z?dG!%;L>cg)_$R3j1fH@h1|zKlS8iju%c2?J#_g0jxa(>1p0dyLAauCN?HdY% z`HAIb_zk;ahrc%^>{?c*J_;-8w%mL^*R#Gk-<(ec>o~8^l=pZ{y`DvPir=Q$x~QWi zRIQM1sR%h~zn(*3YM4#zv3{dA{brX&D<#(=qLGEGw}8{sb}(y`?O3>R5OKr-ua?^E z#-3~3-OP1KB5cHAp0#0yUrQ&lF4HaJW@^(h{f5f<7YegDCD-v~kc{I}+7yI<7jD8% z{RBSKh@~BO5ioVXvFtJBI+ok1XNl{P*FN7_jpXQ_l5QRPy*{FX;QURj^-5|O!{j_u zgIV>u$WKekwvcz9c)znKG38=iCqH4^Z1~41UJkGySN!WVdqsX4?QiP2)pemFl}g0T zjehT*RJG|Iy^LQ4d?b-ubWwF(_?Z|pC3e|Uo;MJe}SBwO0Q1gE);iOm$KB* z<{oveRybbZZJJxpYSghXlhPLj)V)QVI^0wb3+kjI&RH)Io+u##$j;d%OnHdRW)kUBJxS0AyEX+UM572lX(~*0EhyB zbgX_#T6djXY4<^Jfzc*;QDBT@sC*Sjii2xvYx#XMZ~8b0@!lCbL!va+qVjhw-j~W& zcfa8tOzt>U$|;?|9d-|$hEM$ax!rm_?TSS77##xRaFwU3#AoM@r#Wd+<8@VJ}Q8w zoh2?up`Xf~6=L`z~5Kg-@yNJk=8XOst*Erw>z9}zmST1--I<+579q`$o*5^e`PaD^JSlnb1w&hFHwoIrQ~8ftgaV|sC2SZ z3aEaVC*BYq7N|U!1-ROvwH&0d8DqdTRtU*tQ)S$2Z4h}<*fEl*pG5rg`99T01;)|L zyn#>kq_Wv|hMOgU5J>J%!uXxd2! z_BR~$rd2H8RFn&W{7kdh+Dh<7`Lyktm0}NpN15U(HK17(8}he;icgH~)hyX&KXEK$ z&0^rn9u%x9_HgspqhqXI-hW)j!~C0BCEXShc5+`KEOzSw!b4^+-)lm^T)hMVQPr92 zc&f?+x3`aXnM+3ye_;(47slv6oDq5vK6mh?->r2VLI|`SnEk>|1 z{DSJ>S^8Ri`da>7(A7nAQBKRr+zySpwQl6MMY>@|hgkaGaVEq(hahJcrgZ&X@YM@x zo4jMnNdtLVK0fJ*hCHPckt>tL3{!a8|1qO&U*9gGd5){APs<}th-`D(p9*jxzW=3+Fno4{*Iiovr9+ThN2+4bxpK5x5v}jsE?TR z#*keMeDF~nL<@mRSMu{3Tex|N0%b&$$HT04>k@>Nj)>+bYELvLFU1$+e zh>ysmxjx87qDlv3pGX7{Vxe&@elig4CNK~k%^~)#S^Uzdo=JSmsGd=L#;Be_yvK0~(`#4dr`27lE@f#j z7A3Her%D8QrZ`uD^k2dPl*`8`@INDVX@{L?kdiJj&z^0NVS8xqpWq1&4$f!MA-L;d zY|@60JwjP}?+=}pAsoZuq7G!FjN`L^=k{*HJ6)3NqJIxD@uB%kH1SSpvPlg}R7k%a z85LUhihu;6)rv|1q(0T8)34V#A?2GThHr1KUJWch~QJs>Be!~Dk!(6TBnQ@FDV zE^)cDGA>ix+7qysPuN<(pA+GJKETqECcFn*xrTPidQSY8^qAGuR zz;JHI_Z%gZ+&~qJkqn5J6UpR@WIt`JyWF}tLdyw=5Rh3lBpa`2pp(OEy-+Z-;c?t0#)?}AfeTW?IW0y&8+PrQcwq0oFqxhcblB zWWQt0)}I+OSM2A^7|3?#^gq}@4z(98R3?L}Q)$)r#VL|uvDFNZey>X+&L+2D%hM`^ zJHyTk(*W@4whKXTE6V$mJz`E~55@}mlgVtd(Yzx9Qq$xi@?Yw~oh4e!hIFJU5{l{Y zb(paUp!oY?0G0J;RHm3W#GfhT;pFz6&&8hULdRRkbR@x!co<-O9~iO3UQ5O3uw3e$ zcf3Gt-|l!}oPAh}q5i22c|9rejJ}@(%ZQ>c>Ka;fZ_Vw27b8swSB494`^j1?^1qY% z8;gUCFz5j(?q&^RTK@MON7XNVQr?4g$w4!ICVwy!dNZAA=K_8WvvCM{xU1m2VSA^Eb0LGE1&XV z8;}VKloqBYdKm};toZ9JYNAvtMMNPw?k~I{79T}bEXS&#n%K=JcoUF`)a1en6a_@0 zV1vR!h9HF6+_0#-U%He6oXS3_Tf$7~AQ4PGT`k3A>EGCQGH|tYBqE5_rD|p~AGe*{ zg_9LfhRV_bDn$7D3z@`tVI}%rEE2@N5xROvGgGMY(gfP;_gqDps8n+z7j#9Sm}f`} zpvrPJ=%L&wh zw3cpr)LlAR6J@Y$Y6c>f)D+#{9OMGYg1qoBj&Kx&KJR_l%>H|igc-_9TxKYg6#z2O*y^b1@0Jj%)z@(BT{swc zMnn%Kc&eyspuy_X{9f=Rai%63-6x(M;%C^aV^m^fAjYf8{2ej&%g#IrAtLQlQTc`d zP$MBNH`3!{Kc%={+H%S<|I!*narvUdTAbH zR>x;&`0Fed7|3xkU|0j$<;o{B@Eh;0XY0kOCVqtLdM^D*Gcce!m7Je9pWWfbr!ln$ ztN4BSStPZO#WB54bZQc#G(5>hhy1&#SZ;`b_?enO8VHK^5qJ_UacZAvbneDG3ZM74 zP2dLbve^Qur;SU+bv>B9B8yTdlWpMR%9tb4wS1y6iE~ZBi2p8*-w%z*oV6}Tr2Mr6 z`-o&mr0xv*0mV}-E*Ry3O+1<%RKK>lteJg(a7}MrbS341Wrhqdi3>AR6|Dob>!*(cYjmlOHYtky4@vd~SAacBn_=&CjGsC) z@QO=G;qcogM8!mbPNnK5!pS$lbwY}wo_}CRi8A&NKXuIPW4fsD;WwT8c2V?|J&IWO ztT;&v&q(^5BuT%=l|3=0;lJNDH6^n?Uf1(e6HPu4B*`RH`?aONS3Y$_hbtLza8re+ zXdaLvxhA6ajdmwc*JJj5@5%|EzqUbvsujHTUiifB@=$jCLTA;xQ(IapcY$!XWBdiN z;1t|oic(LU_n4!`&W+uKnZw+($YQbwAZ^8wpT86?hE67#TjSQQFGWpts1M-a+gOYJ z9r@CT1THup_#0Va98O3M27JC*<2r^_JmYjssSV)pxYq(imy0ahYub;W-C-*MCirRq zdIg|D9RT|J1_=OA5lMd4OYFhoFt-9&0iZ z{rCf@P`8u2f6<}30*=p{u2YTqeL_*-0Sc{@N=K$_(gDh3$n^CQ(@S-9P?(p7qzG9o z88ZAA!dOWoMokIyxnC^TQ_%)-L?IOOdh8TedBS+;F@G#1t4ksT(LI$VC4}Xr*(t~( z0H2RIArxN*=3}B&6p%wC)z~N&*~G{~#`2vhw%@CWkSU`Bw74;nMwh09@LUnI(LZ}d zMEvBqC}J1OH2@kcfTm*-pg{y^Zs!0RVSt7Vpt%wPXzT%+UIh`d2{=o|GxLD=So1Ur z0%ZBdH9fqP;}Th@(>1+)Zf?So1HvI!Q?LPS3|COX+*tIpTPScl8o3j&`x=`@9lXT( z#yO&of479V6PqoVqX3lVod3ZoI+BuUZDdA>8%w%3ik~3gI3(=u!ah1O5dAW50_H8W zlNbLj^!abzTWB$J6oAq=2t1g)g`SMW3E^Qq4UzZ;vY*VL0H*ThC;=Rb>gs;ahZYjT zFwEQYJwG7jW@7A{of;BC1j-|cEQIOoUNKKMI>}(+kcX*YKQR;?JcxD zY0sC9=I&Au62Y!L%HJsP$CCpIL?HW0u*Bn%W%ffI0-Rw(At$n`x1d&qA)=yCurC{d z)?w@is2$G5T$M>p66D~-!I*JKKowS*RC-hUVj|zvP31|c>|7UT^kR4P=?OJz-%@=k zPlg8)MPzw=W_3$4|H!s~2S;8oMbmBKSbNtXlHOm&X{UZlHg9^uQ7 zgZ=$cxUnG9%(6Y)h4Aigug6I<@yvm*PW)uJ#T8gV*1qrI=>i?MDs0qg`X?{ z&D1vr@RT}-u3p}rsTrBK>+N_)yko(9b!|~R3RGJh{?w_xT7id;PX!~76Y5!|2qX7< zv>F@#EI{q4!`@_XNFvC}%FN92ZIBP5-UILdx$=0D_;SDHT(LQ^m8Vj@beOlL4-+Rl zD_%;iKTF)6*^W_Bo9;D=yTkJgg^B8J+Kvgv z*l9m(!_vhmW+B;RfZ4l*?r)HaT|-{jR^AKA4=$X}?_5Hvz~g0nMh}0e^Lg zI3aB7EkbrFq)$ zESizxmYR-v-F}6k#f;U<$lRN!i}^4?#V#b!u7HY(srB|H$u6Rf;WmttO!%eZ4#>=M zpD=I#Y1xmexd^)`(;XcqualhhDt3GNRN%g|SL9mtI4EF|N(8WTP4t@OoL*nsm6Cpi zpQGX)H8r+>s?`S+=g+vW)=3Mj*Y5ZFF#Zc__e6`N0kHMb{$A4ef@SUeFJ(zddXo%Y zh`9;J>)XFwubryaEK(3a!%A}=?{vC9=&g<4YuybeKrtftr+1DAQ0@l`0ex-U26&2| z%)akp6!vd16YKdRQK4%n{jWipW>c!@Uwg;q>^Vhh z=NsBf*4*!>Z)mHa&tQejz3xX%Mrnc5-7YMpJGWC!#^KQQQkvA)LW!Zh40CskQDTgU zD;?-2b9dAc#AKq)mY;GHct(?#`IqIS{XYlHklZqZKU`N6k!POrvl{)nywdb<`?0pY zWGb{8D1hx%f75<7xkKy(tCL`NR<$E@XgfzHk;u@oTi5ynW145e>Gbx=ey+N-Yb3G4 zt!i(z!`%7ws(m4bQU6J;-t+viyYyPU>$GqJ>GaH_=~4Fdc_Pl-<#gP#%H7Tu5_CEm z#&N?>y7*UjLagWk8wX~5pa&cL-99#hFk@bsp#s)7!Q0#U=EsfI_b-E(Xl`D(BC%_F zu3b(97y1{knR;?|wl0-LVc>x_2DIOE+O~GApNW^0{Bu!=LESqG!TaN3-CzS=UcC_a zhXv~g(;@~+uegG7m^k&GFsYIvRnwP^3#(R@Ql|Y&z>Ds)w-&YDm$@`Vc3th4tJ+YFJ(JVcdjgbFGdN!RCJ@!hRa^}d?vZU0pAN9 zDCIr@9uU6Qy^}Yq=d0Vfe%+z>LFubZIMZp@cBj)`$Q|P1FYh6RGd!B^QYs7N>9HN7 zkRY^fC#Egqo41G5c)uz)A|f|BzJ(H(fW_HMOkCX`tDjoGxMPENJX({PPUp{Sa~Us1 z$w%-{luZc0Nssr=E=jk49+|<%S85)@ZhKNno78!|3T5a4Sdo()uKBe;c2Z>*WG0gi z>Cfj=Ehn~{3i`t5mt8j}1lXV4*y|c#_?&~6*WISQ+p3;QG{lAJs+ByeY|~I&TlAmp zzuh~K@}E7MzC_EtQm5$)n4l2%`bQn;hgCIc?x2`L(e%ufzM81LmXyB4DS668NCSW@^yu;X(9*Wf4T~-1<&|{a7~wJo7(%K$$SO zHny1Y9JbgSf|H)s!L!EjUGzzEej;T){A;#NMw*LTU+>7@(f-mJl*E*nbZHvtg6X5kehvCYV-y`5Jmo7!SEDO(xZ<+<>5yW&uuIKUySWSBSRtsYuA#4iIXuzMg= z$#yKtcv!t?W&(W~)=%D`+arJ{_nRUc@uZ21IW$?V0)=#It$ppqt@Cc zmX2TlC={Tnsq0GE=n*f{KIngack1QBhNZZ0Hod&;6mz?@ z++zQ7Pha;qF=9=Kv5+@Q7cO&KC9H}j8POHZ(9FIQ`p1&K>7?3{o^)15@H*CI?!T6= zVs`<{SHTKl$6t5`_KK_^_2s0$F5At@rX){cMG@Ami$|n^AMbab%8KLLt^~XTRuq3N z8E+jx6q30;!g%rsEp`%Jc#&Up#s*>1{%9cX7|SuV8A(huxcR_VUT*p0-O3Lf;U?|( zHmM{hAuQOvrA?f-RxwRqfIkyx>*nft&94}ohtV9*led`Bjc|(ebalPUHJT2qo)2Ezd0XLrb6>SgkT{Q=5Xrig7=->g2}yF|1Y0?DAkNzs!^|tJ2&J zkH0O0(cD`O+u((T7k?gdup1>S@`E4(>8How$BrJ+ub-F`od`8zKe8llSI3N_-on@WhAVw6Uz6g3{fyo*5>NuIJoVEgRN(t_MlL}p~tT?zDs+AOqv+i(edmr@~k&2F73s~?@~?jdO((= zWX82mHDGA;4(hcYOMZ=E~v(Tf`eI-?|PTtvwHAnzM<=6J`7+zGMdmyy@#k_}e2 zA=!ly*@Xcl^`v7bgD+3w^LiAC^_pM5fzOVN$ZpV^i#OmAGKg^TTcFsFgwbR)GC-#_ zuMA&`DF{^;Hl#i&v%oLHxO^kId|?vx9lh_+4H$BxXnPP?|B06J+dq8pYIOuo#fh7X zlWXX8L2u8MRp~wUW0fDgj=_DVyM!lWTUt3f<`&8(k%O$)?^){ECDWp~-PiQ(*RK)5 zc%!_@2;1`CZ8*vV$foydcvV;CY+>$ij}G|F#cj~;QLZn&L#cwR-nl8o(0cP7etk&T zF>`(8>(J8c%G$}W>|D}NtkM{7Yb|9KE)&qzWmMJ~Zfp(X(+hGlm-~ryDW8mryPH8T zihHqiqyZnJGbod1OeuO%-MYo8Cr*J~mgc!-Dtb}Viopt~#APESTc&^Rih=w4HAA4# zFla>elBQ=DZt~E=}q_fM_1r4?GT{s%4&FamGX-E#Pq8( zYx^F~7=Pe#Y(|y|Ib3IMMY^D)w%nwXM3LDQi%-^1mDLI&E@XSyit0nL*#-h-FIY3U zgGAUxNES|*0Xk-o>G&~%;1`}nQ_-(EBf+{%u~#L#3)jrr+yZmB&N-nUI0iXur3S@b zweCOt%G%lupvQ8Yzay6GVInB0NeDAwXBMqL&|xHN^mKf=Y}I!m9U#O#5lq5aBzf#Fe8eM0qa=`p+~-OnalW z6l2C?+kS^Sb;&VPjwdDg;L3Idt$??}{5{THhgF>=s|Tx+PeJimVvX{wUXKQ?RhDi! zMv~pv;dATY?3*MunNPf-63Du6{iC^+y*+7|j4grm3cBFVsdWcS&SBFwtwABu+RtXL zC|OBAO(ttRAbjYbaJlclS%BM1x26}Yqs`#QopW~SBqP3ZC1rP)Sosfu&HjwSRy5s% zgASC><|-p10{1RVcH4^o43|n;x9nggjsB_*|1f3EApcm}5#&=`9tf+lb_bi+qMMLS zi>_!|fGFqY{WY1CHJdAF6&1VEQc?&M{#2KOn52f$)3DVLITpYo)4Qe%`}HgLDvVU- zXGxI=We)M==Co4+L1b;qXiNxAuoK1VTAG zQ98t9UeMTfXWB4CNlsXHoJw7_av*fnDK|ZAZcry`2;3?JZ}QP`H#Aj?z@qO^GKixf zz~J|iZbfVJG=^HO;g#aNh$V*@Z21L?x&}V$SWh^rno*2aw&Z7B7-3{O{J^Q}zNE-L z!u{vr-@Mjv7dYGG)AE2egLiL9%{FJ(~;FHcDOG+LdSRA zjUznad)AtVY~#E(WHd$u=^uwk=el zC4jbcy);-^wHNS-WTS5-E~nv|!W|N1xqyi^6T9`pq%`Ly$CVOalbkLuZnof&RdOt4 zjm-h#DaS%@0P`L<`Tf`x+QY~F*4$=Bw|}cF4~b}((H{%lykXK37SE;9oDvNzq@ejy z&|M?dW&N(?fQ}PdtcZ=1y8vQ}R%wG4K2$-<-a{I4QzaKt6&)id_77abd(mRiz+ws- zamwz`bnriwLJ*Xt6yv4+@>J1L3(zqOW3xFE-Vc;ekjPWgDN+i>(7{KlK9IWRm(r{{jDF7l{*Ol$k@-DHzD>AMac66V256!G(MDZGqL|crUKZGi@W5jjjUI5UwIbZ0; zw(AFKjxBKo4)w_#0&K1~t8LEd$WY8)W?k^}KNb4|g(|769n`8#M98wF*FbZ&1J+ zw9JTW^|0z5o)x)}Q?o;KweKbqlv>$Dv{iKKY+((C_2gcU%_+RC7`WT_Le~20)OG#h zv+pevr1hbiXe$ZU$-){J&a>rLY)&Fd`M}-IdqOsL+!)sSB8AOaNQZxkE=MG8RC15gOOQJ?}8Ov3s^H2?+r8-*x95iyyh z@ZA?O+2Gku?B?IY0phDEa{kyEh5~WISz_-_c=%J49~;5>Wk#p`T31 z9Nh+iaHeUW8cH%Gx1T!lwLowZn}M|@oCp?KQr2524Kj{4`tNA}!5zA{P-!64?~@6M zquU4&dh!-Zf^;9O^Pvt19RNc2VMT68lP2Cm+4w}iK^PD6Z9Ar2<8(b0LKw^N4WssQ zP`qE0m|k+yQDZ#u@;SM+yGI+GePUt63RIvv8G5Dm~HH0;qJeRoLhBEY&1<8sg9mg*pphfmk! zV1n)qTxQ%(`$_u={X`mF@NAMZR-oDA&0#pMH-C!%6ILeKO@j1p{y&h zGZw~WKwIg5^qscSc=tOkGRLXm5-LY8ij~OcJ|n*C6OPY>sNsu4{7dNRM|lPBYopSF z;f(Z0p)?gb(u~fIc-%x};J1-E#?X4xlFe!}-EUX^ptUgx{gQFeRq{#NY!!UR+~?d* zyzZ})q>-#w%_Z1YIpDO2Zfiz6nAkg-c|F@UHnbfcIlql)wrUyC?<`-9QN*5Gz*#ZX z{2MEAvsOG9^H1T1U%8;Hzm`JBkKboDe@)gUZ47DUZ>E%Wj?$F>x*S^wG;ZY0DLWpf z0d&{M>t6D^Qyl|C9zA{5)}wXEE{u^oYrKagu6CKYEq^V}ZT5^-yK!v`F}pQ^Z3;M- zUJy;MEEW=bBpz#ApDY?-Mhm{l291-a=RrEzIhr+D(E5TI9r(bBKFR)fZ||YIlqxu8 zMFlh0p8uiX;o&A!CB8~j7Vx0(9^x5#1uq{bl!d(A(%9x0cC9ROI|W{G;qoSPYws8f zcppB-NXYr|A@!K5?x(o&I02`$!;+LxJCy|@# zN;rE-%-17TJRM-8mtLls9UHR?Ff~WI zVm%!siIT0iX^snoYIwpdd2~a(=2Xpt=BH!lI54%mE%R<|_jRw88a_WH zFc^28SEGe)c1~CGgG~1v8NY0)f@z|Z?1I4}sb#rjtrqWFP;|hd=S4=$oFDAp-ciST7l;j0k{jHogkEeDRL&r7epv0(zFcU3Gk2lQ>MPC+u+CHGwjITs^t`@FVNG2G{znQD=jD zrb1VJk=}jJOxa`m-KwL~keAlz>B*D3M|t6=KGl?9a;M0U7_m& zx)Y9%>8s<3bzOyF#NOpR$YI$R{W6)KYdC?~j zs5F{_Q~TXQUyBNXNI*?DI-zASL7g}ngpvFdWXjx`6F z_ef!W`rvhUb%}<9Xz-ym<3y;)R?C-QbSIj4)1VUOiUgMW`J5!vA9&Y3<66alp^q(D zSX0=uZ1L*{DPGKdlq_@>%(uC^$n%{O$ww9+b6{frL$$3_h^n(fDIazf>exr?J*JV# zR-rJmJ@EC-8p9d6z@_#UXw{!BXdIYUE+&Y@sQ6^7s>Ne5+Jb?L6MKci$H&W%biIXN z0JHxxmLlm*a7&5ekG{8PlD~z*74ZDhbfHtGOp39j3XymwIHqdkcgO{Kb>52`c_M2P zdt1iNJgY9K)A51Y0oG1rhj93$TxEx7^5l-4)L!#BsnH^<5xv#FKwU9gE~tK=qvx)p zmWAM`9Q184mOco73VA%J9Xcj?AJ<&PRI>74faA!&K;ORr%hrlj5jg@u31dRr^z_au<;e znhHiYQt2w&9bu~uy0M~044mBC1Afi?wX$gscNt&FjuCMCep9Ccs@X`a+zewRxH+k5 z3W0G=9~TUhZT8gy^6x4H<5{1BZw{GeyiXGO4tmoNOn6%dxe9EtEz&|!*KPSde`c^vjFsC=ifD}>} z?;lKaVjF+U(t{I4T2bvaLjH~mSpp4m{I^noPnH|Q4teFPxIcxrgx z!gXHZ(4R`y$opqMrJA?jr*=_NSHo&JLh|?m0x=-8`2hmQ8xjBr3L1{dt+WCNBdVoc%(j*Bqx#3wxH=@5;A(H2gtlC<)=vZE)M#;V#&mGREuaXYw205mxKe|)_IbY5N8HX7TF?Z#@XHfn6!YHZtT zY&U6a+qRR&wi=^J&Q71_eZOz~|2b!jjI}4OdCj% z%LG6W0R*XcK?o4&-UR_Z!7(LFTyWHW-%mD5Tz>mD!?35INf})-dVl~82o>)FA|N2? z1Hy+S`~vH4qu|XkJY}S0eyKIE47a=y{bS6(2E|4Y)AgeFGtPPaHL;fr>R+eS!kE#R zRKu9jh*Z*;(NHkKqIboMS(Hk@Z*B~E{sVtDG%^+LF^o9~)V8e{)`lKv^}DubhTJr{qZ*VG}Wz$07GWslKNWzH-ys}yA;9j;tHK1U+8VJd|xhB zl*&2Fq#ctXKcacDjsWSPSo97gxHu)Q&80{>7`R3w0XaeeOb8L{8e%Hvcu0ZibUK*u z4ZsTV5#HZ`QynECDh`r)7&OG(NWc=;?iR&1|0`zSyiiv)t~!b;GN`D9k$@H-1b$`g zJ4)2@T?-toXdX)&EoqKS8!c#-!mTmeSU(Hmpx>47EEcz6#yq;%%^MEzaa_MGWgdur z)v!ljzW>e{ML`#vnYY+l3eE$q0g6ENI2`eMoQK(c%(Zw$dwX|u9M(?yGC$wGb=-VM zt#o`gJ^x{`=uQ>uXK?Aka)5ks;hK1eqU@>Cp~pSh!<@qzWAWT<1!Z^f#|oUYN(MAU z)yaC70)`z=f4sBZp@D;fpISN5)7s87A=w)t6=X z$F%S`V?}Mf_~mcK6YacAhF`v-EG*yKVq?YN6R^>GMWSY?0~MN4Cq-=Mi4}9WSoG0 zr~>FEQAVspZh2gQ?x+Un4N*o$fS(7@K{NopCu%qBDfWJo7cfL>0)~mGIn^4`ewV6W z36RN<-%%4o82E4xi+?Hb05h692Lr zr)xrpv7xg+yFkuR0SIm;d3fM)${k>05x; zx#~iAW8&<>f-Vi+tGQ)qO~yh)mV03{ypf7Da|lAUXojm*0)b>+MkQcAs3hR7mS*J` z`9455TaL8i++K}Mk?1Bt2&IpPeQ0a z)aScn^yjSm#yt3gF+q)Gt|#nvsWS%5BC#&Tcp6I+*jM=7?|!NqX=gTXkR_s91?)UP z;-6Ie2n^;bN2FqUO%KN9V)~U0zKW29sL=0g+ys#UTMuG2gwI$>_!{*oR?}G7015L5 zK$2k(kaURXcGUnR^Z<$9C_sXP<%K#1ko3Qku)LFK-2|}$Bxum zkd!$9BoiXKQ?&pIKS0uryin4g5J9pNXA!ty_?AXerW65-CDcKYj8i`NYAmW&j5$jm zY$;ixq!Il>n_Q(pPpOH}oTPPH2he}k|EVEWkIh2gtn-cUt}?qvqCUlpc~{+jcpk{* zAC&lwdE8*AX1AmqI4$AuwDSgW{V|5aLApR<5^R-{ar6jK8;9UMZOLE&+D&?(`a^{# zDFyj0wk^I>spwDXNR7Xidg5m{RmE|`iG4Tr11_D(evS!vqiU%kWyPTWWZ9=GPUM%k zDs0WkDK((2UDboV2qWeqtUem1p(!qIgcuwKrY_-O|2DUbRF8qBmXIMTYNCVB5%ODD|10`*W*9$))sv z?`iAMRgg`Q(+w1Gv0}#RQPXu~j70Q1>7)e)c|-1;ot|HB{}Je4zF9j~m8jEJj%EIK zTgxHg=3K`GE=`?3#LeOkzFJLkAc|D@=zF`cIuH72R73N ztG;pMz{e0H2|On?TBZ#zo0Sz^n(BZWj8cs{R8R*K(Fsi&Jinoe?bV;00;&E zIn+0KfyZG*os$q9jGc#%a?jZ$g#P$8RNi$gdhxnZ@)deJ5sn31Vxj= zp#*x3EYYnHI zj$iboa&OHOn^t$iIR;${(8qXnD1J}D^jC3&?_tfd9pC3#g|c8UT>6p8&pje{O1D1Hm1;YxgohaEkx^C=g5??=r{(1e?RH zQ@;mGueYBA!EMy8(Y&aot0y{x$7_2sec`P#aB;&C^JD34&nv}hFK&vL9j-JgpKPwq zEej2952P(5(svh}5osKj16yF=(T3sY$I{xKe-#5IbhBx3l+C%?0V5f#xeQzW!dHT9 z4wM%R&NNCs6Lkd%;?{Z=u=$s;5D6&SFTq z{-L{!Y4sUv8)q4vscqhhVe<2BMIP;I z>#qVYDT=r8?kBUOkAJQ#%uVl70|t6g-(oULX`k5t*4DuPU$r$$zh9DDweCM|GwSiY zQ8fDhAEh;QOS&XF+`2_N3+juKKCf+JA(gei9ZB#do-gn_WUf_BI>)0cUbTp3<~0X_ zhGG`eHaX=gVu#%$B@lG&MipF<8 zfm9$kF=cN|?!9)fwK>G@Z=LFU^Srfun|QDO;IpZ)@>!Y0MBa9|KJfy6Qr9Li*X#9B zpI&DHW|hni{5&gs)PNGCxa%ud7FdMs7dMv-dVB6qu!^@6bSK7cM2S2YXPpOx_f{9$ z3nWcz*iY>(&U`J-9Ab-n(G?ze`4%(3snQ+U#a6tcYo77)PjW>Udl6@DyYf!DpB(22 zs^yBksjPlpNT}~H+5{DMNUQEpH-CgKzWmB%jbY7LY5iJu@|ibaK6+CVuWh_Ac~N)q zQeoP8J1FAbph4I(*n_^ZEE=mGz2K*dhEOA0M;&f+`OZ)OwfU}x2Lpl&MQve|jgup$evd}f*@xJY%*P+~j{;8Hxzb<0$Y>NaRwlHum6e1h0Mv8dactU|ip zudU`^w%p$a(5!=Z$srBx<0_);L;Saycyjbw*db3GFh*p6xy-}k?q@HcV)14=?lI$W zAEkNjNwfnU`c4mYp-f*+U1Or48H2InyB`FfVs7!h#oTY%i2kEYEK{#~eA=Yqi-OVgRJHRD z@Xi0fE#_!cS;nglUAx77cx^j#Q-K;lTZPz+KbIZgGtkaq|CF4Rm}B9yfux5q!S}e` zn#A?>iu*hy#B7}so!=wfmiD}uu{YVdXUeEvtIBYG6FaxcU?r5f2$B6fyM^yOvnKTN zi42=_Z9Gt@uHL?*&u<@ZMWfQi*F59N{&2wm$T?oSQXFrD4?(jkDIgIMAOxxy>i#27f6NK6Y};;s4WB%@+Um zIlzwHjf+sLjn{{Q5mJ7{iS1$m3_o_Ams{BTW9Jd7T5lXbDP5M?t+xIV9~xan$Ca16 z?=Gqvh~Hkd>;D>(y}YssiM@mgzv$W3Pu&Oq*Q=WDnN67U9Lnq4b)^Oj+cgarh@*zT zK^X8i3ZW1ANRf%FcrpJoG`UaQ2O2N>?L6Orv_=ns!_Y37YT~}+05QMSjB--w0a!rEMMDj z&7xq4(h@RMzGg67>%MiGH;YR4SWx+{=H8Y&qy6Ccx*dA>^!~SW1JN@Yz^wvuUB#`s zxx2NCcdon2w|Z8C-FNI6B)08k6bf`>WAFP33Nxolo`J2Jod^QTpN5%ClxnM(TLHcb z?5b*ySkuhyjc5u4@kn7hx*F~kc!1dz*Wu;27~?`bxGrmHai0|kt_ijS8?=(ez_zSr zNuw{Eoq2saoKwWDikzQaxW`7PB6_1st3b&~n}Bb0;duYqIzZ~Q0qoy4y{t$FwIF%I z7hSScQwR@Dj&P=`d6}Bf7JU&8L1%8S+nN^e))_>!w;0>tG0^h*ZVDig@>Q}=eu2@n zffvsocsBUMMJk?py~#|!0~TT4XSMn+-kbe{-4I#+2kR357t8zbn)$<}uj$Db9p>_P zU2}36J&B;V+URiKv7{z2r+2+4ozX_JC2}OG^Udg2I<1Xl$?14PBZd6k{_sj1rAO_q zm3W*y*VTPCsmG^X(RAX;i_a#rD5P$dnf-5 zKK@Dd$_xBeG8^8>$A-T`+j<_W;I5h# zSDow!J~EH7@jJEly*%f{=tWt6`;Wgo+J+Y9Eg_4*j6624uC5;sLX6pYTT{wl*TNo5 znXu8{H1S&MMQp<^H#c)0Hn>sU{k0hh^=p#JEI-VXwzrSZw`4{zHiT8`h1<-m=1Muv zhS>G*wxn=Y`fSuKCD`!lp3oyxhd%v(Y!bT=m91bJii61|kpug`t$M%)Fzt6q%?uJ5 zF&kP_6ypt8!;_2Dm{>1H_6A@-IigzIw|ec(7(q|!R2+qe6)(%?ih|RleWIJe;PDrv zrViZfWk^&9d@y7a=hWi6_!tD)w3N8^E*=Cyat1!z^(GCn;k{N(7qY7RMwIU(`YauO zN~P=SU$_eXYzC4H9De*?Q^fQ0qOk4(NRBRF+~!;bR}~6}OKC*vy}1q(%=j<7En6 zW>zln58n9CZ^6WloM(`>#^R$iVrHD|XGG*{@MOg~#;K1E-i{ofHWI?K;={9|;j(VS z?;4_-aHu9aR(voJh>_Yq-9_IVik-5ez9B7B_8Fn~d)40QLQ;f!nCMoYNs7zP*nI8n zh!6HcN0hSO&Udhn^9Z-urwmt|22dEGJ5!#SxiQs%uST@LB!U!`mVnA|1h1C$*SenoyD43$<5piIj~b1 zd+g%iB~sj4(1ox8jt%AEa?6oA(KfL#@Lz3Oq zwApwGd3yXTBJ^_qa%%0a?eTjy^rcwINP_OSv?OBHUN;?l7Lq}I$F8-~=3LRf-yT8na^WLk^2pEz#mH-_=7zkTki z^FOa1y&l$SWy2%KLfqvu=}%*O*-;9kfqxC0wS{q54z6v5hIhJslFOZ#AflU_pY{)e za^@_YVn-J_PQ_*M@>`R~IBoNcV|s}qj+8-Oa(g6a*2{lHS4^2L(|Qyuk}Avo0W0!E z9Vho!aP~=?>UzCEUk?5u#usn<*;oaV04$qaiii>>Zx);zf?pf~+uVBueV>&!Kbv&8 z?}<814iI4b-vy)lLRiGpHsCwsw_v)P{+Yq~wXKVw~( zRVYz;0*&{|klTQ}9TySq6?D`gZ1hI$8?@tN=Yek%mg-)~8ZyyOK8M-7h%&Irr(+kf zb?~=5#cQ23a#b%}a<-=6OG7X+-DF1{@=>KZI4sV1tKMM`K@yRwISm zjg{lMs$gjihUc(4*Fs3db4cc}>HxwAc0e!!1P6wa70b)SGzQh&xsHW%rrh}(Wec@Z z$k_=!)IbtubFU$`OV9Gr$J+-rTGjWads5=juUW=eZamR%=cb~D(|Q$jb;Wg%n-70( zoY)`RvonDXnd!F#&XG`3Ha6Ek1lS4Nuyv%McHkd> z=9L!byHmot0vA22Rac7bIUe~2^;Tpv^QK8@x!b}`Lp|c0K;I0u6br$eROtxktbtXL zhCK!!#g?%Sb$CTha>!d|oAaUzsuBxBH975Cl}~!NuvB0CnHjJ+3W8jR915ShpoHRc zKy-di5PYmf{3(R8Y;Sg)6pu~lq^R9K+iku;gr9v6oysILje|ui>DWw8wwl7ts>&i6 zBqXJU@=Vu4!MZk*l`v>-3f@GIlhf)ZIQt3QSP3n25r6!P5UQ}UEp|XLy!21KV?iNH zskO_JO$ej{23DQkxuCD#Qd*`q@qurVH}sDM$#|r+&7?w#;iLAMoO(b z$a)w^$4GlK!~Y z;2ZcEFW!n;;nz27fTQ&!Kz-PVL{^N#&h>Hs=xH>DTV*gBOo6D~zEmiSPA@O9X5V>G%-Dl@1Z-cO+F}CrEA@%|9B;Gg!VUJxZ?4Mv_2w6o zv-&b;myhQQqU}lZ{^vCeSM`w%#FQ-oFUe)6?64tkf;P!h>sY6qM#kASIRrt}c>jvG z|09kEQ=Q18-{@xDxja@fvE{v}E?N9LV7=5EB#v7k$83#^SjXEwFs`LkjX*s!s{bma zGZWSSujutZVlBytJ38b`a)?6m8=T^&WuKsS_J4Iy+9( zc;}rx35a)qSyp}O>3>8Mp@ds1xF^QwB!)MP0+YHoI1j6Thaa5{SUbRq8pS#PBwI_V z8UJ0aB4%A4lW{xW_)p6wAT#@t&+rJpu|PVa{6ZepVW)3I64NWK)VSFj#@+J2gNVv%I;u_`FrEUwee&T=T@%?}10Zi$(1v0s2>y=hmJ^Dw^+f~MZ!~e^9@?d_IRB=uZ z%u7BR2vq)0c3>68W^z{+y_z4U6TTc2oM119hGIhSf@%*=Eqx$#J>(7!&bV|HnxD=i z!Z!Dv#eEeMQe|Qpn9{|@(LQ91bQD^`(s|{JH#P06r~ukGHOb+4TM2DFl~%WQ(le8^ zOuX-@Xpf||rl#G)HY3@wwlm^ZCVT*Uc;5-DhqqNcGIRQgpWmViZ&$N(Y;ry`b7}3T z`8=XZqWt0DhFh>gk}YHdBpNR5Bw6NK(<(Dzg-tb^W$g6h5?ftH7q%_Pg*#I*|t}#ok@We;S+1Bzh>a{ZO`Ek{S?qi^i?#3 z`u-kBp`SrQ2 z>s{`$Rk&sI@0MTOqcT4lkE6jPTR%P{dvfXVqB=9Ogz24#S@l%4#={1bi`K*To~u$K zG*rxEBGkXl{*w$FV`@5BTU(H42PGc7QKZI1f(iOJ(<ojza!Z*?9_-vdYF!&s z`ZQ(hn!32P1J8kpAe5h@jrFG88$2MF_ei`Cc>YmQx>@6amp;uMG=@NR#X;*l8}l}8 zh=rdwjKJrcFQRKWj)(qPjSszR_E}y{&3~GPDj<-Nf8F@hJl+c3T?+6%6us6z&{&Vr zSaT>Rzm^4LtRa1wsQ4JZIb^pEA7o%!Qk{1mtxd)UD;)iCh?NM6sOjRKO|l%?08ZdW zm~^n-YwrwlY91}6WfPIUXk<#88W^l_fguwc7|P#=_V;1@eOP-R&fbR?U_f=6#XgbB zTgNxd+|=eT8ev|5UEdEVc~`yuRSyAG9;tv_OTvY8rCU3Hct*PB*h5=gLt zy=)Pvl)RY0FE3H#5cXK)BytxNuzoGf;xKdBK#{_CX*94~+c=b>()hqHURmUU0#GT> zF@aTPJ^HtLGQw!@hwuL!CjL1fiJKoN(TYN@~ zBdxbui$@<8j1-S`8EoY`KnnkmAQpRAiqcl6M7lN?>K{(1J|!L9oV4fJY7w_yoxhjx zi&DIQl}xURbodk2k=mso)eKUD!3-*un*OzvAa_zPN_bsBc|<>Ehgz^)K7l14T|*XL zI`ijqPcHON@ZRi2qvr-kzxMK=N33Yv*$Wmg^pAe+ za75xLD`=7~=-wOgKW91TaxeoZu)etjE!98?;)7nwM06)Xk!~UDH&HM>vk)Ci9vD!5 z?1JPy8|Y&!&Zy;B5YiO^rRZ?vAP^!1!A2w)2Z;zOgjf)N{-&H#6bvFb0G(w$4n_ti zL=FNa6#frTw$a2aoLKH02B80Or@LDV@F!~i0=ImvwMD$o2l_p)YEW=2b4Kcg?s)wXxRq+bxSr;5_(Vs z_xrt!V6oasKfcw(rIC2nKb{#YeyuejrX%}VSV!cDdJAcpJAV# zzWRQv^`2?dg%%ASqYdV!QfD)q%9fO8$)ESee#vozEphv%ifOmsDp>zsz-Syba+S~g zdjWGuGhr@fCX+!5o}mVm!>KR=HC`XI$w{V$x#CdFQh{nOjS;}!APKypYAOIuYR7lG z|N9H4>v;rupvVWLQs~KuxIkMqK373s^1$BX?hlOQB={=G*3gu&`Z1u^Yww3{eRKTa z0ew?^lL38Wfv+lF;uqYg3Sc5bvKVOOp(C{+H(pr+iP_Zx%O;A-mPoT|SVw($C!{>a zhQMd+rI=|otY2P$-fCs2ZRBEA7I9h-Uhv^Ni zih!vNtqO<9BVOv$dh;zQS{oMoUZD<_8=DS^lGdUQk~^OUiLzV)2$1QJef4=-l40m5 z<}iX_Bp{$@Fy3%6D73^H0Zr#Iea<0TAl+|l@S!KN;+8%V2G5Fu$FdQ7yuhx_56YH_ ztBccP;5U`lZYUM6W9mlSDxP&bZSCAeE7abk$Z`kMl&Ra-N+b`BRI~-(YL3xbl9ZFD zO3PXTk&NdlZYW9_a`DuiVEKjs2(QZfN@42;T9_}Qn8Zhx221et;}WW)l{tFIsSSxr ztpmjs2Ke7m{~F24XnKlBEopl4N$F^Mat`ly!{=`k``w+m${~FSnsdEhCg0zp7Lr+v z&$qPf*^(RT6U7~ZT1t$|=q)7U4>bw{X3IWv@?Rj=U`z(F_Ei`Y~Q zBbI*>(GdHn8R`&-qyu~q?(MspK)hKKhUgJsqT53ZkkCMirra{UZp8_SvQ0fno) z^07EcauSh&pkv}Yqm~8^v@=ubRzV_e!qwhueu8T_ z!8+T_Q>3&hC+nT5X#3}CI>EAA1BOPx@Cz`=YdVSFSO$~$%#w~ErX0Tre$|{|TM`FZ5#O$S5n>~$&JEF{tVs)k?9Mn*Z%R`` zK^RqJL#xo)wK`Z^fq1^9Gh7%>qI7Z@H(?zs@T0Ln^Z)JAe%ZQun2Q|*R(Z46t+UPu zV=$=G7%_kZsg8bE#RHLUJm2}%A{k|=S~pk3Fhr0K&SUBRI?edZqx!-s7@txp-yRyg*VLt8NBI9Goc@= zN-fU$s5RzS`}M5htbc~astN|xsr%Q$iAq$*QBLf)rkp>8ox63H-1}Ol2Bu5TYr&5* zqYr4ax#Gz1B6u*^TaC1bArxZ6BA(-&%8a9BWP*MC(Va}JA*JP8 z2SH8tYx;q=oRhN^TMCsYK;Wvze_3m3E24*kA+EKKI?lq1;xMmg^f=Xfm78sxw_;y= zDiJqDCnUtLipY?35y;s*ZgMcZ;__Y4CfkFX(XPByf6Vs~e$h6AEsNEQv@jyw$F zLM!}B9tVdoQXbYp(aJg9_{92gEB0Y)?3A{o zo!Q}lL^F6xjQm;t-TIR$C9%7c-p~{iyt;>RXaQJ(<|I@SVu_M7w7nH&-KH`{#e)%? z{B0D0v)-8qrVBzhIbFwpp(!QBWj!jWE*J$9&Bu8gS<$L zU3)p&Q+GLVAvp=e;tLz{eNWkv3ev5-E)pcw53t;ATPwfyGrVQd<3jXUiS)!;Z2223 zX~QH8Y$F=%fQEhGXJA@){!NHlB2m9ULrb8=*%r0kKdsavV1}NyeFuXT_qFkRvuh6> zPEooeSk{zbOI8kg<+P8XulLwn3Rd&jF7o~L$teT!=Dlvs%2D}ME8;R_y{4BdS>W7# zs`JP%7NFVyHR7g-SEfL&D{~X^A~6gPwpkXJ-P_Gfqa2cTYF8c7tSE8RFi0%QId^T* za3`TZ5l~*)0Vx`6LNO+aWt+-%UI}t1O6s2bx`XLOcG+f=hKP9AwSb@0T-P3IT z7urz3S%V7L=>+4!q@QQ=ZDBy8g{Jc_4|`@nyLuH^sp$wnc)y6mzpFyZ|EOkwN&>;_ z`F;rO-&Ldg-oL4K8r8o_Yyn>5E$gj>X+A|v#X+O6@H#OO-{|pn*mj~?dYw-;JPz-XqO8gmZHk-1f_# z;>;(9((h7uNHo7dI|acJa9QCF*O~81r;jBDU``2C{16nCY5mG_hU+%V0MWAa9lCku z9fUVrXSFN6;%WU;otc$|N=|ubh~~o#MFAi;42j5Z#Wz-ZTtw3nX^A+z?QCX zOtoXRVP^yzW}Mi@B41;NB2R%=V#AC|(^&O}aT=&!LHQ&7j-FKzJpQvbaas9W#Pk8r z{(VJ2JqCl-sf&3PgNXDQAi+cy29+K^@dt8ZSRVgfyChg$=9YG8-lFUwC+E7za6b^MD!RvXk#Zad>z! z2MsqL(N~M93ZXg`KjvGguZ2N+24rT7yXrry+Y;r>2(a*&H3HsKg-4ldS@yk00`p)m z*s5k0aOeLUSIvAo@HNJwY#~QG{=k3Gz$bQY84pjOb>Q27!0StgbtsVs;Ah;z78rPn zHkOVWF#jg4W=4#TQY#l|rL5eH>|bEWby~#3>&}ohL!<*Gj!Y}7d66g(+pM0()E^rt4yLa3wVYo)O4IJE#ew_ClElZ=X~}mx!NKFm@{A5H z)h-Irjcy)xJI5oBc#=R^(>o^ewHMtj5-{|hO&$3X?F;Zq#XhLXwkz%+W!^pNpAYt; zr^W&9!t*KfXd;s~@Z?Vy3yK#~Z9;|G-YL6*U2!A_CqR|uqeqAgA7JoY2dbYR0fV|v zh>S@~FGxHuexuP)Jt#1_@~+(YR8rf}3}CgXYX z$zgd6Ma%eXO+N9Fvj@jr=LVQuKNy-ANSJVJ&36++(=r2N75*@YD^Rqck;$NN1T8U4 z-m=jxu+YJhKbA#9UBO0CeJ%JEsjg)slOf>tPcNyRgH)LnqVwgVw zcTd89-De|!+jvW5@8ee-*H}7d_2k}u#?_)fbyVQAar4Y4_DveWc!}BQ#+$-587A6D z$&0pmSBgInBE=Rq048^QYb9^N1V7|q|4b(?t*+jv5!n!yDiZarT80o>?g}&iUjkXW9ItcqxsgX?1BU3t_!Zh<$zHKCVC6e& zX5O?{Z@}#pBlxt8Zuz7ZG`*1Fl5~*0d3gDGIruadQ`f8m*{tSx-DtA72y-M$#Ls3eal7~;tjfPoP+9luFxqTpHjA1{ zWg^gA9iAvt?=!9^g=Y>c(L+{~H=r+AR7FjyYruI#by`uI`ghMcO(___?OPQu4Xe#7 zphy}>k}$ToYrvUnN=OE+*YP?Wh)HQf(^HtlzO%@x{KHbS0tdHiRXnxQVY;wHC}#?~ z)sT<^=a>+%cJw7&(;gP8{E%!!H-WU*Q(HG6pdfq z@aWu=6Bq+XI&K=Ule#YngL#8y47$k*LaEClDB&xOQ7}IdLm3bi(J>5CJl}JIXZr{FsCKF}2jU?+g?Ehr2mInMK|MhE=yW3PVHtI`n zTCnFs=19Goz%zdDGTK~R6sR3On_f4GSf@T4wW1ym-ebQYPR;!_bsY8Jo7;QbtQb`190a*04zr+*tnW*X z6Yg}{9ktp|_uMjB8PEh7*|qs+V%}Z^tm&RkBP*8OjYT;`h#Q9511BDT@HNAqr=y_? z_lsEXH(Umur`7_L0R zTUz@xlFuuqMpOb@tLjOysY?COf==vhr$ni)Gu(7w9l4UcgNx49s&Rkvu-Dz8Z>d?b zK|8Nd^;NNLd%}16V_6Co8V-L{IQ))rBGO_gR4Rn}y?;Ry*ew}!=V9;Z_q?cXp~(17 zk?ohuqf&Nw_#Lr)Lzoj&6ZJPV(LPxl+hy%0-**ux6M&@98X&P?07z)*-bv_qh5QCZ zAG#tRVAw^Jp1BAl`45C#%G5BX3Gg()!))mqN%4JcaMSJ@onXikgt2c#&hmIuZpXL6CX|O4slOVDFPfLyU{=gNO3RRXQEY zz;*PaZc*H5*xd+juo@`Ce>siq5dRm>D`-8*v6`Sy>1S;#Do|Q}OJ!Ym zLe13}Gpk*e6hL;tGB`qo4H9 zzP5I&3;~^Bjp3B2mdW%n$ZW!Z*{Qi-%|*pl)Gn}gO1kBh8NP7+H7hj6v#?gpQ``EK zEhEvdGEdX+g%1w&EV|4U8D5h1#3W+RQAY)ip+g0(54}wIF>`i!$HZ-Ahb|=5#in7(8=C8`G4}Ihyh>LZJL4zDUsac7o?B_UMD{>n74d zyRWLfD>wxthe!%!^xs{z+?a$&Tx=Xq z{UbxAd_Ej~msm^K`Nq7UBym2@szKMQ1h=*ZlecpACwKDka7fzhdI{6PEu9T3UX$j1 z-VwR!Xt|BjOPq;U(G)P(4v*n%81c{8PP&kd^j{y~)&vfbQ6Xx5$h!zmuP`hqDJNq& zfN4>(=TG)|c3knK?9x8bmH2bC;X=4^ zOz-#8GUIVd%FLS5ND){H8W_Am&o+&(xHXxPVVr}RFSR}{BC~$&AxJ^p2fZB3;eF5o z2tj0KeNTR0b6R*xWrX1FWU?oPUPjqJ#mDr0i6mgtaMm!YS=dSuidBZjT|o?TY^nN| z?P%zFv($&X1}MVGRm4WQy_kU-juWc5S3lw-7*Vu)z#@Z?G=0sdxi*rABPQ5*3+PigsKz~T8DOFABN5Ii@Z!fMYObrin z2q!avHcsh(xWpv*9q$PwG{X8JnR2OdSOseX1Zwv~IDT`7oBAmwPr~gOzWjsC8~+n% zW}16~7qcSn5J%G~UdfU4uLG&nw2-EKnNnzokMbXJw2sl@EBN1@qOn&#RKI(2hgQ!r(RMGrH;sU?E|0ioZT^M4 zb=)eSasiV~zTs5{q7(Vnmor_97#vqwmd4RR_c;cye~G0$0OI=A^;cy-1nc@}kW?A> zE5952tWC^En3&;&k72*Z#$VJ&MkRDF#6_%+`vsmGT>3B293nWZ!=@JIc&jY82RnYc zEw##_aH;57S#A5tUqdt&g%{;}2heKK6E8QGb%prT5_(FB+DFh_iod|BHfgBU*{J&= zPoKJ8G82r^mvot)o0dX~J||&3puBvCBKkCID=Bd*evPQL@eOCD!x)lJcqA`(aP0GH z9Gd$K9mHazMh3tjfGmG&cF0_d)|eld#mdorTb@LBrl~R|1i_E%KBm=APo4X5T+P<2 zG)M}dzCkIE4kr8*U?(YYD1MC%P`l0krM`Qow)jhZ3I$N#{H2x#{Q;Pr|C%$P7Z(|V zmhbD@DDg@S<62HL7=q{a44rr{o4MA${hI42gv^ebXBH<&oi$%MI&rXt?zC8>swMR@ z?YK<6bBVd--jBD<^C^tX$n(Yt4#}-uojpGzSBX%%Fvlt%KK^x~VaTHYo#n7K$ z_2Bl(KGhC zVf^|f3Q7SaCn*w2Y(Hw&4IWfcG9ajm9|+nO(SwuTknNKX);5T9l!3!P+IWnFS7HqdQPKsMv%+CiGG?n2OH*9EfiQa`<7iJUl^z2 zk6G2$uHe;;+4YR|un$x1am%c!gUe{~s#szWZf-abRT}ApRN|b}sQV3J;Q0!rflQ+@ z^@c#EB)_bp0w9y|kc$wa8jjjm+uCFCO3gTu4OligV`7|cuYr#QZ7+s7{`h1Mgk}gO zOoN9>2171i5S4JKzS_FHi9_4`!YLx|h3UA*37{EcYN5cs%9I6HhVn=CEZolzXjDg3 ziqVDGxQ-OS*vDF%fq(p7=tl$I4%0F0JkzbY(}H@nCPScn***cI)SZk{%DPHVBgWt# z0@0rX7aw8W9JCyCXQ*3QK6RIY<4ssqRIQnk{c+Bztug`@F#^`RG`{B7-X z9bQSun9W7O_1@z8$!ssy-qEg`Tie^W8IKmV2~!TAo2;>OlVor^wYlxET#fiYw}%gl zSBICb3OKf`s89@rK1z3(dD9DKrY`l>0#lmFAvKHMn4WDly`PjA zjOJG93l?WbjUNTpTK>3moVBOm%gP`le>0YSOOx)rseA6*xa!y$ew^O=^*EwtKKZA& zf3L2wM)#?~g^Rw>XJbt(?1Ls$d!cHr+fNH;k6DkGyFcSADgkjY-D`w55nEy#-7~L} zd>6%Zffa%!U`qVYi@UB`giFVja?w+&sdNAnSshjgKwLpX@+PaU|yZm`i4y*@}h_(wy2-@`A zu6wF*W2@Sa(BsFlPJe=C7ph-^(U!__mH!f~pg2@kbBJ3Lj@z27^OE?+VlK~UBs+~INz-I+jwGX;>ztWq1aT`dl_8VKU-)$?L?=Z@UmW6dUS$Zwu7aV?!!zLLr zWbS{_XNI(N-UwChdY~gzmx99lbez?X-a!&LVF3366%9!}jitx@9eb?X06)o9J+e2l zz8X8E{824Zs5!a63BQ^FbEk@pD8i2Fz6Lv)Y@y!(zhU2y8EH=kbH~1~MJ=*-0eZq9 zTnSUrR$c1twJHVF(pguOpz8sjw(x-)i|C`X0ZXkDc)IjUI8dikeQd)FBXM%@%&5qpHVcKB9h-)i1Z z?4`r3&A{qMF+F>f6mOrvZ6VEUVLjodtzPKNZuDkMgVQB=6l_{BhbgR4IL7~nwRa4z zENcEnW820g6WcatVoz+_oY;0I#>BQWv2EKYwsTLOr{20%_r3T2zq}t#S9kw6aGAjzoAfpwP&zN9X@XxASE1u2 z=8%=vwKAAXdaYjsYb`Cd6M=hgnM?>R!qBO%53}!sj)h21uqYfr_4=J|D5(#XZm6|W zK-~lj$fs_~S}~8PT$NNNV9-F9tvw%?P_|y^&$E|h8)#z1m#tNOHIL|nwE8kc&L~1a zvl#XJsr+z(_!BpP;<5h#rT^e6zR=i!x}fUUXtv#PZekMuS7Y4`-#TINez4eMticgW z*2-#NO9FilDq1fH#O&Jlq;o~sm~q4ymOnUPgu*ZOD_Zl`J78!vPRsolJL)^6>Jy7$ z*>_CZ@aj{P$Q>C_#gl6*Eix^*B*FB%cua*||fd1q|Bb1`KNB-?HwxPvyZi$`FBU#GJHLTdwN?-!mv`-?O-rrU5e5|~571F-ob4;QmFln}msXO>jz@Pu8 z0wxYCy0#FQI0H+_VD5Mwj{6l*So=c2F^Pbn@c0cPIUd+|oRZ>y2M&RG?mu%!5c=W* z`$%wX!7@cf!6j=euYjE-$mM_PDgTe~$bkjg+By@(k>Vcg zogJNJY|nqfUEIKkQT3v{AAkoJdMih050R7ezGr1^@OM(L`Yr_Z6Z=&mQzZGYf$40y zDiC9Bu%UPjB|(RD)>_Gul%+7r$NI)&MSNaX@BE40!(#dPH+-2iD)0O6Ri}O4`_0Sd zuq9)VFb;AxVbYK8f<6uuaaf;TXkOBKY%CU=lXDJmx)XV#lvWcWS^Q)#b-QCvFMt42 zJ9TjtCHH_zW`&ofLZY1<`M4W6IG4fB$Fj}&YR(b6b%wsbxX;OSLj0H=wPjN-{UY~v z%bQuqiJ~A4kJ|c+Pqbl!?$OY%X-oC7Kjr<$dz)b-0+<~vbQpVM(26h#d>LI6Lnkd% z88l{}JN%^)cKUE(v~;KOH6mE6b{I-~M>b&bH3ffe?{9kXWNIKgpXAME5@%)V)eqn3NnCSx_SMtZIP(6HIYlJSVb#%hSge9cpWImc!fgs9o( zv?Eb=l>)1Iqp39btjfkI`s47MHs=(-H>d?&}`8^-U~YI__<`v>HudU%l7u^Z9y(A>!`r{`U69SPpX1EA90S{Q#RGdb9NUo36@N* zd^fwC{C9#XJDMg}MxT0i9JQ=K0R9pf(<$N7&86sLY$MvyirDtc-xJYCWNmlsEfUdp zaO_RM6s~~rr_iH&2YA-)v=6xG5IQ%LJ(2hN^4MgF-I@3i^acLVvm@|^Jkcwn7P~VY zJfM~z4|$z4=JAAiYnky~(0+?+bFa_a=%4jdx6hutFUW25dqN-hV|3@H-^-w`&C{aK z?XLS7D2ea=%H{mZ)9b>%Dt9(P2a)`bohi%o2UABH0?p5R;Hgp1I~fJiE+-Xyz8>ys zM42O7Wm-sH@-hz0)iwhIdTCF{BHDJT3q>J*k9kF%~1G$r0|`TfV=K zsuW{APL#^znA%e_%3NwCu>`XHjH)B>-1_D`>~zc&C<=(}`Of2PKSjW^%k7-hlP8)Y zIJqsYc>CZI{m?w&XHptj>hGJCOD z1&nWoZ@aF2@o{PKVq1*{34 zu0?+nXdz~R8A5qS9OS#W4V~r>&#ie4DY0(8n8RkZc^sg(_|Q8O@X?ua)muN^m8)#nvcU+!zXsTyxu|M26pqJ7xH>@^r$O9 zz^8N>Xd7@H=$d0co-c6n(|r^WF7wmK=UtFmuZim6C9o2BO>UVFL|Y!QPPXeq#T>+% zM@#6aVO4HJy^DFB2JT(keis`TVxg<964T#XHcwyvv7ca?!9Q)#53o+^??_W^yx73suBi>%{Rv4lRaSZ@ zUJun4G-o={K*OPDbt!G^)BLG>Hy_T4a>}{dZ2M8!(NU>gAcy`$aT$T>gvNW?$gdV$IrH1{= zMxnfk0MT+Mp+LBD!-1{jfHnrIF`#cI7mJw-Z=R2621FpcxR5jJJU&>}R;<5!>D0Rq zg@!!a2wTy>dcJoM_K3Cd8F$V{xKJ<+*QAHHr)VZRKp8HS#9u|=EIme^5#Ka-v3r~ zPal3}LN)TE&ukxGOWBh;fVtbNShr?{J$3Xw5KONsSDARM&dp4mEnYR5wXlPopO_J_6m72?qt>wc?__MLzga->Jo~_FRwMz2t`i!ntzL9`LgqelDo|c7lI)0xGn|b~+eK)Zq&QJ3$>LRqv%LZxO z8K@+*$eRXX+}S4uWc(nEeMCda^o?MHc@;7hlPPtLO6j9lcqH_eKJc57wX5txv7-jK zu^`SB!8{Dt?Gam@IcRKX9}t!vj%Y>t8p#Ou@cV3n=l1y~LG;Rn-a!OZcijAGNeU8M ze=aZM_=j=#E*FtFGIblS*5X+Xu_Zk38sfRPzwj!{tVQrM+xWVYlNJ@M0dKCJ+nx0G zcLED#1k3E+i441P7TmP3B4YHDugpaP+4^;DNa^G}cPw{^`oO@=#pCMyH0Wae z+Q;#Sr|Df4M}Da3A3XZ$@<%J=p}ZMue-T$RTNH>GR~5T;aciS^eki>#^&}Odx>awsudVgcmz|5=vnNAu_MfrA>t{@RcpGRC;_;>Y3Q=v z>c~FI)gQch(rtWp&`_?B&T*t#!D@5>%4^^-5lKv!pc|#J@xvKSWRQBQUwHg5O{mOg zS9@z2#-mS3x2|k|UytS3kDO<1okkz2O4n1nt3;w&`ZE51!+vX;*&wuk7}ot-o*l3W zwcRWrO|{guY}ibk4cURfpVw~P`(j{RtwP1b%V_n&5`^8(m4ce z!)OF0e2FTA?BuTt!^&8FB+y^17-o<@Sm&JC^i)AyI<*^xV-YnEUCPO`5HSzEP}?B9 z=iW3h=$P5Gyh9?VbryzKOwU0*U=cY1a9A}gRm^PCaD{DH2}FAeiq;ylOq=mt_^5nW zM*0c=Oq%oc_Uf}Y>u-^v;S1YE0BMYJ+1&%(hgnSjn=W$R3=wPD9JLe~3Hw+Bs}#A+ z$Rcp?+B%YOY`0+`#suhnsE*5~*60^7bS)h)v<6l&y(=B~D%wz;tM#n!;(y|pPB?a< zz2(DIXx-p|p3k=Tq`b9Y3#@aGo;f)gv|swlLgAoTbh*p1Hy|NxsX)w`5to1gH`MtO zZ1mHBK3NBuAn}{!TD=y%NM)#1BK-h3#_kDaPmmxx&ipPHBqIHND+wkBnzOSNgp2ZE z+lw&l$!P#(+?l}yUbiWm2KwugV%(3;2)!r*T$GJ8-I9ZJ2(I=H?s zXb(T-zo4rkS33}lNBb{`99%yH1Uq(sDIvI=9v1@*eu9ki_V<*W_EC7_k>mu~_rz6@ z@i*4ROt8Yp^>@ashfy(cu3u*g!13s83cK+bl;@C9oZE1uF_l>Ma@;mG8)-!`05+~q z&|;Q%0IO1gY>2#asE)yn9E29!&qfCo?1hw zGs3hwA`K|>6=eE#*{EQl4cbqg3fLmN7v3B5GYK;*Abmd^RpIB3zV(mSgl=brH$i5g zjFcIGlPRg>!d99Ua{A*LcM8R8M8n~zw@4r;+R~Ddo~|r%IG2AnUX7jb7(~?`pSf6; z!r2RfA?Dc~I1MUcn~n8TrUGx-{JldC*p5X7_0u|c9~d^fyfN$|ctQv|I`{^7e_uY; z&g2pCU{K0a1repykB2irL|~j2rwMv-Kh5=!0pwdbJDlc6ev$GoGWJCPUj*I-NN8Pz zbPVZXtrvdGgVm4MPcgHTaW9_$-`y5JER3af>d#U-Eh#h+exH5XzRyw&ULITiRAjEH z>Vn6FCkWTKl}+dINlJv>J|E9m z_(03+I`{MzcU}=bGn@ujk&OU_Vt-u~6ugFFns;d9PFj93GZ%&82vAl2rE*aw zK=+7WQ6e4twYZ>9O$(P;-4JaQk=?bEwhsjXaCE;F#wcUsi4T+|asGy!BPEjTFNIYdpTUM(i(P{iybMV$I=bCBAZy{TkFQ<5li zC?O<9+1%_5DPWQ3hKV=D*)dau*act+Gm<8tAM>4=pcn->Vvb|UT!idxBz-+dog~-H zK#>=70=}$~(C7fiUGK^YQAvg@hR%#~U+!-%f*VHRNRs{+L2NiKk^~+3+qVeaX6je4 z*P7l7EdS!zuLashXO6PpiOSVsiVwI|O z`mct^?SgZfTph@Ts(keJ?fgc43AT2rVk5CN$ccQ-gLbrp4&UFvojA=ZdHB%2CF z*IIv5j1!wfje@fT$O)=huY+2Nm0;v_#lM_yM$vU+lxzi3X7v4dCfEk%67h1KVeF%F z{XpGO>|cDmV~Q`GcLNRVD~y@Myv0l>yzck|?2BJ%o0DSmTTmjv0Y z`9M>3O4tQQ?1eIhsDQ5gwlZ{i?h@#c5*J0}1VunT5qZI)IKPxcWu#|ZlqU8)32r09 zsW6MB&wOA9o}>bUy79(id#R-PIR^*|#WT$iHb%8u;%=U5fM?veCfR-N%7Qe9ixP6W zP8PY!n2t($8x!11#A%rv-vKZi|L?AK<^zrA+rIK}uZZke8l9`1qV=C3B~17rFp1vD zd$0e8gWL$DCz^_G!J32)hi`+*%LTM^YcqN$xZPz<1AoK2)6zBj=yi6CqkK0hUFfZT z&S=_LaDTAti!Xz^iZ8_y#uG_g5P)*&WsoizUwp=kTtLQ)$l<`*DQ{jiS@y7w4`q*z z59zI8(|y+T5gw(Z)|RxrmfmnjnvW0V-L(`imD4>`wrezt)QOpG#Lf@FYgR6M?#-oa zEnbiU-Ih7j8NJ3i;V@=dXjFoYT_Fq=l>IbTIYCI003&^Zurx74ziqK10%8}Go_H2G zF`1?r=D4klInpp@l~5D{*#eS&V{$^UXLfGF030(Hm>yJ4I1!n}S<<+z2%u4|2GA&( zaL5(}#^*DS+CeUCB)ScK7(v!8z};WYP2_l?l?#UXa`FUam`*N~WHWV+G-xv^G7v=+ z)EUOOs8|%Gdgug2gmG{{I4lpA2Z9BEo^-f*#~GpLaeG%tVUCY+Ov^$*Ih!&--^Am& zDg*SJe0Hh@5$=jMN$;RE-J?pKFK}- zCkJ;gZEoz9_FF1akU_}x$jt}E@(1}Cm%r$$7(dceu*VJt4u<6CG71wkegn@E4jehz z5w5&F(W^g6&Gu<;p|car&Lk$x&Z?$M9h?x<)|T_4WtuIoL(Oi4p4OW{Q!5L;85AF})9$YaMRnKVPmt$& zz9W0fGHfN}5Rc>Ds2q5rZM;^^?=BAf?V4+Qo7DgZ)0z(Go^PKYu7Lx|n^$&WVqp8P ztNhwrp;8XhIj^oqD5bhs9jQWacqkq!%11(C2@Oc!w5MtkcKbjlLIWG0YEHKTS9G}l zJu7Lg>h+0&Z#rQFT(j|mR4F?*JHgOA+!Yw}`WkmzK_YPHEWzQ}1Flzr(xhVS-VaFu$1|(hV+)_K{L`YhqyxSZ1Xyb1%_7d>l+xA+}=wllrG9t*xKWFsy{65LAP!%mCf10vU56V?Cgq& z?NSd6J!&%-=M@q3t8!@aVI*CM4ZDVPB<4Ma?-R)Y&PIBK#}8=Isj;thi^Vz>0EfvP z4f?P^aSyYPJ6spy_RInml4s$w$DrM>VbRRL;l*yB^L?D)J!urT&*$1v6&r$z+iU_> zbNAr)Ak&W&(vxLBz+-aGScD`O|8!o7U9>q)u=vFOtA0mpJKHo(>D=Blk!&{(xe$}RHV?&E)l~(sxLmqpqbzJoi#!KG zELQRz3B)Z|BNFGl>%`m5V)}=}bfAZfQly=4j&(Q>S9%}#CZtBJHPrHWrT*#e>7fO? zMTcGaP&{#g?_E~Hv9gLTeh;bs%LY9|rr$I(DPwMT+s%1<7`VE9a@EH)iu}h=2mt~x#NeT7VU+quM3zPIY-*@D5#wz4SEA8%{!R-Y@0MUr zW@EO7Hw`4=OYQOF>z2B&_n)bhIpWvgm!H;s&$TawD8A!WRpokRISSRFl%Kc!ziAJ* z^9AW#U7-gL?b|}dAy@82b?6`5pU&`a?fo}bD?`wV{^zvN}3 z3V3o2_A$l`5vh;p`D8nuR;WwMS3fX*CK*Hd3ME%UeNeVIWH6K^Jb<{&tN@OV4#rM} zhpHPM+TLsEss$M@8BA|yh-e~PIXT7_vY$+d4#ER2!+Qh;cfmI$_!a++_^!5rSijoo z?5xz-jhG|7I`V#^yP%u;E{M7{McVA$LsRMEme<(I^brkPmFH!rKW1p&e&%x z%u2?xuNbG+p6ywp=$#?e7BnB^&x9zzfi1(BG=%cdP#x+tJX*rCmY=3KzHL?0kysex zU04wz)Dw3mIv>|S?fdvZ*0C$Q7E_f(3PyP*54Dzq^|Xw8et@OB zgI(YR0c3#}1Fnd-xdm{O{GjKy$31)}8rh{tQ;QcPiT~l5}n6|`z z6oove$P0v)6^Unik0V9iAa{Psl|G)96$wR74{AGE4m35gWqHVLP0NVjH>WtHFhoud zZM6WZ4Q$aKa=Fto0{Ho$4z-h(sx~i8x+}A?!VWg^<~i%B{&7Z_OM+Pb2Cfm_1EFU; z9P3%tq%6hK0j|WbFDKq?)(mVNs@#QkEFipjvpYDj3I5rs{dsx1^+^f^`DT2CT}iv2 zGi21)z2H-P=;Knl)WW$b0l2HBHmU^n$BVbGQ)C zY)28VhH&2I4xODg^vC?ALj>_VzbOH#heoIeVVsNi$al!%RayUSl?>t;2rwZ%@Moi{}h_i5kcB&e^n-^e$&IUzdDwCd4 zdw~R9QCuLCdt-6D1ko{YYqzXowixau)rb`t_{95Hgti3 z?!pFJVcuwQF8i)2#Td$xzR11@4D*SdGtfa38ylO<-L!u`WVSeS-V(Zybx9M-3Adz` zhTYtA=MC9YB`=`$(i`xmu`7iQ^za9RcyirP^DYD?S3Ge39f4Ra8N7YOY^&{iGdRGB zOs{8E^HG|#dt?64v{k*J4BTPMX�R&F4KZ!u5EARPvPY#Uy;O61q+0+oXv7*Nu}h zqZcUi5m44ihV87j+hW4cI#*(Hay}~Ag*tYlcrwV< zSA(D0>kYNyRn~21y9UavzStZtauQJ11sK*bn6NAyjT}3@MRI+^em1m_8AcO9QL=p6 zRmRj5dmqfh+S!YGj1m%E{7g6J;i#(KP5w-yeg{Zv3e%Ny{CQ6iuR|n)($_&;p`68( z-6U}rur6|~uS1^T*1GZ-fA~Q|qMYTaHg|xISe5ulk;EoXV41qz`4q=SU1mQNmJj+4 ziB{C0Ia(@RVl6L{SEPQ9nFc*9!#%1m>-LriYoPt?Fp#FJ4E|pG*I%j*?>o;#cj$8l z1Rq;W;cPOp8%%>+`;75Tj_fTg+vwBRVC0 zFB?PSS|oh3NS9wER*&t&p{oHS^8JHhxg;E7Es)@35GWB_Qb$+_7okoOCt7Qf{G;HA zBPu<@#tl5=b2+%U_DCbcVNOyKA(^5qEQbUMYazRG~+xQgFdX5m^ zp%on8$V25F@5rH%>0OmVm#PRIbkjbxg?9p8v&p%pg|oS=cWJ1GD(#EM?eAK^Oi#qlP@iruVsha2*cj zmCueVV87$=wt{G@XJhvV!`p|X`Cjwh1T%;fuH*n2lo@00G|7Pt#d6+VvF930{Qhh0 zr3aP9P?Is?+tA)_k>4>`WfKz4zrS4r7G;lxzZAOcS1==G?T~URdxR&k7i;K^j6m4P zP~fk?UGxI*lf?5P3fiv&np!iEZpEf|4+|DsvMwCxcKMs8?RTu~H@IlBr@5eh<~HU^ zSY5+Nx?hYMkg@$@)D33txMb|qyj_W~WMlj8 zG=3l#-bwvH{(Hyu1DVVaL;MPwt7$1=Clh7Yc$3>K?8FIH=WUaVu$pn9d)lz5?V)p!QLOc}5q-a;9 z#;i*1he5LmxlN#z;4hLkn8U*^hr7c6UW95aO?*)0sM-S8RS;!9dqdm)Z75}s<^P!gNU+SZ6`d03;yWRf>l@3XtPlSm~K0*SfmXcV75VP<&yQ8>|9 zI_TqynY*hs`#4r0@#{aWe+_#8H|NH}+~dWCZDyAVFAs&fW6XYa7G_zWyl_B+5`l)1 z4q~=U;EGBfS(jMpaX&z7mhi7@{DKJMuKfZV`Xb}0G4rkhCrKhppEF; zTunG`p$j`#M!yV=r&eo?&2??K$Csk`OHlx{K~DW^fL{L-U}#yEK+$LA-lPpr+|TEl!LQeJ-0 zsQY?}Bq}}xCzTQ>Z@uP9hmTbraw3&#k`0>VN*{?)9y0n8u4WzBkO_-pibdkAGq#iW z%!#<=2oZxxRyca#hi3TfGkH>Ah3jkrmZA$h*125B(E`NQC=B;c)XAoTSZ-wYhgo4` z_-+K?&`5>E>}R90C@~-?(R!p&g!fhx=WCT=lu$=%Z^=E+_@oJWzq~TLW{bsUQI;AN zqmZvr3{EB<17Vjli~!@c{$&p|e%I{3FjT`^*W4fo5BEc6&si$Vu8b5E9eMdaqt`*iuM2_2G7 z@<1ugc^=y0#_j^NC+BmPkT^&0rp?jW2)*=1EO=TqO9kb}AD!n!S^oi3!Yj+ojSNV= zdl>SRWDup}K*&}Lhj;|aBC%g`6N!4Inm&W+>>L#nA{qA>tD}YI=$0DX!ulNz|3QA z*5WNoS+deIcXBWEaYh&dz(5TVi>Pl=nF^G)5{s!C>#RSbEB1mVJ6Quh?H9bOrTA8u z8}8JPO33j_NZ!``%l-B!v+qk_rb_`?x--h4+Jp78R-m_5J#sb2tq=@*zhImEaGUgt zHSUZdQm-p8w7LnjY7*%@IReMr)nW*{33&C7H149Hzc5}uYS(VL+GA>TU^-mad%i|q z!YbjCtu4d}JMGy;vIfcSMpzvm`>bA_)EOdS`*i<|X4AYr@Ob@hct~*+BL9}OD@RBe z?LDUL;ILmnc@^i%-HMopuX_o>o%9qdNRmX5f)6WtZU;rNK6($KbRvgmB-47G*N(6!#CRV6c#+|ONqz}Af#t%C`PS_m+&9v8OMw*aF zKLx4-Wdxy@Y?=+7DANA)3gnS(JJ{SDg~+6GsDEhpFONVAlracG6*MHO(qf=Y&~sX3 zYTH%oPa4j>N@83XpR?~RRTvU!Kc?ztuv<2b!Hm&yMpqL-nehGnt&}K)ID+#k(Z@O~8y3aYpe{fMB@4l@<_;&Z}?&f-^WRA3zWtcT_VC2*&$c83V!Oyo%JXl(;Q1 zrofcAqv`2DFxB762C93zO$&v@WMcv(Eqb*3o(r8MV-!KTRE8$8)=hQfCwz;CDCeiH)4%NfI*)gEbe|g2UOjW2v zn9mzXuJ6He1O4+4_U^;~Qd6PniGlFoP-)IqDg?PVjRo{8)5~BYAkbH<;fScLnh5Ql zU>3nag{1J%B9Jznv5;IR!6`$6i;l%$hMJBeWt9f_=Y8*n6AimGn^fuP zo_5p@CKa>Nfgm07u(eXH{ilG9R;r6SFU!FcArHo)}wHYm*yY z^2VsJuB6Xdpi;VV32`QzT-EU_}7^+nX2biEsw01RGfHpaQ#s(eR*QYowM<# z=-<}$WTmM~mx`TAEGTQ2A|C~9e)Err@KckBkVt;?C{*&M4{Yp&IN8N3aHBJ8XO!Vt z@aA8(u3UAsm-^hmS!_l`11tiuDY5OCe9ovib;Qo@=)E;Jy_s7*^6A6DQoF_Fz`nbq zr@I2J_sf#?OCj_H=F)!WP~vStU;SNNqy9BBme)DhI*{4d`)heDCyIpLHv7Gm&KJRCDFMgj-kL#TJ@=p$% zH{!hOhcQ``ee`Si)#Q(a>QOMR5-PD`LMU`Zzn$?_i+eZN#ruv!FQW~?DFm#ocJ=tC z^>TfO@2qcLIPoy7?rZ$o8i9sRApc%nt<0^dW;^>8A7&J1gGFt)0YtE_TsJ(~S-S%P zhrlb*bt|^Gb$ld@2&WL-?LaaqspwV{p}JinHeF*ui6%N?%%I-Xx^r)zEB#2DDgN;% zAN5t|MjhfUk8)lbg;hpcA*A&B_pB z{=%w;RiQxaUtMj6QPG0qRwdrHR3sqUBf;4adA{7ed6{D8J98w3Dj5Sj<7F?1!Mo0? zWr9A^@Zm`RGh8Ed${~vgQv(6sD8HHPbYG#Z93wH zHfh8{!rIUBm^WMKJaaa*&L$)FPblY@+}f(_QpSYNnjx_tq_B{EjI9*3<^D5ecXT7u ze?d$gho?7u`WEhq(#@E?Kx+UTuvM+D8fSgo4d-K@V?Vj$|J;1wIlh|ayxiS(Tt8=K zxck!+jfeeGwFc{pW*QU59k`r~l&ZU0JY<921-$G5GtL>xn-w}Da2vuS# zO{a=OHf8qZ$PIo6wT9oIx7C9~i9~K0&bvM;! zImg7yKP>7`irh4^3C}pmY@p)-j=K)q;p9kK` zQ9=XdmLagy3)a z?bqi38%~pofWyNirh^pMqYCp)sfE)aN+#!^J(?2E7gI0bl)2>~PBA3B zuqg-EnX)W?Zf5F|TG&Oa{@hk{P&OZP;%V7@F}gs_de%?cK6X8xIa#-G!&W z!%$!z&EnY0iu1cIRRG-%0)R^S2>8fXO9uqz%i`0m0d*6JP)`ys4 zE?SG$QCO;488^5J{Y|z19zA6R%u|lDUrqxUNcXfnLf&b=$D>%5N`2n&vRBGaMWs^l zFaF`A_)}b-yQY3=9mP`Hm1tbIUS~g>JaYo&llIxcStmi99;+eg*13P)shp zhl|Oex+PKaft;f-c1hs6=J1e+o30Y>YuwwxP1V!e=f*+Ji9j^~1##0>!oB@VZ8Nid zZW7d-3T9_}ejl|3e;R5D{N70fHNqn^fX5vC{qx`ZU}Y(V)eji8wyo6r3_yx><62=f zgplt6i*d_uySARn6fx1g4us1o1E#+AGHitjIh}q-Km=PSu4}drM|1SuDLPEgy=xF` zjtGp{ffdWP=AA`Q3d7Q$AcqSP6$%0cm}s;EQ5Ytb?_w3GAm_KSX&@uTq{0Pai11(t z$z>>35JIo!@ z-2ItKnKs$jBsuL|Bk+Np#!$nD3^#0&@cKMnBeJ@~>n3zuZSLrHGNcDa(tSfS{a)j8 z7$V@9>3?VU%y0Niksn3CSENuC_sqe7!s?eGY%w%L?mAB784OhyACMMPP;;2?H!J~{z!g&zZ1liH!G;o@$(G}q20V)9wgi_Ty@L@pA&y*x+hTAV z9WDtS_JACw@cJ6o3jqtSWO^UR8;u_-r)Ee$&)@nlP9X4;WE=efhmI{S8pG&H`VPmt zmniTnvLS*%I8mVdvQf>n5QkD!59N%})qi{pOGGd~k$WNt7{vC7fX_&|Xe+X!l>eg= z#c{nsMQTo#>TN@#1XF$0ImOPPZP}&Qd&dKw`b(Jyzz#q%*Bq+}TbuJ|331xDw*!eF zd`84?DF9O#1f3_^(gTl}Knp=!M}?r;1F;nBnCnQ-0Sn_@1u?Pkn}>fg^-Wqrdnrho zdoA$H$yp{&7XR~NR1md8&?!hG)c;XpJv>j0Z$coX>8s)w_O7@RS1QOc%H`Map+QU< z4@RZzYn}8RG=Xw6LvKAX^|*7!Ek)o0ha_VkSy?gxf4(&*8Gk<q-r5PuUKClZOl>eAo5%RCG(`{GbfPR5wi!;Gy zKyVf9Kj1Gy9di!4;l5z?Q5^ej=&}O%u@Fd)v@2lD7&;1odp`U~2qL!!sE=J5=T$nLVgz7?1mjhvOWU zM${P3^_$O?+JWLO1&zeTh{MC6UMHbs*Jlm{QwsbRjKBXEiq2F)$Wr02ePHIi-v9zs z94^Hoi3AKhkjfyyyGkw7H!(dgyt@Z>JXZu(_l4DIX<6rm>%>^7#G zWzG;8gipI$-zxE6Qo=iX27VB7rT%jf-D0WbY^f*(Ke}nQJ=UUlfWj7X+1CD8MD!W! z-`rLzMamr8YRj|xvy88;vf%IZti^dp{}rSf?~%N8+bKh zyn?=YJ+z5XcBxW9Bxq3<0TojNu0IRO)Lrq+0LUELZ^)3rn`BUrBDQD-sI-)RS|+x` zDuiKHSqo?8?uLGC|GZjn*);AGchRQ5@CWoy4^mfZI2sslzeJJ(+ zHzovfw=%^4^}z{b(tS#Pq`om!To%*}V!FKE0J7c~X7=x{a@D8=`PRT6Bdl&V|Ffz2 z*Xx9i#nOK_HTRNktRxDK3vSWhBR_Qg_KNYX#SZRRBDOxq#phb}Ee$P&Qr0sFf8}HP zG`R6w1Mb6`dfwT8v1x0oX0v--$dM-6jGZFqoK{c_YXDXD<^P5R+E+huTOptBhFLsW zq_P*qt@kvDKO0@oa`_Hd{IvJmXC^bMPNHtpe8aw`?9m<|p;A4`v1Q7MH)A!=Z#{6R z^&=hz$5y8miOon-anE|-#X5+>Yu=3AdoNH9e9%&w7%aprS4+@S!gJ*7XbNepr#o&A@_31w% zbCEf0n$v$>*B2{7tz9J&_fjBHAeKbUhYWQmL^rxdO_$7u0QM7INJKEDjF1K2RuAA2 zISoCA!R6RsWZs%bHqI0rOJ;^nboxFV8|9|`3hAu1p|y*_2JEJWyVarG=ouNT%+E)h zleEl#^(l%zTw`0d5EeOvIC;=B0{^7Fy!yukxDCq4d^|@#reR{y+P#u6^_t%c(b~C* zW2{G)9@((jc*(Y@pNILe6=fi|)G)Kq;NR|Blu6?)GTq_{5XfNllCnt)g}ANz-4x zI&$_bc16HTC0Y)%+g9%DayjXVeuwG}*0yh8;6HZFjAGQ}{41xm8 zHY5Eq-5tv~c=L4n^W;bd{GtDOx*;;&{mF~Il-hN#{~#Rlr={!8kM+7RD;)3>xh+eW zqKc8L4ix$!Qy6A^QKyVu(we0O{reK{@|?oWS6(ELhT5+frTjRW=SLK z<@NJZO^vicBf<%H^ZnyL^W44Iz9*mJR;q|Y_WP#-1?|$K`yJ;N@0-3(UcB#wr)=MU z^pbEmpdF%uqoQq_zv95&PG#L9ui0@oWCHDffzzeIGm&3S&wX*Hcf2_5F1g$LRMkKL~S5YO5{L)6e~3= zpA|dPxbP0R;$~m~3PA>bQws@yr8eIvM9tODbxD-~>CwMnzu(N)^>W;t-~g7j}|9qsUp<`VR{2Dr?O_o>~d055gU79AvL0`h1n0* z&_e^$G1QAfHb?ValT8_mwrS_Fjz6>RdD1B!tfkN2));y0!g=>xBsf^Io6~s%>SGTj zL=2T5p@^a=n_glx9EFBkWjVjE<0q44c%cqgc@?l2JK4!hSzSb*xKDI4zgM+#7Ok;A z4&JpLZl-PSLe4@|>|8JR=mGqZugKs{zJ4s(Hucij{bTs#LDF~1hnm2|whJ2( z6UHx_O!KU$R?LHx9*-Q2M>p@W&U>(1MYj$GaUC|D!DzhJ#%@nyJs@)IL;@UyE8p2( zzTPqCxsgUDvLFg3p_{Dr=A3k_&FH>AmKtMrh&kBYDfMYsRzL7`{(F$d)pt|*JM-Ym z3SR8LAZP;+gx>ovICLWn#Ma3Ei}i7h?NI86r5NoJjJ?B_;iZ|m1wQ6r7ZU7<=M#vV zNQ(yZZp8Fs?_`!~U*zW99MM6S88E2yAt~A@D-JNH!>Ftg=HDEeVAEV1;1<&xYa|z4 z*n8AB!7s@%Xz&6dQ)le5PVJJ1HqplOi3bLi_Ev@SLy7y2US;>|jx>VQ|22Yk<9xGw zb>{8pNx|E9pdu$_CM(!yP1aWtBHlYrf>o4yTJkHH|J5a9N0_{bVxhx$;lXg*o_0t7 zI)V5{B59KcNLz+=P}y$x9ZTWY33{rGyq&B6-jsL3IrR(wo)-Uionn6GuA1D0-Rh;uBVPn z>>f^tCp2>=G0tNsoge0_$4p}=-uLcoUjsxmEE~H7`noS#_*Y|mM}A>>Qg~?ZfW}0$ zy;I#thgx#{cNuN19s+A|{>3m~x105gZyuhloU_o{7(F&=&;?ChnXoCF^%0J)d!nXW z_*Ni_;?DN$knN%Xr_=+3|L)P1`#EA9;IF-W+bZY%Y<4aFw_058{OyW|lqw6` z*H{S3dvEbu*Z0@Js)0++X0$boV)YII6ARtQf6b09UbKPDd8Bfwf4O*nIOyu>G4zuG zcjJJ%RbAYARj3{{Yygt{tl4Y}f+}N(yAfU2&pca}?0-r|K_Sov^HsaY*045?ioTD=n*tc#sf)R_RMhy!a9xf}o_>cU}FOFtf!$z%tSeq5(T zNyVMJ(nd6DCFtM(GK;NT)p33W>&1E34xh=DB7Wkx+dQtLhKfs|#KR_N`oC9UstW1R z6|-c9*E?CU(--pMV4*8QLG#%cjB!C^kV>j=57m-D8$^zlc zSPeSW6-7HCv-u^GtzlQ$=Hm}%ATUmiKY92|>BU(lVqB|?!$C9ikwBxO^u_>QE2W|-}DBBBG@uM#y zrWatbZxTYshhKu6FO-ZAzkHi21PuMXm{B1IdB|$dnkT?@GcN7T@+&tW>j8ejL(X&~ zDc~-AyM-b8xSEpnc)ZU8(sl+g$CE)V2RQ;Das{~d#--g^e&qyYUBWLs0?Cw<0?y&L ztj*$QTv?KG;I++u9&!mmu8v1BgDqlrX3Q|<92-+`8Rt5wO~^cTQ(i_AM(r-^(Wlz$ zC`pV7<~^C!cdAM0Uy8a1KpBq@?|42;z5F<_Wbm%P+xzDBiZhJbnN0_Kf?0SP5Gu09 zA8MUsf0Cc}4o?(_gu`!Gk#8Z4KxBMj27+8Y3xYEF08d&^9049I{R>doz~(oU$T0xt zxQO?U*U+Ya6N$`vmC=>@X{RWAX?OjhrI$L&{Z-~z{K5`4d}S96$mwPTN8dMouef0Y3xzW-zbUe~+KkMf+w1=%8dwL$J_mt@GYZsiBX+QWdG z)``s5J|hx$AIPPmY;$P2MN~JxO*G8*X{shkr2_LXnUFvZ%Rgy-P94zDB7#Z<=VLQqA#BE~74&Isff`bv1|c1&=>lr3EP*eUcz^>@xBBWC>uE@0zQZR^C22lY4U8)6zaC8!Uk+X&PfDc-a zh51B`5XV$QIPtM0u2-Y{{e|W1u-Arhv4R*E&RFy0++a{q1+~sh_G1g#v80_;W^3`p zev4A}kO<;Jg_E|SAF)|DyT?qw)rX72qK?N8Xz&PQ%)z5kOOpw~nq47jkg&=ZGbO-N zXAcV*BTw-s3<$Fn+hZUmm@t5e{4b#KKLC|1{{}Em@Fyhpvy$1XzNH>e;EOmHNPHp| zdonHXU+3;jF3yT4_9#2F^|FxAwPY5qtMD%&2Nv}*B55Y{^c_9YXy*_f#p@@$0>HBV z6RC)2mqTw&_|t{rlpsIqMw%2c%bwECV49NPa)3X?`$j{@YE<(2wh! zAg>?U!9J3K&fAq>a~KWJ^=^qhhYdcNqdmU zbaSTRc`TY`YD|VfB=m*819uIl##hpiO_L&5zy!DYzI>JA3Sc5^y`A{4iLXl&C4h-F z6As6Szb52bI;{W`o{`K_A7G^+){E$DE79#!xWgf|O+JXy!d&zz$8YzOlxmWhoH3^QJF$IvcDAu5B=yl0FNFb=LS_uABU-g z1d*8B(qvN}1A7wO`WPPwYN}k~)ve_Msg*21b#hu0GLI z>K8Mt!=(Rd;>!33Y%gGR?XS^E7=~JD?bWty|Aeo`l=`qvpZkZ?BB~x!QJDhM&cLM& z7%1C0SsgwSa)EAdrJsN+8&FV|t9X3_|1r@G82R?Eo`ra?9*quYW->&rAl?oi543Qo zVa!)K87=%^7TB^5LksJ`*rqXVs5aaxYNit40b>zMtd1Wx9cQ-aNF&Mx%^d5sLYnoTN|*tZAFZV|^U1@__z%Yv&>Ppt*ePndP=;8Eoaon-=L*xTM~X#M0^Lzs zY1F1MCXc(i(q|caRDV@Alb_}c^HZSTJOHUXP4Y4|@#3g(3AvWURt54@X83sDL`pYL z-btH6OGY?^ER#)BbCv{j5G*kIv=Jh7pn?!0q&QM>HsJ?}(^sYtELH;kR3ii;L`*9D zM>S{0ByqzOJQo>5i-x5&D}0!~CM$Pwfg?lM5DM4N`1WY?iiT+>yg-ZTvv|{*v4i+E za#EmL*z(`ip(tPRP^6*@gnl&E0bLoihc0Dr62hT4cNC|~3q|N(>2^oxZ#H!m7wXeN zh$#6!N4$oEHTzGm+`j_NpW$^B7grcbZj!uO+lN>prYpMtoH zN1l(KjVq8f&R$lpAHUzM9=KnNVy=I%Q^a8@gJD^ALb#trxF1crKG^>?Jb9x!v-Jp{ zmrS&*?|IV&Bf;0live7(*QbCz zbd;Ax7$I(!vJBYyrTN&~ogqBVyUCXou5N3`@Weg1ozs7j`>2^reAj5np=D{;&iw(( z1Y40M^&IN0PJnp>`~1wt#zRnMVk54`Bbx7Z5%dP(D1R0)urczish+Hg5^WPPZ?!u3(#{jttm2$7lVMD%~X1>Gzb znZK6F^Lf7iXP(Q#gWO)TgRu3m&FjT7$AHp&y|`FE`Z$C*%4K_pVwZKpI=;0HE5y8n z|9myCvHJJVHc&-{rQQi+61b|&`awQ^}IJ(N+sks5YZsF zT^2TqtwWQXcKvzx;eK{Tmk{qFPj2L(sm&~G*2^*cQ2{yi$Sy9{7wx?~w7e27rp1r2 z`pxI*bWL8V9kLLzE5oG$!<4tPW=#7v_J@oiH2TJm&Qz8%w&`Rdh*Kl z?n7>#tn5VEyPgPf+tW4QUCGU=SkmI_9?g{spDO0AGT1@!>bt;>gZ*utl8)>#(S#g&i-p~ba+ zf=P85EzFTv|Aw!0M(j2^SYIKcw+*nXEKUd3Z1m4K{<(YsV$`NSV!6@DN(V3Fp6R8vRnHot?5%5XaTuN?3JtC=UaJRezym*4Cmr2}shqQ_HBWMsyHWpm!CQ zR-F!PfsR>1FjoDwV%!p#w*1#hqh|l4~5so6)hUUA;2dRv&f^xD8k{{HQGCu?!_s18w=@UD_jOf2jO z)y&3J#ijO*Uf&Y--fv!V_{WjbjomNS-*?!*T^jXxkDFPFyze}D=HK3WC?yYPcXD%c zqHu=^#p)2>mS42`%-?+K{eC$vyf|DxRX-jb?+<@J-8-z)Gp^|=zsr@K*` zNNSo}JnPpY8~MJl5?1Oc+xohyW50{O?6o-^BQImEm^6Ju#kBE50PSs1y;mYpUwp*s zk3+T&vs{4=6@lR_5RcH8IWhw06RpRu)F8!Dt^7vDN*>6b6NmhQ)$dwv8l$h?6AXt) zja+@~9sO+-x>bnX3Vbk=LpxgX{~~Rj{o#`TQ_T!K?pr5~cC&1_zRrKNa1j<55}gda z;`~MQml618K_+|PcZ|>KTmNnDeO5kc(d9psxQVeX9Xn@6p?#zExs{tlnYOfY3Mk4> z|D#*y_y48h4Mr*?BfI(^V`e-sE+0SUA|(CI9C$82jnn^&w+5d5^Z)knGpRdVPiNYH z8Gg`XS=x90k4gC*XcwB9U3IKc*oB`_1fs zE))D)}p>tvs#1~$l zTd@g}seIIgYV_Ymu=_Ui@zM%#{?p3ftgbQiw^73_Bak{q|L<^)*dKm3U_4;;ox zM@=I2#g(*=g6Qgj1Sx8VO8#$i%DNzJ?<_q?zYpr7PS;h=(oS!&Fh_~nh;coduV$ac z!i<_xp|g^{tgiZJ$N_KOQuw&B!Niz1>|Pf+_%F*OI>uJL?$2 zj*?lkWT!c29a82xa9|GzjDLh9)`1i`K)BQZ1j?&^5e7i01BC5cOsI&J0kGEeimJ`6 zmgCEhC^aE)U|qK&Z(Bt3n(f3%X?n65`50qsFVcZuki8iB%=Um|Y0 zIHZ1-@#gg26&Yz`V|qA%s-FHq3CndDq{WKu6L*lX)%PfiE^X1bDZt=w6com^gkBc$ zX4fV{Y%-j$>vr%cG23U9kP#!c_i#bNMxUMo1PU9nUVDh<*QR}S<4w;(dl|&pFbM2yHz9PFCA)^d6C=V4JQU|x=!f1=4Ympzkrcxg;4?n7UTg2ruqXIZ!4M{ zn`={xJ1|`O5!9G%XZBpni_pm{WvB0SxoIO~ckY!P_7t;H#z1ns<*kou`xS?_?PPN7 zZiDO|l^wVY6H3$D_9}ld*TPPpbkfQ);a0WWHDa{W%QC-yp8!D9sfQISwyhj;Ev`+& z9+fRwH8V;z+twbrmglCYH_BF@wDKu6zkY}1UDiyWc3*MLp5o9hLe$)Rn{qmdnQ`0IaHmy%OJVZdV8=&(WAJY)RS8UrI z`I}t_L%<4KaBFdqYPRjy{msuqt00A~K54W4QOyLM-jGRy4__Hz$IxXURRc=4?fL+y z>F&dy`NKqt*|u)+2c|j-;?Gpm5&=`K2>NHLVa-Qu+vWNH?LuiVf+MZ(*YqN%m*r!8 z1iK0qHAGNy--Z!|xV6I$hY>zIfB1*$N=A>O4+8}oYZgA^42&-7w5OHrx5tb63Omz$ z)4i!GvpEcto3oSG6cqugN6W2qXRPMH-ng@_i&)3Y^9k@%xGMHs7IxHocaJ!O=$D%; zR_7Nmnjp%9dsogWarfg%EJCIe6>E;0ms>0^{!HZ@^nitTzlcXuM9jn0S2OpW>#fI) z0rFN651LlimG?AesAPQI!>jM2!#DA=!{c`?=kvWbq^1+fMF$UQ+vD+{yX<8`v1hZR z9~`3w{nSvK1n2J@pL3!o)sk*}Knoup4=taF&8V<)tcZgIZgeiYiuwn_Lt?|=p(dS4i%l}Rehs-c*H5(f z)=8^+t!7zWZyUUu`*)bX)+y?GBWF20UQ4|Hs2(}V?C>k(*|2D@9-8!~PkaHC(jEY% z?vdG6_wx|n)?ur<`zCr_ukk#atBun)>!?-T7c;G{dwdY>sMX$&{x-~0o;*>j@wY3z z#QwHdCwf(WJl$P2$~!=&0(?2MPf-WM(+ABDKis#x{)Bj&e0iPwn!!+KR;X$Jxw{7g zbH8r2>ER~oQSItsv`WL{Q=U&Iy_ug3=B`hfk(Eo&c^eHa{Qs^;=4o`%v!Zv^R48Qx zy`IuGAM-{?UEB!5CC|#eTm3kY?bKSMF5a!=qg_S0y|lD~{Ldx_F&T3jhwZ=qn#fJ@ zB(@{3O=Ha#ta8-r3RgvoMs9pnxOEHt$_<3C+h|J;scVhX&H8y2saQU>Z{57Ag^BgH z82B*j&AjaheC-pUj!da}FU*~f#EXsi&?qd4`Wcm6H8b42fD@T^M|kt>qnntJTzk%& zCc#-A{#hL5!K6e9@r+f<(#_ye-Q?AN48doukTNAU7Q3Z3saf5gFAF?9=)}LbsMmJ; zMM_Y`Xg~y#5qV1`8x%Q{7*g)(6jyoWESqkEIjoGwY+6x@+ceOBvC!=1!p*PR>6wps zep@yic%6TM6U)sbNVt$=I>pNjxfe_D)j2pT$^ha-8_kMb|`amz&;Tu8n*=qsd;3uCQcn9J)MsNI=`mO_jBX9}n6iP_ZrY#29 z>5DsYPuH+x-csMc4y4mBWudcCC$N)YggdFk!70WFK zbub+xAKiMMy$RMpMv_Cwnv9x^TfDs}9ZG7Ty)r(N~MqozTZP1oP?_ZP1)9nBx`F*jJG*cGY2g797tAfE)LsnTkw^*u&a_| zA*>`AP2Y#H{EM9%4-~mpu2awqPN4ICizE~&2c@`Qqt#=?L7ZX8<$hTCQ2}3uA&38N zd9{RG4-~+xu9g)36rg~DtSS^etF^m877v8-kFNBC5IROf575adHQn~>!W7Kvaiu=4 z4fTaCd6_?!y6mO?p8WrwrsAbyKkoo7$syqWJ&nv{0ZQX**h>7wS<#uy5NM6#?w^(T zsk66w|2eh&bDGGe&PR*2IZ~zafc+i94xzj-uCAff$5>6BpD5ePV*yk$K~$UUpu&c= zh&v7GMnm`AiJX^8-bNLPLwQ z;d>2f3MPHExJ-^FjfHA{OKxSMn?Ee7Nh-3txFSwrW5yb6Wa9!`Ho|W4u1ShUp|jE3;x~~Nz@VDduIdGS zwd#lW$tyQ9s$@+ysYiSd8f`vRin-1BhRnrrnTHvDVn)zlhmdU zA#vp60GVO6)1+u7jaL$n>zY}vuUfRk`70Z1@vE*_04~f36>=eO{{`?e@0!rDbBuI- zZvZa!y}YLe{SEmF-*gPPS2FZ`uKK&MF=89^c&=$GAxl^nj=a=dbi}QU6JbAAr8>2xUG8#t zHn;YoLRT~sZ%aOv!Pd4-48T;0hoMidchjuZL&~ZL8LRJ)cPl~QuFSz$r6WXfJ-Ais z58`|_h*>aDz$DKGv|6+4TLD2$>aUPf-@0g^kmm45aLulF1+J{7{S|WRU4bjBiGPIq z?E3pZn2tXJ>?a_Fng?Wu_>poyR47u6bE!ZrA(zUpfQ|~$gcCv;1{IiZ3mL4wV2UF^ZY`e)CNRea zCP*j18k3*MN;MrobSeuO`ZF=f0+N<*5l)5znp=R<#N5#YMzV=totmpN**IOGmPEh; z1DaMsEGl6f-~?NwqST;Wgc~ z-jTign^9nWqKk9JC|giU&G9fkYH&(zkrY=ccD1(*<9<3(buhk85A(FXiM8ka2ng{h zJ7oAz#TcMBMvHK46wtIrVreEoqu5!x(aZws*xa4qM(O>MYhB*-Rtyxu#op7Bt6kRg zr?f!XdRlU&OPk(`8YpW|OD=aw)1OiRW$9_jr7j+ND>9(WGcCE;MMr;143z1nB^SEz z=&cBWGTyZ0d>1_ZDIR3-Pn0kN2u6V$BK615o!JKJ{gSg4>l!)hkdau|hEYVF;lu~G zB%xjW^oC1E1Qe}5B{RPxc83=bA>lT2kTi&>0es->Dk6nDSACgcOt7md{*1)dQ$mDv ztZE}nva2cKL}czQB|x-Lvk@-b(~@||KleK|kiS@~1v$doihMdC|B4h*9W}IYrwVSuSeq))vL~{T0gzML zk`?HDN4P>zJ*u-}s4Tra zRF$bXU9~UX5A_stBD1;F+IVq0KZPOrs##STvY6eFG|Tu!yC>3UrC^`klT?zq2Kiuj zNL2ZdY$acH;5c_KQz1jnYGfm@*;N~cb;>THktI(wDFq^;r7VSM@FkUu_QnFOqm?Lz zq%Iiyunk-zL#}AF1;XOioqUR^mwa@>8;8A?Uc6|8)*$qeo>}#9(cHmC0Eb@pk1>e` zz*vM8+yd2=WV(T`RCvlG8<)0Lyl9x(0Q`*>OZ8Nl!uO3pHkvLJ)ATx(wB*;JABr|$ zl$WKcsg@wBKV-(cB3w#Rn4mzUyN3!B8;KiAn^6uy{KwPOrnJ7OtHP)+=S5C`~4`h=~P4sJqlymTrLpdoj(Slhm7i_#F!%s={ zRliiJg#H0AQoc4e)~TZZ0rX_=Pfm1eiu?gQI4UyI-dHXZnS5!$DwftK*G+w7xNfnChK^!|=6Zt65bWrp!ywO4Q^_4K_f^hQl8UP5J-|L2LQIvPS&>vH}UPg8U6& z1@aFoyDa)9Ut&O3F^ZYL{dEXXz#+if0fzwn>k#nj8FHk3fJ4Clb%=jiqZc>o`|A*3 zfI~pr0}cW9*CDX$0f+Dh+Q#|?I7E*sq~bm5B!A1t?5-?L$VyQ4h)?7<6sZBK19%`z zcPNwm6QM&abCT&`AQXCr*ng<CiWlF;G7bfXrZitUcDsaO-b}u!&j#S04%dGQGzyI z*Zl+N&Ay$S==lfm?3l<%`(XJOur(>sT|HHm5|I2j1W*`|;;wNx*d;{=Mvcm8@rnJE zA@$~=F?dcDX{co7_fObBq(xfjA9j`y0-8x{VU~Zn2eaU5_*eoO!CRhcf>no1X~C25 zNdgSzS&n{^Nw-L5!JWh^5t8gaSChl|<Vc-zd9sJgW5On-Gy3TZEBKdF1YoECe1 zc+Y<-$?%i5E4_&VGG#)lPOfifJ)SQgO&-WWHeL=h^2yoN+8*(}@_x@Z^8ZjU=P zAtNyXL7tp!-X&oQfugcetrvm51oFugW;5M#;GpKfL zN4LT7k?%)u&-3VFrIt^nXw^>A;>|b9Ly{&ZEuc%U-h^Q+Llr_uHJ;=jXjWXJ9X0 z2KXyGzJ$SDrR8BSceyk~Pfv{sBvN``z02d4li44wjBPi3R=8T?b+Ni>Du}$?-ags{ zy*_u=jvKLTmi1H*IDQ~vU7vkk-&6O5WWIeHeSf`w*JG|XpL3g%m}Zb&f~uUAlwpVn z!z_VDh4p{LFK8fH2Oh^ZlXY-zuZ=0MLKkW$NhQI7$V-8Nqk({cK!bqRvngwye+W_n zq*&k}1P)^pCkr!MJ!U-vcMCmJ3sXBi8yh_*JtjRS1`AswN4W8+=_#o=Hwik4Xtznm z$cHH@2FYoeXO;BB2+;v=RWo+@rf1ZkVT)0Xz-w@aJkq~n3?BZ$xitI?@zFj;v!L9stXnz zI4rt_ato#xhXHYf%K$oD-bvg%#wxEl-jSS}6@%wr?XTX%=byz{=XOrqPfPB|J04OJ z1J1hCJvL->FDK`rh-v~hJO)?APv4tYb<3Zil{3uEOsH_GnmEPZ>Q6f?3$ISvC(6vl zs58bU;NnS#V*-JPyhOzjU#4)g8Nq+*S*<~QWWRlzppwE#B-02N|3XHi->?ikBAu5< z!r=Pi8gc2AKL-Je@kC|!Vgm~bLvn8^K=PVCmrjMt{!!#2)^i4C&vKFyb4$Sp<2A7T z!|AG+%CDd*o=m1R`*x;ZOCHo9WM5pdu9S<`>&Yhidd1~`g$KKD^s)2c3z_s;j~xWE zq$Gw^dYsu+?ebpc2AG0oo>F;J)!uPAkgXQSKPn?h8*vROp#r}UUHMP2cnipWRLX<3 zs1Y<$lxsrir1^)kE~vO|+9xz6T$TqN&SfCQv@X8&${7rOoVW>LiTN6x)a1XN{r94f z?V%a@?==tC2PPyiOdAc+WofOX{?v zakgQrf8dM6Q>Y)uni+`?`Dw{iPwqjiqGdi|9bQ^Rt6$GovU??@O<_z#@)aET#Sgwt zp{4BVXHLTsA1e#WUvc8CQLfROVh!uCpYmnB` zAq*!Rc;rqFyOTU0nq7jQbCOCTB(sjTs#HvBo>{0q4 z`-baPF#=-*!6{324V1;z5dv+{&_HO%r02{Fmg%Hvh4Z6Iwy3~W=lny9s-&ftFqGZ+ zZCG3jS~Z~0Qa0FvP+B0C;pB1UFBtSsL;AS{nPA|23Tn5D7_}Lipt@fNMZ#bj?uk?1 zOv#_mM8`v8Wqaks(jYAf^B!jIWv{+FR5hW&d$Me6uO=Npa%=5;BP+0cKyYcpC8U&V z`zWN(6?7Stic>{Qnb|=fpRH}Vx^dQP^S44UL_7o=m$4A8&fBd@u`nU;ai$x4 zJlN3Vqm+pw^xM&o-xlU@IxC78B}L*5u#LZEr!qbH1$KHZ!swOMk;-TJ+_DboHO zY08iY8CT_SxFI3ewMc^M*U}ZGy&m&S7bJ2w775em#BhynV+U(fBvJL%GtFI8+Zo?N zsAoNI(MoyXv}xp8p?X7NvMktfG@BwA;MVY`+^Fg`I(CY@%e_U~GC9r&=#BT|{l5@^ zA+A@T27G=cPe7p9Gs3D1fbO;>VKjTejj}gQ-uo@6{|OClXg9!yU8rvTBW|~+`4If( zKBOEDCgN2Y91cE`?aGwsVynX5odq~s_OCs6f`h#A!|iG<*KI*RM&m(vMBR(wDVWOf z<=f{$tyO~nP8AQN{!AGBk-|yKYkVToqGXP=rk(1n)DTg`>8F)yqw11;z7O(0ot)uP zPrN?ompK`|Csm^?HlMoSzJ7%^N=uc<#25K6VDmH=KXUxA^wWZ(6mxv-AM0ry1PZ!FswhJpjR+BWcb0%`Ds zwEi4s^0RWUZ2d0^98k{SpGp-11mmEw@4{!o(@^B<`uE+QD6co11P!rtd<(l=o(kgv zKz{ANgZj&A6GJJorwcpKNmKok1SYXZyvfNUT`R-R`8X|!AtL)(g#WkS z4IWa{B!VCyc7~uJME~!8JDD5Un;iJScq@xs^Sx5Q#0BuP=BIYj?6f6H3^bgg3nC?3 zq5j%>F(m~z78&w?sKpf)P+$u5FEsD6i*et*>S5n$6TQ-$b>Drud{cEZ)um(_gk~K- z;>*)fy7PIhtg5=as2ojr)ams0urrPWE0xY+IbZWFs){>Sb1+}NJ@FN)GF8P_WH0h8 z^DPmR=BqWG*cURpkGf~1I#FYBvE-{~eOgwYEwBCD>G^{pE4b77xUuEQFD-{%>v+v)dynKJYVZI|iqbBGINsXiog{H=>)mb}Ri?8oI`lgAu zT_-9uz=WyFWKj4ZA2SmGZ$-eUpjO@ZFfQ1b53BobO(KeP#$mCNaTrd`H71Zl-QPNq z82r-rdh9rK5Yc#?YmC(O)h&L;DqW@(dit$H+N}vJx<(&JyW#OiJBJrDdVOB%B}dmc zoRNFIiYd+T!#t_RC~!ZUULu!tzrKj~$Uqdw?XogVj>Y}%CgBZ|C)A@TpPVJ}wM&_* zG|dkWBw8yyIe&=Q#|=-2dFe^Glaiv5lnPD#$bM+))2ur{TE+Etd$p<-8B4EV2anBX zZ9crS7fLucxJ&c{Y||^-km^TOZv!)I{99-O!QuF?-ni91(FB4}(a4>*kqIL~Ia_s9SOA`Nd02m^KVQaYjF1pid-ks-2yoLkKuuO!wuBN&HU5^?bPu*Xo0zd|qsR(2JPxR(3U4r}fgeaSn>T zoNHJb{zOGkEc;pTb2cLDn zuL1Wrx7ZW7Cd%IF^H*V#fT6)qYIh;O)iF<7N1pY*OTuGRMvbW-r;iW`I|6t=iX^@H z`K2=`eqdHM#;OhrpHktgeR1^Zr9#23%!T-_>&sltMl&+&`3TmhhNb%irb51XWHb_& z^hN|kw1aNH^e1RTL=(0KyswHA%0x%S&{SZlsoEM>U%u0$7<3sLkNcWHUYuW+Y9?EB zy}AsZonI1&!D6kGCf2=;+@6ZBZtk*%qupLTEU{eMpXLfHuUo~f2e)uZKpr5 zT=ol(I#NjVoF!qpdc03yIL%m3ClM3{hOv;Jv2nL>HG9jgn20AlAMuQU42a&`tz9Jxd4#wF8 z9LiQ$dLLdrfA1~F7j3pJRE6~tvTBa&zP(kFW80*_cs@c2chXK^2;;234qpNa(eojf?A%~`U)3aZp!R2kvB8=LP#pAPGtG9jQ6Nn)o zf2|Zply8W1I3SfwVF?rNOiN8p8>}Z4b-SSyc0|Nd()HB;YJW*$6S|Xma%F$vZ8IBB~MMdwqD_Tp%bE zn)2)^r`YEDeX(%PE5PwoFZ1VgO7R^5RNpnzEde(B1GeY!n zFxJX2zvljwGlDl}eMmHcUkx?UDBjs7g(r7=S5N+h3fr%!Bsv**$(QfAr-6Y#iS9gm z-t#!iLMKrBMWS2^ZeHIyn*-4lzj+=idkM;+zeLI09$F&@~d2E);!>pJt)r97O-ApI%ZTX{ei=X#nkLQ=lQlyLLw!aJUkK` z9TS;?$5be&;m+&lfLBvrew$XFmQtLqVRHK`EmC~-s^|fq*Ytg!0A`jj?}v>*#Mn`Yx5AiJ@Qd=8ts~T9YrKpgLbAyc`7GaE zwb$LL)}t@xdPwK(sUeI3%q$A98zVz%jbpF~oi$=4s2-5XZzx05Kp~AoDvwGLgIo@i zGy;8F&Htw^Uj2V-mVzaWz(fE4s*AvFQFkI&udCiGj0avit3$+~?}6KOECCHm}#Hp=_blaV*)6W|; z-4XM2qt=mh(ODN6jPfiYMYn<0+~OTk0u$y_6+_a2M}#`(DqiAIu=@*wZC8GEZdvgC z0h4$Z;~HE?Pwgj-lF&Q>iyi~YwJNcj)Ld_ire?}nBID+PWfb|yvgdDuMz%PXU2)eCG=x=JbGj<@q5YFjegIIH3415eUDB8HL^_h-3?ov zjO8wL>zeL?#{d$lFa2!awvVa~Qea%Kvc3ev+$U33#;O+CFiE!@<9Gzf7wsrG_Qan~ zA_lWeGDbkI+1udc!yRm}b*ReL%4C1FB(14wH3O%RE~J?r`Th}XMDnG=3?|g>wE!cr z>qxMscbRt(YmNmDon6_Mco+Q|nHX{Ih}RoFL_?CN!{hR#`G z6WmNttvOgS{YAd#!}9vo6ZhT)X8S(-qk=IZ=-1N3ry;pDmzXScc_`QN9x8_8Unx|C z*2K;=%e307Rs>(sLU16_M>phuDz@|re3vXSPtlayBHzbMZ+=L4m&dniR=p+T?XV#U zqoDdKp=h#ssz@O-dZIO8S_zZ1W?Tu=_TG}I-QA+$j%qYu-8TU{-4xTFoSq(i+Rql)}hxeXG{8AVV)NTv23n8u}f zOV22FQLn|j89CMO6GtcU*acH3HiOUT-BiJ313$MqO*M!L4dS>Q59M0J7!f6@qlyP@ zlcG}m{j`f$!xWD^)o?~Uhx{^|w^`aJj6Bz1;hb4pDMM0~47B1xBQ z1{7RHg-`Vk?3bWH%DY|MVD0=g3cXX234M>ccnHf5UYhPJ$zl_tn-!X~1=DKgJryfb zYi=BJsP_4-h^rGeyqWG$)|ifT;yAUWz0l6({YsOqB&^}(;10Dw8AtpqXsKol)&^*u z!HzMN*X5^^-c-bw;S8b0%Q;EvMWQ87PrZ_9vW^nX+-dpeuCUu=-I7o1r27~!Pu6EY zn>M&KMV5;>ezex~w6Z2Nh|)B9T9Ue*Mz2_^+^tZ2k1=QgyvV|ESHhb4q*r3sr673s zB(KnfJtt_!l{7RrXdg^4mwewxa3>IbptQ5$E_oHUWrLtn73qOYHmuv_QL$#+*>e*| zMa_`*0`=k?qcv*aL+bLh7y7YwxlS}@{cI7`7TN8FWX$&iOTFvD_#$IMkNlm@?jT|~ z#F6nkRBOyuNKNimDLU^sd(PStLrtlwjJ_llCamDoUV-t8egqAh=0ms^vsaKKqp)$i zJM=#H$9j3MpY#XDpD7zOtdl(FSIRH6Cf7EGrGMPVUdaG)U_WJk;AH_`^4A9>j8OjV zD$+PfoU;@w;3!rfBG?TU(uZ_x0H0z`mD&txi%^?C@ue}aqSf2>a~6EqzW1}@BhFOc z+r;w3xhrV)RviPiJT4l^do`B6pn|(IcI&p)KZ5oV_wqADJ*}-t)I>$4zRg`(Nn4A# z9^6JnQ_beUrGsMlZJm-$1H`t!Fg+z^=4OSwq-_B~V7>$E!v&s*r5rkK-{-twX1Xz9 zJ5&TUzP@HOwsP}3Bf42F%gvXn=c8V^0%1+tG1ojrWuakAk9BqL1XXEGe1#m#C$4_o z!T5}nW3Q;NNo|z_&{54*4TLj$XXyQ%6eI}WkHLjLwkhAld7C5 zqHe};9zU1i)wX(fFY4s9ujtB5vN&$wu106yA2#!KfHP-6$`PlFZ>iP#rp$G6eGec_ zkoQaKITeKIUFH*+wP*)jUWcc?h9qpW*+6isl>OAO)fyy#=@;SlCotE^&H{RRV zRklZ;LiRANB=WXW>hBv`CGX>hy%PrlmPfRr`ZQAEOEdb>eaflW6*-Go0Tpy2irkaT zACxp|i(Pk&z$xe~qWNXg*+jq5%mmXRZ&31V*=!%bC70`elFC#6s@Wmm+1o-DS+;D( zL{R0^C(Zl2Qs&2DV-}r~R9l0murFxPm7Cyw3VEa0z_*6n+U_MtM1w_RM=D!x)AUtl zWosneS!T$0v>jcox%MoyUiRUJ;p)1O@!n;vUpIG+CoBc`T9ys$(!1FA3zNh2w;8i{ zPl-Og;8}JfqFUS>dR|5y`Year1<9Ug6yWcZ0JlykGM1=OW!S28S*><@jz|GG3h zA?}i~x>;4Sf>0DLuHG4?Ro;o zkr{2xy~CVDu}v;j&D(Z<^JhNB#)Xqv$Q)tKOJR$Tmk`A*+P;?BZB+u438E1TIBGz& z#TF8y#kNdEvh$>{#WW#!@p8@YyE4^n1~335TiBe67_@NlUa@Q&GaD#NLZ3)gbHArA zVaB_;A&6sIrU=I}C-{aLzw%-#nDZqgvbiS!<65FVWDCD8j;%Vr)jN|>mwKbFf))uEUtOjcCcq`!QrFBD; zCZSm#jMI(w=jgia>W>fKA>~{%(n3E^AHN?}weGxa*S>d&m_(G2Mc@k;4RA=r@l#9K!|kwY*J{M9TZUv;J$>k@fVyek0my%j z9H)IPi@2t}H0EUr&pugo1;7l)3;b(e1qqgadWOM1Pv8&6>%m;Kr!tmfgMPO&op=r zcCb$O;dMr`0vlIV$%2ZilFvMAw!_R>iCL1{(Uojcbb0=yyCJzylwcWU$cFx*1l;7mE29K zb#y@aRe%HAIunN8&$>1vMWkUJ_`m_nAIXVv$anzLu^Dznt(L0tc~y8ObPDyWEuV<+ z_l3n`_V0FtR%GMBZtdj8D=w1s<2n98IL-!2Eo(c`LHjQ%+9-BJR%8djHf7`0goWqk zD&*m`!|05J_1)h~`$E_m^G7y11mUQV*pcy2F~$V=Q-|d&(#(8eM*7pg9-5ew_08u7 z%FSCwsfA#tY33HJ-&pbI8o>&M{cb4`jck~QjeQr)h+Hc%4J!=qO3di)@T<53>f=)L zts0$=Y1+DzV7CT_C_Jl0)I&PsKaqf?>-g7g zh6d`Hf8?~_XqCqJqkF`b%2ii!UVfTv^7-or<{_$R4_XHJfCJ0}^Ty{rFEx_NBTQi1 zz9&}r6kV0@>SXep{Wwf$OTFt=eNncw$wLnxxGfj!=5IhQQEc*5k1^w(w--F;@t|F4 zn*npULuu+hiI_{5Z*aR*7w7v=4`r6gi(nx1jl3;QE%p#AFtAaCiuJbELg6PdKeeep zw^7rOl(YJAhD|~oSpGeT+j7HgsXKzA+KVE2T>|DO>qeVwrlsa4w_$1%l?BxIAe zt9(1!O`QunQeD-<-09wzbQ|Fxu>dk{GBHeSxpga^>q&iKb|e@qr1BI;QFZ zL|k4mr5mExHbv(9E32pw0Sx$J^qyQItGTfWeCG(t1zrG)nPAgVoaQ5 zdA>Lb@+9B)*cyFmtr$>mEYnl_-$+zjRsYVh)*$}^pG0?$*!a{TkVW@LBa>&Iu;$OQ zYr?JEaM0G6YXLMv)DZZHIhrNY_M&CnqKJE*Re!t)Y}~%Dy)4n|dKU+EkZ^`H!>Yd% z0yL)hh&CMgdwv5<^Y*=7Vogw-ETuUxsPkNZ$XN`Onzk-@r(~1sXzOC+{JxpQIhftxdTK=K8E(L}P(yc3UeY}r-FDyc5#ds5v! zI%Bx<(EgTaLCoF37vT))Gv^sAVmC`l#(hw*)J>@fEGJLg6S&UC#v3x)-C#oGlOY$^ zCOm#c@v7`j^wZD{@RCARi>@I*nJbFMvY$#_nX%d;5+P3e^1)PV6~MSWWFTW@`Vrky z7p}WwUwMD-Nnq;*Itx7uknN0J6e4ysb&DBzzvCHP(;DEp;?{Wi+8N8Wj_YU|%QL*D zY6U=QC ze%^hAx^e1KD96uTyW>f3OU*TS(8UU3$}*T;hBm;q*E0GNV3CbAi3KmoA^CR)#2lP! zfU(@7k8NyaWc*}Ti*WNVx+?{?jPV``Dl@zIuZ1O5JEfz04isugqaZv!T-gf}C&BLB zBd7iYy;DxVr}tjFKQyPJG2>@9{Rha+J?m%Wzk;FuXc;A}DWeC(j>W?AAo$|QgFiAw zC388NToRQwVz%M1In=FWSDbyQWt!fok$#p*+V`lHGdUMd7TUTL2kk;PG9^gMi*o8> zUMAQJJz2B#tknNtQ9vcS-hFgq zYT*uJ;S8~bP0H8Q68p^Np%2pk@z3C5>N-HglGQb%aXKF2hy|cT%E#P_Xjrh4NklYI{B+Q+?EILbDp2Ob)ekZoQ%>N;n9y$>#C|cY=!Dp(vBA!`K77i`I zZ)uzsyZMr2O)R7lEG28(z0W4^B5NB;=wRIv^j{9*+=4cMU#;vQg=eZd5}L8HmN)#b z!yA%QM`tHw1evMo32j77w!$=n#&8PA_AmgC+hqIz(-{pA#a@K$r`!u#H8wsU#DjEn z#*qNCZ8s#Rqkb+#Y>LMCb3qYT&;P6v)y(?bCM3`{>^w7Fc+<=69&j3pXh?OKbJTjvPZjvUS z3Ebwx{ktT&{pR2Nw*jUr>!PDo!2GD|t7Baqt;oPc11!J#1hiX|Sv9UbTZ$EIa8E^` zbq0Fji*sHaox@JfkEzIg?kv$I&eb?hA8J$?zkZTT@?r7lk1FNuen z|MuqC%U0Dm@x>rb179IZkpcA%aek}_%vQBv2#JrJwt6FG3aRSDU}gfT`atExXq3?% zCcFmKgWzQk|4d)FLd?$y)fG}qkPFjAakwUW5y~m(c3ye3uNZg%#mDmHy?Q2ge3RrT zmCO%vcRlV_S)NA_wf)n@CUiT(^A=(|?(I=L2gK1q5HUh0+AZm!6UAZQR~Lrs=ZfM? ze9{9)u=c*g%o+1chj=dajF0FP)X2)`=h}&`_+m)c$YNbjFAf-MW@&?>-Zp*Iu&fiY zRIzDGQFQSP0tW(>H{qE+YM;xB0;O1AN)Q5*-38hjG!BJUm(f)(%?u3Wz;c957+?zx-1@)TE7S zKAu+X4q5>$Xmd@|6o@4gka>jj6l(Q;C5zs;eo}Vn3cpj01s2*c^Voa1o{1+pl=-wc z$UN0tYI|aWzt?L;a^()6R=~Ug&8gpA8BN?63zA;X1g5Z+Hi8FYuNVFgCQdVAlr?(*0SDR;lid< zwmpNuqXVL0N#MSAj=#LFSy0&>)1D8Egma+8Acdi`mrn>aT!q#5U6c=ZN|Nt1+Lj2? zm=O}vhEYO~)2$&FR#2VxJApewTI-}1q$0a1UGurtQT?q}@1Q7w+S^53} zZY<|7BPeH!c})0DbY+d0)@P}M)@K5`DuhL-BTzXed!5lwg8rh-5gJvm7aHD93x5N-$10K%T`LUQyOeFFgyLxXjqux5 z8O?oX{S(}mk8RLjqr@gZBPnOJV%! z$NUuXH=nQwB54PN8(ShT$|oA59Qw0PFEpx+HrJXhYMxmkGhUa_oJLk^+kdnuu%jsY zx{OgtxCVCYpn-WT6;AYtKgd4(1R7*6%>zD#8g|~k{sT9-`O9UXI%zyyzcl(foBkkt zO=AkRrGk0P_)83V;E%JwKr+zY3;-!P$lMYKe7fK7y!{6_)cyh-1Ka|<10c-9+4C?7 z47bvDjJDDWOaM9s=q#Y~fc^n=8PK&xv2B%|{L?^yC}0sysEz}m`55Rv1@r>YD?o1n zy$AFOu%83gM*yhZ{8JPF8~{=PdH_xUVE|Wzqzw#hC_Oa&Bq9v`Bz;WaK>w_tw1EZW zI6w{pa$F$C19E~ju+w)}Wp{S~A6Mmj2*|N+GCl4``&L??uvXfa2tcC%jR7@ zwZ#}eS-qq#IlZJedA%edMZF|HCB38z#Gq?ba;0uIyO4k9Gm=j@vyxB302XzqQ36BU zvqrVHIiOU4(f~>iC?lXOfU*I~2`D$9d~4v=3s>dtCje+SH+0fJ%Y@YYBsS4D=Zo01FrZ8yElw$Z>%j56JO>94M9mA_4~W0tWL3 z2m$y35DSp_KNH5HpqEqwOcIMKpz45X0jdM2KA?txngD7BsO2j7vf3ESpQn=L)ee|k z2Vim?fys6PCi^>(y8!*O-<9wG0IUK01=s^P2DkvYwGQb&C_zQKAOV?+kb=zP0Jgx~ zRvkq~0c0TaX#f)7T^Pg(rf9*m6ES7*pd_eNa7T9H5i-4v7KMOZtX$LHCsDR~rqu7-^8fdS^1@M*t-e@Ag zdu|o-kAWBnZh&C?zu*yAdNm^28JD^MVa5y#GM#xW4?rw~d2AaXC=tkF zNIn)vI|r6TJC_2?06YSamI4~;Tar)nm{|nE{Cz3ErK$CjM0jT51|w*I8QT^VnQNlh3~dO=Ie0kN z(&(5EZ+2UYmYha;%6_vVlo(9Eq2 zEZ@8}k;f7Z3yH8)wX6)ZHQef;Vcq%GY?Ki4x0ja?%Ki6Z&^}h;)c^3h&NY`Jt|}zb z_^jr1{P3EUWL6LIwk%_LU&j9<5c%h~ z-iY`jZT-2xykGnCPk9HV&MUDaRVhehhY3bz-}6SSD^`xNna;$_ zL`=a??weh6DT_;Ia^Bs9&+^#pGca0sW6&sirV)7o9`}#NyzN@H!q^xryL@ZYea`~< z05-z%G;TJ!SrjYP8x;LmZquI~RCgS0rk@ovGaqL1tdUiPUHw<*1VaiK?TcEod^ybL z|Lo%xyQ~f+p-(k5GL@LM=&n|i*ktItSo`=7sS2I-UR+@YxpT5_+sO*hG4pKMZCW4r zm$Di3&NDNH5$RiN4({z`ihnwurh77qK*Cz$+S1cfN>aV<6TxO5USd@HO=vi%#OC7b zB?c{r##>k%B!z@lZyhfaS>T30L1>scW0<}pOY)pK3MoC@n3)hv;jp?<7}~&bHjB=^ z6JokLe;7GnzB>NZxcax?z;_W;w)VeBKfk@CXTb3!@pb69(+LEU7-}c|9 z2n`jK+h$QfU)1^t4Pj<^_aC8t3}srjM&-T5Ziqx zcLs++M#ai{&c0TX0vF>;`Mh<;9X=qvW<#G7fHv z-_DU-0USxOO12-U#KJYj;w@+YQ!EZX*P~q)PI`&&rIcl6iLaDG^rWi$c?p#idv5%! zN(Efj>k;7%b1vUpTXQF`cY%2`0M5kWqAubN`toI@|+3`N){>1`MI(t?uL0BZ= zftw-H()Sl4?|s*lv<8@(#ZbTrc7Z8Ef79 z<ms*pq_$R}`Xl1H8!q~>bGnx*b8`L$Nr`AF zJL#8@Osd}Z7v-Xi|FphsSj6jYyp5Jefj53$tUXOt=)p@N8b0zE?{CO_XJr%+SFN&? z=xa!~0Gb<)C?K!1o?fgMbC zs50P838!yC#o^PI98>zKz5iSI0I$9^Wdn_{zPT*Sl7IUuwZ%QYE(~w+eAB)DqrkkI z1}Y5M%$)|Rg=4J^>1!}PuxSk?1hKU49VOV!oj<6+nReY1n|50F86~=MCTJ9Sy1-uU zDOsCPMXLs{opt=!1`AY9A=u{$RB2rDmUN(uqaC`oEFuZ-yT&C?oOY#zfdn@!wb0h{ zq%tvHM~?h&k~YSUAaz9_8|Opz=>)ds#hU|v+~-Beo4Bi$NKdHVTa-wnjypWureTM* zvUuw?C~Ih0C-z;y(FGDW;bYen=?VYOu&-P)bhYDigv>PG|fkbeEFX zU~iblOBwim=r!Q%i`!+Sg@#f9vhV(ZP>@<_78*P|9=?am>OELX_$pbm>Va`5Sp%Hy zV^TG`IBa&e;Y=6}jyhbQx}+VgHF%6d&t})C*@jPM1u_;X$eGeEPOK77=LXc8$dlaC78hS8KlOp*l z=x5_56))sxq4jgRa9SRc@5it1+9&d{#BNSSzRO=aoE2*v`AkO)ljTFb(uKE87I&S2 zRtnEUOAw?u8A4=gLlovJ{Xr!M zoNKj&5OOq`9(>=@6lN|!7_%bBJg0pRxabQ^I%Ya>gNuGMdd6UEvZ*DXW8TXjie=h3X50SR4pN_yk+{eb15eiCzVMYtWKe}5Np4B z&{Q+y`HXd)%tUHTzJ2{}hC&zMigi89K2Sdmk!{)3UqqMf_<3L_ zB1Lx;A*L8v&g7?aF>)0xjDc#G#T_{6hi&`UhIW-tuX3PFj}?zl<~ph`q}=*8T0hH+ z$2lwD@j?ye_df02fxOMS>Tt96&amTmG(i7J<4 zQ1$-Xg3#lU`E!X}#_!P*O%lOcc5bTT9)#O29^KH7Y`Rz)!tV=>>2(Da#l%H9go0lg zlu2C{AyR~>o*OW|Y$#6|lQm1#nUnMvFW1Y|m=n7*jQFF+4#_3!Gc)dhsJ8xHc&TM` zk=*yHU4xHG{*FX*UWP5X*!#LKgu&dSDdZ5V9j!b`XyLwC^^m_-Wxt|J)6%;6&^oNX zM2Es1=>|t*%)rKHmClu^JW1uOQXs5)_S=f?*$ogt)0>An922(VXpRZHHh2^vEO{X4 zuMxqXlCGr^pAu>?l_s6co@Muy+wg1U5+9>vl+W5gdpB}emG4935A%l;=14Dxrfn9^cdU zKcb6&3Qege*NdJnk2vC>%hYCuO>}27wYh@uty+pf_2t3%Vl8=~E?9Cwn$KNucdTCj zW>sm}5&eEvXYhx4EsQna{^U^%RUFF_R$r7;YqBO=n;qXY!N}>UcEi(PqvtMo9w{g< znaon*QkOM~r6yEY9eAEd)8=Ye%{g#TtTAg^EWO)CyYTuh_%a{2 zd9{satGYd^KCFSsZtYd^oYb)6a!B=H1_z4iA!OV~{$U zlRFR$bYU)hUN;?(wqUi?<2?=-{&#I>rbion6eYKY-&FY#`7@d$%cb#$truzbujH)g zJyL$2c|OytypOtH=6F--Cv95q$;{(Y^UR3>1q>W|yR^>CDIK%_ntsN?nZlrSBGx=uq|ssgPkS#wnxZK42NFZ9`&Kvl0(iUB zQX5wSPIIj8)&|GMOR4Bppes>Z*M+HKv@XkFT58J1y%NmRkkEiHH8iWcGNO&TvU7B1 zw_7=M`gWMjxJ#&>Z4>a!NWfR&EgUF3_GK!pT$AXlp&8i$l=)f6Vb_0-w&y)vgm$xW;cGH`1Bcr#v z`!}&@DG_sue0U8A9vZ8kHGU;1=XG1a)B6BhJ>8g|3X zFlD~v?&(f#_>qA!Zl0Y%CS1mn+39qab0aU9$tmCO$jG~{&t%9|HekWT?s4C4SZH(9 zw~U*MOJ%&Vr75WWYJ0fstfz0x$1Ij}OX=Cq6J4SSf>Be`zLCiA;{`{V6FfEjV%NCO z+yf`{xZYsF6bo~AeRH&1q`mL1#yI7zJ%Ty@6Ta9Wlth{t7HwQHrvXjU5BZYacN$;U zaE^m)m7rJj$;nCIS^2}aNKP7L$%CLu(f3q^aUr&yHWw0x_@-cm$uIZ51^T3xi2VBM zuM;TdaorjNn-(FvGQm?LQY-RtnM_s&?qdc@jEXp%A`hW)6?&WG;bO3|0=M4TmTq+kMw+T$Q2wQI`Q6Mb4Kl)HC^{#W+JHh#AR}l2N+Y z=`@FhGgaii`ZT43zelfJ#R5FDi|+7hPB>t)*R8!{u8eY74wDoLIG&eC+eBxw7~Po- z`VXhviovT>=ADrB3NzMu(UOnrjK`G;GSc$d_!a4p4d*JVZoJU*tTDM%hgma||1_Bpn34v_e3K3m(LE2NeYXrkyz5O^?q#FzT({uePz*J{{fQEe701DbkH{9{qC z1n~1z@g0O$9T=HE;g8iK^e$EK)R~|#rn>gX=8 zPHkX<`f~W(KcfsVT%r4smz5}u#rN3T6g66vJ)J5uv3U)WkGCktbItZA*{A_F5&`Cg zS_V{BlI~1q9z4okO<-OAj4-4aa%*#fy(>Y*$_B2Bm~fg&BG6v$Or72X8SC zb9HnBl`#UC4LpA7(CJgwCbM%I^ANDEcuVvpD&CBpx_6Oq2lX@NI_;t5g)@dhj^rd) zeYq*Q?KXC>|22PV*ksj&e6ar&|Ga%-`i>*ctxw6^S`20j!gJNHrlh{gr>bE8yLW8i z-RTpe0Pd&DfdUS9zIK~0i;alGP1TvZ_Q9J|`or4kD?9FD(+9+a)sHvYlWFXH#hX8f zR6kezfggdrMiY~7gAW`3=sd+REfD{ifx%Ew#+MJ5e}Q%T+}<3I7jl7l`>%ZpNxo8P zlj(5JEj#%r;sL?iSl`mT+42F&+ZqU@247&fYECLAENYZzI@*TK7vdItp^p5;mMnNJ zcW!S2SN~d29FRYOSN~a396(-^HKk8EP3`->7>j8h5NBFHtn`0*`my?AzFIZ0E+I&N zI5d&kR;naSeR#ia%1)%F!bqaoQ9J=^1&a#jHOH=4Ee&4Fh%K4tjVM1Qfjc;IzbmT= zGacsGEw?KPQygYYfZA%cgc%MuCoBnL$Ed}4%*l4XJY|7j*a2sPufYtF_DHK}H|b$B zU|@=8LDEThib0Ovl?`?n{W1i-J09#X={q$0evK^_n?BtN?(tQhd20(9m{m8oYss9i zpeEE1;@~zNyQ=y~9J38>mfM-MD)QBz!Ljb-D^=+xv+C#xM_#&>e?tWGz@DW|RealO zfjLVG?|x(0TMK?Jy4vsk_Vg2MKkw-xT4aaQD39-mqdw*VYo9!nIhcGcB&J((wg2~9 zo65}nkyv6^=+qQalmvH;6~YA*6B7tm5d*Zt|X#nnK)&7aQ7 z2^h`MwFzOB3h05i>Bdw`nyRn~ebkvTocB}@m^vj86DYYVe?J{*33iv=QGe5}xq!I? z?gCX7s7V>7R$E*kr0D^1Qlf4@kL!ydtol`4QlE9&gq|SZlfWjUAzZ8~cWdxqFH%QWa!Qc1?xvxLh_{+-@xni7XLz6TLM zgHl=Tow<}zCjZM*1lU*x6MI}IE}TY&Q*`RuN+MB0@|rU4ep5&goGnpN1??ikx>mqO zx59znaxhAK_Df}PaBCJvc(5SBn$`ww=D?HFJC@^&<-ohq5kEcSf;a1Uw)B1bY|Trz zhu7TuHom~(FG&V#4(>H)+`D7kWv{z|mc2Xot4EG>fZ~oDLBDsqG&bxu(W+NhZ2SJd zeUvz~Z&iW&xc=SJA79U4e+@vz(a+N*TIX7Nb22x*%DuX0OV@E;H^A|Y7t~pIIus0p zyw!3{P}6W4MhZe&kR3Ot2(D(K?62(Ner{5CY6~Fhu63leEEhz|{K6ikA|`70^Ne1k zioS~@gX$|7_(iJ~nl*iOxJ-!%52ifuR{KSnmx7x-F38Uu78-Uf826q`nK#ugnsYIG zONoe_;M68fQy5?S)!^@JKq6&KJ}b!kcI!+tw-!Qs$%j+6Fuq>NV0YFop%TY!uC#Nx zfa2H4!lFJY%hh3$nseNktPnq)_BSRDdX^h21$w&Z-96%L`esDO_KYN*zu3gtDJp%) zd&$I;UsXif1F%Ob1o>@FDEUAm6+#(V!L8(8vC_ko^YKjnRnn?|>~e=q_47KX3J_(I zX5R|F8eGg?$zUG;(W7;|Jlv9@|JJfkzVcVO>MQ>g));|MMiQsCTJ>cqe`N4#W!N=! zoCUQ^DK=vgkB_Sa{e9e+t{^|7OuCyYePWBZtMi=3@Yy4GTrrihDuE!qW>xP_O?GV&S;pBojS@7^_R64EoMouey@N6Wd>QmY= zh&xV1{&`&Z;KeC&^hueLK!{(c=ry`==0!*+i7|jfP~`9I3LRp2GrG5{278}WM1&we zhjky)-T?8WY=(%G71J$798O6Re)4^(v}~enG``P70mtvlO9Moih_iCcpNuOtj2i3? zdNN0s%H{>bbXHc{M`?NHd-fWlmt>J+!$RJqHI#y$lQ5HM+R&O`>=S4Ny%`-{J(n|N zl*XIsI4m@leyf$0|5QcxJfXTQlvb=v|FT+9>U;aHLzyw6N-UJkL#~(D4kIv<8PK8- z;E&%fcs84DE3Tp@yfZuCRkYnxM1@$b6?Uy1m!oGJ{&S2vE=QZ--^90PzPKEHAW-=0 z6_@cRoOg%*E?9cH3iZ21#Y4XIbPW*bJ|s%BR009XOT9EpHR|^vvJ>n$107(goNM(s z0|SD8F|r4?#9fIz)SVBx*qTQ7@ijiTHk-Nl+VUUX0|oF)Q6|M9T}QLFULpN&zs5{O z#@}qeQ4_*RZeAa@tZ(#7jvA=J{!mCKuE^l6qdwPtZeF!0Osw!~vsh(gBhtZ0zVN(N zbwFalcN?eCamy5X=JE(a$R)=#Xu2W&vkNibUbEoxCuXNuepyn0KryX!BdkRJZ@OFu zo~^^s1W+M?!{itBwpm`SO83bu)pM@*`KRaGnxqRaSFecK60k}&@Te?WfnuXd_r+|H zfwt$vLgRM<#|0E`63^S%uZB_S?!vc+5h7%RF=-@Tw|BYmCKh3FLeKY#U)eZ-8d%To zK=65!hS)E)JLwCDTEMZg?6XR~1=Q0-L5&1;{_fARbYY<}GaoS{Z|#pZY0#dTI*4Fi zi?rwRu=DiTL_}}N(7()GYGrXyiXCSRCoaWO6GV_skZkDH$a(Mu!yr*ipSmS-FkLSU zfsja5BMi(=(5y+@bAR}Hia+k}THEtFRM6lu^?5rCZ-I)+)H-6-QHY-m-KhaY~m0d;Rsu69* zeoHfh+)=L2>iY7(J4sCUAmJ@S3p~tGC!YuxwXK7r|e*1O0o>IGRMKLi>L~7HvS=uqEFsG&aNq9uhS}}(d3Ym zzmp9LJ1jt}HVYCIkjQmUN-9t_9MekF-YC}czh8<`GSzIksU;osfnf^rF^_#m}oo@U4 zb^fQxscPo?+j1oX!hCJM?6T?fvq>s4e!33sM&wy2FY6x)<3PV&nWzCyz6ksE}0tQgSwH`_mu% z5EYD4yKu;cCZglu1hvb>)a(3$;O+`qRgT1r4UxwUE4B07m<2;~UxQcW;fJ1{rK}Hl z659{G-kxvp?S>+Ol`b>%jDJ1Ys5T&lrN>yVw&GzhqE8ur@b4Ch{U+r=Cx2a+_(5Q1 z{$-t%0s9}EcH1YOk#FIxVjA2qDAg+N{1SpW*T$QQ6t`s1g14amvlhpwtQYr?FC;`M z0MY-u7Kf(;1hMFLkCvUM1{<&B7gFHI!kCryKV|1NWo_0Ws%WCAD(6eh*y??=HG8}e zxd2^(ph~{*3nxnD^n)*uWh&<;ZIs{)UC;LVB+>K|18gU{i=gP?c9lz~{)XL>ZWbOQ z6RrN3>a?;vyXUhS~ng z(yQ5!)O{Q^QKj9TR7@5Skp~&bbgts9ITy)%QF13Ml1|4iO(x^Jn7B_$Kkx)16qn}u zf__PrwqZ&l*J2!xTV>|(<^vOp?k^f(w36yBAts-R#hZe!Vc30IB*w} zuBScAIm5>yjXd!Su-A`=BF?Nh{v34Os4@`rm~faAyb-85G-;$EhLbr5(V6s4Yi(+5 zX$y+$N&If4iVf%SQlir{p8~HAmgO%aU8{C}ZmE;;6}|fTD8Vn*s#wkMXFC164F9$o zR7B?5Cn7Pe(Zr-nBm5>_-XMUpZvYYZxt!!{*+bt;(!=7ApMR3r$Jy2yF+|IFQvu_T z0whhItCaz)NBV$KqXU9JI996vZq`SP;MKF#p~_Xb-}IlW*!6Buci@2UjqUr-0FdPqM{RZmp2^sMc>JGJ$l91C=dLx5-he&|_mZ?n$P`)_K;Mg0?&#B!xN zk|RKK7zlc&Ic*;Gbun0m8YmE3w|W{-@Cq3YM9xQx!g2cfoJP$W&!SaEBCvR6q|<_B zRXm(&kmsMSYIL3q7FT(>iE+3WSHu;Gy z;!(BxRbZCQ$eGA9^yb|UQHi|sDv?K`02Pr{Web)Q-v(!b2?+{$_;A)kgTUtR0J&tV zCT{k3Ta;ku!MB$E!DXK5vm?}SWy1#2;ulQmi$zi1Vhi{;eVV7pQuE-XoWjYGG*tW63_5qKc!LH_Zn z>R{}>!8bUtk^d!Trsfk0ZT_b%l`H!-t|(G|z5{qJ>I;f|{P;U^-{+WfO@j94_a`K$ zb)QUv+=9Zge>hz)f5GLs?;lZ7G;N41Kj`!~3b?;8AlI57N>sHlblW!BR6IPb){#(Y z7yM$URY}Azh!(E7f0XL*6oJJmvO!BB6{F)nGWQK9^s3v8C)Btk%wvB3vvU8gdOxe9 z&}}7`9MX}Y#am8ZDEDmHe*V|~GDP#a3~UF;bsKXry#WB;T&uy3w1h_8b-9wExMPmzP>Er`+o(ifNaA4UVDAJf{sP5_&#V!;XH{>?b=Cf^9Q30v+pNQYjUP zs`0Xlwn;ogqBk~bI-BHmG~b@3_G0oiXSlG!z(=NEEwUojDn=~uHx~p#y-nX%(``t8 z2^>THs2)AfM@B!-@|tUsy&|Bv%cJt29>MR2KhJL3D-2(eG&eno{Talmm@%zT4l?mq zShgZc&_G}1q0g{KrmA=)hk7t!!-={BwW@k(<7T%|hGSNaDro@ER8Zr5Fg6jG_qxNw ze)dVKM?gwvVaa4lAiu4hEF7RYp=5qe4GLscyG!)OYdW~cmlA3iX)jWNGUn!6zPJ9- zrYUX}p87ynk^DWG>~!C1C|fran;eWjBo`M5wLTSM^7pD}LLpax8CuQO;ScBf>$2su zs<|-l?Z$@bQTTB%gMH1W!VJZS7JTwN%D}OiSamjh)jV?b7O}e~4hD|!~VY-(2RVU@Ktag-r1HUs& zQ^|Ac(G16VBB)0`H&cXA4*veEnb^;^?DhMf6mOOBMxqZLkKnCogfP6F>W=yX>weJT zSWgK*)8%r&O4!PR&2&ocosQT2#COF_`E;}*SbCfFuzS2TWCJUUgdgalE& zZLa04R8I*Zp>BmO;&bvSj!nqFapBoKPdBG>4P!Rm zTbt`Vz+v!2+7}c&JWpk=PVGRI ziJo)QFF9^oj0{`&C{>b>$^Jp3Kt#-G+Nr>QB*AwyK+)gjF%GMo3vGoI?{K4J_|-!o zU^i8>Hv&SMOOMJdGS@ZxC%qEYqth~W7L}g{qt+afy`*pC7CNRr2c=J`*sO7fZd+71 z$YS{;SkvqyQb>B#-J`XHXeuEEyww6}?(e{$`P72nf;w3x-9D~wx4#jb-Y=r+E8Xpz zkTxcU7~jLmCYBmtY>ioVEF15JEncRb5uefxm;E?nRav~WT6#x$zXLJx4E--rRI66H z{u`uOrkWJyBlefP^-s=`&MEvEYSKoiqVzN}x>kZ)a68R{Tc?Z<4VvMiQ_#P4ZUu6> z53Fge&ubslO_pSvz}l@_)%yf^6FAd<5TvSARv`lw8msMN-AscZCLFiot7ir)ZUj^C zWyKb1v+n=egReyxZx}lha9%_Ttt+ns(Ob*Ke=2Jr-hXB(b}DUbE0LV7?fddzx2$w7 z;XxNCQ8OgruhKLssP*hA`DzZ&vG`dypSMgz)Z+(@g}l$+f?DLyFETQSXbdSsNhqk4 zp=bhKQere?$Z*FE*;Fe+HGlKmV(;9O63T{5F>q#FUUu^GHfX189n2c>QM|?dpS9^l z3hRuu$$J;fXnBc*v=_EzCO9##PcN_;-VIGp*58lZo71jOzvC9f!9E&;8oibIw^iFI zvbpHztsaJCWqvZ1FZ7@nSMv&Rpbhz2x0FqJiEm}e8w=um{HE7}J$O2PF1a?HA73gP zC}a=I(%K|6ifpeMB?oHONN2}M_o{1=ab@m~#P>|1r_Ir!qlu~&35V~VFpIGK+FJ%@Fo@j3Wh99_q;S%#%&0V4QEafo zQX%lss#ndair2w^R90LpUvCX3%@P0`NhUjL9T$7#+6qwZl2p|sylj4=U)63p$a{L( zMVmpQF0_}wadwr78oW$gA z64$p^GM>8=Goy^=rMJ(fj4S}2G+jNMVNv#L8?uvWFmKB$6g)4u8SJ!694JwW?ksDV z6S+31K2bY{cmO{VfQdJ(hmvc$tuT{LRO-?=ei>sd8QI~8!`F}Zuvl177^@V$gi#*Y zx&Af2xkKNun|lV=Adale_;vbw9y;UQN_OB}=lYZHh6D(5ZP{!5>RHsk);iLi>+85E zSzx3HQ@FhNwTY-l?2vRDX)zqf8t37u@y3dvZbw8McB|4G@!Lslp7HAQ4;7bhluxUE z@;EE(d|APC3=11Ptjh&hIM7IG=(2&zG!R842^0;9KX*gIMj9d!g^1HjeiE&*c>KEh zzBn}HKt#`TMYwCS>4wcMr*;v#tu2`C4RP8Gb0B6|W6JKtg!FnYei}-%T!|)H!U47B z2^uvuObl%EsUei$_?O^dwqfGm+n33T75<@D7>b7 z;A<}FgD?&;<$z2W1ctk$Pz=1w_T9@mYj%R`s`tzCS@C>bdG`Zv|*$>Uadxn2F&2%e^vV2XA z=eA8{d>ux)rVEW5eEo{!X(L7??vr67Pc}Tw&k@|c%S7JDg`Lm;BqH1SNBF9IdVd=;P-+;1U-4fZIGAi5bk~srWma2 z%WRf4+L+rr2JD0o<}$C_PBil(w^GVhL3^XnWYMk$D?MX1gGKwcJ%8BKOGlp8Mh5g+ zjqZk0Ica4aG(i<56Lv|!$8!%48m({*d1x8%z3QUDAvUVoF7p$%d%cW%^q2#Cn2$LD-`|LYC;sOhC zO1Y9|4xKiemmPKWhU$?j=%(9zpyww|{22T>(JZE{6apS#XbIxAbj(?fO_ipH;%e*~ zngSzw(uk8~PoJx@)|aCQlaqzDeRL6}Abnqm!!^a5;1z!^ytwPoiTn+orYMpM?ECkG zJ=Hgw60x=kYy?S(IdP5U@U1+*B`2FZM0|3IkFPlAg1(vjd6{|iXj@l0%32fjqnB=6 zbNL=F=I<`>z$(8#O@&ux7&s$oNiRHCI8SvR$s=3DIu&xpr4|%a5&poCNN42wI%?Cv(2OD$r6-VA5|W2^}+At_n$m|!<& z53^uMF3yMaBRnN>VIOc*h{AoSY=IWeuqsbJ%g{miiXp#sc00bZf1^K`SL#p0T8-=p ziU#&?%P-EaMyGH_v!zp>QpW2gu__snk^Zl)*?clX)W>3EqFEyst5kr^4OD@t*GjdZ z?*3a0+rMLTg~q1_`tqlFeIrgsV(qh)xxm#B31_|?E!#{i%EUOQXVL|TcbhFI_oRRH zV&qjoJ|2mGA$G1p_@XO=7&k^7WF+2W^~o24Bp@cZ)ri4Wa2i?uH|6ok0J&FWtcV%; zGuRadX_Yda_D9lR-qm@;ID1TR>bViD208ipjTrV3_E}bw6ft{SH48R$G6itD%mzVA zV+7zQiGp4B^~aF>m|>B6wMNG0Nj%XrE06~O|wAe5S|>#+CoA&;4y6{lM3?* zJ5sm4dwgA>{9%z5@Z=)h+m&%q(~Vh&j}-i2zxCn9@0@CZlS$kG9LjpLmv;eN&XZNA~+v9WW!5c%&DUN>j|5d ztdLK1FH2}bL-$=gw z$A$*Ex#0x(TkEiFIPcuF)Fid*cD`C(wabBm2_c^Hz2|0zbv=$1{UVB&9n_wtq;Cn< z&jc1^a5_+MHe+}0C?%PZ%S6aJ8{Cpq0})iGa|@lYti$aV+~twrNCeqVuj;)4*{uU$ z(+`%IXWG^^$J$Fn6>SrY$s)-=P9#xD_qOKPXnq?XH<Ct)^9MALx(ugo-n>VDL>O;8Ki5NFvO9;zzG*6?%JAP9HHO^V!=;dEhT9$vUVVfjg$}htq?w-k6FYDzkgFoRseUaQK zRS!0FO;(EllfX#g3ZA{T0~3!~e*CD+IYidwKTmlDuM&H{v}SdzQEu=>Tqk^RS?0#F{)4 z9PnoPNm8#XkZpmesF8;iN{kf2if669LGq|_&;{xck8H}VSDO}yUYszMy7R>yU%q2N z6mhCz?o4WZ5?Pjv>J>85sAxN6lz~P!)5a~fu|j!juFPQ`t*nuR9&aGCl0=I)JOG|* z)#06Tci|wUXo2&fgb=wlkG_rPo_8#3&MAvBBhZYEG58C z-!!92;<)~(Jby*bdf6O?9H7y?#0EgI^aTD$VG(AJ58z%BiL7jb`Q%9JGohg)y7H&s zCJYaB6CQ~AwO64C|C6;b1O{bsl97o@59KY1%B5(M2Ul;(b#vBm4RU)c=P(*tXz?xm+vO~P6Od5+R$XbXWZVfsVzEbs)*C%A$vD7QNsH9O$GLG z9Q08VWakLB&P@$7G+`|ZGr5a6^gvH^R$k@PDImqrnJ6IPK{J9LC{zAXc6RnV?NZ`t zA}aFg8)keOF{5^UVc+GVPfgKHcp#>n9$Ry87D-9 z)kGuv!W%dZ^G|6C83&?S>kUY99p2{uRR^PXOqI%;vhNWw#F3d)SH%1@A)U^F20hkC zxRIyPRl5w|rMf_GI2fHDlaEuHCJ#s4^IZ4DD{pUi?|!WISSPp+XI1gK4LV9X-`uB1$%O4Qr(_B-P+D= ze>*(1B}v$oP!+sQ@om2OtB?vJo2ny9jqaOEzO>{uF1kqYE^iOq%rKJW-q#a^v&#aV zDbMha8Uf@87g2f9Vqq}JEO*IoPK0nT(vTo^@W(n*+39eAAF>kq#b|yH%y3|%I1zHN zO_bqb5tndi|R0K;* zSjLEKksg$NbU*nOs4^Dkp_*Q06ent1Pv>)f3tVBF{4a8Lq-) zHXrf8Y>1_bg2)P~&l%%J9fSQt2KLXuv>EyFcRS>o69&-Md_Qtk|FG+i#xR&5$Xaej zsXn3MwFNmC>7M3bFCKjDbh!23jz4`@>Vs>LYJ|U=v9I2RPweQK27g8z%592U;PbiO zu3h_zj4+usKA8bpLTFb;JE>JVW=)npQD`;&B>Q?RRn2jp&3r%%h0P@lqC$bzC}gGe zY;UoA`3j`udMxgGa(EffIBD&I$g2ayN^NOEhNf71DWyprZVDY;oK5gNRndN!v@|aa zQ+7Ol)Tm;QGvy(g1GJJNUh3t&nvywm7@n4#4$aShjHV7yc;VOgR_;kJ<DQ` zhIZO7yU@cjc%_i5R!Rm;*vO84wM7FmNS1I@yfzjK!9umV|Jz&Ux>v+We$kEa1z+dk zVkZ)_vXLE~osy1l1?1E}sPZ}L;)Jh4ur29Mqh6*l0`yBV;BzAYo`DKUJ;Bhe{6HO!cg&77@ti`xdf9x*A+(>KJU(EC~ zuvruSomRmDo>ZWt=|i|tqX&`5%m2HG6PY?syNfk304@16wyBumg+9OD^TxOVXH*kJ zv4ww6u#m5Ix8G$Pgf|oUASu$}MX;wZ3^&BoOUxI#qj)ygLdInmVRL_AfPbM8mmd{W zy+N?Q2d%r@uB0dOItV7)ld&0T(h&8O3%;i4{F@+}bPiFXd)&c<2PoSLJJgKt(sE=H z^S4~yTugw@jAU_v`&x88x3@jY;pF(_hR?9G65cs}y}ci1B09RrY2YeP2Y&4u2A3Z% z#C7X?Vq4@I`OAHtf-xwR*qPY>H|Ql0@@cs5mq~y*=Ohqrt7+3DJ4?4!p(JLW zUsz_qudP3fU#qF#TwSIPZv<0uR7KX!3GRN!P7Unne;N+PWoj;$(AQ)W*;k49!#rKQ zi0{AGM%k|mT#0Do^|pL2!b3(*VAdVkw7V*sHE3m>j$>2cDzbFmw6T2HlhFgMKvu5U zxwoq2teuq@fYi>EA~@GlJn-(3$5bR$WaBJf+8K2N<09)4y%IM zNXQc7K>-9jH3despMtCB;w7U>ow8>#s>zK`pXB|l6T;Gy>GAF%Sagvj^;0#J@Pk-< zz=ev}71&biuS@N5V(qB1ZIV6-D&sFymCimJE3#bHU5|Y)rF(LMgDL+4D?9do$DtQx z8H>vw5Z@CMAOz7G{6aS{;0VgUu-&J(*ifP(zCe`N(#>cPjC*fG4tCu)mqVyJv zQXx3b$Z&9<@BcAJgtLI^LCTWQPp7cqxZrD;KEe#CAD-`kkt3+wFDpXqN4s4uTrye4Aj{4QX(ZBZMPjB zgw%G{s4@^Dw)EaQNcN(H{p&_~HX|to{g)~;R;_$^+R%3s=ZS*;)?XHc<#R)Wh8p&J zUT@_vOJ|Hb$aHLo%xpjN@6%<nbJC?-{7Slx(RI!TIFR&>H{GpG2N<+4?AN`1K=YtrDy`MFv$MqH z`9abQ(^KT*v&dt~;5DPYHkjUTSa9Y)Z!YZXq_S9cFy`hV#m;b%52diZ@_q{YPDXE9 z+a0`GYs;B@%ly8{k5^CI{rJl}(PHW^en+WAMI4Aa8@vknJ}$?~8k!N(z+hFH>^Q3R z1;cIWxc^50R1EHR(;~gE3}gfZ;`b=R{4;)!d@R^zb%O3$3&Df?{vzm$a+01C_y^!o#1?nL)v=WCYlx9|$8}SKuO5N2OX`hSsI)0^PR62X!mD#E z3=AiqoMM)XD_iPwd~cIU(s__)ebFA5b*IF4%O?t<&&Mkq@}hzK8o*mGcA;CJYzV5U zm)>SezzK-XOg2~Pq?WRa0TA&vOZNvD`nMjrzATL{aXh#=47?&>PEggsfE7ukB5O7Q zwJVJBqnM*VS{cyQ=ht~=cWR7zcq=vc&6TOD{Ql4N@LBJgWU zjA2v~VyU<|-m=*)!$0hSSKS&-uQ`_0)oiFgFttRz3lvO1txGiBAXy5-GW0EVW(={b z2@CQZMYi3Du~*YQi~d}_SM>d7G&Y#pbhrG-dl<6lePOn?T7TYr=j&n~!!1`~0b0`o zARsg~VlYco(nAP4GaS5fpvGr@$sfE>7E{$xdZI@YZk3hADdbqP3eYc8tz(7B z$TgkCFk#D$Jx~M;J50Y0GEsIFdbHdOapQ=qa5{Z||It!^GZRaN>~@ zuTLtd4rxTXe+Djuw;p3 zUEumIyRgSfCVL1bC zkK3o2wcWN9^Y?tXnR713+|C2nqsmJ!m5Td2cq?xvtzH-I1n&}ldaS1!tAC!D9vFdb zxgX-%A>mo@30cs=nMbDP!4tvO^PutOq)%s@{!8&d%lpecc;XYu?12gZ{j0tpmhLGe zNZ9iO{D0t7t(N6s;N~Sxm64q-0NX0qufffl7^Y_w!I!f^i)V;*-(kcu`)y{r-kI%8 z6uCJQSO)=TO+2fn;mf|*6rQV%&LX`Ly{==BvV)}c&2v587bFnc;ETf&c)N(54ZVnk zXLcejTgd*m`bW^6x3iI;6y)ML!|avpq=0y zVtFC@XSy{%Wo#Ixzw9MLNjj<;1|EkvOAJ(rs@=MRXt237@-N~#YqI3NCU2TAW0=I~ z`%F_YCN{y49^Hh!&NKEfxU=CLq=IcnA5Uz^Wk%8xN$sNf4OV=mChjmwB^E+^qRrl; z>6*Z$Xipo|Q3o{yLJ(51a^X-a1Cp-SEzg2H|h7X!*^t`9)JUpt5rI3 zx`*$IC}*d>znnAPp##}LolK#~aU9*RK92%cOJV3v7}T_<2`6WEfGWw9QL3)kWB+=+pO zr{mrvC95YgI{RXJz&HBIO?oNR<$JV6jdX`>%U?dSYbw@;+H%ZMFw_(T$XJ!-CYe~= z%AO@WCpm^_Zcu=}QLimkliwG&+&|E6_4}po2UX3#V#Fl;5Usw{(sVSsYyZl{nm7v3 zJZoWYRpO4>B0oY$&%@%Z@~L3N^JGUR{TC!FjOR@cVlq3T+J&Ofizg~(k6^x#7Ojv@ z?%%TjMLW-Iw^B(VBV~oIyf?RD!NE`Jizet~x zTPq20m!MqA&tT@v45rE_E#h~z{-N}!BvhS^5QYIdK4rD|Ba*-*2hX1W>*`F{gu{?U zTI@$_7bH^^MNEE>YHUnbw&+b`Y+a{T4uL`?$#_NO==alU>5pv?ge*`o|8gMW-!w*4 zR(B~+h7Gl=mjH|kY3fe1P6?a53692|tO3@Z`c#+3RjOkXGgdTF&9f@cE!Lw1JwpZL zZKrm!%NEe;y!sy5BTIY=2bZAITynzU^#68Nr6M$+Mj>p~MiVbQQEv>he$jC&4kG7a>x6WHY8YIqVi zf0&wDzRirl^E{+(8VG=X3stTA(K26};m=!~RcwmUv0HC@QhWMrkhc0H+H**D5I4%{LI za25~lf}X>5N`%}Ng~5`+hZ^;ULt88Sk;=1;Znb{*0HJ9X z{OJLw1PEkZz_~>}N!93r9-!3@ssFF+p8_d=1^iJyL)X_(sV}+)TN<$ajvwIeY1;f86#N$E4}Qym%Sy}HiD2&>(`JBwajw36juZ(TrQ$Qe?8rFNCM z2jn?q?mO`2hqLT(}xnyVG)Yim54n{Elz>1<2Tz={V(PIfxGyqAJ_SV%ZNz( z>OD{bc&Mz!#QEuFVorEVkFEc3am0_TkzhH{nQY1y0x&`vkB9%0+_&>Bv+nq7-+G74 zH&cZy)}b@LZJ&vCi|flFgD9tdDCge$AtI^qJ}jfEFrRe3@N~=YOaL*b-23f*K09AV zxUsk8EEU3;-YC9Qo{eIQ?t08c%A0{UqC+QZpQ9L5uR$Brm&orPdL@T`l#NY#HN{ct zC1*-qw|N*xlOiQa&<oZXuoT`nV4={N@8!hYDR8T9q_Mxmig0FOkwL`bMWm?x!c~3&CV5(v2>E zb4&nR!A!15S!MJ(aH$oobtNl{-}sERTKNfbqE0LO{Jy~Y6|`B;!8W6>h)M6)VpO6% zwSSxOfIv?!RWg3jJVtCn@_&dcHc{UM5Pg~%KEsM1CYHV-$MuG9PisK|RmUC<`%%=g zjm1LCVHi|?As70T(Rm_r)a?|)K5Laq4rtNKK2*d0@OtR>0@R2i0};Px1KeWIEQEUO z2Aw-u@wO^~-=EaA8A&G^$8<;#?=;Fp%0nD{U_ng8${d|pzdm@2ERU7UO~B*L7`YPq zjh|k_mGu{6v!sPN;>($y96{|b8kLX%VGEq1u-R<{gPt* zE(dlSm8pONpQ7o~hv?HHoS{GxICpC${{}5vF8Do_Rt3YgY5c{nm{b{+pr-O5V`g!v z=iMx83+~8vLVNN_2$$VBSUZQxS;bZJNDx0IIrGQ8f_Fclq$MYVlA!Ocs$Dq`aYL04 zUw%7@m|0FEE%0a#=hx<_5t+2q&9=Ep$+lHdx@X6j zgATHXx2Z-+X9UctrSg6^S%J7kym|BHCMg>M;TMMXGB&!eg`#8?Y%z8c{#9r@)`Xg$ek@vR=c&hk)?P=2kZc9CCCJcl{6h7&c*W)F_j@aAGap^c9xE7F>^0a6{#){(N??97q++#5qhp+ktH zmT(SsTZbKUJ0ir8%D{tnONXPYgr2DYo1lm-?GVmzqQ)uc#+2>q3x}P@kgLH>8XXR% z$`I-xU$y*+M{?qUbUshSQoAj~)Pv)8r-xoSbfF&ik>2sx`o!*NBw4*p;m1>lh;398 zf{cY=(IQk+Hn6j80(Uif^dvtAFZkt|Hn24*S>Udz%81isNSE{sr{klQ>ZR)nUE(5i zmr5udQu5%&jD2w_%^kxI?xLCsGNMX|@^FxkYWJ%}{-c$%^5t7jmHoXIt4=lng=7_} zHT@*YTiz$R)7p?eY^-U+V?zddkdlOY7Z3!FB!g43hCv?zcDjUx%pUwDh)27^3%gWM zy

%Tex$UYw)J}#1u2QG3iKF;I7(N{GK8cD?xBlxcGP-k|h~WU?X!IM_~L{nRMio zr6=5Ko?=;Mf8W)x@mC`ulNJk2JOE={AQWc$B`gj(*B)sW)S`-_Tt2j?VJtVs%+easa4z}(2aArM3>EDrA8QUa~FXeUf* z6Az@YN$aVklO|(PQW=}G$@B(se*1FJ#K*V>Y)w3SC9v?xKT5@Zd2u1s^LmtbZ1`B! z9SE-P&BA0;;0?Bv)Mbw_A_7|Krnew#2j0k6p|72qwu^cd(+2v#;3u&K&GehLiQ+=hf|z}m@28@ zkAM^#s;_S}QewVqWi~}`fmq!XZj0XNxS_vS-}yy={tH@btmD69WON(J%7^`C+RqSQY{KApZFJa4Bt{t%Id(oZdy z!IVoka1Z?s*GYz_TX$1|ZuMUBGz@C%3YH2V`*mhL4#%*-AqsGr0=>t({Zm6v}%qmfWme8fp>nG;VQ8Y;*hlB;)nfm)*} z={B}KKH;6Gd%A&a#`%TOo|4Zw8GFyNt3=xoo&$OmZ2Frs54H|GJm1wh&`<3y7@dF{ zl&;`uQ~D+^cduqvr>dc9t1(=Uu;AdgI0q7WV4LBhGttMS45S0k2C}D+%ZeoWCr+i@ z!nBHpVj+ylBzb3=Os;hB9h}ev zKZzVcaFbW1HH4K02ed+(zE(1-#H~@#LHDJ0v8MFA*QOh2nMf;YWqm#vXSFWPZEU-t zJJ+8@wpfzE)81% z5Y`o+VOT4w&kY3VC8w06)48A4%tE*jh_@-K5U6Fnk=_&be;(IXLj_NxhtOMTf$=S^K{=3G!Bge&D1C0Vwv zD&hFl1j&@yS?Ocg7KkTSjbh9j&sSBQwyr-ya}4`xv@%}UZnl9ID?y<~!i%m|`+zuv zB2K{>t)B|n&{@GBJ6+CrP-pc0-RDaGip7k;ztcvDa=%@ zmB$jUy!A)wl2$a`^`7x(^0F6%QZ=5h-*Hz>h==}e@LYpnK$$@+m=xK%xH(YXoi$p5 zM4#1_(7*VwQ6dKisbX_dK7g`0j0f2RjQhr?vD8f2He#=AY%IIJI{>QiQ(cbhF>0}# zCD;{sreMVQ3Yf`1-4ZCF#1Y`a7gKG!>57sU7zodH}Wpal0fmmzXhv>51 z+ORYxx);xoh(Jt~MfqC1S;&pjBZarRfct~ zU2Q>6!e8AsHty>mXR+fW5>s}tTXuquh=$q2GQ^}znD75iWW0r)W``%nzGnb6>Z+QN zOK^10(VBx?d$u0RoI}1Vb&187qJSazoGhVd|7rJ!NygiuC9#X;(5g7<=Ye!f$&x^W zqYby1dDZEdM$5+mAVTb$WB&4#Ru65*wOeepbEc6MkIy90&v#UA!RDmXsiCL6#gOrF zgCdUll7(8ht)kB;tW#8&W{7)3KER`0j}YwrdSn$CS4RY7|4^thO7#Wt=j|p2oiU*J zn%Ysktx{GPisF}**tfV3Yxh*R1A*@;9z)HO?g9!2M67~TY#CCo2NF2sX_{YsEhbm3 z-e?MPnT+8;soWHJTB&o+8p~JX1#jxR*BJ66K>Ojl z!z6l{B^xER57RLDpFVgyLhn+1euOc!QK35h;mR-rSOrM<02@H$zhOlz6E*8z2^)`M<^ly%sWQ!6r*K&9CtTIJnEr^#WFT8N zzMXwXDdplWRej4~!2Nvto2Gi`RtHKLz^=5O+&}(#AYcErAtympLJa6aldy1|*H)|U zgUthDj9mqe-_c+Fhu7ysOUyo3y}HpqIWY&R4L_lo>A(k=bMw^OFF|l+KSH9{=)5K8W0LEgWrP6}cTT078GX8oia>-9oL#;)b@+>c>L)7h%UlT$ zFJFpVRL#M8?hBJu2aSI?JYFvxMuy8ghK>}q=k-xMpu&Y~l9h{}=@VvUW=YFu_8u8; zoVie5XA5ZnY1sWW^^OSEayL9GBIASyGpkeUNx+U52Z8!LXsnI`f}TtGu15%<5x!aIq5Ubl>$4(TcExsyC7qt-RG-Xz z5gQmWMzT}e^f~!?d#M|XS}fx}sS-ww>*Y33+qk!jzJ;4ZAv!lHg1TXJ*vq7P9pH%* zb&8Y*7}BVZd4t^JAFPn3ql%~BnCE7**3r5!9dSi<;xa?At;n6{uUf(rg+}_J6npTh zyHELdyvL~Si0%)?k`M2O9>$6#^{%w-fjnGOi95gBD%ISq0V35aUZH#K0{aqq6aO%p zO67=>AS$*%8cbT?9vBL=nCz7&R`ODHS`R)k4ion3XV`wIDM3R1FUaS@GDs{?sw@t9 z-iE+$?huEMH)yNyuS5jB&y@b?XxQb#7xqD3pG zvmzAij}et1+3{gHV~*|UYxBnm*_G2Pmvw#N;+ohwJ*mI5UL(_a&7y}+u9P-PzOM4s zs;!ms8)ghN@a7~v=hjFq0YVBt0yzOZj(BPK@xV0}uq4v=pYTa_5MjO#gDQ zk==vMbbrE3n|_nKLr`|WGM>ZctL69o+>o4UAV*_)vE=j1hoi+k9)D%2$!5*Hiy&;~wrc+`;Iim6k#1 zGjlQ`5c4QbnYe>qcm$;{5n&1^1P+QX4lBi2>0@*s&jvF6Y>tCUA1(bUa?dA?vPkh> zqo#tn41P+iRNXeMw}+sv9jp2Knsp(1;0Zj6oyCd1An`XemUTYm=;_xB%eDsf|;Q!kPN+?jJ<(&{QSNC+m{V4l2tWUI*f zweB%*60KsX*+F??s!?H!UCQ9*E|EOQw@NXmb#ksJew;?3c*0za&VRNMo!5dwvdE3= zn*Nb6>7|Gr_@O?y-N}>u!tfAw<|xi>vEes6FewG;jZH--YiVQ-F20t$Vc~o1LE}#$ zti$W;X_u3*!Uozh_V34m8eK9NBCklJ8+~0q2Cn`JdRU&ZUTaS0TQwto3C>v;e?n6W zD&G5Wu+CdB16Px|ZyS`#Wc2(F<_WKcbdjOwfACxQp3lUS#DV~Zk>R+3JkjbivCB^#k^)6>E9mW>m3!S+Bajo9JA)Nn9+iIV$ z6S5PYu(A1+Zoau1Y`a+}3shi&SW)j+yVk!8K?|bt;_sd@vdOgatcR}A1{WSMOn!HS z>YFP^88r!EOx}E}y6_yL;qa)0Vb(E@`O6J6!hR~1R4DwD=RLFLv<|Q6=Plz?QRqkm zqS7~%?lT#caU121%L=0e03O78#v*2nRx7-BwoAKxuB(1NMQf2^iYouSwLlv_{Ez(C zSYJf*fb1+43+{;E;ih5C^^jSb3Wa5NRiGn9hRHjQO?Ox|25@YNv(JAcn6|&9e-bV; z^8{rtkDjd1T0}5)O+B6n9O9JrK%H%f;=5RxQ7MHRJuKMk0S9}Ko#Jr%*8vFM9NQpb zt6H=yT3cMpt2|wsk?2T--PYI8nAPNHaxqGrr#OcKP%**|ouTK1bq@L?{d69s*Ru{j zU7QuB-LH@+8^~5w=~X~MW;3+|^@#_geWy;$37|h@c+V7V^nrnn!;&Hu>gu;xN?8aI z_w4=5_}=a6I#tPkQPz*&{)PW^W{v>QiXjcb9(K^9WXAGM5j8RInzuXXW1#U@=}%Cf zx+E49U6idWjQ&Y)4={8LeKa25US)Q|LlGq%u#-VT<-9s&_jN%W209|n#plb=e&D#Q z<<4wMKh`E?$d>;2{KnsosYV=$Q$`hVtXP3)XKxm&QuguN7_WA)ZS3T?5d! z^XS2-&~#a^*gXG;Y>nfGTfQsovd=*}5UDYvMU4dkSlK#PHp7B!J9#p20Ek^{ER+^% zg8P-|`0hnl2Xmx7wDvK9;4He{oNCYq(;KHuW`$v7TGaSuI#N=QmxLxz>1kxw=HKDN zJ~l@un$6}x3%3JTWs|6x`7eg3e&fqG2=J2WMpQAveP?=UCwic>#w3VVyUjv1@qoi8 z24fKq6g4HSxNpTfL9|}%;5=R7g#%^$hPRXRm9OH$i=NANVeooOT=v$M&3D7{g$KyL z7!9Sy!;c~o6GwtINkk(;0PR<(B|bw4OD~T%MG?Ek)j93Dv|?QBol##JV-++Nkkc9J z>G=+r4!^pZmo&wFwP6*zcNA;StU9X{kCeOMo-duE`{wxT^74?}+D=~G5@jh@yf+?g zgf^yIe*ob)AF#P=HYBj_f1-T3$Znx#5d;#eTrLdY$uyxk0OS{-nGcl6(NGjbMYz%_ zbx?dU08cNJ42gNLQVYujgm9-l1+RKdtO+{#J%{__oi(^tl@swk`_A{m!w?|OU1{|l zq^l1^x!0iPXSwx~=e=3r!&vHW$~YyLgMjaxH;UAGC4w{{+h22ldUWfdq1c|5I#XFv zKS@EpCO#E-*Ik~Z5Ya#UNEdL~w{t#Dipz8z`iBoEgAxx{S-qj(3nnK!&O&3&+1Ek2 zoAz;oSF6@5#m@bLyDFGnmr{tiP)ipCe~cn?aizkq^ZhnkCA|234t<*`Iu$%QKXH#%s);>7? zTWfJX>aaxF6BO@8+N8lYNg+up-D;=s_ExCbX(u4NB2{!*M|&?u%nV<=z-sA-ck*1W zGcUE^#w0W2RV`6em)|WU3YfnfG(8dZ+U3QW_w3B;(m!J5e=1EENt%2x7A>DEYlKxv zb=w$%N0VXNyTS{zFYY}auPAqulwS4T`qwm~MhI&Cxo$V7?X5G0I_;l0c4!Z}!9f2( zRcwA}P6Y}G(X`wHwIiws(#_+m?>at7RC&?CLa1cb<2!tC4^F+})+yVFGZ1H_S}-zp z0s2LWR^eFJ$%9jIMp!#E3m=&K=EwpBir~-)Wy2g9GL-p@Z(}Oj@~Bms?#nUABU00# z6BBJyr)@X}(9$G~I%*#jdyYkRisHMDvvw^aPKlFk=SM;U5aN0{b{8qK%OYqbcw9P~ zKg$oH4&^MkW6R-IVQ+6%V&Yq7J?IFSXo$(!aR zArHh(DfMpMf9KihYcyskp$uplG0Qdrc}Sks_>PAG1lAvwG2{-j|5Uxc7SeWq5YARD ziD`>IAp66fF8bc%LC}NsiA!!}dMK{Neq5}tr7^J}Gqb!$SlXlw93nzwI?5>XIhf#e z6BL9H(AYN)GmgRZXc$s>%On1*OC zw}{-vW&aK&hna>-Ku<_|@;Rqu+6!w94MzdWPnPSSX!;CV8?3kKD}#E@_iak*DkP*L z`H+V%?C`f~?y09PVNqM<{v`vM-O$QetU9H`UI*N2n%9VR4O6h2%jh#}sjUcq?VpoV zn3As&DuEmQFHSylSj?%(rPt1JsUd9d76Jlqn~btqZS(`BZp@VWAmi2JWeXf2SWmMP z-WNIqRKJWQOisIaoxF2?{7GEH&=U@`oZ5cG7e2+zzRw85T&WB_yf`U^kmP|P$L}l4 zyK6d7nl^ts+ohKxn_85g1i=`g+6(5~_}982l>c_k-Yeu^@h`HQ<4%K1v(P<6Rihp4P$TrgIPmI!jbbvru19DrS8WR1_kls>2 zr!yO2EYC)m(hR(AjF?UmB%BosR&IFYu>r|W5EOBsR(Hd5G&Z<->Mt|rR)1nwV%d+X zPDR9HaCQiF_o93V^Rq3sj4!M6d106i7=AT?;*_Qrc*PCyDG{%Z%$=FjEV&NVeL@1G z9kK(Ey+LxUl=xELB{r^Jas%nmNt?ZQwV@VB^(KM`hf}OKL-I zAx>$+>wE@>D`&7B5Y|z*(%wOnGK?OfmRwuTsFXyTkwssAuEGA& zi=TsE0NUq~M@gcRg7*=$9$DJt^q+%d0s= zJHaxjof5^x0&_-IVVKRtDTyU?hozv0Wj(v6IV(rZB<+}MIw%WdIRD2DipqP>ORTiH z!#g_N?ee-dk82_rH%?JEnVD^xnMTmQc8FeDRibM5x(can11OTQ3i}ch38b%_LZ~i9 zb-Q4bm>2<8yWjNbI)Mw(E>7elLh*Cx=?V6bUfy>8?fs%_s3Buq^J?M0uQ7Q`lO|nK zrNI1%np@)yZWzy1`xsb7BX-@y(a?ztDz=^z+a ztwc_wYfJsPio-nljN0KV)8RC1orAAD$I&>AH@A9Hg&+8m)5`i2WIf#k?ObeLrbMP1 z80(4M@d(bk&~^#${=s9v8LYQ0M3pWnU(#*YEsw&%54O*FGWPKZINs~m<_Sw^#KoSB zxH;07(+7IVXA*}{972LpMoJuRvAkaK5hAt$Q$pm@U>h{XzpJe%Pr>uvZsk&&?3sQUnoZiUYOJ`h;agHINKTLXO!R^#s zb0~w~Jm>;%ets~h{L~uU_LZY2$V%82wM?F|Od=+e?o$!#2=NKLtdIkQlkJ(h8xtLjDbHNwZ3RTnVu6|-nuZy|RG7zT|{3do+x#+(2!87>0x6)!M&p ztp6%O9>{YgKbPVSebI)N@adQ*hw1*E>rziLB`~Y)ezz3@VDqq^Es%f!#1}9D^zyl;?tSM-6SW(sH9|pw|DPw#r??+TOefdmYv)O42ID#&d_|GW7%B{`!8<)}oKBS0n=< zc?NBU`&0#;WZddycry@3Iki`8Q@sAr{WQgW5Tu+HNz|@U67wx3<82o(sQ(3R^?1Gx ze6p;?VOHVNz}0{Y>wmt>wi6E>^6{*90LZ}{6J<}v$3PcbkA^b!%0u2;B(KHJ23z9Fz-kpGIUad5*%`QhX;+U;|T zH^@kAEjCX*<3oBAMLBt}O{o?(dfaldzWC(y+eH3b5yua~J}7^X(?L(*Uydg`DK*i#02lu9+p9k4H>fj^6L@PM`of9f?kOU%zE=2{45bmr8Z$&c zgGnpi1x6ZLh>Va7JTFEgFOVyP9&_9%g3XFO{BxoIeER-|;(qyiR_Mh&6rMrsydN;t z^QriT6DWez>ToPRl9LRndKi1G^S~jubR550Fp3Ia-YT~BA8?t=>uWT0RQ~K+kXALO zzYM>vw4!55fO?pmIJ(=YK)$v9ma|wSk!vJBf`MJ z*mzNgL|7$9$|<*ST1i2Q08qvPO0DM-f~Bve-2ARFRUn*oow8qO(>8Br!|ERg2|&En zolI`lKjnGH9;L#hqUh5Q7G*h_ZI5z*kJt~Ox#JOVPvF>HhKT@Tm!I6op?&{EU`s(Y zZL>ixZFS3#_iE}`!3ALUf(T1?6j{O7xvrkdgS$$*W#rnHJ%2)GM~Q2wcU@As+Y2va z8CeaWG|KuqV*^LwSJMO#6R$iRDASiq^m@W*AwO)rvePgzB)R~#T|_pim3x{S!A zY+#)fVc0Z_a9^=3+m6s;%=FdW;rSajChAa0UgzZMHSl)YBZvN!rkp8gc$I$=1K?D*_o|GChdk)()|V=ad8(leQEWq zVpCq?FA|O*gM)Jg^WKZ2uy>i0TA+JPKcOA~`{K3_Z7_eVUm}KQC1TpgkQsvO0SQq4 zs03ZZvLvsSwHrZgf^;5CRrfcs$HIZEXDdC3Er|ab?tdb!z)*uZ4!+BhbG-hO9AS3z zZ0H(fdN%wYs$#ZtpY8kKwyeHe%mQZAmRqw*No#_XCNed!Pony);9GUh1O@ZHasdl~ zeJyKkR8LNES^4jlqtAR4CdMhyUH7Y z#a%bVr52_yoloVamU(CuMX|k8R@17yhOlGRKbB}ZL@Ekrvi5WGMT_8;pCM$pe{A2; zCJW+!)SsL)Z4gpG2azp_$;+g&!Vlph7XlK$q4@^#ZPo zu-vxPZEl{)OC(N5R%gbp@`qqbDTq%t$nz6jppFKDDEHXj2B>{J6a3yZ6HU zfWj)^btg05D6zI0+{=F3dtY8}ajRdduaUsiD-cZW%D*|uwN z2#Ea-D;NRiFxH~%s(JkX6PrYx&Q1JI4b+=zh!MGae>ja@Iy%Kl0t~1$mzoWgJ!rh>9*#1o4<9fvu zQ@@l=a3JZqS8{)CE9@`rMu4NMj?r=|bBu<>39M@%_z2ng;QX=oq~oN_jJk6pjOpTueIL|i)c^{1F5iX;%4h>lM?!Yw!Z^Ym5Q(0ID|NjZLPv zx~}+NQ;eGUb?#R=_kacZTd38k*WRfCmB)%ZThTD0;aoU)(@ckdH8GeN0zc{DA}iu` zgT%E~yHDt(6IC#oOQ;s(>GZ1xXRkPCS(K+*MO+Y}8+X>W1ZGRROtaBlsi|3!#a zH;-MTut1!y(lEPGyARp3F_n?nv+AJme`Xu@Y=@in@2-a!fWj#74^yW8` zOP3X)YRCJ=oddP@ak$maqK2GEu7I!Nd%MjNX+@wx|2*AO{<&PkUt9P>)C+9jKi9ls zq8iFwYs49(a>!x|UaT*ys`A72XC|k+9v{k9n372`88UqK;vSD>D|qX#3f3l@ia}*B z5X(Sg(V1It&)l;vXmqMMZVW~E(Xxy8ac}wP#0Kg_#9}AEv0QyL_y{TU`3iUZj5@os zSkoLhNPAV&jd(+H`p9)SA6IZeC{AkmVQNpLW-Wmn-|{b`X+#aC#)HdTJhoolMnvV?%2!G$k4T} zK)Q%=rJ5w=R)pqM`$6K`1Nl_lp@LZK@sb~S+|b2s(%P)&6v2__p{Of+WuvjPK(|Jd zcv5rW8D4n8v`hG?Lz`q`i?mtl=RRz>9cvBYmwl z^%0Pd2KvVLBo+&Q7e>~%3;hzdqH!yp7J6G!VPl>|2QSm#M?zNkPwHE`wncNY=BJoc zqGN`BtbP%u|C7ycLM*U9OQ}c9Dn&RVRV(xZY_*p&TI7^~3g03n7_cQF3lgPzR>4wY zBY`Lzq4Lx23g|_T{EnsOH(A1YAk%N|Ysn```kIrUUJzdcZ%&}pn&V@X6u5#$eN}M; zxr`KQSv$!YR;somB94JYTdKOj_=SYCETCUZ92oz7Kl;SwDuIq04bAsfNVaJ|9`#B~ z-9p|+PWU;xq@F0*3`1sK|CDNl$7M6c+`E!(le!?%GjRhQ+;Yz1GZ04&@|EvL`Nd%Cl$=TZEPXLqD2ns%4AWtOPz2Ikq;eb$gJFQ$K-FmCEE zunaZlqzxcJ_hF2(5G>D}xtay*b~6p%7QY%qkY6NNZHWG6BviZ$>Guwxt@3~;Bxn-0 z%vmbZRNe%GZd^Mr>AoN`e>Y8*{!&z9YR-B~=9>XIAEF)wRDQh@^p_1V-{z%(?OXGL zf7*!cL&ilYzDBNhwdP;O#Freo(i2BF>lmDS{$kn7r$#u891Cvr=3l-Ln9+w-LsyS+ zh-!`V8aGx}GAPBdN2%R3FPrPp!&a0EhgjM+0O1B$Iy+jbO6ZIdURWau@l?JgHufyZ z%)YN0!yn~}hnoknD=T1Md?z|b#7v>57I~n>vn3~NTC;tv#S#$afH=0h3$a^SO;@V7 z4X-{j(=L@)^6Im|*=SiHjBVKxW#lCH;p! zw=YRig&COSHY-y$zuieB7Co*sB`PgVb02|$5+U4PmKO%|A<F1T0B6TX3BYIUE@E00$Nm*2PofY1NKKD?G~i zH~Yg4Q3nnwSUk9ph)Gy@tG`m9TIOE-M&X13m0;2bEntJr-iwGtrXAB5`76hgZ)F6I zo1IMMV+I47|3Uon3~CObsSh!ieqZmvEC#*C`$W7oz+$(47%7OHZ;!b}+`#8HB^yjs zoO2X0Xi=_7OHYb+tbp_{@MJ73W8$;*#F{5_5U~NT*7L)DhQNDe*$P^yfB5Ww$Ci0DaG+DVzRc^ zd9CJvRN8s(4qc~LcP_Wj5-jpV3W0g(B3N}a??uXswnQjHJ}KDE`_c6T!0JNWz}2qf zVt;&oe-=7y&)4}|<7;Q#%i04Iq=+vZMdrvhlj=HZt+RQ`Xrd%bpIXAUb3=D)oMVq( zl8}jsZtMu5SkZqNR8a z_ka^&>&`R-Jq;G*&Gjs0Xj{6ji;*9GZ_gJt_`>KlibxD7I-9t;6vxQ$hdeogEUpPz z=TSAYJP}-DYv?;rr~(arAb9;|HD`tU>PjGDgNolfKQd(lyhO78$WU5@&7_-&f-T>?BAxnwhLH|rF`VWmzJCmTO9SNCH~ZFC zfmxzqZKczHsN7}4EhyyDzWjiM@b55zd?h*%%Sq{PfM79|y@;e?P}~$?3YFrW6HV1D zvL;PHc$&<2o>EVjs=D~l5+%1ov0|%A%j47mDn0e-Xi$b&=^rRFECb`R)*CJ)#~XMi zlluvopFBEALs3G6E)i)#q$P{`tW+HW-Gr!HBiq3a0hEOHOz}w!0Nb00aAsbbKwf|1 z{6ZLRm#rA&EZ@buuTKNW?pz8|3g;?SF$hy;<$Csihh}?*d|u7t?T|ON#tV?)wtY6UfE&v{mN=Qu(QNzsxt4l)<_9l(%_LMvfEK^Ieo~$yzkB` zOQo^UWA{&@>xUc5c58KDo{W1vFV+Q9Dm}~qLzNwA*A=fomk08!ifLNmcnNFGzLc|< z%!00(>CV=m+M8%U?85UfSaJS_uYGy~Au2c|-t@M&D6M)1q2_sbI~*yIB;{7Dr(|)& zfwD{fnKhLONB02(Eelm&I_pTO7fUj+sT_%32_Ep6CYE(H&YJu`ouzJ_CIN;G#qAnB z6abX3`%*$cLb&o|qPtG=Lw3m@!(sbg>y&P-dHh-u*SH0>AS-YITD@o`vYItSt+ zhwT3guSdhaH9OCrc<^md2@@AFBp4gMyhY%)(iIGc&5;!E?`(*~x6VPC)$SLYuI$Os>aVbeqxW7?y(a zOLPX#v-zz8@J7t~C9w2Eo74GW5(8}3geaNXuJj{&&d?vDo-0U9fOCbP8{;_5^NoKF zo!E%8vxqq*6Hfjc8-^J#G}mJp0e!J_@gW-+B4GGz5ty>W3dOOs+@}C~(qhCh*AK~W zNBGhx!ic6i?rU>e#%0p2yo$pt9*zSuR|5LYPi;r7fh`ImtPWC;_0jB}3phVmOwZ}x zIEl=e@V)Oqh=35njAdzaTz8G3_IrzAcCM3Wp%`66fBi1~+_z@K4>k-_dtZ$_N$soN zm!Lv9MxQYa*kQ>R?Sw=Wl%jE$3|VR6i!4_Y-+S!QuuZ3bq|#5x#j$H@-mj+HoOUp= z6G7{F&%yxpd%5q&)anTDx5#$v7j zDujIZRxKbMVT(6O3g--h5wyAg&u-kI@#_ZWt&SSJm{730|uaKL-lo)X=Jm3!? zLSbmMjc4nDNXK2BGk(NErq+3g?~7o6X{jOrCJb8~Z01FhStm?uL*pMehkg$K7T3{* zH8PFS%i;m>&h?aFq006V!>Ij}<1nbpp$5n}_xX!7n8Gl>MZ2}ofI!3P7v$2b#(0sz zRrIyMQ`~$KHg6g&$STuBlJ~PA!2s22@Xn?+vbUW^#$V|a_ke~5g`s*xUwAdSYQEKa zc1sdgAAxrY+WG9r!9}>37?xX3+_=ywGR3)l$aat&!jGxZpJL)JGt8iO)ZcS&nUp_!ouoq6V)I&f6k2Y_cGh$qai>sxaf7u@Bl08uDs( zo&pY(Xv?X)fm(>PnTjzpclrmeXhfYC5^=?pCJ`^4%l$AC>qDI30?lmxRg98cxI3rxcOUg3TP&}^aP_8`bE zn=k50H1dH!l~)PbXubK_RPm&@#RdC*(npH$UTi_JUg0^A@Q!Jjxz0G@%ebPJ=%H}0 z^2s@~28Ce%zbr5A?xa@9q<$$!b)iF$KmH^n-A=x$39;OQNH}a^Cm;5qSX{P+2l!id zL7f+^*X$=rH@{N43{OjV?fN1Y=Lflies<%9UU&x`*sWyqQ{6K6O*la&pz*2`R`-fk zW85X-UHf$yAg>ib%kMaUrgDJkr1%1{u0dWgkVg4HMTGZIpPO!)&WV&OT)HQZ z@1FtJm=gKymdw)j6gC?dW6L3F)%cA-3j2ZYhgC{7I`W6Wpv)nPgdz`c)Pt{`lNK9l zY%mgauNRa6T#1KV~0hXs9$$m+{!zRo}z zU1E};NmIenYtN0l3=ko`S;T8KA2w>t0 zS1K(LS-I@IClELSIdF^42BKrq{+cyN-EwpKjs7B`uT_W&hLn?|nPp`fxIX;5J<;=}x*2 zu76EWtm|K`80*x-%K32zfEj1JdlhH(sL3OLHm&{T&LnKnnd*TluX>FP$|6Rb(0;#M z^G0h#p%L)W1c9P3_8$C|M^Eb3zh2{7WSSd1 z95x=n&i_Od30daaQkEP<3H8zMFk**=Fa7)b>s66)?V zw?q&W2pfK0#zUcj_xE8~cA{p~D_}uWM1bzl}W6LWr2^ge;}nq^Vb>#QTb^2}4$uKeGo@9yZv``fT@Ek&6+%CTgl^ zK((B@(E;(jpnqPrN$n%dQ1B{#b+syO?`=!{s7IwIzG$LbFU{Gg%vqD<4QK!;F4pKs z^k>6$xDih%;JRVWrFA%@5`z2@w86<5bj%3Bb)v?sWgqt4i$omS*`W#zhRu_Ew#?zd z_Lm5>@P!k|$&|C^#FJo*i<7P33&#n3yZpmJ8=N7#;o<*C*p`YklSZxh(g{>rmueVvwq`2_9Mec>pj6)h2kV}h^j=GHO?lpKO z&!Hg&q2lFnRX)gJ(TCyBDFRG=;_KIEyWCREgfXjHjQA+C zgw3C7QM9vA7=RvMP_kiBxFl zn&eY(jCfoma(XcVT;vEmu)huQUmWCQZl< zWBY6dzu3nNygW?)WBr&7j+EIUCS98TE=e!*3G9a4I;uhRMFyT>mh7Z!zq_IaM6EZX zB|zSM8*^@2t$b*i3XnA5O%yXbu}R7YLuRs-5|SzEEDJ@m3V~)8(NLl`a{t4xRVrkz3#ayHL3nwuymXckqxb1ZlvjTCM)-XUqBH7#m1x zE_6KSg1keePbyW>E22!&5T}c1Z~c1&#g}GW#pq(FmNg?~Qo%vWg5ppT?=qAh0l%Z% z0P4I8Uv28Ik&5E~{BhZxz=2y$P3teR`XO{&c zYl6qC+ZzG}*mPu1%|2=BhiB+(8U-jyy)5&|UbEX_mqUN7Q+e0jG=>to5tKJAn?g!x zI2FXTZkczc1AA^BL0!fYXbj$-ef<(t4>SE6m&3H!KuS~^QOooGW|J2IMyI#;eSC!m zx*TA#JA^{Pb}w-Px$8=!=nC8BH;^|5Gxepz=V1~)stK~N3G3j%>uTQ)s#l16rWBRl zJ!PLfSnyXoMAT+UA5Ne1@Y8}WRQkUlOCHI*`K7LPGW4%H(~yNYexe6-OoR?08wE|> zT99%f^*KXyGu*_0D3|(@0H2>yYvc2d%9OmUetW_o5eZ;HkSIyM*B+ogWP95Lje)|7 z;K^+bN9UzpR4lJGaBW#3d3-raO$28=uPnm?wp@3(jYl63&D|e@EO8?DZf&lqq zslwbK8zpIl1!`+TU=oCO=1T|IPZLajy(F?YNf(NbMrP@kMpPZ}6K(BcRo-eCmyOa8 z5j^9#z=mHy{vuT7v#|}JiK=|@C7sM9WBwRTREFw!M>3n>oi7o!;YL^24)rhbAUYFM zlOz*pk2qCEQJg80sxLVG_#o{7dRbtM_%7aSAo(`U!C&t~|(3zCi|wVt6TLAqoyvpX-%r4PlFp`ne?uo3M7 z1%a~PTYX%^qV^rHj`PDr&yh4@k9&P7q1fbHLWV?-yXLNu?ARDT?|G%!LXTGasG(2> z#R&|tn~uhCU1TI`9V0WEBNO@dEReU|&F;rqHgSgC0#AwMW3J*0QmXsoh8BMWHU#v| z91BDe6PR; zNXxZS{$t|;I`hDL`G+>4LUgD;-rxUd0TLcv$5)mP{0$B|OsOn=*8tA$&Ql(_5`b5B z?bCF?`yapHwrrnAQ8GTw5zh>me?Xh`{H!wW<)8VL?kHCxw_@^?+?RZy@%dSNm984G zN{@B#7=YJM=Fn}r6uLD?iOY!CtpJQI)@^d+R6zCbjT#N`OkqAF3Fk!Y(a_i})?btc zszJbi`YD+K>_O7xQ^R&1DN2@S*M21gl(!N|w`pQr%eKE1!|cm};4wYjpPAEGkUg-d;U|0#jGPsU7luREBp zh{k5$1gM$!Sm)N0C2v0VMasyyGc`_b+X8~81=GfTl;UBqF8Co8N6Ig*D%N&L3{Q8WG9jmh{$(7);86F5PE9v zBK%C2aH?qGJss_^HH@G29B1@B-X6WkUuL`*RoPcSkll2O*VM|NG<4(4J>m}CI{h8T z5y9c;oh>R2)?Ur!nAXi_VZaQ#jjvoTFP|xn%QkMr&tN`Y_Cf19V(>e!yH34C;T~rpWsuv8C-@ z&c4~yWt$eS9AvVX2vXh5T@=4_!MI6;fUf>J()azIx2EfaHZrdPGq1B8nkhqjYG&%_AMVl=Q})EVv+Y_(T0dfq_BMxxLbNUocYP7-&qgn79m%s&1uC z#5=O=*uI4B&WmCpN+9F}29fb5y~xt^NJH#P&pTxe`B%%7SCO>jmH*?S!Rv9mdyn~g z(mcx%Tv!U1VpE#*MN%)-b8HCgXc~VFFT-g5cd3AIzg?d{69lfP<$wSF7~&l z$H3Ieo({{`)o(=j*98$MR8mE9$vtiJ_YNq}WSqP6kk0~1-720%m_wupkf3EzI_}g0 zo@S7QAo>ZH0<`u#MZhLDAG^%(v8M-Vbfagb>QDQ<8BV4-vzF_+Ju!_X0!oW=$`04`d$+%LJDWU2tZ_G<3XW^P)T6rP4CD`~m2_BCS?4aRr1(1}l( zXyMsE`x=T$njFkvo6YQxS^?o7(ZH8K)Nku z46S50Om0n?J&sRD)lhm+i-?WNNm>VqO_q-$bK1l4J~@zKTJBDAh7hj4CG#GWQ*|;K zz0DMD`4@ScmM^lZG`Z)7_nV;2E=I;6NBr>dM;=Q2_jAwqkW+$Bfbls1w5BXgdX&p2PY<-9CB3NzH?`f`aOtPD z-B|iP(Z2W8_vp*VGGEacCq8aw=EQ0HwoU|5tSJ|oos4^b_b``{Yp-KG8$vQxY-^eS zp89di^R^`*f-2Jbm%bebEuy-#K^VhO6>*fwu<7>%gTw)W8HCZ$aa}@i$O?YEV~uZE zGMSv5BGl(;$x*%d?AGO{1DL*J9R|Kz?}Z2L@{UR1MX4#NCRjV{NQcymVeOtQ_>qZp zh;#G}JaY;WZ$gvMU)hl9;>ZT?Ub;!?1Z;AF?t{wcqhlQ09oye3sJ`w0+XL+Y@jdNj z3)VEFgJ{1xEYvU^!e|)&lS1ZnK_>m=wtI=Uo&m%IPw(#S!Y-DN%&*G4@(Y5oaEV0k z#jU8GN;Pb_L)^f%t#*nWpComtwtUQ@cwtMH*z+zlv-!@H4|7w*ZGiErl+Jp+Gz^cSIgUG98Aj=tMA>KFmy>ilLN4#D?RPjNMSxxZ@ zl}w)wkq=FyBmN=L{tcF|`k^Gf5P5L+F8iH0`uibQeZuIHx~WaXkz_ku!E=Z`dS;R@ zUm67ZkW|1j2(!1wb?~e2*U<Br~H^c^ag_tif3eqJaAT@bGB& z42JPQST)IjvGBq{|vO<@i{9_>k|6uGGq1IDs^+F2;T8#`R)yii?2>y zp|?cFGGT7m=ULbd&%LPEdupvKLW{najCx|0dr&jdSO#2}e4)7lU1Lb^nL3BpAPvBV zGx3N|LGN}#2Y4G6v3)i}ut5qrk9!?NhP5&THD%CrPsi5KO&v2^H09R$+2zscUNh%p z{B-hB*?UAS6oGp3z%u>#bVQTU#dWGYUXKim;;pBI132!=rHXcYYFF;N4z*c9CluTq zaGmuW64!HhKk247%RXJ{K<&%UNq}(oqYvAP`kJOGKM{QD>W~SRwQ$GM?zWFC2UyI5 z+Ne-) zuK_eh9ipz=@_cS(2!>^RZ`JKI>GJ!ewEELSmf(&}SV3k0H zNJc(6KX6BQ2}?C*o?1s4z$JINA^6%^F(=FMEzEVm_Z+ps!op(A|18vNNEtr*0QCik zR#q&f`7M(I3*549)cf>aRd1|z`WF$;tMvI93jPgaai1WaxxlOcEE!}Pf0((3l2LEJ z{JhYvyF*oQxDgRrtE}xDg@(Eb4{HN!DHI?EY8;3PeBr*dxvk124VA0TnFviD6F8uP zrl-mo^8G{4DLO3R46Hz8Qmss#d)fMP^$7rsQ;p+0leu|IzDvzaW$}l!N5rx3yEFz?ehDCMdCt{jxnIrTGfT+R0 z(V!GYI>`LYU*FI9^|J99D9AC=x{OKz0hS!k^IBmn0&3G!*lu}pN)7@71U2l_Pw$!B z{n+GpwCWr&A213~qAcBKEVDj65-d-V3e>_gALqbC^sjy&?0-WXC{9A}VE8wd`$-P# zGnVhdpb1GWM71@{Ky{iFFjz-~5%jl0A`2#y9b+|lyE{5*Ro;wVJ@D=4>y=`|s|2ju zGU4irIB}zEHH1m0NeEyyFUK1eodCZBmjLa4p-C_)ri*@V(-`aOgQbmJ?!T=P20r^; z_Mt7d50u0Ab!3p)B4`_1d3>Sw{<^Ned6Me-kB|ZD(7NCeZe0^}&Xy_&QdDlnhY$Rnkj;N78^akd|g&r6ZcmVaJvGNyIK%xQIj3e^DIj! zSf~D$!`{+!AM8+nQY!UXTUl+F8VLE7ERFy{Lh&+2%vRT3>D`kWL;b@NH%J(evp!@t zELjac=jp=iPJuT#us-|DiZ{b^WtQsksrP_XBGcI{qUtg_v9<~q|JO{65&m8c$+@+N zcF6i4e0bQxQFjGvFbzDkT-oo!B^_Z;T|IPJ!;ltU$iWC6pbyjZ=*|`6xgPlXxa{2e z$KEl@-2dTwnw~7CV||^kT`rm0HFM{?Q=io;su3gGxYcqMEh$Jg|3>DMe=+0@%&!Q6 z=kwiV18FiOQN3f#yz%yt2WwOUL;?hO=fbO)R8Zz|2#8RdGB$$>Ik{x<>Ha!GUEse@ zxig*N@_S;LOgjU~q-T$eamYBPj70$VsUenO4ApGigu^u?q@P*wr-8EfAP^k9vaLiu zo@OV=SnxMOCP2&AJBAPi+BYla8>53;8v7(#`$FF0DfJFUjZ4>7QK`t|;?2+v8$MaB zd_5}mm?}xk`_JLVp#?vd1+4PzcqDrVrm?#JZ5l9ffm1M zpm}jn6+G!uO8>$(j7=l;&mg5WLC$vKyNMTAQzZZH4*V7wrKg;~7+1}1Xnu=4{WFMt z2pBDG)h9l6s+5EQ4|^SW?E!;Ea(60pYJNMuti8&RS?YxkOH!yg@olS1 z2f)h&`~DbKv31uTT3itPAE_ogcjq_1C=tm-n8Op??gFTn59RrNGg6uUz|AV_)8!Qr z899uFL3OoByQ!c-#yC_dT^$H`_B1yziiF8ui#QXO zl0KrsndVz#iN4os3x_HiV0%F)sa`?H0&)7J+}l(3s0f{?OD#$msiF}Hf(#0UfVaUM z<#Pd88|!`$I1r8+^n|F$rn?WVox{=z6s_5|<|f*GMC-F($>LdtszN`uUv79 z*Kx)^GPYrvvV5-Z8n^+bxS zq~KRY0S$(~vz*s-e8Ajc^+0~i5q z^~$@_gjRGaXPd4U*om-}t{v8FBSNx%_RgmvTG@L z$-QO3y#r)tOvL4s^(HG>WVw5BO{#?32uk1>8_bBX#k$=*&I+J~ZTGZkVWd`jHG|!? zmNZTer@1s&P7b6sR(z z`nS)&Rzr7Z?&808b9o%!dpKpUocQSLW@0% z{9_Vz9e1)vhwhpG_}(oGf%E*=YTisWravd|Xw~!Eb1MVoTu*=&s~?(X75n;dRJn!h5N*FQA zRi<>ej`T|Mzfe*{WaKN|KD)E3_pL7)JI_PR4=em)SAou~>x=i86Q#Igs2@p#*r7iB z@sK4ZA_ENH!E~P_o-~8Q!*bd3H3u1hq}A7hx?5+4YC`);Ra=(yZEX0zU}H(@2Rrdg-)=ZdH>y9(pL7?t}Na zF{onE?zMaCNM+EqbzM|z{ucL5{}}_ulfNj<_Xp%pqUvg9ZKZu5jjE}@?ePz|EH85E zhmsXfa?y0~Kqbu$YwcoP^>XJqZ}IweMs4a;Nvv87z2tVJpo<9M}E8M6`;eu=5kSSqK z7DjIahCuVi(u$MG^e0;xTifo0M!Us19?{5clNOXsUoBO_<35vGws9A1e*&ObI$ zS~eh-&Zu8aljg`%K;09in$zX*3bAfQuOwC;+qcE-F%J@Ic@_b@o{8Dabf6PxA4 zW^-7IN4Ct#gTUh@1cuPN{{1XTmvsX$`bCZf(|GOyTwwaEm1ZFUx2cYg4iEA25r%?j zasC$sR`tl3A%9i-374wuSKYFC9wL2lU#0B;{NJ#ZzwdeZJH2>{HXb6gkg?n3;*iol zvXI50w~8<^lq>sXZ)>{Bfoc)-(DZ?3c+dEMG%=Js1bTrFgh!r@ ze4g^ITOiW&(b(S77be6SHLOU>&3-;=HM;O1nR0c^2)>iF`ONG1NLA+&A=^+gdEmc_ zd^TZFzD6kyZKHGDk3iz5Ud-4a&EOWD)%taT@}`K;F7j~r?UqhG*t){_hQy1-fGn06 zzmbzPfPh!0a;W-;7+kC}a<8Q}lB~(n)H|TGhhv|*#uEGml50?aE_%yMNtz{6yrNu= zLyGv>?vZ2At1X>g?M5a>MTxEKT?GcQt1Z3Dr_pW@sX!3?(u7B?1e4nQ?;nFjS3u?z zt6dqpfCQ@GCN~1+%xsGj^Ep3mP7?l(fY>jUpk@x|k$1|<{ZcerY&~&aq)BE#09YQc z_JiF6S6Y+dHXK)hjebMM52@Z&q;E}wNSpg<^sw-_AV z-X|-2(<9DUHgbdX+3ClHU?+1~G_vCf5wu65j`)xg0?H2%{+%kv*x_2pK( z2|2CPk>r8BKq)tiU0k<|nB5%m>I>}gu|1O@Z1YFd<_XbyRm{lM-KkHbYtRXNuAMIr z=1270FZALcJ{n&c#T73Z8htaLVihTYP}MVXRfRiPfNwSMQTuLFj%np!e-zafCA;?M z*Pmd{F8O9*>L^V{Y%U0LujDXf;6k?XSAgUVWr5ANq^0+XEfaC6*!CxnV^b~gBX?Q{ ze5b=hM+4M7Pe%%C!MOqZ{#;@;6jz9-h=nK69Xwfh2(3`-fO198WOq>rgae(%e0`Q@ zF8@RZYafy1t}z~pJZ7E-i1Y#@l6bGMpS|GTYbN$v;93z~!F$I25<{D8qq48_0Z2bF zhY=X3c3$e4U6ej<-w%bFF2i=wXsAF@$vxqj*il~PT{Ly_ILG-F@t^CR8=(S2hmu7E zqz?aTsdc^4<=_l&ArO}8w5;%dw_1De5ic4OuD3*@d$S+hQI)zpIxOdUCTvrEy)R8` z;A|w>|m=qRpZV7B(9#e^O|w?5<^(~<%cz= zM3W(SY27u|Z+t#@-hqdpy9|l#EsZ6+W(iJ*D{Xw60#W(;iX`ri1}q%Y_iWE2du}M@)B$ z9#-q;t#H@wbo`V^C}}6%W5f~7bTM^=hjAuZyrp97NA+G=({lwF!mZaCk~GMXa}FQB zHt9WzYa-{Z_QE?inf$e$-=Gyfed%C^?2qzYM)q|7ZXKp`W=qmG)xL(%Kp+kvn^d`& zI!vVcuf@cpNW{`1-Jltgxaa8+6I3 zVf8+tHZFIMtR8X<=ij7V6u)%2!s|^$gt5r2T@t_PBEP=p^W9O5>EzdSo0zKn<-?E} z;RBauKf`l(yVSTVneN|(LD%0m-Gzuf-{xj5Dy-$Cx68D041ISDmrPRwU+@_{LED3J z>E$9-;#Nh6`2C-i05;?3+RXZPOMU3+V>LT{8H{sM+(K9 z?@}!!ofc&oAZn&R9vy!uE&qZrt*OjxsM;rwa(;GQi)?CNYH8-!fTcIS6=D5qv^q;` zaa`hBGkk2wcjRgj=7mLEngbe*b|EhZ7Al`~5lyY}4We$Wicm>gU^q;L(54IRpk8w5 zDTiw}deU|GR#29N4s7pdn)mEf3Gog`sR=fystDzQ(u{65SdJI}^FI=-Dh8s|WE^%R z8rjvj-#`9mzIS2a%)3icxS=?(Ln#5nmhm3h zEOK9U17PJ<7X%>T>l#xS)}l>`n46F7BwES8a-2O9^+S6*YaUHqfaUZ>lV&V z-=2C)VdV6dC>~;(=j=LUUMtpha}h0~RPuk<+u3!A(et(XZZ7HmOAgQ>6IQYGi(KS`!E|_hqskw`a&nWBnsq~ zmUu?$WLGM*k8U;V+^-A@gB)#=CMYzPj5%N=@M|3TD51*gpD=R8#k|MJ9xO`~q-EdNe1djs#OOH5=xU}6eOy8@VM+-1sk?c#v z@TMuL^2!oRfw_FLc>0E>Gn&J|Lt5lmNJ2bQC*Sw5i6B7Q5cofJ3EQT6iEM%|J?fi$ zSu&mv<{(Gr%8FyWc6@VCmwMuNmvL1bOkV8Bqu8(?1H+g|c4**jmgNYhf@N6*C42^j zC{5_0on7e}^(f?uN)ozdLg}g|t0HUIH@z^(u^LVs!>bH`xSwgbd*CRli_%(8dY9ml zKxyXrKI(CwIsdUJEb`|_>l%DHbO|k5JsAfmYRkadGqiQa_1&>EbrJ2Ft^K)gy&-X( zQgJoSOXk8##^k1y2a-+g=)kY7F=5e~FSZG@BA$9O!Braqt8}tJ!0Cr9hLyZ}T7xPA zmh|I)$WE8X)oQjw!Y^;f(qNu30WT$-j6*kf49~@z8I;=xGv?c@_k9+nIk~T;7tKu zZ)eP=XP*?)LuT*NmI@MNjde=zC3gkF4E`Ms&c7$%*S>-GY@gRr^ zIQ}PLN`24ZH$u?6Z6vzKuC|6ioMSxw)-W=7IM^Hyua`iLw$9wX?VRV`FNf{z_f?7F zvln)es?#YT=hs4HmBm9hYTiV8G8J-oM>UB~aXTm&dyw#{cSq&wswYI4-=OgI<|SL4 z5#HO!t)&5t*bOIDB#wT-CAaDy@9+~EEA?f6X8SN(HU>}XK50Yfy)n4;q&i7G@4M>+nNzJYX4hT}$pBR? zH>ALRpM|r2S&uq48_QOb9W(+#QJTiC%CV_r&07$pNk^SUB8~ruzEeT+hpF&^C@!@Q z0%sO2GvGfP*5?S7y(bBA%crio^V$RK*(!=SPFduoMV=jgy=xqeRj0~S166*+!Dv)j z0^X<{*_X6(3JJ`)sMBBFgZln3u%%jt#>iTEaP0I8CM73ALzYsn^Y2!8JZ#zhTNGfl zUnZ0_@9Ti#kT~ZAwWSr~9)8_FvhB*NK zYxjod>HmbF^z5B9H3%r~6<$--K*2gVQ}V|WKHr6js0;T-@l8#1)vd=n?aiHF7K+|! znZyN`D8cbYc@RcM1(j@3r<6wX=8N%X3i86Ih%1PbQY#{D5J&#Q!@N`wB~CxaC+>cq z`o)pl`Nf_p81vTKIoL zquKuR0v*YzoMg<$XPrC6<%)w85qr|aYwT}-;sdje@L7YW&Ezn5tV1XYl=#%77+lfP z^^nyr(#$$;mmo>_1i+yo){UM_D8D;ERuN*ZweL#G;pFm1G>qG6P_<;yb}|bh^8asN zqAuVOr@#x&z&sNDLWcR!`%*^!+dMm#y(N_{ml!8scS6Bz785rB^2{VFYCnTSzLJUHA$ZY zPC?ax*MraqRY{|cPaE`LiAobU)ae+mC0uu$2c(;F;nx~oFm%H)J}q(ghb)uaO;@|A zXGlvQ&9!y<0?lr^hXR7}dz`QyHV6aBST&Cb*M=M(XFIf5jk3rmAP97iXPc78I{0^b2jgFx81 z*nOvf1Tt-TAtHl!64!Zl6WR)(K&|P4Jw0P<%83d`Z_Pu{%6a225sK@^mpONZCx{Xl zv&|LwusTfLDFP-61gtDLMNnfvP4n@HmRSZsGL4TBMz7p;<;U;rB`cm>a9|F);?T%i z(*Gml5q5%pBo=Z>K5o|F_M)joIm!;{I}Huy$72;%f0=UeV}iSb7QNp7Vc?YDTQ=2p zD16f5KdlDk^=|vcg841xBtp3Ko`hS0OsWTI_n3fv*K-;Uojr=(v@p~WU1XGIUkE*u z+m*LBPn7d9&&TDniMy`(K2(nn+RM7hxT~KLO2ngg8kE5GQ+qi0QpW2l{vXQ#s5ud% zrv0;QYMwDhqQ0UuSGi(_>-M2Uo07{Kl}t8H{R8;>GQ-UeAy0CViv;1$l~N6?Z7yi- z81WjP2b#jVTN~FgDRgNo?N9}=RKaH0*;EvZQRqdg&cZsbw8jv5nh{*E%k}GU9Ahg7 znVtmXIuTqPga%VTZnUxqmQ}J1>KY%`;^^9WF(`M>^*q`z<(=?-%COJBs~ZLcUEI`( zMrO~)CBlW#TyP(6onYcEHAt4G!Gn!MFp0ML{pdM#KlV(zlMV2eq5)#w1N+EQ0SJ+9 zi+3UZszcvBc8WgY&qJZ>JZFSCPYK_;SL&GeDY&L(o%0+&&r4UHkZ?$4&-^0GSHI3_ zt462N(EWC{>{1pbwXE&Lj(7metYcEY7X~*S%w|I!p+$p{av?9@eEJikr1#Rv5YND?ihaupfcs(!2NCIotOZw8#d$D+kEd zFS`oY|6HGstL(fVLOpH*!xccVgix%1C#=?C_Z43ngVd3la&8$5X5K5b+kj8{D13iB zR}2F+@2;bYr1T?oa4Y-lW3#9a!&2Noi8$pW7wJM!hfJLHXuB;O=KWsWLb=`eKL}ZE znf|#7C@%VI*&0H68bwLra0Srm$ReAY#6-rJc%<1&2sx0Z4`k$@@MD#!x8DVO<#cHIS6?OC-tXlMHaw%lCRP8zRUglp^Qy$_VrAt*o!$5-zwZgAf}lq=6e@5{e;CV3cf1pE36fNhCJ;?l+pXHH{NM}UHLv5hOkM(4ZItb6 z(6wwgL5Iiep?JkM)|n<~{E$7tSSc-J zd**p>^IJb+`5tspG>abmQwqTj*sXLkkxEWLNjzRO$TSKWynVYsxMu;X!LZw$^p(JT zilEgUns+C33VG)QB$<&qQJhwyP_{pn@6E*>xgjV1sc=nAn@3@$ zSm&UKTw)96565xLH>x*9h1!gyDitJfDp>#Gp7#t@UBZ^Ai#*Z~*msuI;=I7CTRArqE1LeW5^Z;=iaN+1PYM4e5O&jGQGz}vEYOb55(28C;})X47gU&0|Mf$ zFbRSu+vajc0;#9G21CYm673Z7s7N>~pTI1fU45FzBygh||Lc~}$NJK&9FfLM-iJ^- z-C>;c7{_xC_1(goRu%bsko*I|i(qaK3;QhQi;S&cJjLRm-_Jond6@?{(VYWN-?}p) z*?(tpnCRaO(dmI?NzTkxj&wP=Vnm(@_Ar^<@{jXNfoe_!SpH(Uz-%I>Z?sm!bZ%#7 zM%`a$r-u^m(hroccdo5G6Ex$D*{lL%pBG#jPniMb*919aY;2)==KPImMG8NvKoa^m z7FE^~B7mF#+xlp?GQd_Y3y+*Uy;F_Olc}ugft^jl`Oq|8Co5vSsqM%*o}p6P-9WT(BM75Gs@ZgnBT)q-enf#ow)dXb!xbLQ8~!; zH=Z#4wjqU?7Z!SM?z&gr5WXzW*$sz5wvs)I6CU^v9Aisa?vBkzDZTY6d_o$ElP1D1 zQfes4d3~zsb>&rk9fcINaviUq6+1Y%Vil|+mYwU=bP%x?w%g1-dQ^<0Udwz8H*Qr0 zCR3n}pR@3jyZpd(WSe6Nn{d9$Ys+3;CQ+KfIg|^=ch(tkJfa&ws_$wrm;v!D%-2Ki zdZe54`xY9vnjq{%_YX~#suB_KqMVqOq?y*hU`2dJ93yG*;4k(4vHgA>j1##gK6W~k zQxPAx9#@-iKzU5XTJ(w`$vvnJUc}p_ny^^z8Ok+8pnaRCM9vXF~B`f%{iF4WHbs?}zWn=2OjnqgR{# zxi(ne?IIfF3o>Fw>4JxMdkz?Gj?2wV!P@)w0S)B479(z7%F++Drk=w-sE|$&aLp(; ziE}9+g|-Q=OAi}rTQ4YLu(mJ*VPE|nSHb*sW}bSzYsy%v=_VAMc$6q-z!{SC(Boj$Da4i)g#{dt=P3j0SreIy6pjaxKP>%OZ94K26_F}%$3s{K3NNeB=fB&S zDxcl{>|4Ir$c^?Y|NByCitaHYZCX-08bg5B>68AbGmOll@Xt!55X@;)#dJ~mWw`%r z?;6c|4|_J!kQJS|gRIV7QgmJE!s=(fgt?pyPK0Pj&`c+?SUHudf|V_a3-g0`a9J@l z0&ydH7S_0ayw@Ck**UWAi|T$Q!7t^M5^{5n{pVtv?Qox_4Q0`>(Gr*0o#;0 zw8%2_7U)B{dEg3l$DuWV=2khodvjl4$#)2%LP zqF+?K>x9{K(LsDTBMU52jw*t z8pH`ExLVaZj4bw^WeW62o zOu@BDay>EMKYn*cTpY>-!HF=^QHH8u{Wr6{yh9NhKi#gCjV?TN?RykB`f}^?bSra5 zfx(2v4--+`;)ga#-59D1;a$5=2yPsLioLTX@E(vz=RmP{QuoFv*8P}T8UY@?l5vC& zbSht|3sdl@4h)CvOx&Wi>Y&jnPxW(mkQI16;@x9S*H@QOhJWt7jKdziqFhEOpTMbJO8;8Vg{zl$YJO_mN$pek z!B3~O&q@;~Z|ViA9!C@^6kPhs@)+6(*5VkGS}fIHxx!vd=1sA`Njbo_UY;k0*H0Cn zFbVn2!jy%WJL4;U?w?neQ_R%_HlV7Tg-F6>-JK zg-f4q8cZ^Hm?qlogk3#Ywtc59bhY#!W5zxVxm4=9X=BdEz8=9Z9PKzwAoYM86R>y; zwz|o`&J?sWbT|inxfiMgKhqwLJjVarbF;3Y%=`Lpg$nNgeh&dIa#J&g!q+N`dE9D` zK=8K3JQTnS(l9@Un_}PxelOMPBN)IIJN?Lc(KzO|Zg6Zv zL`y2s{FMsBGd%D7Ys#um=|4|N$%{jj80EFr*9H^*oR*6A%awl%<~U1=8+MvjjN(nz zuBVW5l1M|$XzTL;;7hwpiB6fa^#&-lUQ4&wsgI$2=xzt@z|iRAo!U!~=h2HCGOPvt-VaCh9%fA2>i>0rnqtn0f1MtT;R_U;16;y|sz)O4n|mM;xIb zXH!Ks0h4T^wsyY+!zo0zstM%_=O_!rMTR7&X|^U&F`7)W$Sv3Qaukb%c6J2GV<)3* z3G{c-y*>HCjW^xpxF8h(@8$vk`4iM$p6Gn+g4xuBYaJN#o&nGX+N%QalBc~l6K40` zk_eL|S+R_bCFeHfuqV~!#8o$@^*t!wF%5it2;E{#`PrYhEn|M<#T!f_RS@g7g&IuV zDiS!!^TM<6UGiHcnwKA>4y05c2qzZa@1etMl789QY@c0?TA|5EVwthL6E9_S4_Hgs zU6neq){MLtA~qQwdJG#fRmc6zKp8878~j0F$1@FVL*@okD!p6)w!F@Oe8^@9bz6sp zHECJ+H4Skl;Xg|SzdPGk58$e-L|aHc3Pft<=x%!PAmGU|hvv2JMhD-x9)XU9*o{^r3iIizpN|0?ujF0G;ZaR{t?BPfU-<6;Zj{0ER-T z&ZmH;h{tT)?DhaX6OAz;kX4jBt@5pYzLQBc(|RFCvO95ausZYn{aNep;4SxFFtY@K zYT@V;{hCJv_s(`vZ_ylTZpnHGY(gs~ZG-22+|U`6oaV3ZVQSH>8bA~TEGJ<2$z zy|9hKWRI7uTICWeizPuh{@_4`#kypjEPiQfcZ~WZli!$pXtn7tX`+CYg0>+lB_3#@ zJX~lUp3!^3VD07{7PTiaKNGAaYSIo4M|w-12Tr1nwhX@Idfr6%2yvM3u~2|?>HMg1 zhN=53i3Vun=ZxipVY)}L+$5)C`kBRrU6KraUit}uN| zZ!@0pZ-fX1B{;y9cc^GFzM-`^AjAQK(Ifdt9;Tm2{X z5-aCwhws61f36p%ynH1>j%~qcW5?KY!VRPX`Q+`o&=gt|2Jd-KqB?AbJ{;Oo6aOX2 zN17ZL3Hc$8^2G>8goqkfsj>8yi=AZfF&=*AWZhw6)AxG*6{krt4lLpx-ubrBA^)9F z0VH-s*&^QcRhGmzH%4-_S%VzgIL;iDdvRp>xZ~CYKI1*0uqNTy1Iae^gL?JDTt3QSQ^Cp%&oW$S2|b zBhac)q}yunk5{tH!BSi?Ly%zS-68)ry?yEBjhFVWrNycs9YEGa;dhg8j3<1%H!cd* z$uj@`cm@vzZ1oVU2`Bw_&x`rPB;eKVWIP{$))rB3BWP*`*n!8|>>CnL9ZJPYx`4#C zv{O}uIdhrxdkI~hX{epvIHj1=PkgF=CbW|4O1s_zL$|3tvr(Cw-xdaOM*=ne@aj4; zSuX_qVnM{gf2^B7YabjKft3W_DCqDa$DBr-@mf;4YNy#v=an9R#ZKCh+w>x9EsG8`m8oS-KcNG5;N^6&)s9}ra!!6lZzSo* z$~-i^;eSwQ5OTL2gnpu&l8^!uu0AzpI-2m39k8}_bJ%Ms?hkPMRo55&J{~$%CPC(E zXVneK-w>h)n=roO2^e#?7Is-os(AMdmDEWpk>yCbH#I1IURNOwAx20>2f#FG?bkyK z^v#Wv)Pn+`*}#UYR@@2`)dhAtmiDbARJoou4#QHl!;$O510o+Sc8uoReH~(RqQ8}x zwJa$4jr#y-rRk5VvKGB=TeZzj5(X55khGveAC2RJgi_RPrNv@X?q%TU?C7Nzq4WW_ z>BwhXYK^P@RhZ%O9VTU}ng*($sKbgXOB zfec+n_=ux&v^`2xhp3Kvq~!c}bl9ts&CrsDYUxD89n^*v5DWoOKgh^&q$^ zVh{iBU3j{RWryySx+xk7MMNSCU;9Lnxcoz@>#|HGu)V!*e$s8EaMiZFmekZ#_{iX< zCPYcHM15LrhFpMl{z#31?a6Ia9?o$AP8^AexiY)Vd{NZSv3l|`HvphZzqFmq;MiOH zx}4^bgtdT@&o`i}TM1cO-z^ei?0OB`OZXOzaB|M@ZMHauV>pY6Eqb@V)kn;qc-I06 za}hPlwe@rKLBVE)=3Zi6CpnvhP(3@RK{86S6>B!Q9d9t?wBN#~AM0g*U{5g62d$w7 zUMla-QNd_TS-#>Kfnz;p`?VH=FU>Sp&AH5L#}-{{g>g3>;wqao?n&9U0XS+hkIKHui9_UCn)Yzj1l8>gWQL8HP$huAqzn5g`l- zCu=NVxo$^w=|5!XCiqC=u7a6ZIZtmV!P@zJq2nC-d$quFn=51rreW|sFAY*}R%m=_ zr&2`M;L9T{?n5~qDstQhQp{%L$_$;+Fu*iVLq8S2||Pot5ha~6R+lM7W^j29~A_9I1t0!!MdDcL*6 z+Qy(>3m@z)T2K|X9N zAn{daB0$U}+}u!nGQ^KmdVEdqAx@s_SVEIa&>mAh@9GjRt5?~OS&Ue0cvdkuMM5AT zARuO8Ffbq>ARsh2W-vO&_$s`8AW$99Yi9Hj85c*ms260Q&5(|1*^`6#%W3wut>lZ? z>IectpMgoank>hL4qUbD+b}r64?iS6!9N^n&?}Y~-jy()0vxO3ZU?aRAiw=ptWIV% z60cUdrO^4kij7Jpi0{<15#`&Ydukm1r?+fmaAtpSt%oNY>fqkH=gpP{s>i4h14WO7 zKiKZ{f#nb!%YsxXyKAu4x+B;beO?nKl*YF094&sB^c@~P|2A+uEhOc7duF1*pte&p zE0jU;o(!L532RK$a%}pW3Wi4V*54J_xNMFR_P?wMZ&zST;*Kxsf(M74S^&+`Ns>r3S(=hvc>_xH}}bukgx{*0(clzwKP@%`X-i>iKv)x z^f`s?%?w2rAsZLdOGgbyQ<@o1n|J$GRz4*pK0@HA+*)|}|23qg|KCglc1T={Ir7kS zo>=gk$F;rv7qC}ZmFxw%-+9olWQ8nWqi&rhW4J>8gvkuO3ZP}DZve&s$XwaE&H&VC zBG+KpgXBlHcHmjx($jgYK-+fidwH4D{uZE~s{Fv=kg=jJ878R_g|O>^tgSNZLNefa zt!kcOwv_z6Cr^pFRTUq2oLXM=xIKvodoRpKabSDu(1vP=h;#e;6;Ve#B!RrvG(`4T z#PvCLe+?Bnucu$iZhr~)+gbZxX0R0pxY?VJ&c^}ety&NN#;6%lNB>Mobe#v=4d4@| z5MHXPEYE&p?Vtgv-O|4hQts=L0VSErd8%!XJAnbJXlxlU%*;VG0Dc|sr3C`vTzLE# zyshUj*Uf4o$)9KFGa+{@H%7gR-w|Po8VM}2l|YLOns&f4%gdD>TwK#B5EQL=cv+g$ z27?unb>=+2{|ff#%F3kyWIYPYmKLcet1>6UytSUuqmC zjkl#l<|Qf5`>U4|Iq2T)^*Vf#)O3+;!0$LtXh#A#REb8e0;OstCkvB-qpa=AFLokh zjpo30*bDn4rQ_nDh*^h^y!rVAL%72>h9i`jj(brN%+;NT-4i<63xu7K9Tun#{%oUt zKtPoMq-zP$Jm98rdyOk(5fR>Cgi)zcj)ixk^MbCLM`RvfW z+d2tcS8WDaoQXS$lai0JDPFTgH7WU!$7M`Iv}~~a{IX^$QP==)l&SvjhBW;tzzX^Y ztUWO(5u3F4u#v|=vpRoZtM*KFPumie@~f(ODer?>x=s8D zq$dhs1yPXpUzR*jQ*<+$F%}qqw-^RkGa1w$w7%lpDE|a9Ih1L(quoI7-g0StL4ip+qxF;seSq6R9MOTJKBWXs<>e;fuP)f}q^-2W14G~gk6UpuB0Tk@+4NQ|F&5?*`j`MV zUa|3Oeq4jpH=I~a8Z8AZ;`gn`FChSdA`vN&L|AxUGLvQOIr9|`R15g!{j&fGK=!{; z*H#D!;(E+PLbU|!CouN~uTL8h;wl-vMy?%61}6z@T>FQml5zdRWa*9TlPao;EY4bA z$gs=O#d$0&N}pPMj!Kt+ zb$Wna${yM+Pl+F_W)U^;cbIm^7>qZ*BFfeeHIxqdegaL7?fY~Zar;HM&0~`LCnDV5 zHtS}xLj`G#|8;7)Wb~1bWMmg~goQ+KdQD4lzW9T*2Is~W*jQR zZl0uJRXYS)kz<=1R$$SinCBT76!-J5xAyy_P7!9jAVp32?ban3%HSDVJDj?g)}Q34tZe6Ayr z$#Y;q4Drd_4R$(=%l$;>sC?LLhB8{IJv5w_m8UR@8GvV{%`U9ZY*_aCD`yOvxmy;j zQ%1V$VF$Jz$5ysRtPQB>Xh+2dIksZRcP?5_63(rk836AAK5mS@JHi9>JGAF@&Ec}{ ze5zl53aZY;P+7b%Bj==j>c=*UPjCVB?#0a#ryr#!jroZ#*E@?;-}jf^8RIL6J+#j! zB3$rr-vX^b%KK^|+kwFh0m`SB5?HwqkC>#^PhhCm22^9{a*i3uAW+}4G-s!}7h%Ci z=VFtp5b!bp7On;+;u;A+sDjdrY)l+Of1ZWQRxcQEfQVJ;X~9!CmRP!P`V_IY4_WaR z;C^~SQw@7mwlK@?kYHLGP)&eP3w9IEd>mz8BmldZ45+C7NPF9zEi+drdA{V6o69U? zIuehh&QdP&m^Fcs#mNH^o2;X0wc1Y4|17jsjlxiwqn<7Fh&5%wUi4Z$>YqSjWMZ)g z$nr0bcV8t(LEh>O4Fs&7)$pPj{{`NktJ_?j>X?^@OEqObYjWyC6G8B zwH!?K@_k!#Y2O**2eBZaTE_nT&=OAnDrWzX$8Q%dEt2QkWa-&~>aBq1*^UYJdvHsD z(5*_&+|4|XI~*tcA$0p_-IQ^iX_2tNSmLDi5P~vBdbEiJ8xbSyUg2e3ANqW7rir7) zAot-Z34RWz)pGi#0!gF={>{lk!bQ)h%Xih7&8n5kVfY`Aua%vzm5T z#CyHyVfT*8rmZ@hHy9TxOxY1c#h?LC|LBH;YsY+Kq9tIRg_Qk?bDWMBFhV|wRH+-9 zz0Hyyfjk$W)}3_*{pAHAC$au8GKW+zwJmae67Iq`#1<4km8;J4-AXk4svOO5n{8hq zxfwv(P!?(4pU93z{Cu9%&>aqRCt}h#8(Juv9C!p--=yuZ<2`QD;X96(lIVDFg&*9d z1AuChsd+2EnDr0&g)Sd)7i`yvNZVRo?|^^c=)AKbrlx7pi6X4>Locy2Ez8KOxU+az z(TeNb?0McY6Q6~)T2U?YW_2)oEm6#r{vN|@^aQw=%~zapNSb?XoKcdh^UP?>6G_3T zhUKl>n__6BZa3XKdpbY;2;?7CM@fEFWdR@V3tn+de>-UGd(D}ztCt*KkWYm9(t z^^|SWU>89IMBw~FiZLl~zg|3nV!xZ5svpLrRO%0MTp`EO$jf36z_ zJzpzHGD`RS@+Sj{WF#aV5ZUPazSfv#Bkjc?D)mL*s*X+3)?4=8tdrMP6@gj8(HP3A z+$So3l=gYoJ4Mwx{6lH7^BA?+vgNuwB=;jP{P?K+Fs39)o7=$0@ZLr?KAZ2zoWWAF z9-+VIR-I@vO(zNSk@)zvNGbLS1mB=1Nhk;k?KKrc`)`)=sftCHQCUvJYDNhBtGkb| zrFea&W8nyBmsN!ze+BKj*CK6sISeKKXsH!P=dFrE4p|5oa6fsrb}|Woi)PrB7moH) z+PR@#n|_~Q49z%B-?_xa>df5)#uo0$K+X%;7hB8zqr4f1K5X4G?|LLB?0D_K$NIS9 z9KBO=9`r5~MI!2bFbJ(qm*DEO(C;yHOu9usgS#l-$zM$oYP?vahza2#=>xEULqB;^ zmE^roP&b;Xq`U#>Lsv{+v@5U@W!Vb_S4~HfXnfEUARDBiy8a$|3{yJ_03K|(l&@sU zE%)wLfT~u&CAUmyms7GS^6KkSHvr~=ZuXhD(i%OB$`w1Yhnz( zkW4Q{e*v_TxSJ{15y~0OJE%WIJj^`p&>!?T(#nOe!&*f>WF;i@iXAAv=TRmhDqvy- z?=&I0)pL2>>xfAukrf~>?3Oe7u&OjA+Oip>Vn3;;=}b~&x5|)xq`oMu5bBd3~N{HN&f$Ky9I^RES^%ByG+kR2Kzb2yd-1 zaFQQ6UqtPWRuU!|5i+VJ!BfbaB|W$&=ofIWipGR}YQ|kw?fH#7kHMYVMfvA+5~?!c zLg-4ag)h&1>%q#1iw)jkv0pailb>Q{1mM7XXxWU`CdWeszqv|>hG13-XNxGSRnO^Vk+u5Q zr$oe9M+ZNO$k35GdKDQ{5TPkzOWR9s{6M{=cr25?<^v3g{9 z#`T&2iprh2R)k%+xbOh%>iy^^<~=0(nvj~ifH0oCO;~+|8O}o_dFB6_Uy(6B?4LLH zG>WErP!WWkDU_?EIdOGL;Z_>9%c9CreaN$CZ~mT{0K;BxRuVZvfRL{j7s53UJ1%cs zNT3YM{^U-$!9c1LSLveWvktvEUG(R|2TELM6f-j2E*3I}%JtK6W|B@i+Lq#e4U4q_ z*7o#SOmh2xD7;QuESLl zjWUnux20a@y;0UG-qNUsp-1b0^f~mnhfc<||F&y?0QOu?CBVy3mDrgtP>i-}YaC@| zaV62P()?JXuP7V_M^>+g%kkYuF~XYDEAK)!tC54|9W=_>P@ z^rDOdpW}CFsAzs@(7bz@L5HA{TexZj7kg8m3#QI{&y`5ZeD3~xFNL_o%{lE1%-pSvBE=y7Q-C%y%_a)I=yn$JW zgd5^o%oOptRhWLW36je)(IOt1stZ7;!?FxH^)x#kI}gdn^U|%`XE$icR7}OG{?Ibd z-Lo$L2!zVhyag_Ca=<;w*O>Z}YNI6L%Y2Tg`9E(F%W5`b68rjn;&6+jGH{Cb5sUep zw~%Ht$&`s`pttUX=TQDktU8z+w`oVV;!DVFH0`@Ixmbo$CdG`#nSy}p<~0r!n!<+t zZl)M9@ul++zG()on8i@bdW-MOq)D3+nRO4)P5^Q+cU>28`=znA&=d~48Mp6;&~){n z_WrG>;I65Uw}7!M(kwB%`(-G`6~zVKP7WkV#DBz%u<9B3_FU6y7OhTjk1lo_2{0ie zZ`qbvDa>TNv+e*tK)}C3i+Q60cjAE_P8J(-vOJKCC^A%bEhP!}dqxoMAPPfACTBAM zwux2kBVyH<8)V?kh`u+*X2s|roFqUjY$HWhjuUix8j*t$V76|v_^O=Xl@i|m!p z82k2|9%N01vVH(|A`QrVCY8b#0rm_K3CP&yrLodDp$n-gQTr~~YWfWHNlTBW`58P< zh|rZ3#Er(Tq0oD~TOwb_oiW%$xZndPMP!LtkU~TM#JX4eQ1r~iS|m&rZJ2RqkuRE2 zZ&{Rn#UatG6%1x2k0iNMd|$@0YtP;ItO@(q7l3aPcr&(cBp3^*hxpNO&3QuH%WXdN zq_Gi}sakl>={HJSq>B(GE{tMF*}_2tfz7dVJp{0aS&MceHIu+Aw2#nte1>IOTN6lM znfq>AVs%sp^I-&$2FEda3Esj(Jtok8M2RCEwgBq##159;7`m{1K!_?$fo|zfQzfwA z!A#Uz$;A<32T~7wv(8pBA4=ZbVZPWkaI)6vK&<3A@x+IP^qWg1^dWQ7l!nqVjJ_AX zjgf87*T6`bdyBJCZ6D}mql2)7X~pyWcX!EZureCkd*0dviU0TIoAhkF1N3aC z5yW068@-28HNyQ)9C+9>yDS+(;9fx}u_x^OX`J=YC)|3@w_-s{e;u5{*%h;7d<}QP z_O@j=;09LRlz54V%#0q)Od|@I51o@uMq(>%BPl0a{Rm};BQ77W39Hn2`!NkXk63)G z;{I)X#}1EPjT&{r<(}U$-PVb>xu)P~l{Gxze-OO?r`>d;`cA^qCVtLd4?cU65O+_c zyTe5RT^b{~cp|$&S+sBCa+!&9dXR-Oi$bopV7;jc@1ie<8-%ee3^vIHt-!I$@`4Ew zvC+ssG&BBW&}?Mf9RSYNx37i6oKayMExj{yL$F zQi*o!?I_rgdmKVaRAZ$1npzBmR(+<A_V3hHOv`A{tmp_e>w}25H0QSka%ftCEc=9 z*yw;QRr_ED$u-|E3EwTE)C_BJ?VH`c2kxihY;gj}F$~pt2ssLQks`C^{2b>w0GC(I zyFRM%Czxqadiw3jWANZAOJvb^7=rAuXbWUnmC>{Cw1*A6=aybl{(j!G53+Tc(X@|*$6YxaH!JT6ap zDxz)@sx~i<(j)iFIQ?>7*fVkI^rc3j4?Gph)6jl*hdzq}+>O(S$B0;LG;pgz#BI#RW4TQmW9hb^A?m=PQgPejPCXpE9ZcHs=ru~8LyQ_*QM!u%W?NKM@~yIR zj}@N-shi)_^s_e~T9UZ%`d@aaOr|UEt$fCr_q10E=`8PK##eu0I>t`lQGCxSq&P~R@vMsFAJ^z?k?!y z8~5mVx=Z=^roDxh^UG5IIbe$LE2NWMUrTzt5s&)80<)7QzwcF|h$?~ihRFw_kuPt! z9M6!xVjPs@ykYU4Y3AZQbuPq`SQ<=V)&89Io1b>+fZ3PH*BgBvLg}>JZL?;~!0HH# ze42yEPMu$B-aiFrG~7DyPvz6}%Ic-hgFNcHzG%&<27oy%Z^+}3QhY!nG+yTgnS+|c zBzbvVNG4s=Qzc8jhOmVY-~>#*7P0ZUV&TM?DR#KoH2#!=AbyB=G6NoQTF8>4^c>An zNBxZlxV)8&m>Fvu6zmJ1>tPqL{a;wi6@mC_FN?}eDuO>nL6TLfID1K@oD)$iLYb<`O5I%9VilqdXL3N!R60ZDzNDEt=IRWk z@@7J5)&tj$)0-_NHQbWBMtFc_!c)-wR3?l|s#ntJAp~|MM^c<#{nLDtcjA_OOvILx z9|LUZFl8#f8+1U1Ae|?`NA3Lo$zNRR(`X4B`j;v;hP*CAhf!*$_qqMHRDAjb!&mmZ z`!ZE5R*vm(CRIypJRPt>4lrU|FQKN$IVl83iN1Nqfn36u71!=rL;~t4Is+6!Hn~#1yxRZ=1`GfK2hu3+6 ziS3@CC+5X&JW(RX5$Kfbr%QJw_+0q9l)Jle>4x{uqg`My63A)Jn9zwgFRD6Wzrcvk ziSGocGuGt~X5?QJMO&z#EPR!84FZII$>n+^nXUfDQ^I}PqL3ejQ3Spd|NMH)I^n+)>40)3asct^S+=j)aj$v;9Kf3Tknl%kfny{lxRmy2W|8?5$hS8 zX;Alw#l=w^awNrdvtBI|!!Hv=IMtw09^Q&epI@vHw&0YocH?LtUyy*>tR~)zYX3+o zf>tzeVo~uj8)C~dBdV!c3TlM(G)NHbeO++O83gyI>EOuUVIKch(P}crb?BbG(`DQ) z(LqdwDxo>>Y|qLtiEKem8iiK}DGumY+4)D#n_08eIt)KSkvzb`!LV-yz{ zNgQ`zK9oB-2L$>cYKrD%OpalJ8XP7&Bo*O&+-g;PSjCgc)sMdV((hAZrOl)4fj0%Y zU{pDJ*`w(s{oG0WXwo(vF@T6}8AnE0^(7cGO?6ETHeUDo;37h|4tcY5w50FU6`QIO zWO@y`KD=dnxTM|n0TA@@>dSg_06i_2y6VH}O?7wxUjfhm7@k25Pc`r?-d+-GaZ2e0 zI@b4pHTpqITrJJ;n~ps7nlBVC6R}1WF?P44^a$N{2}Ec{x_+eSW;6 zrEZjr6XHS>U;s~3l+oWz&XKz8FQeR_!^-u`Im<~z!9T|J@G;h|GQK^#-2}VDf$)=V zE6-ofg_6Mp;{Bg1W^eon>dx6}`XB_-Vb?;19MEwQ$1pvn0HIowFFPr93>sgjnrP=? z*g_{he8-jC(?&@r-{1xw=TZd9OWVg>-$Vk_u=DT0T+Pt<1^Z^@R+;+778+NOBCM@s zj$9QnQouNYztJSht>TgWm4x1T1LU_9RtJ`CLtHE-l6MritqMKVjL}Ub?|vEg#Ycd9 zbhuj=otX|6Ip!u^aqqe3*wDL&FMR=@>ikz1lqg7{WiUyXUNjw(+Ml*;Eh6@BuA06A zm1ITu^IcVJNlo5{al~XwcBxkYrpNXOO8vNEe11aNUqc4uD@+M^qwK&WYXSH?au!q?^Drk-8P}6 z#*!2Re_XOn3&z8`mB%d9#zu`n8^*Q=)!W}H&H5V|=0ajW$hPMHXM}hXAUr0It6+b6 zbxV*-Tt*ZTWNq7bI39ig(+7n?j&Z0Z5A9>B{JF!oT!G@)$`DB%R95J4b#79zaI@ZR zYop{wn3-?=+OH4GRMn3hdCZ(aK0d#4o)CO3yT*cYdf7{-bL*ikE*AGH*NP^$+QSpQ z4B&7Y*I_2?{a%y;Hv|YZYMGefys>k<=f;PfNPAHpb7Ld5kgLas)9W*fa)3vrmzfPH z9zz|q18e+x6G|{-XCx2eNQB(NdauSBZ>yA z1U0MFJ|aKDul_nKx>uPv$^Tez)x6vg$Cv6$Z#lr-g{goz{E{n{dc=|qk*Zi@w`f;u zeBw$|4?zvVA|+IT0iPm4+s^PHO1ZlzZ$)5r? z0W^2INJ~DJus2Ioko1$>0d2V~OZ<;TUshnkVhNs&fx*cO-pVeGmJ8nQqQiRzTT2*{ zwiAI8iV-Eu*fuM@(%z2+G6S!o+5kOCCMtOCutg725oV)iT)DD_jsg%ge9}LqXq={F zo7JLLaz+XNWg-CPfTxxm>IhH_ihkkd@T`yN_a_28g7s%HBGFnir#`cJj%9n=q~zwA z!+CQ+b&?K(Fkq7f0v16#2Q26^LPmatAdt=DTWM>l5&}38HFDqgE=)&~uAU{V#hqw6P?vFn8uff)f+a6@A`$=>yM2u4w(Q5@4%r@JTIsUA;O^y@x{=Xe? z_xHyR@2aR3K(wVxvARqoRi*C)3v0HfUuqzrw}qXOOb86K^6I(h5gueVFoqtp&6VY? zLQSTdtpvMM?c$|a9_@ATHnsw=^|d1&hYn`7s0HRxSDScPB3S^!Fa@W$C{s&5bmM5c zDATpkMnM3>5`0>`#|f{lI@is=R>J%OiU+y0w8TqvXH#wHlE5ci)3( z;@JtExVG!^s*EPXog^lR5v(99Ca-Ygi^8DCDGO^wQkLk}4eDl^M7uyRco|4a!rL3Rc3=9@4rXUy9&e!NOTL>eAF)0b$8OXKl)adz#yA>X)O? zV;QcRcH6EINl5`)U}Cbn$x_5bf}r9yrzLrwsLq(4tTw(ZSV^68-NGl-o;QQ$;|Ppb zVCB_a)^JZNX?I}@x&l8F%%EO{qFfwDyeRAy9x@n?ppQwdRYqOcJ&3s43M(t}2_{9* z4+rg)d5#>P84(zW8b2QM!`sj06MH~p#??H$Hx86l9lnT)yexbyla0rprnDXtU;`M? zfQ+bC-1aEJtxiMVadULiII4j?ZM-PdN*D>juYv9WM;L^ev>Jh@vD{9%^falP4Qb@@ zhgVu2F~HPon3XMM+_&hsuEve-CB8(^{+kd$L}Mdt6VyM)g_j|3mI!xyhJxe>5p;1W zWuQNAh9VT}!6Oy1`@Dop`|A5#5$|UzcRLkCir_CyNLf^{`Rsj!)B%gm;aU?1BT2jQ zHZ<5s?pwj0AsZi?3k(rFSwb8SvBCAz@^LfyZ2t8Y7A~!5QH)E92|JRK3=laQ z&?grl99yI0vMB6uzs2&!GGTCICPNJDRt#3Cc^FEnOW`#jMeO1LFWKgnc#+e zZR0G&KRr#art4{lM76LgTxH{ z5pvzt^xo27%j^-ESv?g<9i09YWXR5TCE}aBj@57jt@_(SVGldqzjkzx>H0tcjjR%0 z=|R0})tKHUIEu8Q_WM8*c#M)T0SFT_Oz7117Y)nC(GK0Q@12BHXj0PQJ$4pF?*U;r zSR$0cmM3(f{{bQiu>@@6^9W(OrA5$OMm{ZYN5|$p`F4!|Bt2Fhn}8~G7>y%dI54JY zC`|wU(4acvkqtqJ7tU6Tk0uGC?tR|Js^`8hQ@7W`c_*53wWYy0)>Dov=vn_KB?~bU z=ZnGW@oxe@@TOr8t9b&4a_@EgtB6@U<~hpXMn;*4FeZ`}-TvQ?_GQW`h_BRM>5L?$ zib~MK;gPO|;rSq7AdxD2)sQJ~o{o8<2s%tR`G5HE= zUNhcM0FNr^M~YJW0uMr=@UMS02L|*963!hD!xhd&e{)!mV;VL|7>IbHV9DtG#{YQK zJZsj3YZe{c4JEX29E%`v)E2-Fa1jk`bSzgxF9Wuk+KVRKaY3Yb#_gA`B<#2bXep2YRG8YB3$`y|wFBD0jW_w)>8Hj~nK zc>1cPxqeJSXx}v&9VsrMjQ$Q+7BNbe0j8B8%LAAx#Hq~A3M7&9F&%Nifs@hRJ$E=w zQHvrX_IAfApm|k$*C4)-bDhn?R3@Ga9Q5X}o=P*lezuk%@3Z~MRF8_p&|M2I<#J-< zc^=E-qnwqHJsi~%K&PT<*k57H`X3lI?p&add_Z#O)wA3QR>FH&)(h=tkT&Rn(#R{3 zkH9{cmF3ZulRGwd#KdlrfkX9<(RdMR7G9i%WjI)+Sa-Uznlzxbt_6L6*ZFXrdxr&} z+p=F!PH=>lj1UOa&MGu)*&!P{Nlu`C|F{HB6cu^G{^cN$SHI#0ecv1H_7;O?575sb zAzwc`Ue>Cq->swT!o&#c=`$quCgkhwKPdv*&@F&Xj4x;$xGjb?dH0DNVZf)5s<{d2 z*d~Z(pc>6~`*ncf(+;H=3@c%{3B3yzzBQJKCP5J9uS`&qT(w+Yss79RQ1bkih>R`X zPTvaO7uWSLPV7mMe0I8!j+juL^I`=a-Q)&^$U2Haq5PIK19&o(|GgswQC3hVN0sdy zxIzPkwf%o0-1`8W#>FI3?6%U}I*yLKVtHj~UxKyRe*bA3(IkS#4l^;$Hk;6;wp#AO zzIYoidP2v1vFs~8?v+ZA)q~j0eGA2b8BVnOi8S2gv?vl*neQ{+w~{V#QJXVHmiW5q zK^EylS_09^TFlylAYyL+G=16~GTisg)=mZgzs`lbEVf1;%@7yrP4B@5bU!2NRy$ah zClDpPe+PaLSoH02k}0{%kRzJYkc?+M7#U9}jLI%Ot*2+>ugn5ycBWj>kQ?J*I{&i< zN6#U#?}8@1(xQDBjb#fGp>I~vL%?lSfas3=UJziy1aeYD5X(QIW!Z*9%QkAMy&k~D z{RSfUIl_P}hCp8%*%B`lnvKce|MYve~OPjqIswD6W;y(U=BtvFw-spuMoUUowb%W)Q+76}Up*Fry3klbr zh9SLj>{#<7l@hC24>YSH6e4>3b_mL!m%)uFXq$z-CCb(*VlHXHClAHx#J7Q&D)08k zOYi<@fAQzU{ZLh|1I#BZ)L8*(4C=YHnm=&37@am4k_|>EbXo%8Why$n+Ptf+z z-v6{#-pKxE$gh@wcBx&nIZ6go-_0_rECpaiJM<^j{)*}lp~5^*BOs**z518oOgVZ^ zyDH{5+}II}$J}bFxkT=#YzH%ETwYHCk1MCK!0QQrst?xCFL?ueqY!Ax%uDVEEAq;c z|2!hJ@HT@|K-#-Q%7`Gt02iOymB%RBun$?bTjav_GNQp?F6xG(jchnQRC2R)s^M|( z!;Uk0ryN9_p3O{U;HN8jfa|7%I8#=>K-5HDlOIX(hJgnp6b`oQaP}QYygz0+^ishhGOeIna|wJ@ zSg$RDq;FqOuddu3#v&)YZvR}amtI`HVFLj}BHf$^+_Pdlx}Sr` zC_J=Fkc6oTemt zV^Cfb(T@DUpJ(I3I(<)gP7;m+Pa$g9gw71j(7t?>CwgEMEPDPXO^WVVe(m6R+i@iY zs$T(-p2h5t;j|~1F)G*NUom{2uI0v#m^q-sDVeL2x!cOqW7U=8CaJ)T8Pb5yZ#dil z{v_PS$Mi%(hfTjo=^YphT~fpYO_fx;OOMjy1_{3 z_{-`Cv~LXqQD%jxgHZGCE`?<}$+!ZVfsK7a=Is#lm|83n_>tE{6BE4=fV5wc9P9NZ zVCg-i=wHN2& z@&3=mPgEx#A&$!O7M1=Ya!&RGwq}+qU4A7*N}HXZ%A95oO8!gEtZ#=rQj>hvGh>ql-OhBqHX>iyWXX}zdILb9 z6r2|M3KkVJE*;f1K0}a^*Pp_D{{Cw>5_CQ6Fv6_k5viTf z6ID{~&#Cow0gzE`2TW1{@jUCiK92!{7`HN0A$ZwWNF3xGv4R8+_Gs}(V~F_FTjzKk z_o&_ls7M$Er6Gx41+$tP*(+*ZwAYVQs(0Pc?sP3@vrzn21QaIK-Rk{ly|arN!>q@$ z2=*thJL0<|QJqK#j2q%vS$t_%m*;?^J#W@wGW;9##`xxo+o}$rf+b8k7R!KX^L*Dd zvO*c!00mm5Kc8Hj+gh}3J~_5-L=IV~62bjdFx3V;40*b8$hQfm(c{!jzKK95VsPBb zjv6Yzmy`e|{phbWV_vhNV@clQxC|w0j!q-TD50hagaybCdf`Rt6Mh)c?F&ie79;He zt@MIu7=jT3_Y^`@bI6+@B+J2JtJq{)HFX;_`S&Xzw_MpYH`dNy+mLC|hbz~eEda&z zkKcn6-C@$FB5}J8NN`Wl@+FM*oPwATldtEac=bW<+2?JIUQrr`{wis5%dzwzqd-Vd z@zx{}j+N3xwioxN&~xcSje^mq5Lqm3|K@uE0W6W_;FP?tg>)i9+_ zq^x$7s#)v(bw~Yg$Ln0T1uw=uWdxhr+t z(|oXTb}Mr8PWwVHM08+e>OO!z*380&au^nqP!;qMZ4-u{37@iGP`0g5L8>HUs zuMVnC!NM?!rul{JhgT!vC&vs8IgVc8jTIR=xO1u^$Hm0djcbobl`cz0^YrY_h-l9P zJC__DI3o)^&cIkZJ+@ag5;s#zJt}mi_`JSR)U5Ms)FiTu;J&UnL@#4SX>AZn>UT%? z*K8pdb4K|*l3D#VGWd=>m>BeW*R0R3Co>SkrzO?kPJPOvr$V*uzibLU_c1%3i#BWO zik<{rfA`_ZkOHRpIPWy7Q72cJuHLm)dzHqTFXPp|>`m~Dnj(J>Y>W1#US6DlZR#{q4&UivyQZp0D3J{bQWgFDag?+a5w1k8P zxiR?ojMP%K2E{QZYTe?`ZVlrc!S)d{M2~h5<%;qs4!RU+UGl@3SwS@u{iiC*(KP&$ zX+Swo;s3v6pS?aw=TNnGi>%>}P3gQqv&uG_B}4b!XSo!qL&mo0XOjH)9*qqCO}l(0 zd4kD!nc6_bfmI5`vV%yC3pTRvIo5rajzA@T3v{72Dfx#HTG&dW@kq<5Ccz#3F^?Z{ zJpPUsQyhrEeq~M3S~}5KY88ghXCo>2;rL`rkL=bW$GL;e!#tOciJXd|LP9;T9*%(% zJRA5(2y+asZ<>8kDj}T3d6X_x`u1Rq6Uu#qjTFZBOy9;ui6}?K4}KKZC*}xstN8Vq zcP?1xw*Iz}&MkMpFuT1u+}bE5c@3Y_GjB6Pyr|K(A>@3M@66P5u`#?lc-pv9E>2)9 zh9qxQ#$Tu*vkZiPsIE%z<(YOCt~LU!m#y>b4G`G@(>v7iDj(s(VOx|+g>vuh8CB-# zz=xk!3WD+aN8goASfwM7ZiG^_B8N#cA_2T#ubB988J-&-#NZXs-iVt(uLpGi^lx!~ za-FLv_dyj1d+@Y()SWc2eTUhKYLJfK249joWUBOgxP+txRgCK_EIs5+!Ta~@7XqO4 z4^!q5A)@hvKo~;s=@w7ofha9TSKN;}4s#gVO7aIoU^4c}4R z7YxOt1OzCA&W7Kf(n940fQ~y^ES_|SuvYMqnqzIFZuT0j@!dgTCI*7=FmbjO2}jRP zFs#c~XrfhwN1iD-i!B6e7z|F${@mB{)uR2p?Yzn;iCTO4dhh0n;RPxGTfc z-aR*Tbe~)8>kASCo4@!x*g*m9uK4{f^VNTWEhG8B zv#)F6^95k-+Wrrfc_ETNj`E<7w_8%7lm9m9e`BKU5c1{?1CST40rrkLfoVN8BVpyg zTX0zVvI8uDcS-Gu4d>Nvs4wz0&xlhAT~*D0*wt6HD#;Zt5rP0<;MO+n0k0fWxpD3T z*3qLwaD{g6nrG>Q1sIn#xjl|a0b)mCF3p;#89FxXOr)6s!yPzFd+KBaAYoQA6Es1N zn=3-r{u-B!v-W8IT*1s?{*6x_&z^(u1$TvB6dhTdo&mIY#)iCL+GuG@4^K;O9JZRU zT@U?or^jIeXrVs1Av!?rr?Ivy3Rz0^enx#=btwKkMC$~?Fc>gE0_h}Zo*9inuBxCM z;F1B+nVv%_M=BcrnqCHaEG(rKYHuFECpsnwj}IVjq;`zo6OZmwgiqCgKfcAcB*oqp zAc3wKT<2kKP>uKZq_xpV?~i)MQti5KgP=AcAwwL5MTgR7M}r!e&IQcuFs~@HR*$cf z1M+V*8gst%{!Y!N(TW0&WT?&wV1{|>0|L{1Sr#b@cFUTv_<-2gcKq__wVqPgiSYwC zMoF|O⪚=JgbJsf5gl9ti9gdb@VR|)S!)+-fm8n$J0;xEOrG|a)z!*kSB=GtioiH z(;TW%Y7?AyY0f%2Dq+sC@Cza?jeh`21N|u;BkM@}BG~z2kk_HNfgF_$ti-#3wJkuO zBXT#G4AvH8l}bovn@-uwvO`{%;>}rFe2eCKQHpB<1A468s6}zODM(+mZ>bN>1>Z;> z%~#2P-X981{GH>2OA48M>-w&e`B~jcC8I&OSP{1IHlggRPF$R$ZziIPUG8@(Hfy~qF;~=ly}p| z7)d{gLh`rWgYJ(3(jqqn!1Ozu=EpQd{VeKA|ACd}wx(zc*T6Gi;%;mRR}bdeJ}ian z-VqxV?g$5VX%fld8YH}CVk$4^rCU0g@p(PR(!tQp*B#DkX92zyE8nb~DmLowtk+u&b+9kbv@~F}GA##8$jm7IS$rV8igQE-y zc1osejUSWuEc|++Hn;s9&)Trf@jPizUtqehgA1q0i*mG^=j??I@GbVE{sA?;?6hl% z%xfB%$WIOlAJ_d4%Gl&-B;*ZYP`=Yh-fxj5!hx>#v^u1UPpaVNb@5$-DHf7pESvp< zM~ckRtK2jG|C>Pn@XmIHr|8DYomA~3jk#giS!TP7!uRui`#~0~&^*k8g-AoURWM?) zg&FC_d3wDo=?=AIU<^q_INZ0~i0YBM>QPH|MXIJOmZtx+Cy2gemZ%2?-~(zxv3Pi% zQ5gNIwOQpq9Dm z;v!DccyS;^^~GCD^3QU@69;?heuhag+VhbTtdCmx@ZlzJ{aa9b`l|m7m0;)*d`MNN z)afD+XswHlW#`xa3`TCL{kHQxsvSb*tC6j%r;0YzC`Z6j-CLSRY{6RoYp<3l^=m1U z&kRb?5!73Rt_l>HU)!RGAsC*nd@J^ap*q^$L_b|m*$TYSwHa>(s(n=LEN4LH@hVQX zri|qw9uF5`il3Rn+71JUG{L+qR+ua+8Ova%mJ zxW1U)K_V}>mlkY8aZbPKdLQ5Byv2mGeX#Lj%sowJl@<5|RE`x33L+FCLFBx_nac=1 zYw?XdP)Wd8N7rG>3JOl}@naCXIkx##PehSnnhf<)-2@Ib?{|_AG_ps-x=uHg?fCUCpjn_%~iK z&K}TYp8U>aW~#sKwSIA;T_kPNOQb%hjb#`LaWEnRHdu9gv-`mmOheiSC$*^o*gvH% zq4wA%8?lWSx925LmklmkDu{??_Xr*?Dw95ZjT92ytwbuVV+BAhC2>HFV}7iAIPIs^ z-F!pRi3~ItgYc2<5-Hm{OEMP8%KMg%JHQb5sO)!BB3uX&rTx$kCJ&z`>qcpEas3k* zbl}4ekO^2Fk7CGUhHT?(*NgaM1i62KOspvZpPuP(Q zp*d*wLAf-$PjS>6k1gUnrlDrF>6IWWf(KY1wPr=e=Fz^v!eqJvudD=Ue7%l*UIZ_eWx#rI0N3A}T^3%q-kb4bWP-M1SlFihP)rLN-+;i)C&uAw^Ioh+|8m8g0ci z8ZxeeVN**E{bnH(SHot^mWAnj8hXKaXrsXR|w!sjfQf;_sjW4iegjVU$+ z#1%yd^xn|;Rd~4#zn&HV~`=$F!J=- z|G9%}065y{J%Ltb++Nf za0Xyq+%WH?B<8RaBaWHD^aByVZ;wYs^kd{iRI@%mE0fJCx8axqq-|!?$ehE%#p?yJ zY~1D?Aw>(FWw>@I>B4BgV|&4dSWZa`Va3uo^qibXykr(VTs{w0QhEB3 zYMW*z(&|;CDkq#|&XL&8isVI|-T@oIP6Qoqky&jRqQ<~$>Ro zZV>9+LDCq(Q*7*gJ0E0F?Iy82l|VRtH{SVDjaF*kNU&oY*a7P3@$;^Orwc2qksIt5 zbPynIcmsWk_^(e14y`YAI{+R);lB;pJyBJY=@8tauUu%v+m}e*YENftOV#Xz^3C-o zIYT0^S8LftvxcTSfmJaPN|S(SUeqKSghxvVqPy7NKbMttAPCF@&{0vZ&DaO1elc|` z`;x#e6eanP&fDUvF)A|3WFdu>bNjT)mFMr+_TBQi8D|jkiny@E!|jD)7fSTeQBO%) zHUoiP*gE%e4BKn>Og-CSDcl7L7hi8FA%jUx3-gJz!!KR)$eFJeh@eGEhBq;zfJC@P zZK`OL?B19jd!19$=KRcg%^@-M$o=I_ZJfpS;R(%7;kEV9yPs!~c-|s6vK$0wvA${!52pGTwKNDtb02N_WcpAIqK>?io(*U7l8Wx*U_$h41m2`VuEA5 z->FAhXS5e+2IWYNR`I~GXFPq>Qfa$Bc`%~cJTJ<_mPeeiqX9pw)h`<)#5>6adjtug z^-_E_CHD+W228S+dhJlnI)RlFcw8F6wb$-R3wTeq*T*^3w0!@ht$~_l{ubh(j#~0? zVC?}x1Og6(bcQ~B4p7C3Ye;AoN+QThtLo$eXO4N;VMFl=P*$_U<7l>lqwIzMem+s! zy8(gAydFUE;|v-VeC+i|8ba-#sNE7hZZW9)tuNz7qg6C&|1(_NPM0=~^6)ZaFI9q= zfss;ma!E+A?nnKQ9RTnnN=vg%#oak3KzF@HpgwvLj%TkpGAOItIgr6*8ODlBoRW%B z-9Vd7AmcnODlo_Ra~FtWdoup`D{R1n!!jJf`ai3+WuVc%N_BT~*qggp0%blYx$^#Q zUP1vEsQ@zQd(}_EO~pr>);$K&O3g^s!hf)9e50&rE6E#80YuNGR76^B3?q)gXoti# zO{L`WzDexwBPlIXlx5T7;h~di-D^ZTF&acaBvJ3HJ`JAZ9)KMjxipIvLy0DFtV}TRS6vVCIZv$MxsuA{6T%JzyTxu(=MC_;i+Q=_C)zP|ym~M~{?DX9 zvx!Q6&yaR7*qvdHpke7?7H2MursT{na;BMX(UfXVV~=lF&l1|;#oTXo9%eg%7s)Qi zh0!n6&1#L_%^RQoQ|v`bMtjJ_SF8xZ#Fl?&$gdI*#WqEpRSCagecPM%7}TxFYslG_ zZS!g?-Gp?()(dYH`X9L-Vjp+&6~6T|c&k4hALKYmQ=;X`G72p$yxO*VKDq9~>dmDc z@-P@)EdGx##M#m&_>u4>#FAEe`iTk$cn(0zttP8w&dxz6Qoy(ao(JsYZJ~P-3;Nzj zo91XFmW-o&J_?nVH48~GJC~j|i2r4}`QJI}huEwZV^*T4&ei$CO=n9ga>%>T7<+?9 z`D^XD5f%GqAJIy|T9>2d8AZ2hcuA-n@5zJx@OmQsO&Ae#)Yj9_`LSe6sw!%xIi}I9 zQF*ao1|I(E){yKCqScn&>jzO|^YVpHRGSgePi%8!>m2&LsT&Yef#WhLLLT_phDgF0 za{Rl;cYtJ_u-B{^ikeF3>yVNP#kUKX=mS->m0j!nK*OkZd0J8_`NB!H7G(x>x+CS_ znKHsN2N8l9-gDN^^&y*ZaEnwKg5kJ$!VwqGodG!q2%6hLZ-Zad@*A@+z+wt@(%aEL zKH56qOYwr*=cYs#_w%Dn1)e;$Wkp?1_;h1+=QT4>)FvRaq`YkysT}P~k!*WsI!OFN zr$nr~Fjl5$?^}`KR%BKm;fas@FNm~cNKU{}5h#ar1wx~@MHbKK6E6hiqc~uvZU6$P zd@_^E(D#F3N31wULb_j+0Noc?`KkN0V}lhZhNX?^uUMw!{=gaFx98mxd%C==eO@-| z&z!Jcu+Rjfk|)Ees!Lt5<{WC4;nl-Gq@7;eXFvH$`_ZU&IJ_TEm(8@-4-HzrXE7qg zFyv#zKV4Uv;#>s{1m&#c2Le4|&^){-*W~fU}r28_;UG;wVRZn10rIe-mN9 zP~YnPP$Mo=#Hz!uVw)VYM0k^N`67IzGAF|kwU+1#h4(}phq^P9wKOk5Uu}640^!oe zWvTj-do~FCChc5(_KLp}NFTY|_!=x`-CYsSf$B%Q951tmJnB4E${uc1Yce*Eqy*BU znFWXemnBvsW!6MSsaEm(pl=NNp9&I3TIegpED+a!Ip0CdS0X?yF}VCV{k_fVQJPv( z^`*!!u*|keex*$ts{zXOtY6vaf!qBw(nH-Jbm#d;HT@DrA@215h}a+}kjFC4BM~%3 zR)pKl6mX?sdr;yP{ZWMT)F4Lt=0f+`oLw6M#)XHxb_&dK^4d@`ao@*wto};=7H#(p z29`A>!PC$35{MTvJfGc)0STD50`ghGhOr zTE+()nFba5S&MpYNXoKt0*m#+@Oo8U&V=^#c}XtezoeM-%e@%!P$}o$g#@Dw6c92k zdX%6g$Ns4ypeEFY*s!{Iyyy^wXAC40ba|vEptjYr-YagQ8w9mv5WLpP z1OVo}p>>iKp7pnkFaYx6rZ0c5;m@p(BuGONuV|(3Ms;r-rg5yr$1iqkts^X3T~$;=*w>RqOogU#H2sCO#qIva;0^5}nhD$DNy)B;I^vR=?5UixX8?3azW zE|s0dP5jFHNLt}2*+Ie6eeTXZ<<1){VFM+P`&T-us_YxJkz0W8^(WL3NPr6HL{S$= zkrb@q9-^fu>Sk5-=zx4SdfdmdxIcbLh%2hm`I=+(EHIe91OZCeMxW5`l_{>BmBb_k zHoUM-gcE6iZkh1wkea|uPSW%_mHgyZ*uD^`^G-pI*u#`8c)Vj^l1>IDXh%5;QjKTr zDsawLZvyn1E_Y*&A$Rh33u)IdBe+Vz(Yn<+b_W))2DqJ;`xEgbH!JK@wAghNWj6H9 zvNl<@@btR}CtB*j+Liz5w8{pA+sjz73x2>tK$G;^0&F1Fi9Pk#&EPo}cY)a8M7R|) zw{>^GD(t$E)hEYHABJnzlHs!Vkf3PhXu4ROLL~jM*#C>KWvMLU@{7Vot%R`r0(*0A z;RNeyEUN~9P~Tg%7ta-#f=&iyP7ux<2dixuvvN`{Ai!LHXM#nGOIba|#=p5!e%`al zyXt-5!k!H!gXcV`$SsQ}M0*xf(9O0Qr1l))S*hQchwbYQHF2T--XH|vLL~)jFTjKF za@I+@ySB(oi-Nhut?*2l}h6)G<|7=!1_Dp{{u26`@W)fJj$%J$P+4D6(#Wi$OVfiO=cz)s5JRamv1oKA(FsTURo4qD_1RG7X zfdnHNkd(LVzeM{LU3}hlojD-n)$FCo)IQ?^r#Fn>A-h)5lTAL*^}L+FP&>c5Xve@e zQeK}u00VkzAK*kDdvj|NocB_KO!`yxDAeI|=ju95r=e*Iz5{=B8UQL7(>rI!x1JC> zO}k-%pD_LuT==>X9@Dy`P+orKh^<9*GlL#aDL`= znmHUYM&U64I?jvcGWf*))3b&u^VRA+fccMBf_X^1fY!@&xO%E7-8HTLF6ChHDvcmk z8R3(#>R>p}^Nf!-`9j#cL{M|l=PhnMk9^yB{&{kN?Sd&9z9UQr7 z0uYwGBx#U3+O?N0Wku>Q@Z5=f{wTOA@g6PiYy0-!vykZ;Y_Bw@Add1#Yn=bDU!BLEvHs4BiZ%rT8^zw)sIh>xEpKclQjaBWQsU-Gh7#J7 z8aeUm?No5^qUedUHxMe%OqsK2OxX##*EZdtJM2k+*!A!}!V^X_7;M$vQas*1mBMIe z41<3DAOXmayd3S4C?5olKpGX3yem8_(e1JPadwxTW}!T~GZCrRxqXmA1gPp2f|6o6 z+@GQ~Rh9$h1I(7@w8#DNdc|-FZ_lmu{KxJ$$l~x>KHDdIeLSfOJ&;a399bcrQt%^! zACi~;NrXEP#oVs#27gFdjB}?JPmJGODHyp7HP#>%8va*t1@{`m@W<(7JuzibR~f^9 zt#Z~?wV8srv#SgDaK$Pz4gnC4rH z)XC5YC`|Rif0HC^;_`;aSUOh8p0bGjbiNEBQGek zWL9|zDvIOM_-|FUVP!G=$|ZSJ>AmYs*iM!E9pK!^1#$yo%kCVM&ixcf+#>I}Uu{x9 zlgXP`G5wbKU1eUHSvkC_cF*@HST1Ybp5QFQUjXoWDn$WQF&HtMm_$YVwVXE5kWV-6T??K_PX&S1&|BsMU0=JAu#VI8#S}#zbwq4TIWArOVIR(CswnA7y18HHe7Zm zd3fnaUHIJ84x>b2#M>7Hh1HajJf}`j_%H z>z3bMW!=KkJf|{0775?md7Gf&n;uPBR0NX)C<GOsq= z^kWk#+4I7*z$q5LpnJ44q8wRGUC_r=>~!K~u2@>$SxF0gRJo9vr5(9&EdtE8-Yra;_ZPd1Mm?><)%_cCUs~6%+`vi%T!DTZuCZoZ) zU5_bvl}&iuPBfa!v>U?;KA7r$Gy8B}1p!7ei@60(V{?BHFSs46rk?e0_TkKQ>PZIY z+}((N)*aLSSF8B0$ZhH6il0uT!k!6jFYkHV8^TyDH(0vH5(BN|!rA*U)K@HbQGLP; zwhHNs&l7ZdH%W)iI^d_@bSLp`mw94g%j*inlN8P=Dm#`ThH_huJU}CT7OamoIzU@Q!@lRO5A?g)E%z);g-Y)X ze4YnZ*3F4&C})R7#HyV2bygy!IaYCYrKc&ISBR*wC;0e%Z5LP3Y;qyap3xzkbDMsv z<(?OR|M#0o3TU8>7-q8Ai4s{jk7mJZxPmi8Nukz3l-#7~(zuhht8EYRo9I&egVcwr zi;GCWPa&%x#32_%UTh@dg8H1jluj+Gyn*S5-J74Ry+?I8Y~PBvu1o}}b<7+Hr5Z%E zvwX}FtP3!L+E1o<2?7%toekuis6j_dex2$)TtXocu&$Y@2o)4Rytj>Z)w$?bDNLb1=(zZX(o z=V&^uItV2P#Up)0&y-0FWgJJFKNgKCwsB}N7<}mpZuAww{maV8Px0V6s}XK>l!nfH z;s#2z=5v%vlJjH)5~3ch4aeBp2z+&C6gE#zBqTJ?MC2dZRGN!O^gWc1%2`w(M8J*x z>W(bhrI?G~h631UyA<)IVh{KLnp$+{k>Po8wlZQLBq){B+3t%Z5By9{0JUS)_sSg| zv9N$J{6}l}&F7?E|wsN@%BwtHblYd`?RUv3uX_x59wnX%%bFR?G>V>#go~Q z-)(o_Gw9tvKUcmlyds#KjIHox5WCn&XcaE2S*AG2?XjO@+IHLLF|_X>;hpvCwX>$M z6OKR<@v>p}66{*#&Aqfy_I5GJixF zvRCF;jYR6y_`M}l-kKe>l_1&VRAsPLrxLVj8Qcy5;8~Fd9z-X2<)JdKPH(4|0X2Tu za(>8ZlHV7s7~NiO3<9`BQYKm8j1X!+hh%A8%kCozLYx`IMR7%Ip zAJ@BF0ljH<<5qyDvF-N$1IgU{c+Ex9?BU9uMI<3ZK15T~b63=^=|{5- z4BW_Wip|E*o56HTI0svWN%Mp5sqcE=_-b!Uj5-VOnY9&$2%TscEkC-&R-Ta49l>>d$h^CN%(7sZ~J zQ<`qd>;PUy1kfqe_&6%6n7=a_Pst-m^w|hAKomxu`}Ek+nKNJ*4H!zSvuP0Q-tw#M zvq8~cVoGr{b=Yb4F$MGL*gD$3I-wZHJnfvt3hV{0Xk_cpgp{64ND7YseQu1HKR#AU zcfJ+)RG_=IQSmn}Iu&N@5m?*4re@tvdKB`TL3FB*empnz>fDnOUHya#!8)a>d-T42 zlwWRv$+0+xu!IpVVka3~=-_?~XSf{sL2WqK$pxtx3kXtN6fKJ1e2H#aO)fBE%LyUg z|2+Tv<#!tlgm(eHFt=n~m`Dtv9Rm2?>2QCK>O<~Th?5WC@4EK@U0vRl*TJVOTJZlIG&C+gUO6M22H4FIl(?=xtKZilcdhMtj$Rc743Vr)~a>0P-^P zRt8}YnhuIvl>&5P7_Ns$9yXIiH?!ZH5rZSfoG4^(lO_@hI=4k@ShDU)~=< zdwrGczkik~(aEvVxprAB#5YzE&VFhTK}SDRMZos4jv38tEm;&8k4?IK zri<>_M_H5a$#oNV5UYskj6*R=w=mhuHwlAgw8vzPY-MWTk#4cWf~F`RGuXwCmqGgE zJL>Xpp|7<()UdNzQGFVa3IKR?pu&j3pfNE}!;v~(sDZTXTIYZS_eScBMl+fRfEjGD z&qeKn<}23PlSt+hyPg9^i4oV8taJjY1lLId zx5KS=OWNcN{Hx!|9o#jA6!bt6Gb=A^2_|LQI%y^)FkL8XG!_GiBDq5Lo-<6Sf{~{J zqVM>Lxs$^vWAHP=DFsKkglMA zQ0;vitD{R_*wGuX1$vd=u-PaCE_}B)zW>}c1x`poQmP>&8-kdxkanyYqN=XHF-C=U z;3MIQyy=!_#@HHfXj3E3Oml*a7Me7Q+uGftD~jj@*^`s<L_ZA4+_fDaMAAh z$u)1M90*vW;m$lAd54XaD~aM31O4T$w3EZ>kD`6jI*O69)ByU(*K@~J6``L9y&r-} znmds%y6VyFQw1_Iub1o*Atw~=Ey?u|I;RpDgzj(kWlw95h173V1t#4Sn&Cdhc^~*i zOyX!4zvcAGlvF;+Lw$>im6i9G1!<~udq7u`TWIh=ETkpQ8P#f~?kN^jjkbt;9EENU zmD1JlB}jbR_j59b05?6^kj+FejWf%D+@=jzPu}54x>ezBw0(SSQDlU7w2Y zcz~9TZ5V9%<96Gye9gYs@ZE5(Yi28s4$63;I%kCE$nqVL51^^HGT2OYK0rAW5wUE3 z@(s)hi99LKN}+(s^~#55Dt%-@5!DAEPnv8@UWR@Ik0BOSH6f*SMP2a4e6=^d8&ux{ zi@BC&=z;8#LL#O?cYr;i{ZCB15BT-MWpuVM{eS}Fdaen2cw(e z@T4IE)U5Wb-T3P7jl+o(Rf@Q9xm4(b6z3e#>3*7D{7FLO8EpSyA^Gq(y)3{@p5FDp z=ss$C42D5@%IYfeaxZ&uqe^719i!SuM9zhgPGiK?dNl33LoCSE=1XR!{RT{4dtk4MXnX+x1Qi*{pN35HfV$p;mWFbA}8sa;HN0-X*|GL0} zPj{rZ>^TA&1skj;9U9xWYd#_K-@)o3+?CdUth5#SEx;O~7fbCDbI^E3m64t=%^BWf zx0s|~iFc3jH$Ec#fF66K_l?!*+O&akzNZn0+oceQ3e|^S9s&5nY3a1RNBu|@sYFI{ z;*&d-GpK{`8BuIMn(HE)>40jdvdu33G}h(EDEZH9VpD@U;uZe~U@?fPkRHHA5U>&K zFQnNPp=G5j&YysssVByMe;b)}1oy5jWblS`xeV5OxSg~dt5lS;44z@cza^0NwsL{J z-wqN*ZPHp!IcbY9Qnq4l^_1(Bw@39wDm)2nw9Aj#ez|zD;=X<7PM^Y{eVzYO9E3e; zgVid8T1yg}5--3w^y3p#o*D1T=98atD>)HktEXnPor4J&%?i!(Epx(-JUvGMtgc7|W4zz&ph>uaE zpeq1)LeI|coBD6Av46<9RkhY&w!$t;q<;`2xXIO%n)=H2SpW!ap z{>9prHlW@%K=EQ1B_>UNa)?ODRg$Y1ASK!Fdi!cB>PD9u?3fY<3Iy^JW9!IcNa|=G zbHbwK4<2|)T+b7O@n@DutlPv{>*<4-QrwJ3yF>mk$rCL~Sw?`SB()QO>zlqB4V)4~D-w?!WMF=tVe(rkzt8<(D(m`R;_+IMINOhw44?%}7)6Q}n^m~>|E z+3k`}&)aDS#(xHSJ=l)(UI4)av}ZEc-Ib~t-$JN;7_iSQMVh;=^o;4~4nK(BW6rvTwKeSH&`Nn8gNnt0-l;E?`nrh z&+yGyVHGx4ut3AYCdf1n%~*9B{md81&t?JB0BEEbYF3z(oX~9w$uTo#;K&u+g=>e> zqE~*Jb8yq}zg~90JD2sEMe+11!BuTEcqSkp32b=b=w321gzeS5XjWgWeehs%Xtk|r zyJYjm*Kz3hfxl;RE#yCh*UU6Rpl+3FThlUt#t9{J(gWFN`mn$XW4m<9Un)hF*tsm` zZ6!OFo#t+Gg%hxLb|TtsHK~E&VRfN#^aJIJv9iWr{W#x@=Tk95Ii0WN;|LZG{ioh} zFi6Ex#to0FiWt1;#WaU$QvBkjYHwiiQM92cCtiUR$SGWcmXJK~m+{+5NO9+ccd4g>sGc~?{%*4^W+gV#JWg#X6w@?K$0p|yV#^&N5C?`1 zA77&$lt-Kf*#-F}xg|%sb&&o4xO@O@@904bH$o2%1?v2%Es~ zofin+)iryB3ZkHHcFhF_Gp?M!)jrTd=^+_wm+Sp;#H+VhV+os%8}1z1)9$EZghz|! zE3Haq`mRmZ96V~kQk*a@dQm~|m~Pq3ew2ff(p#!qfIx{`+!&L6yoAW>2Ti&O*z@@6 zfadN&+ay@xF&nTfN;GaY{AOyS$ph>FFeEiN>uKtw!NSf*t&Hr-*mXvN{KCEAD3x-LdjnTS-D0m`~xd=k(5>zdvn<9WyivJw1?)%4`%oyk;*(ma)ovYcEtgNox9 zl|!<5I$i?(DV`qnnzdK_W8TY6ysB33^Ya#?aJP(#CSa4QD6Ji`wl2M4iKi~C0^)Jw zWG2+XjSM0rv~kZrMI1r9ISoIgqoi@BKGH#5d){{_tysAK8dMbHHUCYR1c3Ve={@?w zZwKWfZn;_MgwLZ&FdY7LgWNYecRz`h6?ScR9t@I7>|i7^gR`(Sp&Z30>ub2_Jig6* zMvY5S+d^Vj=V9AHE~y0WNo2ROUs*8Z8^EhMOE^00^-7nZIdW7OqlTvpd(rprh^%;cDis-c5&7F++EOHE%wib1{E zGQ4#w6c!?N_B|*W(#N|5D*p$Y@of0$4F<{=${af}*z?o?L8VV17n0N;|49=ago=2s zDT{ClRXE88P2-L3{#kaH6S+D8H}8PVv@x=T zhWM@*)wbe)15XY!7X*rP1zWvZ>V3qbl(lFRCNFyVmmf_mT9_%CevyKL3Bw!awo{N; zo0vl)6&1yJ-k}D@J~)20KwjhxAIS_vB>_BFcIMvgM8l)?F^9OaTqXD0Am}^tZ|z6H zmJ${608q#r+#L)Q6tZZb8pld8Me6#&Y%q#DQbSOOfOAe4tf@&!Y#dAJdJ@#SugkjK zifwoUYVn8gy$8fH&|{d}V_$w&vJQThE-O|a!j*Uja~uBmBv2zX@)A#5JXF*VQGm?? zzfG*y<1b{aXMV7!GmBAB)tnh9#8zYe&{bVdrig^OpN5tP7}V`@$NAHYd`BANk!og$ zspCRKz1%4{Mns!B;u7cCoc14*yTVnX;uDe$gpDu6(K<4-f(Lv8m2p{uY=K_y^^bn+ zJrPin09v=XYFLGm#Bv!mZw)UiA<$LO-+%~BiynBR z$=}>C&xkx+dKl$I4Q7B#;l_fHeSVy4@6#Bh;m8wX3|serm=>al9Z4_QVe*B8wz9A8 z@*Wp5B{(+t7sj{qfJz|nj5AXAro`eR^S{YeTGV6#LQl&!V3_cutskpmB$0!?-s$Z6 z-Okct_g1Jhb}n$(YK^@jLg%gBg`~T_eU{uuA;PCY8v4M-u)CS;A|f}hxcYv#!Nq`hy8CAB8gn;UOd}7bpt{KatZjrY=-@KPuUd&a0AI zYd){NgM%NFngtK4&w1qnf*k~McYQNS0;?4D4~P!uTQphP(RqgU3=@xVTsvdUp0;p( zp-4q&^&aLXY7|hE_hqIwm#R}bgDL%0(7ZZ13{Q3VoNyI+Mivfle@fgG$W!6)uN$Yc zUT&>nw}6^nC}~NC)2*9CV_D?pWWUBG$hNdpFN9M+&fqfg<_Qb2Mta2oDVs~D7;-v1 zG1~IC9+#e2VbHdcVD0;N=9p+%b*@AWzY?q>GC9e=2j7_hE`8K!A4SZZW~B_k?xdbC z3+51u9FGQ%g)R;BW*g z*O<>m*rES7Lx>;eL1V_1Df{cbOHBRCw%QVX!OdVITAi(9Kd^|DvEa1m7@y zw*O~IACT;5acV!7*A;0l41}II5|UqkoQYCTCBAHIw{}3XY30{m^z(gL2v-jUx={%% zSGY~!y6zuLl&2>ZESI$L{gG zOy#FIWh@2$L?u%gJHptd3{+aeNxQTxwt6u??nlzR)y@nFw?{Nn1N1y=+Iwri?2_Ar z46jaAK+)UVWZqf4sV%6t)u3Ag@#rScC%4BFJr@r-pmzZFMM!Xk0OuuzD{=wN$mr?# z12u}1!b9^I01#>(4H-^D4(&yGac1k6#P0>+KLuBaQ9d}-WU)MQpcHvY1sq`*RMQ@Q zCREw*B#Iff)V~Y{2wwqn2z6G`eXU{5y5f*Wi8rio!=HtXs`};5DuoHJ!jxv-+ zO2^v#Z&G66vkMV_zh%{z<@rg3f$S@B3Nw%*!KC3`L9RQvimh}Nv1JM2f;i35NuXn}^}Yd=s@ zY6%bhO-lGspl(6hUlT!Qq@+h1$b7+Deg1O%cSJQ<+ps7dBB3T=KXOHEOxr&W`<{8; z93$;{3B)2$B0f{wgM^1l(n*n_UO+`-|y;kq&!D!UMxX8b&L1R$W!WB zQPE?^1i1ZJ0A#I4Fy)xglFk~ShZ61(ysuXK=Mb@YJrGf<4|C$ndckr|RzZo@11pHB75iuDZ{F@M)If@)%AdvbgtAHUQ`c==B#8 zF%7O6&KDcH4c^X7hl&zVsPlFP)pFZNXEUWRDD5pKY*VNkv5WsJcQWTHJ`7|Ah$qMk zApwz|FwI6*lJl#5=yx#t!Eo9+u3!Hi0TE0UF9O%Ro}EJmDr)k`^n6L(L!^4diC)QA zvQmsb>1^pH&mK2w+;cLAJR5OBp>2{+ln*ND9KmbaV(El_L!#4T+nNdOixmG#qUqUe zUzfpOzW&fADb2XteO~+|l)xWoXxWOQ632@~=HWlaZFkSb_OLq}STA^R$t(gNyKPO% zbeZ0rGr~a{u)FuIjJ7@KjR1+MgSQ2ib;W^km1CLuSyEvJhlPT`*awdG9iL1$pqWR< z+?jt7NZNb6J^OR;B#rEVCoWSUt`cIrdfz`s6kD;{CiGN!)*tOg{BrJEx9DJB)0cd* ze<`f6H#?dfAYJpqh6ax0O~ygUh74eA?AK~@gVA1)eH;b}6?c3}0EK{dKv$ zLm=t`K9vsq`BrH}Elrng7RkE{>MudSL5M&-&6*ok!^11Wm(PHBMLP!nv!Sq<0sNd` z3K@8p5JamrziuG0m^(JOcKXW_d&23?{VK}>YW&o}RGxeGxaNE7fi*Q6LEESxJ*fG* z4nkJ<<+NeW@v2kOZiy6o>l*V_TD?wkq2n~a*t0k?Z4{LvX{HQe6-4#e3jYV~Y^qaj z$yPD0#8roe{jAf%r@Dsz)AwdD^QTT2p8q|^rFmpD_mv8P;>MtC^ zG-%$S4jUUPS7=g^0TgBwj8>RyQbIKhl09&?eeBFeE;(& zWekGoYD}{y8EC!9UEpvWY2my1?^@>IdNCZTp-EzH823T~*FspbZN9Ggr>nm!0yERs zN&Wlkazclu$ZVJ+-^w7MddIg%k-|Y_Vp9)fRm399Y&9~u=b7%yegb`sVE96CJLE~< zq}|E#0vkY3-wS5r0wloe??q0Cry=7rz-sHQdn<65WxWk((u#)t#s*Sq6ss5{j$kVc z1lrMG_sjM+lyybdLq#=5LysfvcX1ZDnODfk<2*_0L25dWT6t#}YX(#6c8_z#Hx zVzc|+!^0^>tvyVOc|@GnYbhv`6W^Jv0UriVkX?`mc%;>J3RTMynWZg?9=NT=TmoB( ziC~+=fM1P%d`qQn@QV6SDCEU`UCyyU3w7XS^Oj8cdZ&1qqca@d%Nf+^_OFb zHWqiFzsUW)Ro1!eX$e^?OR5`O5X=~RYnhXWU5?RU<1R$T(DyxKPX}qqsuWX7{G-x* zWE3t_k@N0C=XV9TpM*>seTM<7RqYuL4`5V#en(TyzG$}F5iARz|MhqLJ&m!Ht++}! zU);uqD|zlt(vb3@%!AM(xLV9aMHpG+eGrwjF;y4dU#a{bSX1hVl}358FB~}|+EDx0 zXN{xcX&ectH^Zz3qH;j~Nri&)2!g~FYk9;*t)Y0BZbRNORqUEGN^atBLfJCc4BR2j zCaZpQ<{F8_3T)p!oG4-s!6U5GgAyngn7b9zS%&2GYM0+fBE7PS;GJCq(L>pxI?QA7^!#fi~?sfeuB9GTo z`o-G#QDJ6rt5uSC)9%n8y}Av5cLH%R6SN$g?Fa!N!9G5Q67jm`@Ge5)uXv}}sADl| z(b{+0iL;16XOGWP_vtXpHPg!PoCsioBfzv#ROijjwleyv__ZJqUe-r%* zDr2VgIyFzIN0hX8h=z#|xY(qiJ{N59oQj#jz>hX8x$@Sx6ik^0CKj6U)11RdDbb(;Rt!Cmb))r^0=rMRe zx-e1K5J$*uFNHX#$)c)oqZhwP4&Ej2VCznXywpOYaFUG*7&r(v>@x`25@UdsdvJ`vj z;t|M1>mGi;9M02lBex)12z3GB-F^c-_=G62tXgVCJ8tYk?rAB+sufUajQahOA@3Xd zzeuY*!l%%_20dnbNjh}k?-5RP2Dq}M4KsY4J6J8wGNCsi_Oi}6GBG(y@+w;Z^8OTawl=@Y z=UsaLMTwkSTG=iZI>Me^-VU6*Q&$SZ%Z}cdkfco9XX+sF7GRj>#&rl#(g?h|F&fHD z<9SN*0gWck2&1I)t4$+&fzgz(-1k&2rs{Phy)Cd7=T4S|v}Z{ZHR^+`Z8Hw#w~eW38lqfhB^mG|YUPN4K^v`3x-8-k@q8 zux6W_EJPv$9T2iDEYT(5_L+h|vj_}vUR9Sjx5_o2osTuAseq(-$<_B;|Nc2(Y7hAL zV=j6Mz%MD_1El-6OcP3!s~4gAEI0#lruxNwW}xhCSisz;BxgeiR!`M$tQT(-B2qbL zB#A%i0^e?8LMkf|?EcMNUNKdQMxCFqX1MO?Xi+UXiXVEM%Rv86TC<3Rsf&^FU55Sk z?zBa~QMmXIsvSMK6$=omE8B!@EOjSMRUISru(K5+%8fHt;&=lk+*joMi zEa`lksFOLHDS;fZ(01kRbZTt-RvH(X$H-WnQ?v9Y?PK<}{9gZ>DjI#k^Uv7om=8gk zNe!ss{8I~O6bKhOt21#}Ynz6^7Pr;NT12aSHBhpj7kOSjT{3V?xrNcIwHKE)P2;bz zjDr37IQs9>InX@$AukIdHV^%MUV%rSIGTfQgUR@UNZI{~&oBk1-VtEWfgQE^a>cTx zJ!M^6&KF^e+(ov=GA4KGqD6E7LjCB=78t2$IgvPFaE};A>)ApFnc+(n2LXGGDB)pT zu_o}YO`ZBB<4*$KQ>CD~xfu^~D01*Pcu5c&jKEYFK1x(-R)1x3#u`4C^p}Yh*(~aT zW+^dE0M5%bV{&$P+0Y)M>vFqj-~Nt|;GFuubpK^g155iQkb<%)p9cqu;?xzzdMyPg7nUeTR1x#mo{lP(tDi7*R*{&3Uy%)B7HWCAi+|@wm z$Av0pKw=ZB^dpn(M346SUhkBNTnRdEilxW2ri$FXflXPeJ!8<+k`6 zk<-WP+cYY#i*R*^yNooqua?_(<5h+*+^!y_nVj3whERX6`x~)HiJ zOKd5{UT)mnV&t{Q40ncC|3u-)Kh=x)K6xy=FR*9jI~R?X9@wTa8DM`LZ+8p#GqiF2 zkQ9wF3n^RXha9fUz7eTKMc{m5YN-4>oC@UgFhz2xv#@yY( zP8`LKMxLcKWSdW8$kiOjK$P}w{PnSq!&wisDZYKx zXmD)nbj`IIU&cm_XZVD}+w@?e6*<@BPfyhUy4oJyd-(oCf_ehuF&$Xe0$Mc&YkPlO zt>`spdA(0EH13=P?MPsounc$_hHj`c-^_(uAq9896z@TL9&zy_;hY77NP-P9OwdP$s(uxRsB;;1oJ@pS+FMt`$3kl2#Y!U?! zQ}VwKZ|In_9?2N=~LpQgD$cIx1y4EPV8-WtWZ zmkT4QN~pi$xg-cZ24Di3FMYj(+ z#tfP7-3{RF!72`c$HRDZ=iF1=-?GZe3}SBlq7{5#H9kGZUTqvdAQJZhNXBCs!`|}& zP6J5j&hziMl`_^qm(|-utW38?R35jD(+0v65}qZ4xhIc}$`m zw(>UOFb(RKbPWh%zr>gv2Td3PNo@Ud>dMi+j`09g5?64VFE;hfk&TfE*6st61f~=} zx!k=V;eb;44pz|fW2jPjFb@+^$n;mHIaakB$uuLFp}q6&pS8$M8z>$M{dwCpq4A>M zoLR&xuksAnz)sJEJsk32cwZN}<@WdkJsZ86SQhAt{Ce-?Y|U5Qn&M!|YG5rl)XOKy z-~s6Rf#A9~IFZ~?v2yzIvHeeM->XJamd%^4wJ?;2RC_+zI;H?mE^U7iriH=x9mW-h zU17t-(H$9vAqDOq`tdT(l`fseYXgtIG)8<>aL@Urxx`wjWoFhgDj$}eqq))uV1qv} z3)12OxuZUyCc7ns@k4GO0wlYzvzobILK~N)B_Q&Z^nBBs!k|IQdpH8#PnGW}fzs`T z!#1%!m*qZdq|l*hqSFm(yng|?wS39gldbcC+_^hcT2=k9PJqT((&DhE=B_Eob#zW! z;3LK;;VJM(_bF^K)?JPFHqH3Dso-Oy^HKdwJT4mKt~TqWre`o;DDsojjmo%XLD@bj zn{#LRl(;mb_$q!y4^!3{7FiY3lH!-G^)i+e6Qd5P3(;6`z9RaEkM3!wTFze!y`1r` zD$l#G*EJRQ)&VIz*274i=aQaJ(O^%SeRStdvo2~Ne@WPB&%5le9znC%X@NR$6y15! zR8%IN3CpPQxQMnMnaqhdTal8n2jty>yA@_ft?Mo z?*MjixyLSo6J-|7;o!{D7Qr2xq%%kTRq&n36Q&6pyK}4{^|6Z&(8^~(Ua=gq*$I7i zu6TASd^UKk?IdlE8e}MthWy}8Ou;W{wF1_Yn3BAjwLbtX2?GOsD5k? z0P^WA>?ly>J8*}G_@zI6I6G-|-Hep9u>!DZkBdMtvAP`c**}bDu(U?t~VlsEuGJ zP;M}Eeo1lKET)?7=caEXsY_uzUgr*$?bNLqaCg@nrocNSWv_v@*BC1?x*U9|{zyFD zb>xsrf3ai}c}0kf!#0Vu+uiR_P5*_QJ6z~5HNyuMZ41DeLK20)Sam$9ABk7|x>my` z(UA{u_w9V)L1hlBohLI(hJ&Czz5hDN)8JI{-Agxq)+7;N&~^!wQQb;d`NRv1O}?YN zc2U`;=ES!)^u`Y>Ua9^TaRsdlts-bE9*73N=A4`mTXtVUa$#0G>$qN94DntH2CRM0 zSB;+hTpn$9c)YeSkha)hNaFbG{Tbz7pD^BFTf)fUDdvcgl^yBef4EB@(tI1u4vgz@ zvbIO}qTru2l+;BOS7ZfXO+QHW`T!Ga5@l05!wA{j8esSIO4cBjx4KOEAkSFuP6;+7 zUptW(wQ9jJc#45!)kftRr*rIZRT={3cP>Ey8;E-0MZFpUErHDWChs{=WL2r{+KIcm zT7659<;ehR86tS>Ow=vdk|{6U9=6%JRX^!sTDdw2^y+wwJY=n7f5UU#tntnpAPAel zs;xQmGcggDFZNIvewAs??hiW}9(MJ~O(W(CW6}|{6qyb!>`<;;73e$H*lvYnk>H!)W~dgUVMiAw#u(qcM-j@8L`Hbcsep27 z1cy{;n`{bY`QC;$401MsM4b4T4=%y1i5=XVWeABAjw;pFlXUR>5a|o&yYeS>56>J= zP@Wuxei)zf**YKZ4@WMg42Nma41hKJruc27H=|^@HEQ?E6#`#>u?7D7FK zu$1sD=-uuGl1bY^n&f&Qz$3sk7Mx9(WgH^vNM_o{RNS=Tl!zlA&eTUhfsWfMp1;*T z(2(T?8;eey#5>6**?b{UF|BN&NS;tAh@3(5P18?iCq=9qoi$-bGqj355L*9;IIF= zN|gngSCb!RE{6snu9RO{57t935fFWAtwP?VYit5F_vkqAscL3jar z+{M8k%dmwWbw?>o*Ai&S#1I8;dXSZ{N1?;D=%h~YSlJcInB$sX9eUPyP2I#td`nP4 zn`Jy>Tf0&f-7Q90D1SUPuE*Nyvo^MyE-9=Q zv|p<4hJ8y4GJTXepE;X&fv!kwI= zoYJGc`_Rav@1Hj;I8{jGbBj;sDr?#&JFN`;(irth?hZzp?Jj2l5tdF}FgymMIU`f0 zk)h9!!!vYl3So=K#`xe1DsF#zr764AEZ#G9F^5pW-P?2CZEeH0*#3I$-u2_3 zGt_(HkbIzjA8_0=>F3z4Y6z08hejYg3Y8FMOmx|P$SOl1ouMO0$ixC#(GJlBwJ#eV ze1L=AbWcKLLy7>3}Kb4b&|sMRe4Wti6mZS#RawWtgFRE+n zC0pZbSZqW0Cg~?e+4bi3AS(#8B?-5w)ypXyDm8p<80}^o{=Z0@hVw326)26dv%m-ou8hmoBf;&N-O9{myIQ&D3p3pn>dOwN? z398Q9fjiOU)Y-oALN$6h*rXl-3DO2$Q7drDWmA5{f~TY!hS7pzODLD>v^!r zK23vUcz}bbAQ&Y%%(kEA+9nOwMxW!fSf6e)IMA__g5Ut#?H3E-+&|4khmcSl%5)SE zI!>p?^(WX9jSxrz@)E9a!h_@Kd$UpAm&~=^5To>dn-#-3+)J@vKnLC`oQ(YSo?RK4 zZsthZVCm%HIf=Gl!nvZKqpLY`5qZD|i1Poa5yn%IZKNxMakfI|=xvFfjVi>;*8$uD4i(F0{tK}HbjkzByUPY~-f$w&3 zB2!9p)~K5{4m{@DY28b$q7$@PE=n@)vC%m6+PA3MdQJS`zsaZ=^1KcA%WXnAz%{5t z%$4tEAq_w8RsQE(BpV#-dBmJJvu`wF-wUrJdn+MoYy|{z?z(#)k~7*yso{ z3-Qw#Of)sqYB+lQp7p6EbsAzCfCz<%;&8jU=u*;!XE!(BrrW<`WsP@i-o9jMOzW4HoRf_5>ebaaGoXyl@%9p> z+W_E^z1iIH)%MBhCtjHO{?TLR11eEDJ3q7j%*<&IJzzU*M^~7M{0hwkR4(A$iAdPxb-Mb8Nw!Z>y&({pj*}SgCe;`C zFM>&L`gBv_z-!2z3fPZ*ng$8ec2G*jFvS>n_k?@xsrPcTb}m6gx=|L~HPEu}K1P|N z45u7D+nX(659_J=H|XXEx&?9@{89S!nf18A5B+wxpt%9$B^I|dsC&hW6nj#}%f2m6 z<5L!^&+so4p|mNAv|EShkZgbRb@lg0x^oWV2qeqw(D~GkV>ww56rl-f!tdyxfO{1W zFbN$5ncLgc%=uTk1EG|UD`2$Yue%(NvQ%YK0$e2j;7@92%augHd`h7{PTGv(GZ==K zePz~ z#VD1bNBSRHBEhsCa={WqFL*GeTw|7*A>w2(M-RA__V=XoweY$hs%RhROdV#|SsS^! zyRt2Lmx07QaF!=(Hpp`SzUZpEV!Ny%^IzjuA&>UyH}o%w>hBWCYVG*6VnZ3%qDc%a zeg^lhAT3Eg1m=E((_^zlCw?VGhq;pmPIO?!_Y^P?)`Q(_3#od3B=iH?2;UlKQs5-n z8BzcYqf{I1fc*1o6E?YxXDiEk^d9iuHLXn>G@S61=lt0XSCwEGzASp>D}Es;jB3nT zQ8YaHd54gRyi!G7ySIKmQu^{3pDlNW6+KaIXDuMhO!~IF~y72jP>Xmc) zPy1SC-GdnWFsP}CNLb)~KofC$@9Qbz#&CI^=eTjm1-ge^D++3@FYt8{e;iWv%F{1! znX{2dfjt2*#N51K@GoK;y4eg1Gw-q@WC$*9{lF-g84@Ic`s05a4-PGvjy3SVB$>5# z$tNXN-c-JIRE!~IEetITD4i^8dO%GacOc9(3UdonR9ZiguHRlc8+G9o( z7+!O&_sy5k{5)$$kTIJP)6k)j9ZtZ6;O4Ro1qdbou*U0ZH~(1zjNSFLi2EWZPA~%v zRh6idB z2F3lThKKnB0ju+>?lh93!$RD#YOfcDks7kSvd8c1`%U>E?nKNQe~rBZkS0ynzuDb) zW7@WD+qP}Hr)}G|?P=S#J#8D))3&ysePiR>@7dTl{#lXtT@_Jz#W|6uG9$7o&#xTz zgAJ{=CGyW1?;Ox1RwUH}UHQ*Q$j2$86lLaY#N5lDxA`kCj>O?K>seDi$zuYd82T;9 zZGV*_XdyEMh~VKhkLL5pFel*#E?^oWfTZEv(3NbFC5Anx8=EYu9N(4jBF)c)I^>}4 z`^06>fL0|^Ke|(VYoD{0Pvjayr6sY{#S{<$J4S?}tL*F7sV4f$Fm)qdduviZIwE;O zYTX3%5Tf!(E`ziXh)`>M0)#O9aMc`#cKE|`-pMDX9}QcmRaEDxbMKB*xk^*}X^RU& z$^;24YhKG=;GhT-W%)UwQToZh)A*+Cg*e@4tkfl&Pm3D<(BZ3g{tBGttL6dX2~*qE z%=L|`ttlP!C^Shz)KK(?oB@YCfAy9WO&GWfh-l;TZADrg7!eoT&`S{JKzyWDP0GBW zC()Ye`=Z+Fuqj?ETI`=?a9}+e1n%fMM@0z>c06jjf8EIACXB7<2Hk4k1Q`RFQ-dwJ zBsl@uC}EG-(weceo^Q3V1bfUopGT^;NZYk@L$5salln!sLAgGvAj?=~wSOPINMC6N z_M6|iPPypC8s%X?fSFv#Cj#GvAElEVkXLHJ&Fjc1G#n-KEim&Klz*S8W?)*ooFz-s zWn@-I&GojHBX2D~7e@bpkF8w*lQW);NGnBm+s|O+XQ4u4Qy*X3V4%Zf825a!8%YEs zXJ-q-xu3io5RQ)zvRj-<0q#k{L8s|eA6R|E%}k~_KKS>xiy~)rIhR`gq9iesnaF)s zMPOYqFr24`HeP#bN`qYSH&bQrMB)BCl?&+)zZMkABB=rM>yMFsvw;(;@%@{y zux+LI^h}TL@GZ!5&Q;XzA3w((G$c9V06bWzCjG!g<3jZ`nB|RBqd(bNHAkZSM`>N)hfXQ2g(-Pwd{jrFV^!Hq9!1mOD5pSo{t`&iz&;r;0;0 zdsvNGtMs5W!B?Qn{G;uK&Ec2g!kx#of_LHt94GFB^p9M4U!s}@54Ooe?fb!WDBymL zY|OFY)&rekipujmCbmp^fgz6i`=z{xDpT?4hh3w7f`6H>kBYl3-Jhfxu^`$KX$@?i z!l^!I!Ct+8#hVFLTh@Jw&T$9UYF#FcAq*2COgE{wXo*|43}I`*i1;PBVhKy_z(ww| z8w$9aFoHt;Z0)yL`cw8i$h5QN+Pp7OCZsH3rpFBxUww3CX6YQI^wgZj0Lfn^4c@(G zT5DptZ9F%@6{>TX9-9+@>zt%=$FR~IiS!8+ZXjER0C>V{h%K1|HNx`_sJ3ru1<(z) zoxnfml6X@I8JTo7FuZ0V1uy?4XynoHOppS^_5^i)I9^K3glJWSH0&C- z+XL>;x-iFrT#XA7&JK&(Q7;?8Bs|#`dU%0TI)nFvXWLtZ1qRJ+3e3DOM8u9Z4A5`y zi%KcH(E)}vE+a!^Hm1{#SyAbSkIq6(bZn_XDOSCJ&>0H+If@CdaCxMu7V`xka3ukP zBG5pt&rgB%mOO810oK*XA1lv9`u@y`Tx~;~c9S?cDuAfgMOb-cW z{f1n1V9d)mwU9dp{H_E68@{Qp#$DQr9DlWB?3rO{wN z$(S@`@*_qBC`$8t5>{-#S5Pz9Zj_O_CL4FDDSDQE-*>SCRIpI88VYjG1&(-9P*!$C zEwUFvu5hbx8nl@>Gc5FMrC+$Z!G!1mvoiU9zXAD9Bn~z(2C5}3;V2Q~Kpe^!^Dn9u z`3Hp)iaBiPLI8-nuvQ0U!*Tc=Op+%WhzNiMjQLdx@5x(*FG`1q9^VXbTrg|ggSYIr zPVeT=0`mQ!p9*@A@{~yX+xmbTGF`aq_`L-L-mN3lomUHxbV=qF;0A4*{s*K9+R9f^M$`5$hRPMyWY^M)=DP^%mF@mS3C zF>>uZ*eUebF8l2@bO*ACr^v6Jo7m0lrm%Z)kQU38=#BE%U@Ohr_I4bSuz8|iH#|!Z zoy|4fF~medsmT?y+zU?F8~kZ;fpr4qp5BV`zCett7%a^Io4<*n*FG`mnO}Uqk`JQc z+;?_DhRtn}i}<-mT=nJ&2o4HzdD&G?7}_d>4TC+l*gE97o1R z-Yx~s004EPTgi=lb_$|fdqKw>5|Pn{h{TWcvBXKYIM*)Sa1#`I7BZmYU^1=5-(j;r zCO`#-pH{kgce9c29-0g~NE7V{(X{y}JuSJpvSfnoYUSnrI&Y&@=nP9GUo|YrgKwq~ zvE_(U?JPl*od6kbe{j*C?u(fx8=`@Gg6JDVuI8dsx{Qn{Kj4TnD+QZ+R*77X;35JkaDrBVW2d+dv%!5<5OJKD^~x_=M=a)`#b4mCYNcRPquKb7Kjip$Ne_YifociXV54F;|)Tnf46yLKM`X5c;P zS$~0qGn0ca(PWaaU<$nSXuYP$)PCiiD<V=a$~|NqWAE z1O1Z{e_7=>>@hLX3M+R8NZKE~xKNo+Sv8FJmE97N$3>6C0$%w6B4O~g?q7cmPp|82 z6tLGktm=@x7u2%N;p&&;n?n`J`m9@0QUph>vD<|B;|R~=^X4>=)WOX`q?z~l93sxJ zRnG3A`S@RtpfrY|Oc+S3QC z+{dkb+5%AUXX{+8WJB>sISri5KAXsmjUZ1_3yXBY8zX379GM5u%@2>Z1oy~%*gx&q zcqrq_pX#|8!xm;HKQmpEl{`Wh?qcb1r+TQ&BB-pBb?EhT$kUI&!CCe0W&>P%w}Cius?Dl==Z2bhBfo?>@+mmGjD#~?gLaH=r_7C z{^TfIu$*6bm0lg#Uj6t=4Ev2P>Q7I{nh?(QIJ2*JHO-VIuMFMf?URSvun4P-*H5%P zA2ULVOG&;17{XF9{lHf{y{_`(BFlXiTJZbza>_!WYmIZH5_N;vyM|fc?2uVvH#539e zNZB!_!K<#F~l4N?=Zf~w#0bTqQJodGgX5+ztAZ05rJGW{uoaI-Z z&sod$v~D>PZ9nv{u(|*pt46mpP|`j{wgD{cr0?w5z+5(6E78$T%-+^b7V(aHQGvCy z(&%!(Y-}AIj*oHVXW;Y%FPslz6Nh#!qE?W&LP5B@v+%T$#{$Gy5~H{syR7+v$$s(a zfKNw;`-BX+D8mNm{@y9vxcG$#j2K|ZT+SgHI{0z=C4U9C$r}GB*nyRCLi^5-jO4J& zE+tV|gh;WK^5NE@(EusW`>>!ZTK=^zH~Wy$RR%k6C6JFNVZCP+gB6Ju&3%Y`S9ove zdK*z_!l0444v%VzeEQhdIwTEvtqYHV6)71hg-buWv7J)QDli|vu0pn(-*E45qC!-h zm(Z11pxDZYiRGRDMv?|<+!Sk&YAjaScqCof>Wuem2K9Wq)h8-#4>s{30$_eYHy>T5 z(}ulm5BYkwF(&@+#w-Lba?%XpURMlER)I+XJoQ-QK0zaX0nFbG&f$DX_ScHvk+*r3 zxkN9)`r;_pcNzhQRWsYyX_&zj3VMUQ6~b87!+I(&!!YJw%fb2?Ti_}Ta53Wdvk0T^mUjmXr4~v zHel==_W zn6)qs$5~rgr!Uwnqrs)xp@!megei9!rzwsYmQWO#BS5QW#igg&=|^rd5 zi{T;(Y|LHSMevwo604RonTaYEwVc^|X3SF(uAwHD%Lk0U{KiU$ghX*BunW@yPwEZE zN7yscN?H#K5HG?~aWk2dO%r_s-$eic`)T`YoQeK743YMc7DXXmjI0j?$8L{{Mdo?O zD0biHHnD<`+kuJSl9+)jR;5AQ3$vKim!N9nMDEbyCeLJ7&7pXiy5F$=vYz1|ghaY# z;^ufF=Urw2cH-WQK9)TZkpo2}t`hMhX8)Q3Q%zJ}yElnMh{F6HHi&`j+g>@@GUf|Ntgqx{ z<1mX@u&4f2!sWeSjrv90?*See(D9g~$&dZasH1$B?L@2b5-w#kvXNS3Dm#oKXNjI) zoi5t!(j>G%{Ku5iOR0YZ;K)Lr*^HPhY=ynd>hzL>MOHx>S(5nTLXe3e4?6=0z{_a{ z(py6&N-PX2@&K+SsV|Zh*+e{sFo@#MOV`y>ag2C*eJrr@N+sG>$u$X7>P0m!CyDIE zkZR}}$nCAht`E57D}Lev!rTlPIk-g&NQ_4lf-2ke+8xbHktgQ%yJrJeaubkdS?*_* z93r*{z#3Zy1ZmO9zuEeJ*jOrW+0~?8jS0l@y(a~hab4Zg;F~0YT}LwObdPYUcG9ql z1!vhUW5vM>ZtA`$79AAQ81KrxTM!XnzbCNZuh_mroG|XVM8F;y;MEB8Boe}xylrY1 z$~M{FGz;m*@8o$p`Z0wGMt|@@;6d#Tab)<$Y3W=+>1LBzCOn)ft=b-^#&g32h8K(EsXlfL2Jz`TeZEluGgYkXiH2SxW`GUQy9C!bpFn ztD%j>nsVSF!g4*RSP*eQFfb5szOeE&qsB7=-Tz7rJ`vYyR%CI76!g)>_VgVW< zkwCPAfT&Bq3YYM%Yc@YR(*nx`59c3_Sp^>u3(klaSS&zWcJd!JkCx`Fq%Rq7#qJ9f z*=gG=ffl$E;mx?-#yFzWiYxti@De!FPZ$zJci^xR(RxfhBAwE8Y)5nPq>E$UoNzAj=*1<1sO zi*rS8KMB#eRK6PZ+rEC6-IRQM-M?&v(db8GiBQMWz7q6uLuMH?OF(Q#lgwE0h+;yi zneS1tzNk_AtLenoCDgQU@naB#9AWkVl+nAm_{sS?h(k#3M~_9@>>#yz_~aucxS+4L z#KX&Ov|%Q0+D6n*&zzZq#>R_EaRnvTU4OF5Y%EJrf>^5I0K(vqz(|s#&n~D>=d!6f zflhT$H1#$A)(scO(6e5oenJ|@pP#?d1ugIyeHA@gXdda!QmG>06txq336KawwWN@1 zup3yBsF!35nVEj3UR%WvdnQg0Y0sdM#Ah5!bSoIyp?y z1s3px*ltY3G?}v_fcV7!ksq71<>^e49(Bui%jV>4B z1OEW|n{WJp&|FkDdM9pYqkn)ex#lMXNoNVtBLio}@7`lYJ>(7c)Yhk$E3)vURY4L;^i3m zD1*?aP=zccqAJH;Wy4C)dMOW^WJs55D8qaJAALKSJN1L8^b@Ns(FO-=y7E}yJmjy6%z*qm6vbbDD7=@j&lQZZ4?@hFdY7)ryDF`q%eae+{5m{ne~V zaS&~=Prb2{%h$@UBQFb9dvv6#Tsx(O z&5XF|zwS8uh{Wtn&dBT%bm59=yHUFBz(R%(y@55Ca=6AKmXUIAtV=K&J<9O_!lu~< z)@r1BA~qL-Xs)Zs%4jpGC(wS%LA?WPOI&L<6q{&A0$+W4|9q)0>V|6>Q- z!<5}c%p5yRRT`@wUJ$tgZWzx7N%fgk_t@c0w8!S|qnNmrG!L9Xe&`q;B|>_H*OW_F`fhHkRtA zxFVc{4ij^Tvt{%-c_|8eX*$iKzU3EX1n@2ziQ#+Bh9OcMk~G26uC5$k6?O5G2#|?< zN}j8a>0?wGd97$S{8%NT-k8;X?T|>8<)2mfU%9@51m{RRD4!Zni^pBoY>C$sqRH7Fb9u%e~2?O>0CW#w~U|fz{bNh%c-MaTo zsPRqu&la!c3yph=K!5+`8LLAU+%94&%i-u9#5B0xTj$7Z1<~|6_!E{jMdVR|mt$yy z&(eU4D&sB->k;TpHF{advJ&NxH^3qC_l!YGVd-xdtJq$GZFUQ*P;BSdBBnIn=PV(>1s`8H*+{lbfzr*1`w6P0QpKpk|x z9ll=cOsn4+5I;0#KG+`~j>MU2oi(se9YPOb2|B$fUJ&k(+QX2)ce?m!J0pAf%`z@n z=fm1vH3!xw02k0cx#&c4i)C(RkT}nL1w4}ZPPN92>|smy9?R&8eKtKS;^wAv!zmN% z-n04*?YDI0BKEBc^BGwb)CM+jxRRB!c`hw{;Gf%yv9m8i(i1!N^MOC6UZdLMtrN5U zw58KiZQ=7zRNFB=UU^*wy5GX|mVOEyY5$7kx3NpM_x_!WA-azPeuZUHdu#!tgod=)$UI+Cl_X4G(oZfJ`uvNh6!C zsq%tjf1%DE(w3B`3hHAH|U6`QANlp(n-BbXgEdaBP*y< zU_c*nHE9nutHmJl2*ryQ^k@-H<~(E((bOr*c!TWgX@7*NDTj>GB}AWW24c2ud$oB~ zBydGTZx^Q7!C#E1_A~G+uA!R^b$DKCq1e%+38+Ah*pz z*0P-N*7LJU2we+{pd+X6O_?tV;MxPVVsywsk^_>Kq zCpMb_)9{xZ2%-!Uu9)$Q)2GHfo(or_17_A*KSCR|yOgv~hZ~cuC8YckTk=K%MRv*E?R&i9qnKdihz9i!{q+&IUMiTT zkpmlwGXTz7QLlE?9RgLSaer|PTD8!@FHzMiH%@Ad67U)iv-MM}SB>ak*JU!#dsR5Ys|7r>*!D&^PQE!<+2)vvo}?HCF=Rd9*D_+^|qci0EU|^)!&+)}t<;tc-;Yp6jjfcP|d* zT@b(I`YYAPo*rhO`hJ6y&Qxq5jLL!J*$O2dD8+BXAP-%1fSXI@&vF=d z>>}Uyn!2jqG37GM-L-$_=cGk%Oc2Ci%iYt9!j9zo3mdOQL54;oB*z{?Pwa6+HT@1c-T3tQ@tWl_XM1F&w+#4bc1`K}29-AS&i*1Kbo7MeGloH}Vsz?q(;XjZ0`w>oX|L zo6Tnms)gOoJsr?= z?gR#$0+k}59K*#~|8A8DP5@}XC^>1`kmQuaJ~BS=Ao_}Nl()by(n-@%!Hx7Bu#KC2 z9?WNvy+p%5-HtGr`Naz-L0W2HOZBy>G^VWL7F^_s(USvgbZX?Euw@(=E4g9DibwHh z;c~3B0M4048S+MGlUS((vSTWpJ4Q7OnBLlh$5=t#b%x5^-fhQZ%t1(Vix%kXJBb8^ z>U`o#1APzNo8M=SR5E)~uuF&vDdBKy+lE&aXz6yby9KBO25%@id<{*!vMMlT z+d|`t09roqd<7bMgZ5v%fO>gghPUG#RgJJ3FHt^+o#!4>X(hEum*d)Y%H$O%LT0+xS+)oN+0DGD*|z->7>;6 zKpaX_C%9m{ZGYzh*@0|Y?hWD%X%*}ew6WwvI?Nw2WS{2LyIKf!7fJS>{EFM7CB>5h zb>&vb&5SZjf$#*Y#$NLwlwL1XFDmyH#SBe19^=S9hqe%V1O z5^T_rS0SggNYB-%&UVOF%C0vh?h}{Bqp152-sqb41Lf?5yZ0=&&xNt-TdRb?KkX87 zV#nSgya>;9MdSO6>&8?`@?sbW1s8*`)aHts#A$tTXL=Y`dZjk`-9m+rpKDift~fUF z*`jLNCDMDJru+36cvNdX6Gn!GysMtu4*4hU8diFa;YRp;^JoJJKMOUAh@zjU)EX5I{(( zh*aQ5H0grNp#Xu6lEWa(_)8VyOMY4td8A^KI08^h2YP68L)>KWEfEA=o9sfJdn4(b zHU*>J1M)iYLo{9IMw*5j;~NWJX0^<dC{_TFWR|;eCSs3yr_ZtQa$Kmat5v?kW>8Bkl6NK`5)cmvR6W7CcUZgT&V=BuIM}8+H$qDaj0~>L3boJqsD|9HWi*;PWCeV{x$FigYX7f#I8j``dhisvn~1j zll#&aF8Rwg3imt4L|=VNA8Te5-S3Y`;h73sAc>~ed+?ERxsCIAJXN3)^ElCtDyvt( zCCU``(IQ}JKlqu;9lEBw8qg5KNg0T45MVEk7n5-b%9vHJMRW zEbqSPUiC=`P4vZlIyh}{05isH*0yS(!X$Diw(43ylk$3G6NfQ)U0K)NWu1p|Dz23T zH@PQ6Get!g79}V7cc&PGg4QUMr`FX*`JB5J;0D4t?K$@HZ`hw4l0AI?Je0VQ*GU1F z-BbAa$X_fQm;vq)(p)aZ=?#~A$Xm;We~3j+^<6U&l#e!6OV%n|wh((s@`t!aq^QHv zAsatO#znTb5xM&od4=n!bvsX12%s0*^@smhaoat3^PZlL_;5V1coDbF#Ll!0l$Y!X z@@e{0R8>pb0Z-Ja2RFfg1m0!H0ktjQ68mr?YNkK8Nb}_PuWM4it5c zdClQgq*Jv0xUg?Bg=Nq6BO;HYPG4*a9+xtku-CP zJcL}OlE?YMZ9$VSC?YuX7S(=l@CDGX->}_@?4}uKS0s@v1$#H~Y{69!(+|`}KbP+X z<;(34uTmlm>6&?3Gkf9hMt-eRQmHsAkJ9y`6;@C(MUUDafaWqe2Cx!eOz!*&&>122 z;GTeKw-8Rf4_^u&V8$IV*>$tZEi?fZdlRkw2|fBSjcfW2Zje@AuD#n|3d_5&k-IYk zQ1;IZluwar-pVG)VIXFn^c7e`K(n#!Kz> zRsG{_H`E5=k8ds~zdKpp;wzp$;P2xf6W<>V_*npUZZlCrP1-;TEDc zcp&Vy0JQHJ)&@gfvLm%I(&}sgY0;zQeVD)Px#T0oXNg2#d`aU*DkA==9szEW$&clg zPZEK}N+tF8d1(c&=7(`sTB5O_OUf)kAZkDVNq7H*0J=PyeeSFeq) zvw=O=-J|RXl6&Csmu1De1gsb@niX?4929UZ@l#eVeX({Rw!2wi2F6amdx zsaKQ+^yaDP@Cdm>CPSYVLgXR(X|~j&m*sC=lsq7JDLq%Jq6`%Tptn%HG>HL)f9ui4 z%&%ichO5OET6zq^MbpV>zryKVJMa0SV`Y)s>m-`J{9s?=lNXar)zm{GgTzFFSX(82 zRn5F&Uh#!unt8oM&ir$gLt6GVw0m}w0tyak)8qP=$?t6q8si|C-OfvruUkbOp2 z3~BUAB?G+$%qRp|tBfR~k;H%Q5xmBV7rEhr=ztUG+E} zIQt#J&UslqOyqh>o5y;kR_FL6x!O$dfE(7V1>Itt8klUGY{ofha6xkmyTl3}P{}sN zr{pX5C8q%Tvjxm8pM54Qr*WFNE+2>JX_9g@@c1_RQ6b_PfZxEDs=JzG#*ZGduz@}wESs4z%#03zht>|8%@t(Nnnh2DW2RU7vM3i3ZX5FJ| z%j;;HidD%;@?27u_@ye6>7fL{;72z=NuI7gy$$nJQ}3L4zRD?UT%p=97Aa{Y9M@Xc z^*93OT$zgk*;KU*o!%8{rN#><{Cp^IFyxmo$7Px#vfE@}Qf+s-++e07U@;0{Q_2T*smU ze77|n@qIP*T`9k-iK&yNxvf5^}J1 z^$Gy2o_SS|CU#D1H9c=TC8wcLi(x#MeL`YNrJ#$f^cWW}{X7Epv07wzFieYn6h;S6 zalt+rqGT|w=sm^u{kG`r-i{F-%Cxv%_?+h@l#_i+?|=J~0*mAE3jFN{7!VNQfA`19 z*~N&?)kQ@%YJ&lx`%N9jYh71qRI#e2!ALwV|VudtVo=U2)Jf(q3d4}r=*imG2k5rr1 z>o*FR>(XXkmQ0t#LCsa-CM;S8J;}%=sOo$)I+ghudqAoB<5&!_R&~i2SbAm`t~RHglsH0TGrGcW3mAuPOcF4SfO(O#+KnQ1b3MLCUo?5DR}v9{Iv{~ zv19HQ|3HWe7N>)Y#WS$mJ&^>hm275c`g9hDVrhRI>CRUFfiRkvX-!lR5qIKYQXtAx z4PESNvnzls*pifg#Lii`$qIm}lh9ZRJ^K72Qev=(%xU`Z;9<73aAQc5dO*A&wwA2H zwc+Ybf@Yd{8p>S@p4NbpA+^T{W=`d2pSM|U-sG*jqjo6#V?=p+394jeIeOMpVzj%P zHB?U-zVqTo0r_efJ%fCL=EG7KmYTJJZ7|@tG#2~;hgD3b@2Qc^m*Jz$q8t*GkhBAO zZqni5v-VEBKq=GTN^eCkNllt44*pZRfM0BI<8T#ZJTcgWaG|q%=i$UZ^Gdt> zn=_gH>xzf;eT6Goc8~Wf6M+ zC!mXXS^A|ccvI>SauJcvnl~_I0F3YNkH>&<&)cIq$-^P68z8~qMl)4=VJIAS&ecoM zB7L5~4Cn2-Q8(~AB^3Jfjb(_*YT6WrHONDa5iN--7k-_cIJQpZC5>1)<)RgL|SV$L7V-JQK7JU zV-2Rh`(OII{~^Bn|DW=G3Dgqs&zXs_J)OIa^}ic!FwR{&`Tzqdi6H~w{d3=U`A?&p z@4x^5v6Rl##O&WJtxJ#~or|NfsnfrS^&ZmG zL_$D7c1FNJ1phbhKR+!2*b)Dg=wxANZ~AW<0qsY?^*2BO=6`(sk9}T~|CQ$U|Lufv zX%Ds$Z(yME?~41sEGzpCj{nl3?zaCn>)$i$KeYdtRW1qmFQ|Xbv;W5adxHBPth>~I z#r|iy`#0|2!`}bk>Sg{9aNmQVf;1TTKdqp@k8j6-YUKbxbU>mka&D&9%Jv?D9zu@n l<}N=K++=?$8F84ha@xw32 4 0 - 512 + 4096 5 - 507 + 4091 0 0 0 @@ -183,9 +183,9 @@ 4 AXI4LITE 0 - 512 + 4096 5 - 507 + 4091 false 0 0 @@ -269,6 +269,9 @@ + + + diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0.xml b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0.xml index 61e5d35..0608972 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0.xml +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0.xml @@ -2729,7 +2729,7 @@ If the number of packets received is one, then this register returns the value o outputProductCRC - 9:0b3eebe4 + 9:98214153 @@ -2743,11 +2743,11 @@ If the number of packets received is one, then this register returns the value o GENtimestamp - Fri Jan 21 02:05:28 UTC 2022 + Wed May 11 22:45:19 UTC 2022 outputProductCRC - 9:0b3eebe4 + 9:98214153 @@ -2763,11 +2763,11 @@ If the number of packets received is one, then this register returns the value o GENtimestamp - Fri Jan 21 02:05:28 UTC 2022 + Wed May 11 22:45:19 UTC 2022 outputProductCRC - 9:0b3eebe4 + 9:98214153 @@ -2798,7 +2798,7 @@ If the number of packets received is one, then this register returns the value o outputProductCRC - 9:ec828180 + 9:a28c6e28 @@ -2814,11 +2814,11 @@ If the number of packets received is one, then this register returns the value o GENtimestamp - Fri Jan 21 02:05:28 UTC 2022 + Wed May 11 22:45:19 UTC 2022 outputProductCRC - 9:ec828180 + 9:a28c6e28 @@ -2832,11 +2832,11 @@ If the number of packets received is one, then this register returns the value o GENtimestamp - Fri Jan 21 03:00:03 UTC 2022 + Wed May 11 22:46:03 UTC 2022 outputProductCRC - 9:0b3eebe4 + 9:98214153 @@ -4989,7 +4989,7 @@ If the number of packets received is one, then this register returns the value o C_TX_FIFO_DEPTH Tx Fifo Depth - 512 + 4096 C_RX_FIFO_DEPTH @@ -5009,7 +5009,7 @@ If the number of packets received is one, then this register returns the value o C_TX_FIFO_PF_THRESHOLD Tx PF Threshold - 507 + 4091 C_TX_FIFO_PE_THRESHOLD @@ -5439,12 +5439,12 @@ If the number of packets received is one, then this register returns the value o C_TX_FIFO_PE_THRESHOLD Transmit Fifo Programable Empty Threshold - 5 + 5 C_TX_FIFO_PF_THRESHOLD Transmit Fifo Programable Full Threshold - 507 + 4091 C_RX_FIFO_DEPTH @@ -5454,7 +5454,7 @@ If the number of packets received is one, then this register returns the value o C_TX_FIFO_DEPTH Transmit Fifo Depth - 512 + 4096 C_HIGHADDR @@ -5643,6 +5643,9 @@ If the number of packets received is one, then this register returns the value o + + + diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.v b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.v index 78c34e0..30d2049 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.v +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.v @@ -1,10 +1,10 @@ // Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2020.1 (win64) Build 2902540 Wed May 27 19:54:49 MDT 2020 -// Date : Thu Jan 20 22:00:03 2022 +// Date : Wed May 11 18:46:03 2022 // Host : DESKTOP-J72MK93 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim -// c:/Users/Aleksa/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.v +// c:/Users/Aleksa/Documents/FPGA_Dev/Artix7_PCIe/dso_top_Rev2/dso_top_Rev2.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.v // Design : design_1_axi_fifo_mm_s_0_0 // Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified // or synthesized. This netlist cannot be used for SDF annotated simulation. @@ -142,9 +142,9 @@ module design_1_axi_fifo_mm_s_0_0 (* C_S_AXI_DATA_WIDTH = "32" *) (* C_S_AXI_ID_WIDTH = "4" *) (* C_TX_CASCADE_HEIGHT = "0" *) - (* C_TX_FIFO_DEPTH = "512" *) + (* C_TX_FIFO_DEPTH = "4096" *) (* C_TX_FIFO_PE_THRESHOLD = "5" *) - (* C_TX_FIFO_PF_THRESHOLD = "507" *) + (* C_TX_FIFO_PF_THRESHOLD = "4091" *) (* C_USE_RX_CUT_THROUGH = "0" *) (* C_USE_RX_DATA = "0" *) (* C_USE_TX_CTRL = "0" *) @@ -244,26 +244,25 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 , \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 , Bus_RNW_reg_reg_0, - \s_axi_wdata[25] , + \s_axi_wdata[27] , + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 , \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 , - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 , - sig_tx_channel_reset_reg, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_3 , + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_1 , + E, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 , - sig_txd_sb_wr_en, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 , D, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1 , - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 , - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_1 , - Bus_RNW_reg_reg_1, - E, + sig_tx_channel_reset_reg, + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_1 , + \s_axi_wdata[31] , cs_ce_clr, start2, s_axi_aclk, s_axi_wdata, - \sig_register_array_reg[0][6] , - sig_txd_sb_wr_en_reg, + axi_str_txd_tvalid, + axi_str_txd_tlast, + IP2Bus_Error1_in, sig_str_rst_reg, \sig_ip2bus_data_reg[10] , Q, @@ -273,34 +272,32 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder \sig_ip2bus_data_reg[6] , \sig_ip2bus_data_reg[4] , \sig_ip2bus_data_reg[3] , - sig_txd_sb_wr_en_reg_0, - IP2Bus_Error1_in, + IP2Bus_Error_reg, sig_Bus2IP_RNW, \GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0 ); output sig_Bus2IP_CS; output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ; output \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 ; output Bus_RNW_reg_reg_0; - output \s_axi_wdata[25] ; + output \s_axi_wdata[27] ; + output \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ; output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ; - output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 ; - output sig_tx_channel_reset_reg; - output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_3 ; + output \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_1 ; + output [0:0]E; output \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 ; - output sig_txd_sb_wr_en; output \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ; output [6:0]D; output \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1 ; - output \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 ; - output \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_1 ; - output [12:0]Bus_RNW_reg_reg_1; - output [0:0]E; + output sig_tx_channel_reset_reg; + output \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_1 ; + output [12:0]\s_axi_wdata[31] ; input cs_ce_clr; input start2; input s_axi_aclk; input [12:0]s_axi_wdata; - input \sig_register_array_reg[0][6] ; - input sig_txd_sb_wr_en_reg; + input axi_str_txd_tvalid; + input axi_str_txd_tlast; + input IP2Bus_Error1_in; input sig_str_rst_reg; input \sig_ip2bus_data_reg[10] ; input [6:0]Q; @@ -310,21 +307,17 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder input \sig_ip2bus_data_reg[6] ; input \sig_ip2bus_data_reg[4] ; input \sig_ip2bus_data_reg[3] ; - input sig_txd_sb_wr_en_reg_0; - input IP2Bus_Error1_in; + input IP2Bus_Error_reg; input sig_Bus2IP_RNW; input [3:0]\GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0 ; wire Bus_RNW_reg_i_1_n_0; wire Bus_RNW_reg_reg_0; - wire [12:0]Bus_RNW_reg_reg_1; wire [6:0]D; wire [0:0]E; wire \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg ; wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ; wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ; - wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 ; - wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_3 ; wire \GEN_BKEND_CE_REGISTERS[11].ce_out_i_reg ; wire \GEN_BKEND_CE_REGISTERS[12].ce_out_i_reg ; wire \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ; @@ -332,10 +325,11 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder wire \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1 ; wire \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg ; wire \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 ; + wire \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_1 ; wire \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg ; - wire \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 ; - wire \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_1 ; wire \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ; + wire \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ; + wire \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_1 ; wire \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 ; wire \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg ; wire \GEN_BKEND_CE_REGISTERS[7].ce_out_i_reg ; @@ -343,7 +337,10 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder wire \GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg ; wire [3:0]\GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0 ; wire IP2Bus_Error1_in; + wire IP2Bus_Error_reg; wire [6:0]Q; + wire axi_str_txd_tlast; + wire axi_str_txd_tvalid; wire ce_expnd_i_0; wire ce_expnd_i_1; wire ce_expnd_i_10; @@ -360,7 +357,8 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder wire cs_ce_clr; wire s_axi_aclk; wire [12:0]s_axi_wdata; - wire \s_axi_wdata[25] ; + wire \s_axi_wdata[27] ; + wire [12:0]\s_axi_wdata[31] ; wire sig_Bus2IP_CS; wire sig_Bus2IP_RNW; wire \sig_ip2bus_data[3]_i_2_n_0 ; @@ -373,18 +371,15 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder wire \sig_ip2bus_data_reg[9] ; wire \sig_register_array[1][0]_i_3_n_0 ; wire \sig_register_array[1][0]_i_4_n_0 ; - wire \sig_register_array_reg[0][6] ; + wire \sig_register_array[1][0]_i_5_n_0 ; + wire \sig_register_array[1][0]_i_6_n_0 ; wire sig_str_rst_i_3_n_0; wire sig_str_rst_reg; wire sig_tx_channel_reset_reg; - wire sig_txd_sb_wr_en; - wire sig_txd_sb_wr_en_reg; - wire sig_txd_sb_wr_en_reg_0; + wire \sig_txd_wr_data[31]_i_4_n_0 ; wire \sig_txd_wr_data[31]_i_5_n_0 ; - wire sig_txd_wr_en_i_3_n_0; wire start2; - (* SOFT_HLUTNM = "soft_lutpair42" *) LUT3 #( .INIT(8'hB8)) Bus_RNW_reg_i_1 @@ -521,14 +516,14 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder .D(start2), .Q(sig_Bus2IP_CS), .R(cs_ce_clr)); - (* SOFT_HLUTNM = "soft_lutpair41" *) - LUT4 #( - .INIT(16'hFBFF)) + LUT5 #( + .INIT(32'hFFFFFBFF)) \sig_ip2bus_data[0]_i_2 - (.I0(\sig_register_array[1][0]_i_3_n_0 ), + (.I0(\sig_register_array[1][0]_i_5_n_0 ), .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(\sig_register_array[1][0]_i_4_n_0 ), + .I2(\sig_register_array[1][0]_i_3_n_0 ), .I3(Bus_RNW_reg_reg_0), + .I4(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), .O(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1 )); LUT4 #( .INIT(16'h4F44)) @@ -539,15 +534,15 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder .I3(Q[0]), .O(D[0])); LUT6 #( - .INIT(64'hFFFFFFFBFFFFFFFF)) - \sig_ip2bus_data[22]_i_2 - (.I0(sig_str_rst_i_3_n_0), - .I1(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg ), - .I2(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I3(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), - .I4(\sig_register_array[1][0]_i_4_n_0 ), - .I5(Bus_RNW_reg_reg_0), - .O(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 )); + .INIT(64'hFFFFFFFFFFEFFFFF)) + \sig_ip2bus_data[19]_i_2 + (.I0(\sig_register_array[1][0]_i_6_n_0 ), + .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), + .I2(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg ), + .I3(\sig_register_array[1][0]_i_3_n_0 ), + .I4(Bus_RNW_reg_reg_0), + .I5(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), + .O(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 )); LUT4 #( .INIT(16'h4F44)) \sig_ip2bus_data[3]_i_1 @@ -556,15 +551,15 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder .I2(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1 ), .I3(Q[6]), .O(D[6])); - (* SOFT_HLUTNM = "soft_lutpair38" *) - LUT5 #( - .INIT(32'hFFEFFFFF)) + LUT6 #( + .INIT(64'hFFFFFFEFFFFFFFFF)) \sig_ip2bus_data[3]_i_2 - (.I0(\sig_register_array[1][0]_i_3_n_0 ), + (.I0(\sig_register_array[1][0]_i_5_n_0 ), .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), .I2(Bus_RNW_reg_reg_0), - .I3(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ), - .I4(\GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg ), + .I3(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), + .I4(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ), + .I5(\GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg ), .O(\sig_ip2bus_data[3]_i_2_n_0 )); LUT4 #( .INIT(16'h4F44)) @@ -606,238 +601,229 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder .I2(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1 ), .I3(Q[1]), .O(D[1])); - LUT4 #( - .INIT(16'hFFEF)) + (* SOFT_HLUTNM = "soft_lutpair18" *) + LUT5 #( + .INIT(32'hFFFFFFEF)) \sig_register_array[0][3]_i_2 (.I0(sig_str_rst_i_3_n_0), .I1(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ), .I2(\GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg ), - .I3(\sig_register_array_reg[0][6] ), - .O(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 )); + .I3(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), + .I4(IP2Bus_Error1_in), + .O(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 )); + (* SOFT_HLUTNM = "soft_lutpair17" *) LUT2 #( .INIT(4'hB)) \sig_register_array[0][4]_i_2 - (.I0(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ), - .I1(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 ), - .O(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_3 )); - LUT6 #( - .INIT(64'hAEAEAEAEAEAEFFAE)) - \sig_register_array[0][6]_i_2 - (.I0(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ), - .I1(s_axi_wdata[6]), - .I2(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 ), - .I3(sig_tx_channel_reset_reg), - .I4(\sig_register_array_reg[0][6] ), - .I5(sig_txd_sb_wr_en_reg), - .O(\s_axi_wdata[25] )); - LUT6 #( - .INIT(64'hF0F0F0F0F0F0F0F4)) - \sig_register_array[1][0]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), + (.I0(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ), + .I1(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ), + .O(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_1 )); + (* SOFT_HLUTNM = "soft_lutpair17" *) + LUT5 #( + .INIT(32'hFFAEAEAE)) + \sig_register_array[0][4]_i_3 + (.I0(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ), + .I1(s_axi_wdata[8]), .I2(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ), + .I3(axi_str_txd_tvalid), + .I4(axi_str_txd_tlast), + .O(\s_axi_wdata[27] )); + LUT6 #( + .INIT(64'hAAAAAAAAAAAAAAAB)) + \sig_register_array[1][0]_i_1 + (.I0(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ), + .I1(IP2Bus_Error1_in), + .I2(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), .I3(\sig_register_array[1][0]_i_3_n_0 ), - .I4(IP2Bus_Error1_in), - .I5(\sig_register_array[1][0]_i_4_n_0 ), + .I4(\sig_register_array[1][0]_i_4_n_0 ), + .I5(\sig_register_array[1][0]_i_5_n_0 ), .O(E)); - (* SOFT_HLUTNM = "soft_lutpair41" *) - LUT3 #( - .INIT(8'h40)) + LUT2 #( + .INIT(4'h2)) \sig_register_array[1][0]_i_2 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[12]), - .O(Bus_RNW_reg_reg_1[12])); - LUT3 #( - .INIT(8'hFE)) - \sig_register_array[1][0]_i_3 - (.I0(sig_str_rst_i_3_n_0), - .I1(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), - .I2(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg ), - .O(\sig_register_array[1][0]_i_3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair38" *) + (.I0(s_axi_wdata[12]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [12])); + (* SOFT_HLUTNM = "soft_lutpair18" *) LUT2 #( .INIT(4'hE)) - \sig_register_array[1][0]_i_4 + \sig_register_array[1][0]_i_3 (.I0(\GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg ), .I1(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ), + .O(\sig_register_array[1][0]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair20" *) + LUT2 #( + .INIT(4'hB)) + \sig_register_array[1][0]_i_4 + (.I0(Bus_RNW_reg_reg_0), + .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), .O(\sig_register_array[1][0]_i_4_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair47" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][10]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[2]), - .O(Bus_RNW_reg_reg_1[2])); - (* SOFT_HLUTNM = "soft_lutpair47" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][11]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[1]), - .O(Bus_RNW_reg_reg_1[1])); - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][12]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[0]), - .O(Bus_RNW_reg_reg_1[0])); - (* SOFT_HLUTNM = "soft_lutpair42" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][1]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[11]), - .O(Bus_RNW_reg_reg_1[11])); - (* SOFT_HLUTNM = "soft_lutpair43" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][2]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[10]), - .O(Bus_RNW_reg_reg_1[10])); - (* SOFT_HLUTNM = "soft_lutpair43" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][3]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[9]), - .O(Bus_RNW_reg_reg_1[9])); - (* SOFT_HLUTNM = "soft_lutpair44" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][4]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[8]), - .O(Bus_RNW_reg_reg_1[8])); - (* SOFT_HLUTNM = "soft_lutpair44" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][5]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[7]), - .O(Bus_RNW_reg_reg_1[7])); - (* SOFT_HLUTNM = "soft_lutpair45" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][6]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[6]), - .O(Bus_RNW_reg_reg_1[6])); - (* SOFT_HLUTNM = "soft_lutpair45" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][7]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[5]), - .O(Bus_RNW_reg_reg_1[5])); - (* SOFT_HLUTNM = "soft_lutpair46" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][8]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[4]), - .O(Bus_RNW_reg_reg_1[4])); - (* SOFT_HLUTNM = "soft_lutpair46" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][9]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[3]), - .O(Bus_RNW_reg_reg_1[3])); - LUT6 #( - .INIT(64'h0000000000000400)) - sig_str_rst_i_1 - (.I0(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ), - .I1(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ), - .I2(\GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg ), - .I3(sig_str_rst_reg), - .I4(sig_str_rst_i_3_n_0), - .I5(IP2Bus_Error1_in), - .O(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 )); - (* SOFT_HLUTNM = "soft_lutpair39" *) + (* SOFT_HLUTNM = "soft_lutpair21" *) + LUT2 #( + .INIT(4'hE)) + \sig_register_array[1][0]_i_5 + (.I0(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg ), + .I1(\sig_register_array[1][0]_i_6_n_0 ), + .O(\sig_register_array[1][0]_i_5_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair19" *) LUT3 #( .INIT(8'hFE)) - sig_str_rst_i_3 + \sig_register_array[1][0]_i_6 (.I0(\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 ), - .I1(\sig_txd_wr_data[31]_i_5_n_0 ), + .I1(\sig_txd_wr_data[31]_i_4_n_0 ), .I2(\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg ), - .O(sig_str_rst_i_3_n_0)); - LUT6 #( - .INIT(64'h0000000000000400)) - sig_tx_channel_reset_i_2 - (.I0(\sig_register_array[1][0]_i_4_n_0 ), - .I1(\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg ), - .I2(\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 ), - .I3(sig_str_rst_reg), - .I4(\sig_register_array_reg[0][6] ), - .I5(\sig_txd_wr_data[31]_i_5_n_0 ), - .O(\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 )); - LUT3 #( - .INIT(8'h08)) - sig_txd_sb_wr_en_i_1 - (.I0(sig_tx_channel_reset_reg), - .I1(sig_txd_sb_wr_en_reg), - .I2(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ), - .O(sig_txd_sb_wr_en)); - (* SOFT_HLUTNM = "soft_lutpair39" *) - LUT5 #( - .INIT(32'h00000010)) - \sig_txd_wr_data[31]_i_2 - (.I0(sig_txd_sb_wr_en_reg_0), + .O(\sig_register_array[1][0]_i_6_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair23" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][10]_i_1 + (.I0(s_axi_wdata[2]), .I1(\sig_register_array[1][0]_i_4_n_0 ), - .I2(\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 ), - .I3(\sig_txd_wr_data[31]_i_5_n_0 ), - .I4(\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg ), - .O(sig_tx_channel_reset_reg)); - (* SOFT_HLUTNM = "soft_lutpair40" *) + .O(\s_axi_wdata[31] [2])); + (* SOFT_HLUTNM = "soft_lutpair22" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][11]_i_1 + (.I0(s_axi_wdata[1]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [1])); + (* SOFT_HLUTNM = "soft_lutpair22" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][12]_i_1 + (.I0(s_axi_wdata[0]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [0])); + (* SOFT_HLUTNM = "soft_lutpair27" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][1]_i_1 + (.I0(s_axi_wdata[11]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [11])); + (* SOFT_HLUTNM = "soft_lutpair27" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][2]_i_1 + (.I0(s_axi_wdata[10]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [10])); + (* SOFT_HLUTNM = "soft_lutpair26" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][3]_i_1 + (.I0(s_axi_wdata[9]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [9])); + (* SOFT_HLUTNM = "soft_lutpair26" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][4]_i_1 + (.I0(s_axi_wdata[8]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [8])); + (* SOFT_HLUTNM = "soft_lutpair25" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][5]_i_1 + (.I0(s_axi_wdata[7]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [7])); + (* SOFT_HLUTNM = "soft_lutpair25" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][6]_i_1 + (.I0(s_axi_wdata[6]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [6])); + (* SOFT_HLUTNM = "soft_lutpair24" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][7]_i_1 + (.I0(s_axi_wdata[5]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [5])); + (* SOFT_HLUTNM = "soft_lutpair24" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][8]_i_1 + (.I0(s_axi_wdata[4]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [4])); + (* SOFT_HLUTNM = "soft_lutpair23" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][9]_i_1 + (.I0(s_axi_wdata[3]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [3])); + LUT6 #( + .INIT(64'h0000000000000100)) + sig_str_rst_i_1 + (.I0(sig_str_rst_reg), + .I1(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), + .I2(\GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg ), + .I3(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ), + .I4(sig_str_rst_i_3_n_0), + .I5(IP2Bus_Error1_in), + .O(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 )); + (* SOFT_HLUTNM = "soft_lutpair21" *) LUT4 #( .INIT(16'hFFFE)) - \sig_txd_wr_data[31]_i_4 - (.I0(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), + sig_str_rst_i_3 + (.I0(\sig_register_array[1][0]_i_6_n_0 ), .I1(Bus_RNW_reg_reg_0), - .I2(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), + .I2(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), .I3(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg ), - .O(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 )); + .O(sig_str_rst_i_3_n_0)); + LUT6 #( + .INIT(64'h0000000000000010)) + sig_tx_channel_reset_i_2 + (.I0(sig_str_rst_reg), + .I1(IP2Bus_Error1_in), + .I2(\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg ), + .I3(\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 ), + .I4(\sig_txd_wr_data[31]_i_5_n_0 ), + .I5(\sig_txd_wr_data[31]_i_4_n_0 ), + .O(\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 )); + (* SOFT_HLUTNM = "soft_lutpair19" *) + LUT5 #( + .INIT(32'h00000100)) + \sig_txd_wr_data[31]_i_3 + (.I0(\sig_txd_wr_data[31]_i_4_n_0 ), + .I1(\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg ), + .I2(IP2Bus_Error_reg), + .I3(\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 ), + .I4(\sig_txd_wr_data[31]_i_5_n_0 ), + .O(\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_1 )); LUT6 #( .INIT(64'hFFFFFFFFFFFFFFFE)) - \sig_txd_wr_data[31]_i_5 + \sig_txd_wr_data[31]_i_4 (.I0(\GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg ), .I1(\GEN_BKEND_CE_REGISTERS[11].ce_out_i_reg ), .I2(\GEN_BKEND_CE_REGISTERS[7].ce_out_i_reg ), .I3(\GEN_BKEND_CE_REGISTERS[8].ce_out_i_reg ), .I4(\GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg ), .I5(\GEN_BKEND_CE_REGISTERS[12].ce_out_i_reg ), - .O(\sig_txd_wr_data[31]_i_5_n_0 )); + .O(\sig_txd_wr_data[31]_i_4_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair20" *) LUT5 #( .INIT(32'hFFFFFFFE)) + \sig_txd_wr_data[31]_i_5 + (.I0(Bus_RNW_reg_reg_0), + .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), + .I2(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg ), + .I3(\sig_register_array[1][0]_i_3_n_0 ), + .I4(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), + .O(\sig_txd_wr_data[31]_i_5_n_0 )); + LUT4 #( + .INIT(16'hFFEF)) sig_txd_wr_en_i_2 (.I0(sig_str_rst_i_3_n_0), - .I1(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg ), - .I2(sig_txd_wr_en_i_3_n_0), - .I3(sig_txd_sb_wr_en_reg_0), - .I4(\sig_register_array[1][0]_i_4_n_0 ), - .O(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_1 )); - (* SOFT_HLUTNM = "soft_lutpair40" *) - LUT3 #( - .INIT(8'hEF)) - sig_txd_wr_en_i_3 - (.I0(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I1(Bus_RNW_reg_reg_0), + .I1(IP2Bus_Error_reg), .I2(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), - .O(sig_txd_wr_en_i_3_n_0)); + .I3(\sig_register_array[1][0]_i_3_n_0 ), + .O(sig_tx_channel_reset_reg)); endmodule (* C_AXI4_BASEADDR = "-2147479552" *) (* C_AXI4_HIGHADDR = "-2147471361" *) (* C_AXIS_TDEST_WIDTH = "4" *) @@ -847,8 +833,8 @@ endmodule (* C_HAS_AXIS_TUSER = "0" *) (* C_HIGHADDR = "1073938431" *) (* C_RX_CASCADE_HEIGHT = "0" *) (* C_RX_FIFO_DEPTH = "512" *) (* C_RX_FIFO_PE_THRESHOLD = "5" *) (* C_RX_FIFO_PF_THRESHOLD = "507" *) (* C_S_AXI4_DATA_WIDTH = "32" *) (* C_S_AXI_ADDR_WIDTH = "32" *) (* C_S_AXI_DATA_WIDTH = "32" *) -(* C_S_AXI_ID_WIDTH = "4" *) (* C_TX_CASCADE_HEIGHT = "0" *) (* C_TX_FIFO_DEPTH = "512" *) -(* C_TX_FIFO_PE_THRESHOLD = "5" *) (* C_TX_FIFO_PF_THRESHOLD = "507" *) (* C_USE_RX_CUT_THROUGH = "0" *) +(* C_S_AXI_ID_WIDTH = "4" *) (* C_TX_CASCADE_HEIGHT = "0" *) (* C_TX_FIFO_DEPTH = "4096" *) +(* C_TX_FIFO_PE_THRESHOLD = "5" *) (* C_TX_FIFO_PF_THRESHOLD = "4091" *) (* C_USE_RX_CUT_THROUGH = "0" *) (* C_USE_RX_DATA = "0" *) (* C_USE_TX_CTRL = "0" *) (* C_USE_TX_CUT_THROUGH = "0" *) (* C_USE_TX_DATA = "1" *) (* ORIG_REF_NAME = "axi_fifo_mm_s" *) module design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s @@ -1026,9 +1012,9 @@ module design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s wire \ ; wire \ ; wire COMP_IPIC2AXI_S_n_39; - wire COMP_IPIC2AXI_S_n_41; - wire COMP_IPIC2AXI_S_n_44; + wire COMP_IPIC2AXI_S_n_43; wire COMP_IPIC2AXI_S_n_45; + wire COMP_IPIC2AXI_S_n_46; wire COMP_IPIC2AXI_S_n_47; wire COMP_IPIC2AXI_S_n_48; wire COMP_IPIC2AXI_S_n_49; @@ -1041,19 +1027,16 @@ module design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s wire COMP_IPIC2AXI_S_n_56; wire COMP_IPIC2AXI_S_n_57; wire COMP_IPIC2AXI_S_n_58; - wire COMP_IPIC2AXI_S_n_59; - wire COMP_IPIC2AXI_S_n_60; wire COMP_IPIF_n_10; wire COMP_IPIF_n_11; wire COMP_IPIF_n_12; wire COMP_IPIF_n_13; wire COMP_IPIF_n_14; - wire COMP_IPIF_n_16; + wire COMP_IPIF_n_15; + wire COMP_IPIF_n_23; wire COMP_IPIF_n_24; wire COMP_IPIF_n_25; - wire COMP_IPIF_n_26; - wire COMP_IPIF_n_27; - wire COMP_IPIF_n_41; + wire COMP_IPIF_n_39; wire COMP_IPIF_n_8; wire COMP_IPIF_n_9; wire IP2Bus_Error1_in; @@ -1091,7 +1074,6 @@ module design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s wire [0:30]sig_ip2bus_data; wire [3:10]sig_ip2bus_data_1; wire [0:12]\sig_register_array[1]_0 ; - wire sig_txd_sb_wr_en; assign axi_str_rxd_tready = \ ; assign axi_str_txc_tdata[31] = \ ; @@ -1228,10 +1210,7 @@ module design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s assign s_axi_rdata[15] = \ ; assign s_axi_rdata[14] = \ ; assign s_axi_rdata[13] = \ ; - assign s_axi_rdata[12] = \ ; - assign s_axi_rdata[11] = \ ; - assign s_axi_rdata[10] = \ ; - assign s_axi_rdata[9:1] = \^s_axi_rdata [9:1]; + assign s_axi_rdata[12:1] = \^s_axi_rdata [12:1]; assign s_axi_rdata[0] = \ ; assign s_axi_rresp[1] = \^s_axi_rresp [1]; assign s_axi_rresp[0] = \ ; @@ -1239,17 +1218,16 @@ module design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s design_1_axi_fifo_mm_s_0_0_ipic2axi_s COMP_IPIC2AXI_S (.Bus_RNW_reg(\I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg ), .D({sig_ip2bus_data_1[3],sig_ip2bus_data_1[4],sig_ip2bus_data_1[6],sig_ip2bus_data_1[7],sig_ip2bus_data_1[8],sig_ip2bus_data_1[9],sig_ip2bus_data_1[10]}), - .E(COMP_IPIF_n_41), + .E(COMP_IPIF_n_12), .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg (\I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ), .\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg (\I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg ), .IP2Bus_Error1_in(IP2Bus_Error1_in), - .IP2Bus_Error_reg_0(COMP_IPIF_n_26), + .IP2Bus_Error_reg_0(COMP_IPIF_n_24), .IP2Bus_RdAck_reg_0(s_axi_arready), .IP2Bus_RdAck_reg_1(COMP_IPIF_n_13), .IP2Bus_WrAck_reg_0(s_axi_awready), - .IP2Bus_WrAck_reg_1(COMP_IPIF_n_27), - .IPIC_STATE_reg_0(COMP_IPIC2AXI_S_n_41), - .Q({COMP_IPIC2AXI_S_n_47,COMP_IPIC2AXI_S_n_48,COMP_IPIC2AXI_S_n_49,COMP_IPIC2AXI_S_n_50,COMP_IPIC2AXI_S_n_51,COMP_IPIC2AXI_S_n_52,COMP_IPIC2AXI_S_n_53}), + .IP2Bus_WrAck_reg_1(COMP_IPIF_n_39), + .Q({COMP_IPIC2AXI_S_n_45,COMP_IPIC2AXI_S_n_46,COMP_IPIC2AXI_S_n_47,COMP_IPIC2AXI_S_n_48,COMP_IPIC2AXI_S_n_49,COMP_IPIC2AXI_S_n_50,COMP_IPIC2AXI_S_n_51}), .axi_str_txd_tdata(axi_str_txd_tdata), .axi_str_txd_tlast(axi_str_txd_tlast), .axi_str_txd_tready(axi_str_txd_tready), @@ -1261,52 +1239,50 @@ module design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s .s_axi_aclk(s_axi_aclk), .s_axi_aresetn(s_axi_aresetn), .s_axi_wdata(s_axi_wdata), - .s_axi_wdata_0_sp_1(COMP_IPIC2AXI_S_n_45), - .s_axi_wdata_7_sp_1(COMP_IPIC2AXI_S_n_44), + .s_axi_wdata_7_sp_1(COMP_IPIC2AXI_S_n_43), .sig_Bus2IP_CS(sig_Bus2IP_CS), .sig_Bus2IP_Reset(sig_Bus2IP_Reset), - .\sig_ip2bus_data_reg[0]_0 ({sig_ip2bus_data[0],sig_ip2bus_data[1],sig_ip2bus_data[2],sig_ip2bus_data[3],sig_ip2bus_data[4],sig_ip2bus_data[5],sig_ip2bus_data[6],sig_ip2bus_data[7],sig_ip2bus_data[8],sig_ip2bus_data[9],sig_ip2bus_data[10],sig_ip2bus_data[11],sig_ip2bus_data[12],sig_ip2bus_data[22],sig_ip2bus_data[23],sig_ip2bus_data[24],sig_ip2bus_data[25],sig_ip2bus_data[26],sig_ip2bus_data[27],sig_ip2bus_data[28],sig_ip2bus_data[29],sig_ip2bus_data[30]}), - .\sig_ip2bus_data_reg[12]_0 (COMP_IPIF_n_24), - .\sig_ip2bus_data_reg[22]_0 (COMP_IPIF_n_25), - .\sig_register_array_reg[0][10]_0 (COMP_IPIC2AXI_S_n_57), - .\sig_register_array_reg[0][3]_0 (COMP_IPIC2AXI_S_n_55), + .\sig_ip2bus_data_reg[0]_0 ({sig_ip2bus_data[0],sig_ip2bus_data[1],sig_ip2bus_data[2],sig_ip2bus_data[3],sig_ip2bus_data[4],sig_ip2bus_data[5],sig_ip2bus_data[6],sig_ip2bus_data[7],sig_ip2bus_data[8],sig_ip2bus_data[9],sig_ip2bus_data[10],sig_ip2bus_data[11],sig_ip2bus_data[12],sig_ip2bus_data[19],sig_ip2bus_data[20],sig_ip2bus_data[21],sig_ip2bus_data[22],sig_ip2bus_data[23],sig_ip2bus_data[24],sig_ip2bus_data[25],sig_ip2bus_data[26],sig_ip2bus_data[27],sig_ip2bus_data[28],sig_ip2bus_data[29],sig_ip2bus_data[30]}), + .\sig_ip2bus_data_reg[12]_0 (COMP_IPIF_n_23), + .\sig_ip2bus_data_reg[19]_0 (COMP_IPIF_n_15), + .\sig_register_array_reg[0][10]_0 (COMP_IPIC2AXI_S_n_52), + .\sig_register_array_reg[0][3]_0 (COMP_IPIC2AXI_S_n_53), .\sig_register_array_reg[0][3]_1 (COMP_IPIF_n_10), - .\sig_register_array_reg[0][4]_0 (COMP_IPIC2AXI_S_n_54), - .\sig_register_array_reg[0][4]_1 (COMP_IPIF_n_12), - .\sig_register_array_reg[0][6]_0 (COMP_IPIC2AXI_S_n_56), - .\sig_register_array_reg[0][6]_1 (COMP_IPIF_n_8), - .\sig_register_array_reg[0][7]_0 (COMP_IPIC2AXI_S_n_58), - .\sig_register_array_reg[0][8]_0 (COMP_IPIC2AXI_S_n_60), - .\sig_register_array_reg[0][9]_0 (COMP_IPIC2AXI_S_n_59), + .\sig_register_array_reg[0][4]_0 (COMP_IPIC2AXI_S_n_55), + .\sig_register_array_reg[0][4]_1 (COMP_IPIF_n_11), + .\sig_register_array_reg[0][4]_2 (COMP_IPIF_n_8), + .\sig_register_array_reg[0][6]_0 (COMP_IPIC2AXI_S_n_57), + .\sig_register_array_reg[0][7]_0 (COMP_IPIC2AXI_S_n_54), + .\sig_register_array_reg[0][8]_0 (COMP_IPIC2AXI_S_n_56), + .\sig_register_array_reg[0][9]_0 (COMP_IPIC2AXI_S_n_58), .\sig_register_array_reg[1][0]_0 ({\sig_register_array[1]_0 [0],\sig_register_array[1]_0 [1],\sig_register_array[1]_0 [2],\sig_register_array[1]_0 [3],\sig_register_array[1]_0 [4],\sig_register_array[1]_0 [5],\sig_register_array[1]_0 [6],\sig_register_array[1]_0 [7],\sig_register_array[1]_0 [8],\sig_register_array[1]_0 [9],\sig_register_array[1]_0 [10],\sig_register_array[1]_0 [11],\sig_register_array[1]_0 [12]}), .sig_str_rst_reg_0(mm2s_prmry_reset_out_n), .sig_str_rst_reg_1(COMP_IPIF_n_9), .sig_tx_channel_reset_reg_0(COMP_IPIC2AXI_S_n_39), .sig_tx_channel_reset_reg_1(COMP_IPIF_n_14), - .sig_txd_sb_wr_en(sig_txd_sb_wr_en), - .\sig_txd_wr_data_reg[0]_0 (COMP_IPIF_n_16), - .\sig_txd_wr_data_reg[0]_1 (COMP_IPIF_n_11)); + .sig_txd_sb_wr_en_reg_0(COMP_IPIF_n_25)); design_1_axi_fifo_mm_s_0_0_axi_lite_ipif COMP_IPIF (.Bus_RNW_reg(\I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg ), - .Bus_RNW_reg_reg({\sig_register_array[1]_0 [0],\sig_register_array[1]_0 [1],\sig_register_array[1]_0 [2],\sig_register_array[1]_0 [3],\sig_register_array[1]_0 [4],\sig_register_array[1]_0 [5],\sig_register_array[1]_0 [6],\sig_register_array[1]_0 [7],\sig_register_array[1]_0 [8],\sig_register_array[1]_0 [9],\sig_register_array[1]_0 [10],\sig_register_array[1]_0 [11],\sig_register_array[1]_0 [12]}), .D({sig_ip2bus_data_1[3],sig_ip2bus_data_1[4],sig_ip2bus_data_1[6],sig_ip2bus_data_1[7],sig_ip2bus_data_1[8],sig_ip2bus_data_1[9],sig_ip2bus_data_1[10]}), - .E(COMP_IPIF_n_41), + .E(COMP_IPIF_n_12), .\FSM_onehot_state_reg[2] (s_axi_awready), .\FSM_onehot_state_reg[3] (s_axi_arready), .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg (\I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ), - .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] (COMP_IPIF_n_9), - .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 (COMP_IPIF_n_10), - .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 (COMP_IPIF_n_12), - .\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] (COMP_IPIF_n_16), - .\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 (COMP_IPIF_n_24), + .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] (COMP_IPIF_n_10), + .\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] (COMP_IPIF_n_15), + .\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 (COMP_IPIF_n_23), .\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] (COMP_IPIF_n_14), - .\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] (COMP_IPIF_n_25), - .\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 (COMP_IPIF_n_26), + .\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 (COMP_IPIF_n_25), + .\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] (COMP_IPIF_n_9), + .\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 (COMP_IPIF_n_11), .\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg (\I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg ), .IP2Bus_Error1_in(IP2Bus_Error1_in), - .Q({COMP_IPIC2AXI_S_n_47,COMP_IPIC2AXI_S_n_48,COMP_IPIC2AXI_S_n_49,COMP_IPIC2AXI_S_n_50,COMP_IPIC2AXI_S_n_51,COMP_IPIC2AXI_S_n_52,COMP_IPIC2AXI_S_n_53}), + .IP2Bus_Error_reg(COMP_IPIC2AXI_S_n_39), + .Q({COMP_IPIC2AXI_S_n_45,COMP_IPIC2AXI_S_n_46,COMP_IPIC2AXI_S_n_47,COMP_IPIC2AXI_S_n_48,COMP_IPIC2AXI_S_n_49,COMP_IPIC2AXI_S_n_50,COMP_IPIC2AXI_S_n_51}), + .axi_str_txd_tlast(axi_str_txd_tlast), + .axi_str_txd_tvalid(axi_str_txd_tvalid), .bus2ip_rnw_i_reg(COMP_IPIF_n_13), - .bus2ip_rnw_i_reg_0(COMP_IPIF_n_27), + .bus2ip_rnw_i_reg_0(COMP_IPIF_n_39), .cs_ce_clr(\I_SLAVE_ATTACHMENT/I_DECODER/cs_ce_clr ), .p_1_in(p_1_in), .s_axi_aclk(s_axi_aclk), @@ -1317,29 +1293,26 @@ module design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s .s_axi_bready(s_axi_bready), .s_axi_bresp(\^s_axi_bresp ), .s_axi_bvalid(s_axi_bvalid), - .s_axi_rdata({\^s_axi_rdata [31:19],\^s_axi_rdata [9:1]}), - .\s_axi_rdata_i_reg[31] ({sig_ip2bus_data[0],sig_ip2bus_data[1],sig_ip2bus_data[2],sig_ip2bus_data[3],sig_ip2bus_data[4],sig_ip2bus_data[5],sig_ip2bus_data[6],sig_ip2bus_data[7],sig_ip2bus_data[8],sig_ip2bus_data[9],sig_ip2bus_data[10],sig_ip2bus_data[11],sig_ip2bus_data[12],sig_ip2bus_data[22],sig_ip2bus_data[23],sig_ip2bus_data[24],sig_ip2bus_data[25],sig_ip2bus_data[26],sig_ip2bus_data[27],sig_ip2bus_data[28],sig_ip2bus_data[29],sig_ip2bus_data[30]}), + .s_axi_rdata({\^s_axi_rdata [31:19],\^s_axi_rdata [12:1]}), + .\s_axi_rdata_i_reg[31] ({sig_ip2bus_data[0],sig_ip2bus_data[1],sig_ip2bus_data[2],sig_ip2bus_data[3],sig_ip2bus_data[4],sig_ip2bus_data[5],sig_ip2bus_data[6],sig_ip2bus_data[7],sig_ip2bus_data[8],sig_ip2bus_data[9],sig_ip2bus_data[10],sig_ip2bus_data[11],sig_ip2bus_data[12],sig_ip2bus_data[19],sig_ip2bus_data[20],sig_ip2bus_data[21],sig_ip2bus_data[22],sig_ip2bus_data[23],sig_ip2bus_data[24],sig_ip2bus_data[25],sig_ip2bus_data[26],sig_ip2bus_data[27],sig_ip2bus_data[28],sig_ip2bus_data[29],sig_ip2bus_data[30]}), .s_axi_rready(s_axi_rready), .s_axi_rresp(\^s_axi_rresp ), .s_axi_rvalid(s_axi_rvalid), .s_axi_wdata(s_axi_wdata[31:19]), - .\s_axi_wdata[25] (COMP_IPIF_n_8), + .\s_axi_wdata[27] (COMP_IPIF_n_8), + .\s_axi_wdata[31] ({\sig_register_array[1]_0 [0],\sig_register_array[1]_0 [1],\sig_register_array[1]_0 [2],\sig_register_array[1]_0 [3],\sig_register_array[1]_0 [4],\sig_register_array[1]_0 [5],\sig_register_array[1]_0 [6],\sig_register_array[1]_0 [7],\sig_register_array[1]_0 [8],\sig_register_array[1]_0 [9],\sig_register_array[1]_0 [10],\sig_register_array[1]_0 [11],\sig_register_array[1]_0 [12]}), .s_axi_wvalid(s_axi_wvalid), .sig_Bus2IP_CS(sig_Bus2IP_CS), .sig_Bus2IP_Reset(sig_Bus2IP_Reset), - .\sig_ip2bus_data_reg[10] (COMP_IPIC2AXI_S_n_57), - .\sig_ip2bus_data_reg[3] (COMP_IPIC2AXI_S_n_55), - .\sig_ip2bus_data_reg[4] (COMP_IPIC2AXI_S_n_54), - .\sig_ip2bus_data_reg[6] (COMP_IPIC2AXI_S_n_56), - .\sig_ip2bus_data_reg[7] (COMP_IPIC2AXI_S_n_58), - .\sig_ip2bus_data_reg[8] (COMP_IPIC2AXI_S_n_60), - .\sig_ip2bus_data_reg[9] (COMP_IPIC2AXI_S_n_59), - .\sig_register_array_reg[0][6] (COMP_IPIC2AXI_S_n_41), - .sig_str_rst_reg(COMP_IPIC2AXI_S_n_44), - .sig_tx_channel_reset_reg(COMP_IPIF_n_11), - .sig_txd_sb_wr_en(sig_txd_sb_wr_en), - .sig_txd_sb_wr_en_reg(COMP_IPIC2AXI_S_n_45), - .sig_txd_sb_wr_en_reg_0(COMP_IPIC2AXI_S_n_39)); + .\sig_ip2bus_data_reg[10] (COMP_IPIC2AXI_S_n_52), + .\sig_ip2bus_data_reg[3] (COMP_IPIC2AXI_S_n_53), + .\sig_ip2bus_data_reg[4] (COMP_IPIC2AXI_S_n_55), + .\sig_ip2bus_data_reg[6] (COMP_IPIC2AXI_S_n_57), + .\sig_ip2bus_data_reg[7] (COMP_IPIC2AXI_S_n_54), + .\sig_ip2bus_data_reg[8] (COMP_IPIC2AXI_S_n_56), + .\sig_ip2bus_data_reg[9] (COMP_IPIC2AXI_S_n_58), + .sig_str_rst_reg(COMP_IPIC2AXI_S_n_43), + .sig_tx_channel_reset_reg(COMP_IPIF_n_24)); GND GND (.G(\ )); VCC VCC @@ -1356,22 +1329,20 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif s_axi_rvalid, s_axi_bvalid, s_axi_bresp, - \s_axi_wdata[25] , + \s_axi_wdata[27] , + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] , \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] , - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 , - sig_tx_channel_reset_reg, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 , + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 , + E, bus2ip_rnw_i_reg, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] , - sig_txd_sb_wr_en, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] , D, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 , - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] , - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 , + sig_tx_channel_reset_reg, + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 , + \s_axi_wdata[31] , bus2ip_rnw_i_reg_0, - Bus_RNW_reg_reg, - E, s_axi_rdata, sig_Bus2IP_Reset, s_axi_aclk, @@ -1383,8 +1354,8 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif s_axi_wvalid, s_axi_awvalid, s_axi_wdata, - \sig_register_array_reg[0][6] , - sig_txd_sb_wr_en_reg, + axi_str_txd_tvalid, + axi_str_txd_tlast, IP2Bus_Error1_in, sig_str_rst_reg, \sig_ip2bus_data_reg[10] , @@ -1395,7 +1366,7 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif \sig_ip2bus_data_reg[6] , \sig_ip2bus_data_reg[4] , \sig_ip2bus_data_reg[3] , - sig_txd_sb_wr_en_reg_0, + IP2Bus_Error_reg, s_axi_rready, s_axi_bready, s_axi_araddr, @@ -1409,23 +1380,21 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif output s_axi_rvalid; output s_axi_bvalid; output [0:0]s_axi_bresp; - output \s_axi_wdata[25] ; + output \s_axi_wdata[27] ; + output \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] ; output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] ; - output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ; - output sig_tx_channel_reset_reg; - output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ; + output \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ; + output [0:0]E; output bus2ip_rnw_i_reg; output \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] ; - output sig_txd_sb_wr_en; output \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] ; output [6:0]D; output \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ; - output \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] ; - output \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 ; + output sig_tx_channel_reset_reg; + output \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 ; + output [12:0]\s_axi_wdata[31] ; output bus2ip_rnw_i_reg_0; - output [12:0]Bus_RNW_reg_reg; - output [0:0]E; - output [21:0]s_axi_rdata; + output [24:0]s_axi_rdata; input sig_Bus2IP_Reset; input s_axi_aclk; input cs_ce_clr; @@ -1436,8 +1405,8 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif input s_axi_wvalid; input s_axi_awvalid; input [12:0]s_axi_wdata; - input \sig_register_array_reg[0][6] ; - input sig_txd_sb_wr_en_reg; + input axi_str_txd_tvalid; + input axi_str_txd_tlast; input IP2Bus_Error1_in; input sig_str_rst_reg; input \sig_ip2bus_data_reg[10] ; @@ -1448,31 +1417,32 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif input \sig_ip2bus_data_reg[6] ; input \sig_ip2bus_data_reg[4] ; input \sig_ip2bus_data_reg[3] ; - input sig_txd_sb_wr_en_reg_0; + input IP2Bus_Error_reg; input s_axi_rready; input s_axi_bready; input [3:0]s_axi_araddr; input [3:0]s_axi_awaddr; - input [21:0]\s_axi_rdata_i_reg[31] ; + input [24:0]\s_axi_rdata_i_reg[31] ; wire Bus_RNW_reg; - wire [12:0]Bus_RNW_reg_reg; wire [6:0]D; wire [0:0]E; wire \FSM_onehot_state_reg[2] ; wire \FSM_onehot_state_reg[3] ; wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ; wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] ; - wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ; - wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ; wire \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] ; wire \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ; wire \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] ; - wire \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] ; - wire \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 ; + wire \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 ; + wire \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] ; + wire \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ; wire \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg ; wire IP2Bus_Error1_in; + wire IP2Bus_Error_reg; wire [6:0]Q; + wire axi_str_txd_tlast; + wire axi_str_txd_tvalid; wire bus2ip_rnw_i_reg; wire bus2ip_rnw_i_reg_0; wire cs_ce_clr; @@ -1485,13 +1455,14 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif wire s_axi_bready; wire [0:0]s_axi_bresp; wire s_axi_bvalid; - wire [21:0]s_axi_rdata; - wire [21:0]\s_axi_rdata_i_reg[31] ; + wire [24:0]s_axi_rdata; + wire [24:0]\s_axi_rdata_i_reg[31] ; wire s_axi_rready; wire [0:0]s_axi_rresp; wire s_axi_rvalid; wire [12:0]s_axi_wdata; - wire \s_axi_wdata[25] ; + wire \s_axi_wdata[27] ; + wire [12:0]\s_axi_wdata[31] ; wire s_axi_wvalid; wire sig_Bus2IP_CS; wire sig_Bus2IP_Reset; @@ -1502,32 +1473,29 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif wire \sig_ip2bus_data_reg[7] ; wire \sig_ip2bus_data_reg[8] ; wire \sig_ip2bus_data_reg[9] ; - wire \sig_register_array_reg[0][6] ; wire sig_str_rst_reg; wire sig_tx_channel_reset_reg; - wire sig_txd_sb_wr_en; - wire sig_txd_sb_wr_en_reg; - wire sig_txd_sb_wr_en_reg_0; design_1_axi_fifo_mm_s_0_0_slave_attachment I_SLAVE_ATTACHMENT (.Bus_RNW_reg_reg(Bus_RNW_reg), - .Bus_RNW_reg_reg_0(Bus_RNW_reg_reg), .D(D), .E(E), .\FSM_onehot_state_reg[2]_0 (\FSM_onehot_state_reg[2] ), .\FSM_onehot_state_reg[3]_0 (\FSM_onehot_state_reg[3] ), .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] (\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ), .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 (\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] ), - .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 (\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ), - .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 (\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ), .\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] (\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] ), .\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 (\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ), .\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] (\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] ), - .\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] (\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] ), - .\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 (\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 ), + .\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 (\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 ), + .\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] (\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] ), + .\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 (\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ), .\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5] (\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg ), .IP2Bus_Error1_in(IP2Bus_Error1_in), + .IP2Bus_Error_reg(IP2Bus_Error_reg), .Q(Q), + .axi_str_txd_tlast(axi_str_txd_tlast), + .axi_str_txd_tvalid(axi_str_txd_tvalid), .bus2ip_rnw_i_reg_0(bus2ip_rnw_i_reg), .bus2ip_rnw_i_reg_1(bus2ip_rnw_i_reg_0), .cs_ce_clr(cs_ce_clr), @@ -1546,7 +1514,8 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif .s_axi_rresp(s_axi_rresp), .s_axi_rvalid(s_axi_rvalid), .s_axi_wdata(s_axi_wdata), - .\s_axi_wdata[25] (\s_axi_wdata[25] ), + .\s_axi_wdata[27] (\s_axi_wdata[27] ), + .\s_axi_wdata[31] (\s_axi_wdata[31] ), .s_axi_wvalid(s_axi_wvalid), .sig_Bus2IP_CS(sig_Bus2IP_CS), .sig_Bus2IP_Reset(sig_Bus2IP_Reset), @@ -1557,12 +1526,8 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif .\sig_ip2bus_data_reg[7] (\sig_ip2bus_data_reg[7] ), .\sig_ip2bus_data_reg[8] (\sig_ip2bus_data_reg[8] ), .\sig_ip2bus_data_reg[9] (\sig_ip2bus_data_reg[9] ), - .\sig_register_array_reg[0][6] (\sig_register_array_reg[0][6] ), .sig_str_rst_reg(sig_str_rst_reg), - .sig_tx_channel_reset_reg(sig_tx_channel_reset_reg), - .sig_txd_sb_wr_en(sig_txd_sb_wr_en), - .sig_txd_sb_wr_en_reg(sig_txd_sb_wr_en_reg), - .sig_txd_sb_wr_en_reg_0(sig_txd_sb_wr_en_reg_0)); + .sig_tx_channel_reset_reg(sig_tx_channel_reset_reg)); endmodule (* ORIG_REF_NAME = "axis_fg" *) @@ -1574,71 +1539,63 @@ module design_1_axi_fifo_mm_s_0_0_axis_fg wr_data_count_axis, prog_empty_axis, s_aresetn, - \gen_wr_a.gen_word_narrow.mem_reg , sig_txd_wr_en, - \gwdc.wr_data_count_i_reg[9] , - D, + \gwdc.wr_data_count_i_reg[12] , + S, + DI, + \gwdc.wr_data_count_i_reg[7] , + \gwdc.wr_data_count_i_reg[3] , + \gwdc.wr_data_count_i_reg[0] , \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg , \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg , IP2Bus_Error_reg, - E, s_axi_aclk, Q, - \gen_wr_a.gen_word_narrow.mem_reg_0 , + \gen_wr_a.gen_word_narrow.mem_reg_5 , axi_str_txd_tready, start_wr, txd_wr_en, - \sig_register_array_reg[0][4] , - \sig_register_array_reg[0][4]_0 , - s_axi_wdata, IP2Bus_Error_reg_0, sig_txd_prog_full_d1, sig_txd_prog_empty_d1, - \gen_wr_a.gen_word_narrow.mem_reg_1 , + \gen_wr_a.gen_word_narrow.mem_reg_3 , p_1_in, s_axi_aresetn, IP2Bus_Error_reg_1, Axi_Str_RxD_AReset, - mm2s_prmry_reset_out_n, - \sig_txd_wr_data_reg[0] , - \sig_txd_wr_data_reg[0]_0 , - \sig_txd_wr_data_reg[0]_1 ); + mm2s_prmry_reset_out_n); output axi_str_txd_tvalid; output [31:0]axi_str_txd_tdata; output axi_str_txd_tlast; output prog_full_axis; - output [0:0]wr_data_count_axis; + output [10:0]wr_data_count_axis; output prog_empty_axis; output s_aresetn; - output \gen_wr_a.gen_word_narrow.mem_reg ; output sig_txd_wr_en; - output \gwdc.wr_data_count_i_reg[9] ; - output [7:0]D; + output \gwdc.wr_data_count_i_reg[12] ; + output [0:0]S; + output [3:0]DI; + output [3:0]\gwdc.wr_data_count_i_reg[7] ; + output [2:0]\gwdc.wr_data_count_i_reg[3] ; + output [0:0]\gwdc.wr_data_count_i_reg[0] ; output \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ; output \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg ; output IP2Bus_Error_reg; - output [0:0]E; input s_axi_aclk; input [31:0]Q; - input \gen_wr_a.gen_word_narrow.mem_reg_0 ; + input \gen_wr_a.gen_word_narrow.mem_reg_5 ; input axi_str_txd_tready; input start_wr; input txd_wr_en; - input \sig_register_array_reg[0][4] ; - input \sig_register_array_reg[0][4]_0 ; - input [0:0]s_axi_wdata; input IP2Bus_Error_reg_0; input sig_txd_prog_full_d1; input sig_txd_prog_empty_d1; - input [1:0]\gen_wr_a.gen_word_narrow.mem_reg_1 ; + input [1:0]\gen_wr_a.gen_word_narrow.mem_reg_3 ; input [0:0]p_1_in; input s_axi_aresetn; input IP2Bus_Error_reg_1; input Axi_Str_RxD_AReset; input mm2s_prmry_reset_out_n; - input \sig_txd_wr_data_reg[0] ; - input \sig_txd_wr_data_reg[0]_0 ; - input \sig_txd_wr_data_reg[0]_1 ; wire Axi_Str_RxD_AReset; wire COMP_FIFO_i_2_n_0; @@ -1662,23 +1619,24 @@ module design_1_axi_fifo_mm_s_0_0_axis_fg wire COMP_FIFO_n_52; wire COMP_FIFO_n_53; wire COMP_FIFO_n_54; - wire [7:0]D; - wire [0:0]E; + wire [3:0]DI; wire IP2Bus_Error_reg; wire IP2Bus_Error_reg_0; wire IP2Bus_Error_reg_1; wire [31:0]Q; + wire [0:0]S; wire [31:0]axi_str_txd_tdata; wire axi_str_txd_tlast; wire axi_str_txd_tready; wire axi_str_txd_tvalid; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ; - wire \gen_wr_a.gen_word_narrow.mem_reg ; - wire \gen_wr_a.gen_word_narrow.mem_reg_0 ; - wire [1:0]\gen_wr_a.gen_word_narrow.mem_reg_1 ; - wire \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0 ; - wire \gwdc.wr_data_count_i_reg[9] ; + wire [1:0]\gen_wr_a.gen_word_narrow.mem_reg_3 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_5 ; + wire [0:0]\gwdc.wr_data_count_i_reg[0] ; + wire \gwdc.wr_data_count_i_reg[12] ; + wire [2:0]\gwdc.wr_data_count_i_reg[3] ; + wire [3:0]\gwdc.wr_data_count_i_reg[7] ; wire [2:1]input_tstrb; wire input_tvalid; wire mm2s_prmry_reset_out_n; @@ -1688,25 +1646,21 @@ module design_1_axi_fifo_mm_s_0_0_axis_fg wire s_aresetn; wire s_axi_aclk; wire s_axi_aresetn; - wire [0:0]s_axi_wdata; wire s_axis_tready_i; - wire \sig_register_array_reg[0][4] ; - wire \sig_register_array_reg[0][4]_0 ; - wire [9:0]sig_txd_occupancy; + wire \sig_register_array[0][3]_i_4_n_0 ; + wire \sig_register_array[0][3]_i_5_n_0 ; + wire [12:0]sig_txd_occupancy; wire sig_txd_prog_empty_d1; wire sig_txd_prog_full_d1; - wire \sig_txd_wr_data_reg[0] ; - wire \sig_txd_wr_data_reg[0]_0 ; - wire \sig_txd_wr_data_reg[0]_1 ; wire sig_txd_wr_en; wire start_wr; wire txd_wr_en; - wire [0:0]wr_data_count_axis; + wire [10:0]wr_data_count_axis; wire NLW_COMP_FIFO_almost_empty_axis_UNCONNECTED; wire NLW_COMP_FIFO_almost_full_axis_UNCONNECTED; wire NLW_COMP_FIFO_dbiterr_axis_UNCONNECTED; wire NLW_COMP_FIFO_sbiterr_axis_UNCONNECTED; - wire [9:0]NLW_COMP_FIFO_rd_data_count_axis_UNCONNECTED; + wire [12:0]NLW_COMP_FIFO_rd_data_count_axis_UNCONNECTED; (* AXIS_DATA_WIDTH = "53" *) (* AXIS_FINAL_DATA_WIDTH = "53" *) @@ -1719,18 +1673,18 @@ module design_1_axi_fifo_mm_s_0_0_axis_fg (* EN_ALMOST_EMPTY_INT = "1'b1" *) (* EN_ALMOST_FULL_INT = "1'b1" *) (* EN_DATA_VALID_INT = "1'b1" *) - (* FIFO_DEPTH = "512" *) + (* FIFO_DEPTH = "4096" *) (* FIFO_MEMORY_TYPE = "BRAM" *) - (* LOG_DEPTH_AXIS = "9" *) + (* LOG_DEPTH_AXIS = "12" *) (* PACKET_FIFO = "true" *) (* PKT_SIZE_LT8 = "1'b0" *) (* PROG_EMPTY_THRESH = "5" *) - (* PROG_FULL_THRESH = "507" *) + (* PROG_FULL_THRESH = "4091" *) (* P_COMMON_CLOCK = "1" *) (* P_ECC_MODE = "0" *) (* P_FIFO_MEMORY_TYPE = "2" *) (* P_PKT_MODE = "1" *) - (* RD_DATA_COUNT_WIDTH = "10" *) + (* RD_DATA_COUNT_WIDTH = "13" *) (* RELATED_CLOCKS = "0" *) (* SIM_ASSERT_CHK = "0" *) (* TDATA_OFFSET = "32" *) @@ -1746,7 +1700,7 @@ module design_1_axi_fifo_mm_s_0_0_axis_fg (* TUSER_WIDTH = "4" *) (* USE_ADV_FEATURES = "1606" *) (* USE_ADV_FEATURES_INT = "826617925" *) - (* WR_DATA_COUNT_WIDTH = "10" *) + (* WR_DATA_COUNT_WIDTH = "13" *) (* XPM_MODULE = "TRUE" *) design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis COMP_FIFO (.almost_empty_axis(NLW_COMP_FIFO_almost_empty_axis_UNCONNECTED), @@ -1766,135 +1720,123 @@ module design_1_axi_fifo_mm_s_0_0_axis_fg .m_axis_tvalid(axi_str_txd_tvalid), .prog_empty_axis(prog_empty_axis), .prog_full_axis(prog_full_axis), - .rd_data_count_axis(NLW_COMP_FIFO_rd_data_count_axis_UNCONNECTED[9:0]), + .rd_data_count_axis(NLW_COMP_FIFO_rd_data_count_axis_UNCONNECTED[12:0]), .s_aclk(s_axi_aclk), .s_aresetn(s_aresetn), .s_axis_tdata(Q), .s_axis_tdest({1'b0,1'b0,1'b0,1'b0}), .s_axis_tid({1'b0,1'b0,1'b0,1'b0}), .s_axis_tkeep({1'b0,1'b0,1'b0,1'b0}), - .s_axis_tlast(\gen_wr_a.gen_word_narrow.mem_reg_0 ), + .s_axis_tlast(\gen_wr_a.gen_word_narrow.mem_reg_5 ), .s_axis_tready(s_axis_tready_i), .s_axis_tstrb({COMP_FIFO_i_2_n_0,input_tstrb,1'b1}), .s_axis_tuser({1'b0,1'b0,1'b0,1'b0}), .s_axis_tvalid(input_tvalid), .sbiterr_axis(NLW_COMP_FIFO_sbiterr_axis_UNCONNECTED), - .wr_data_count_axis({sig_txd_occupancy[9:2],wr_data_count_axis,sig_txd_occupancy[0]})); + .wr_data_count_axis({sig_txd_occupancy[12],wr_data_count_axis,sig_txd_occupancy[0]})); LUT3 #( .INIT(8'hA8)) COMP_FIFO_i_1 (.I0(start_wr), .I1(txd_wr_en), - .I2(\gen_wr_a.gen_word_narrow.mem_reg_0 ), + .I2(\gen_wr_a.gen_word_narrow.mem_reg_5 ), .O(input_tvalid)); LUT3 #( .INIT(8'h1F)) COMP_FIFO_i_2 - (.I0(\gen_wr_a.gen_word_narrow.mem_reg_1 [0]), - .I1(\gen_wr_a.gen_word_narrow.mem_reg_1 [1]), - .I2(\gen_wr_a.gen_word_narrow.mem_reg_0 ), + (.I0(\gen_wr_a.gen_word_narrow.mem_reg_3 [0]), + .I1(\gen_wr_a.gen_word_narrow.mem_reg_3 [1]), + .I2(\gen_wr_a.gen_word_narrow.mem_reg_5 ), .O(COMP_FIFO_i_2_n_0)); LUT3 #( .INIT(8'h9F)) COMP_FIFO_i_3 - (.I0(\gen_wr_a.gen_word_narrow.mem_reg_1 [0]), - .I1(\gen_wr_a.gen_word_narrow.mem_reg_1 [1]), - .I2(\gen_wr_a.gen_word_narrow.mem_reg_0 ), + (.I0(\gen_wr_a.gen_word_narrow.mem_reg_3 [0]), + .I1(\gen_wr_a.gen_word_narrow.mem_reg_3 [1]), + .I2(\gen_wr_a.gen_word_narrow.mem_reg_5 ), .O(input_tstrb[2])); LUT3 #( .INIT(8'hDF)) COMP_FIFO_i_4 - (.I0(\gen_wr_a.gen_word_narrow.mem_reg_1 [0]), - .I1(\gen_wr_a.gen_word_narrow.mem_reg_1 [1]), - .I2(\gen_wr_a.gen_word_narrow.mem_reg_0 ), + (.I0(\gen_wr_a.gen_word_narrow.mem_reg_3 [0]), + .I1(\gen_wr_a.gen_word_narrow.mem_reg_3 [1]), + .I2(\gen_wr_a.gen_word_narrow.mem_reg_5 ), .O(input_tstrb[1])); - (* SOFT_HLUTNM = "soft_lutpair26" *) + (* SOFT_HLUTNM = "soft_lutpair6" *) LUT5 #( - .INIT(32'h00AA03AA)) + .INIT(32'h00AA30AA)) IP2Bus_Error_i_1 (.I0(p_1_in), .I1(IP2Bus_Error_reg_0), - .I2(\gwdc.wr_data_count_i_reg[9] ), + .I2(\gwdc.wr_data_count_i_reg[12] ), .I3(s_axi_aresetn), .I4(IP2Bus_Error_reg_1), .O(IP2Bus_Error_reg)); - (* SOFT_HLUTNM = "soft_lutpair30" *) - LUT2 #( - .INIT(4'h9)) - \gfifo_gen.gmm2s.vacancy_i[2]_i_1 - (.I0(wr_data_count_axis), - .I1(sig_txd_occupancy[2]), - .O(D[0])); - (* SOFT_HLUTNM = "soft_lutpair30" *) - LUT3 #( - .INIT(8'h95)) - \gfifo_gen.gmm2s.vacancy_i[3]_i_1 - (.I0(sig_txd_occupancy[3]), - .I1(wr_data_count_axis), - .I2(sig_txd_occupancy[2]), - .O(D[1])); - (* SOFT_HLUTNM = "soft_lutpair28" *) - LUT4 #( - .INIT(16'h9555)) - \gfifo_gen.gmm2s.vacancy_i[4]_i_1 - (.I0(sig_txd_occupancy[4]), - .I1(sig_txd_occupancy[3]), - .I2(sig_txd_occupancy[2]), - .I3(wr_data_count_axis), - .O(D[2])); - (* SOFT_HLUTNM = "soft_lutpair29" *) - LUT2 #( - .INIT(4'h9)) - \gfifo_gen.gmm2s.vacancy_i[5]_i_1 - (.I0(\gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0 ), - .I1(sig_txd_occupancy[5]), - .O(D[3])); - (* SOFT_HLUTNM = "soft_lutpair29" *) - LUT3 #( - .INIT(8'h87)) - \gfifo_gen.gmm2s.vacancy_i[6]_i_1 - (.I0(sig_txd_occupancy[5]), - .I1(\gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0 ), - .I2(sig_txd_occupancy[6]), - .O(D[4])); - (* SOFT_HLUTNM = "soft_lutpair27" *) - LUT4 #( - .INIT(16'h870F)) - \gfifo_gen.gmm2s.vacancy_i[7]_i_1 - (.I0(sig_txd_occupancy[5]), - .I1(\gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0 ), - .I2(sig_txd_occupancy[7]), - .I3(sig_txd_occupancy[6]), - .O(D[5])); - (* SOFT_HLUTNM = "soft_lutpair27" *) - LUT5 #( - .INIT(32'h870F0F0F)) - \gfifo_gen.gmm2s.vacancy_i[8]_i_1 - (.I0(sig_txd_occupancy[5]), - .I1(\gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0 ), - .I2(sig_txd_occupancy[8]), - .I3(sig_txd_occupancy[6]), - .I4(sig_txd_occupancy[7]), - .O(D[6])); - LUT6 #( - .INIT(64'h78F0F0F0F0F0F0F0)) - \gfifo_gen.gmm2s.vacancy_i[9]_i_2 - (.I0(sig_txd_occupancy[5]), - .I1(\gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0 ), - .I2(sig_txd_occupancy[9]), - .I3(sig_txd_occupancy[8]), - .I4(sig_txd_occupancy[7]), - .I5(sig_txd_occupancy[6]), - .O(D[7])); - (* SOFT_HLUTNM = "soft_lutpair28" *) - LUT4 #( - .INIT(16'h8000)) - \gfifo_gen.gmm2s.vacancy_i[9]_i_3 - (.I0(sig_txd_occupancy[4]), - .I1(sig_txd_occupancy[3]), - .I2(sig_txd_occupancy[2]), - .I3(wr_data_count_axis), - .O(\gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0 )); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__0_i_1 + (.I0(wr_data_count_axis[6]), + .O(\gwdc.wr_data_count_i_reg[7] [3])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__0_i_2 + (.I0(wr_data_count_axis[5]), + .O(\gwdc.wr_data_count_i_reg[7] [2])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__0_i_3 + (.I0(wr_data_count_axis[4]), + .O(\gwdc.wr_data_count_i_reg[7] [1])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__0_i_4 + (.I0(wr_data_count_axis[3]), + .O(\gwdc.wr_data_count_i_reg[7] [0])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__1_i_1 + (.I0(wr_data_count_axis[10]), + .O(DI[3])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__1_i_2 + (.I0(wr_data_count_axis[9]), + .O(DI[2])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__1_i_3 + (.I0(wr_data_count_axis[8]), + .O(DI[1])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__1_i_4 + (.I0(wr_data_count_axis[7]), + .O(DI[0])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__2_i_1 + (.I0(sig_txd_occupancy[12]), + .O(S)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry_i_1 + (.I0(wr_data_count_axis[2]), + .O(\gwdc.wr_data_count_i_reg[3] [2])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry_i_2 + (.I0(wr_data_count_axis[1]), + .O(\gwdc.wr_data_count_i_reg[3] [1])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry_i_3 + (.I0(wr_data_count_axis[0]), + .O(\gwdc.wr_data_count_i_reg[3] [0])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry_i_4 + (.I0(sig_txd_occupancy[0]), + .O(\gwdc.wr_data_count_i_reg[0] )); LUT3 #( .INIT(8'h04)) mm2s_prmry_reset_out_n_INST_0 @@ -1909,45 +1851,42 @@ module design_1_axi_fifo_mm_s_0_0_axis_fg .I1(sig_txd_prog_empty_d1), .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg )); LUT6 #( - .INIT(64'h1555555555555555)) + .INIT(64'hABAAAAAAAAAAAAAA)) \sig_register_array[0][3]_i_3 - (.I0(sig_txd_occupancy[9]), - .I1(sig_txd_occupancy[6]), - .I2(sig_txd_occupancy[5]), - .I3(sig_txd_occupancy[8]), - .I4(sig_txd_occupancy[7]), - .I5(\gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0 ), - .O(\gwdc.wr_data_count_i_reg[9] )); - LUT5 #( - .INIT(32'hF8FFF8F8)) - \sig_register_array[0][4]_i_3 - (.I0(axi_str_txd_tvalid), - .I1(axi_str_txd_tlast), - .I2(\sig_register_array_reg[0][4] ), - .I3(\sig_register_array_reg[0][4]_0 ), - .I4(s_axi_wdata), - .O(\gen_wr_a.gen_word_narrow.mem_reg )); + (.I0(sig_txd_occupancy[12]), + .I1(\sig_register_array[0][3]_i_4_n_0 ), + .I2(\sig_register_array[0][3]_i_5_n_0 ), + .I3(wr_data_count_axis[8]), + .I4(wr_data_count_axis[7]), + .I5(wr_data_count_axis[3]), + .O(\gwdc.wr_data_count_i_reg[12] )); + LUT4 #( + .INIT(16'h7FFF)) + \sig_register_array[0][3]_i_4 + (.I0(wr_data_count_axis[1]), + .I1(wr_data_count_axis[4]), + .I2(wr_data_count_axis[6]), + .I3(wr_data_count_axis[10]), + .O(\sig_register_array[0][3]_i_4_n_0 )); + LUT4 #( + .INIT(16'h7FFF)) + \sig_register_array[0][3]_i_5 + (.I0(wr_data_count_axis[0]), + .I1(wr_data_count_axis[2]), + .I2(wr_data_count_axis[5]), + .I3(wr_data_count_axis[9]), + .O(\sig_register_array[0][3]_i_5_n_0 )); LUT2 #( .INIT(4'h2)) \sig_register_array[0][9]_i_2 (.I0(prog_full_axis), .I1(sig_txd_prog_full_d1), .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg )); - LUT6 #( - .INIT(64'h000000002222F222)) - \sig_txd_wr_data[31]_i_1 - (.I0(\gwdc.wr_data_count_i_reg[9] ), - .I1(IP2Bus_Error_reg_0), - .I2(\sig_txd_wr_data_reg[0] ), - .I3(\sig_txd_wr_data_reg[0]_0 ), - .I4(\sig_txd_wr_data_reg[0]_1 ), - .I5(IP2Bus_Error_reg_1), - .O(E)); - (* SOFT_HLUTNM = "soft_lutpair26" *) + (* SOFT_HLUTNM = "soft_lutpair6" *) LUT2 #( - .INIT(4'h2)) + .INIT(4'h1)) sig_txd_wr_en_i_1 - (.I0(\gwdc.wr_data_count_i_reg[9] ), + (.I0(\gwdc.wr_data_count_i_reg[12] ), .I1(IP2Bus_Error_reg_0), .O(sig_txd_wr_en)); endmodule @@ -1960,22 +1899,17 @@ module design_1_axi_fifo_mm_s_0_0_fifo prog_full_axis, prog_empty_axis, s_aresetn, - \gen_wr_a.gen_word_narrow.mem_reg , D, sig_txd_wr_en, - \gwdc.wr_data_count_i_reg[9] , + \gwdc.wr_data_count_i_reg[12] , \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg , \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg , IP2Bus_Error_reg, - E, s_axi_aclk, - \gen_wr_a.gen_word_narrow.mem_reg_0 , + \gen_wr_a.gen_word_narrow.mem_reg_5 , axi_str_txd_tready, txd_wr_en, - \sig_register_array_reg[0][4] , - \sig_register_array_reg[0][4]_0 , - s_axi_wdata, - \sig_ip2bus_data_reg[22] , + \sig_ip2bus_data_reg[19] , IP2Bus_Error_reg_0, sig_txd_prog_full_d1, sig_txd_prog_empty_d1, @@ -1984,32 +1918,24 @@ module design_1_axi_fifo_mm_s_0_0_fifo s_axi_aresetn, IP2Bus_Error_reg_1, Axi_Str_RxD_AReset, - mm2s_prmry_reset_out_n, - \sig_txd_wr_data_reg[0] , - \sig_txd_wr_data_reg[0]_0 , - \sig_txd_wr_data_reg[0]_1 ); + mm2s_prmry_reset_out_n); output axi_str_txd_tvalid; output [31:0]axi_str_txd_tdata; output axi_str_txd_tlast; output prog_full_axis; output prog_empty_axis; output s_aresetn; - output \gen_wr_a.gen_word_narrow.mem_reg ; - output [8:0]D; + output [11:0]D; output sig_txd_wr_en; - output \gwdc.wr_data_count_i_reg[9] ; + output \gwdc.wr_data_count_i_reg[12] ; output \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ; output \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg ; output IP2Bus_Error_reg; - output [0:0]E; input s_axi_aclk; - input \gen_wr_a.gen_word_narrow.mem_reg_0 ; + input \gen_wr_a.gen_word_narrow.mem_reg_5 ; input axi_str_txd_tready; input txd_wr_en; - input \sig_register_array_reg[0][4] ; - input \sig_register_array_reg[0][4]_0 ; - input [0:0]s_axi_wdata; - input \sig_ip2bus_data_reg[22] ; + input \sig_ip2bus_data_reg[19] ; input IP2Bus_Error_reg_0; input sig_txd_prog_full_d1; input sig_txd_prog_empty_d1; @@ -2019,13 +1945,9 @@ module design_1_axi_fifo_mm_s_0_0_fifo input IP2Bus_Error_reg_1; input Axi_Str_RxD_AReset; input mm2s_prmry_reset_out_n; - input \sig_txd_wr_data_reg[0] ; - input \sig_txd_wr_data_reg[0]_0 ; - input \sig_txd_wr_data_reg[0]_1 ; wire Axi_Str_RxD_AReset; - wire [8:0]D; - wire [0:0]E; + wire [11:0]D; wire IP2Bus_Error_reg; wire IP2Bus_Error_reg_0; wire IP2Bus_Error_reg_1; @@ -2034,15 +1956,38 @@ module design_1_axi_fifo_mm_s_0_0_fifo wire axi_str_txd_tlast; wire axi_str_txd_tready; wire axi_str_txd_tvalid; - wire [9:1]data2; + wire [12:1]data2; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ; - wire \gen_wr_a.gen_word_narrow.mem_reg ; - wire \gen_wr_a.gen_word_narrow.mem_reg_0 ; - wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_42 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_5 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_50 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_51 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_52 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_53 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_54 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_55 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_56 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_57 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_58 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_59 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_60 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_61 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_62 ; wire \gfifo_gen.gmm2s.start_wr_i_1_n_0 ; - wire \gwdc.wr_data_count_i_reg[9] ; - wire [9:2]minusOp; + wire \gwdc.wr_data_count_i_reg[12] ; + wire [12:1]minusOp; + wire minusOp_carry__0_n_0; + wire minusOp_carry__0_n_1; + wire minusOp_carry__0_n_2; + wire minusOp_carry__0_n_3; + wire minusOp_carry__1_n_0; + wire minusOp_carry__1_n_1; + wire minusOp_carry__1_n_2; + wire minusOp_carry__1_n_3; + wire minusOp_carry_n_0; + wire minusOp_carry_n_1; + wire minusOp_carry_n_2; + wire minusOp_carry_n_3; wire mm2s_prmry_reset_out_n; wire [0:0]p_1_in; wire prog_empty_axis; @@ -2050,40 +1995,39 @@ module design_1_axi_fifo_mm_s_0_0_fifo wire s_aresetn; wire s_axi_aclk; wire s_axi_aresetn; - wire [0:0]s_axi_wdata; - wire \sig_ip2bus_data_reg[22] ; - wire \sig_register_array_reg[0][4] ; - wire \sig_register_array_reg[0][4]_0 ; - wire [1:1]sig_txd_occupancy; + wire \sig_ip2bus_data_reg[19] ; + wire [11:1]sig_txd_occupancy; wire sig_txd_prog_empty_d1; wire sig_txd_prog_full_d1; wire sig_txd_reset0_out; - wire \sig_txd_wr_data_reg[0] ; - wire \sig_txd_wr_data_reg[0]_0 ; - wire \sig_txd_wr_data_reg[0]_1 ; wire sig_txd_wr_en; wire start_wr; wire txd_wr_en; wire [31:0]wr_data_int; + wire [0:0]NLW_minusOp_carry_O_UNCONNECTED; + wire [3:0]NLW_minusOp_carry__2_CO_UNCONNECTED; + wire [3:1]NLW_minusOp_carry__2_O_UNCONNECTED; design_1_axi_fifo_mm_s_0_0_axis_fg \gfifo_gen.COMP_AXIS_FG_FIFO (.Axi_Str_RxD_AReset(Axi_Str_RxD_AReset), - .D({minusOp[9],\gfifo_gen.COMP_AXIS_FG_FIFO_n_42 ,minusOp[7:2]}), - .E(E), + .DI({\gfifo_gen.COMP_AXIS_FG_FIFO_n_51 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_52 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_53 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_54 }), .IP2Bus_Error_reg(IP2Bus_Error_reg), .IP2Bus_Error_reg_0(IP2Bus_Error_reg_0), .IP2Bus_Error_reg_1(IP2Bus_Error_reg_1), .Q(wr_data_int), + .S(\gfifo_gen.COMP_AXIS_FG_FIFO_n_50 ), .axi_str_txd_tdata(axi_str_txd_tdata), .axi_str_txd_tlast(axi_str_txd_tlast), .axi_str_txd_tready(axi_str_txd_tready), .axi_str_txd_tvalid(axi_str_txd_tvalid), .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg (\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg ), .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg (\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ), - .\gen_wr_a.gen_word_narrow.mem_reg (\gen_wr_a.gen_word_narrow.mem_reg ), - .\gen_wr_a.gen_word_narrow.mem_reg_0 (\gen_wr_a.gen_word_narrow.mem_reg_0 ), - .\gen_wr_a.gen_word_narrow.mem_reg_1 (Q[1:0]), - .\gwdc.wr_data_count_i_reg[9] (\gwdc.wr_data_count_i_reg[9] ), + .\gen_wr_a.gen_word_narrow.mem_reg_3 (Q[1:0]), + .\gen_wr_a.gen_word_narrow.mem_reg_5 (\gen_wr_a.gen_word_narrow.mem_reg_5 ), + .\gwdc.wr_data_count_i_reg[0] (\gfifo_gen.COMP_AXIS_FG_FIFO_n_62 ), + .\gwdc.wr_data_count_i_reg[12] (\gwdc.wr_data_count_i_reg[12] ), + .\gwdc.wr_data_count_i_reg[3] ({\gfifo_gen.COMP_AXIS_FG_FIFO_n_59 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_60 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_61 }), + .\gwdc.wr_data_count_i_reg[7] ({\gfifo_gen.COMP_AXIS_FG_FIFO_n_55 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_56 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_57 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_58 }), .mm2s_prmry_reset_out_n(mm2s_prmry_reset_out_n), .p_1_in(p_1_in), .prog_empty_axis(prog_empty_axis), @@ -2091,14 +2035,8 @@ module design_1_axi_fifo_mm_s_0_0_fifo .s_aresetn(s_aresetn), .s_axi_aclk(s_axi_aclk), .s_axi_aresetn(s_axi_aresetn), - .s_axi_wdata(s_axi_wdata), - .\sig_register_array_reg[0][4] (\sig_register_array_reg[0][4] ), - .\sig_register_array_reg[0][4]_0 (\sig_register_array_reg[0][4]_0 ), .sig_txd_prog_empty_d1(sig_txd_prog_empty_d1), .sig_txd_prog_full_d1(sig_txd_prog_full_d1), - .\sig_txd_wr_data_reg[0] (\sig_txd_wr_data_reg[0] ), - .\sig_txd_wr_data_reg[0]_0 (\sig_txd_wr_data_reg[0]_0 ), - .\sig_txd_wr_data_reg[0]_1 (\sig_txd_wr_data_reg[0]_1 ), .sig_txd_wr_en(sig_txd_wr_en), .start_wr(start_wr), .txd_wr_en(txd_wr_en), @@ -2107,7 +2045,7 @@ module design_1_axi_fifo_mm_s_0_0_fifo .INIT(8'hBA)) \gfifo_gen.gmm2s.start_wr_i_1 (.I0(txd_wr_en), - .I1(\gen_wr_a.gen_word_narrow.mem_reg_0 ), + .I1(\gen_wr_a.gen_word_narrow.mem_reg_5 ), .I2(start_wr), .O(\gfifo_gen.gmm2s.start_wr_i_1_n_0 )); FDRE #( @@ -2120,15 +2058,39 @@ module design_1_axi_fifo_mm_s_0_0_fifo .R(sig_txd_reset0_out)); LUT1 #( .INIT(2'h1)) - \gfifo_gen.gmm2s.vacancy_i[9]_i_1 + \gfifo_gen.gmm2s.vacancy_i[12]_i_1 (.I0(s_aresetn), .O(sig_txd_reset0_out)); + FDRE #( + .INIT(1'b0)) + \gfifo_gen.gmm2s.vacancy_i_reg[10] + (.C(s_axi_aclk), + .CE(1'b1), + .D(minusOp[10]), + .Q(data2[10]), + .R(sig_txd_reset0_out)); + FDRE #( + .INIT(1'b0)) + \gfifo_gen.gmm2s.vacancy_i_reg[11] + (.C(s_axi_aclk), + .CE(1'b1), + .D(minusOp[11]), + .Q(data2[11]), + .R(sig_txd_reset0_out)); + FDRE #( + .INIT(1'b0)) + \gfifo_gen.gmm2s.vacancy_i_reg[12] + (.C(s_axi_aclk), + .CE(1'b1), + .D(minusOp[12]), + .Q(data2[12]), + .R(sig_txd_reset0_out)); FDRE #( .INIT(1'b0)) \gfifo_gen.gmm2s.vacancy_i_reg[1] (.C(s_axi_aclk), .CE(1'b1), - .D(sig_txd_occupancy), + .D(minusOp[1]), .Q(data2[1]), .R(sig_txd_reset0_out)); FDRE #( @@ -2184,7 +2146,7 @@ module design_1_axi_fifo_mm_s_0_0_fifo \gfifo_gen.gmm2s.vacancy_i_reg[8] (.C(s_axi_aclk), .CE(1'b1), - .D(\gfifo_gen.COMP_AXIS_FG_FIFO_n_42 ), + .D(minusOp[8]), .Q(data2[8]), .R(sig_txd_reset0_out)); FDRE #( @@ -2451,67 +2413,121 @@ module design_1_axi_fifo_mm_s_0_0_fifo .D(Q[9]), .Q(wr_data_int[9]), .R(sig_txd_reset0_out)); + (* ADDER_THRESHOLD = "35" *) + CARRY4 minusOp_carry + (.CI(1'b0), + .CO({minusOp_carry_n_0,minusOp_carry_n_1,minusOp_carry_n_2,minusOp_carry_n_3}), + .CYINIT(1'b0), + .DI({\gfifo_gen.COMP_AXIS_FG_FIFO_n_59 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_60 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_61 ,1'b0}), + .O({minusOp[3:1],NLW_minusOp_carry_O_UNCONNECTED[0]}), + .S({sig_txd_occupancy[3:1],\gfifo_gen.COMP_AXIS_FG_FIFO_n_62 })); + (* ADDER_THRESHOLD = "35" *) + CARRY4 minusOp_carry__0 + (.CI(minusOp_carry_n_0), + .CO({minusOp_carry__0_n_0,minusOp_carry__0_n_1,minusOp_carry__0_n_2,minusOp_carry__0_n_3}), + .CYINIT(1'b0), + .DI({\gfifo_gen.COMP_AXIS_FG_FIFO_n_55 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_56 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_57 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_58 }), + .O(minusOp[7:4]), + .S(sig_txd_occupancy[7:4])); + (* ADDER_THRESHOLD = "35" *) + CARRY4 minusOp_carry__1 + (.CI(minusOp_carry__0_n_0), + .CO({minusOp_carry__1_n_0,minusOp_carry__1_n_1,minusOp_carry__1_n_2,minusOp_carry__1_n_3}), + .CYINIT(1'b0), + .DI({\gfifo_gen.COMP_AXIS_FG_FIFO_n_51 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_52 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_53 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_54 }), + .O(minusOp[11:8]), + .S(sig_txd_occupancy[11:8])); + (* ADDER_THRESHOLD = "35" *) + CARRY4 minusOp_carry__2 + (.CI(minusOp_carry__1_n_0), + .CO(NLW_minusOp_carry__2_CO_UNCONNECTED[3:0]), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({NLW_minusOp_carry__2_O_UNCONNECTED[3:1],minusOp[12]}), + .S({1'b0,1'b0,1'b0,\gfifo_gen.COMP_AXIS_FG_FIFO_n_50 })); + (* SOFT_HLUTNM = "soft_lutpair12" *) + LUT2 #( + .INIT(4'h2)) + \sig_ip2bus_data[19]_i_1 + (.I0(data2[12]), + .I1(\sig_ip2bus_data_reg[19] ), + .O(D[11])); + (* SOFT_HLUTNM = "soft_lutpair12" *) + LUT2 #( + .INIT(4'h2)) + \sig_ip2bus_data[20]_i_1 + (.I0(data2[11]), + .I1(\sig_ip2bus_data_reg[19] ), + .O(D[10])); + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT2 #( + .INIT(4'h2)) + \sig_ip2bus_data[21]_i_1 + (.I0(data2[10]), + .I1(\sig_ip2bus_data_reg[19] ), + .O(D[9])); + (* SOFT_HLUTNM = "soft_lutpair11" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[22]_i_1 (.I0(data2[9]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[8])); - (* SOFT_HLUTNM = "soft_lutpair34" *) + (* SOFT_HLUTNM = "soft_lutpair10" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[23]_i_1 (.I0(data2[8]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[7])); - (* SOFT_HLUTNM = "soft_lutpair34" *) + (* SOFT_HLUTNM = "soft_lutpair10" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[24]_i_1 (.I0(data2[7]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[6])); - (* SOFT_HLUTNM = "soft_lutpair33" *) + (* SOFT_HLUTNM = "soft_lutpair9" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[25]_i_1 (.I0(data2[6]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[5])); - (* SOFT_HLUTNM = "soft_lutpair33" *) + (* SOFT_HLUTNM = "soft_lutpair9" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[26]_i_1 (.I0(data2[5]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[4])); - (* SOFT_HLUTNM = "soft_lutpair32" *) + (* SOFT_HLUTNM = "soft_lutpair8" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[27]_i_1 (.I0(data2[4]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[3])); - (* SOFT_HLUTNM = "soft_lutpair32" *) + (* SOFT_HLUTNM = "soft_lutpair8" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[28]_i_1 (.I0(data2[3]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[2])); - (* SOFT_HLUTNM = "soft_lutpair31" *) + (* SOFT_HLUTNM = "soft_lutpair7" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[29]_i_1 (.I0(data2[2]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[1])); - (* SOFT_HLUTNM = "soft_lutpair31" *) + (* SOFT_HLUTNM = "soft_lutpair7" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[30]_i_1 (.I0(data2[1]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[0])); endmodule @@ -2527,43 +2543,39 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s p_1_in, sig_tx_channel_reset_reg_0, cs_ce_clr, - IPIC_STATE_reg_0, IP2Bus_Error1_in, s2mm_prmry_reset_out_n, s_axi_wdata_7_sp_1, - s_axi_wdata_0_sp_1, interrupt, Q, - \sig_register_array_reg[0][4]_0 , - \sig_register_array_reg[0][3]_0 , - \sig_register_array_reg[0][6]_0 , \sig_register_array_reg[0][10]_0 , + \sig_register_array_reg[0][3]_0 , \sig_register_array_reg[0][7]_0 , - \sig_register_array_reg[0][9]_0 , + \sig_register_array_reg[0][4]_0 , \sig_register_array_reg[0][8]_0 , + \sig_register_array_reg[0][6]_0 , + \sig_register_array_reg[0][9]_0 , \sig_ip2bus_data_reg[0]_0 , s_axi_aclk, axi_str_txd_tready, - sig_txd_sb_wr_en, sig_str_rst_reg_1, IP2Bus_WrAck_reg_1, IP2Bus_RdAck_reg_1, sig_Bus2IP_CS, s_axi_aresetn, + sig_txd_sb_wr_en_reg_0, \sig_register_array_reg[0][3]_1 , s_axi_wdata, - \sig_txd_wr_data_reg[0]_0 , D, + \sig_ip2bus_data_reg[19]_0 , \sig_ip2bus_data_reg[12]_0 , - \sig_ip2bus_data_reg[22]_0 , IP2Bus_Error_reg_0, sig_tx_channel_reset_reg_1, - \sig_txd_wr_data_reg[0]_1 , \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg , \sig_register_array_reg[0][4]_1 , + \sig_register_array_reg[0][4]_2 , \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg , Bus_RNW_reg, - \sig_register_array_reg[0][6]_1 , E, \sig_register_array_reg[1][0]_0 ); output axi_str_txd_tvalid; @@ -2576,43 +2588,39 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s output [0:0]p_1_in; output sig_tx_channel_reset_reg_0; output cs_ce_clr; - output IPIC_STATE_reg_0; output IP2Bus_Error1_in; output s2mm_prmry_reset_out_n; output s_axi_wdata_7_sp_1; - output s_axi_wdata_0_sp_1; output interrupt; output [6:0]Q; - output \sig_register_array_reg[0][4]_0 ; - output \sig_register_array_reg[0][3]_0 ; - output \sig_register_array_reg[0][6]_0 ; output \sig_register_array_reg[0][10]_0 ; + output \sig_register_array_reg[0][3]_0 ; output \sig_register_array_reg[0][7]_0 ; - output \sig_register_array_reg[0][9]_0 ; + output \sig_register_array_reg[0][4]_0 ; output \sig_register_array_reg[0][8]_0 ; - output [21:0]\sig_ip2bus_data_reg[0]_0 ; + output \sig_register_array_reg[0][6]_0 ; + output \sig_register_array_reg[0][9]_0 ; + output [24:0]\sig_ip2bus_data_reg[0]_0 ; input s_axi_aclk; input axi_str_txd_tready; - input sig_txd_sb_wr_en; input sig_str_rst_reg_1; input IP2Bus_WrAck_reg_1; input IP2Bus_RdAck_reg_1; input sig_Bus2IP_CS; input s_axi_aresetn; + input sig_txd_sb_wr_en_reg_0; input \sig_register_array_reg[0][3]_1 ; input [31:0]s_axi_wdata; - input \sig_txd_wr_data_reg[0]_0 ; input [6:0]D; + input \sig_ip2bus_data_reg[19]_0 ; input \sig_ip2bus_data_reg[12]_0 ; - input \sig_ip2bus_data_reg[22]_0 ; input IP2Bus_Error_reg_0; input sig_tx_channel_reset_reg_1; - input \sig_txd_wr_data_reg[0]_1 ; input \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ; input \sig_register_array_reg[0][4]_1 ; + input \sig_register_array_reg[0][4]_2 ; input \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg ; input Bus_RNW_reg; - input \sig_register_array_reg[0][6]_1 ; input [0:0]E; input [12:0]\sig_register_array_reg[1][0]_0 ; @@ -2630,7 +2638,6 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s wire IP2Bus_WrAck_reg_0; wire IP2Bus_WrAck_reg_1; wire IPIC_STATE; - wire IPIC_STATE_reg_0; wire [6:0]Q; wire [30:1]R; wire R_carry__0_i_1_n_0; @@ -2719,12 +2726,10 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s wire \eqOp_inferred__2/i__carry_n_1 ; wire \eqOp_inferred__2/i__carry_n_2 ; wire \eqOp_inferred__2/i__carry_n_3 ; - wire \gtxd.COMP_TXD_FIFO_n_37 ; - wire \gtxd.COMP_TXD_FIFO_n_48 ; - wire \gtxd.COMP_TXD_FIFO_n_49 ; wire \gtxd.COMP_TXD_FIFO_n_50 ; wire \gtxd.COMP_TXD_FIFO_n_51 ; wire \gtxd.COMP_TXD_FIFO_n_52 ; + wire \gtxd.COMP_TXD_FIFO_n_53 ; wire \gtxd.sig_txd_packet_size[0]_i_1_n_0 ; wire \gtxd.sig_txd_packet_size[0]_i_3_n_0 ; wire [30:0]\gtxd.sig_txd_packet_size_reg ; @@ -2819,18 +2824,18 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s wire s_axi_aclk; wire s_axi_aresetn; wire [31:0]s_axi_wdata; - wire s_axi_wdata_0_sn_1; wire s_axi_wdata_7_sn_1; wire sig_Bus2IP_CS; wire sig_Bus2IP_Reset; wire [0:30]sig_ip2bus_data; - wire [21:0]\sig_ip2bus_data_reg[0]_0 ; + wire [24:0]\sig_ip2bus_data_reg[0]_0 ; wire \sig_ip2bus_data_reg[12]_0 ; - wire \sig_ip2bus_data_reg[22]_0 ; + wire \sig_ip2bus_data_reg[19]_0 ; wire \sig_register_array[0][10]_i_1_n_0 ; wire \sig_register_array[0][3]_i_1_n_0 ; wire \sig_register_array[0][4]_i_1_n_0 ; wire \sig_register_array[0][6]_i_1_n_0 ; + wire \sig_register_array[0][6]_i_2_n_0 ; wire \sig_register_array[0][7]_i_1_n_0 ; wire \sig_register_array[0][8]_i_1_n_0 ; wire \sig_register_array[0][9]_i_1_n_0 ; @@ -2839,8 +2844,8 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s wire \sig_register_array_reg[0][3]_1 ; wire \sig_register_array_reg[0][4]_0 ; wire \sig_register_array_reg[0][4]_1 ; + wire \sig_register_array_reg[0][4]_2 ; wire \sig_register_array_reg[0][6]_0 ; - wire \sig_register_array_reg[0][6]_1 ; wire \sig_register_array_reg[0][7]_0 ; wire \sig_register_array_reg[0][8]_0 ; wire \sig_register_array_reg[0][9]_0 ; @@ -2862,9 +2867,10 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s wire sig_txd_prog_full; wire sig_txd_prog_full_d1; wire sig_txd_sb_wr_en; + wire sig_txd_sb_wr_en_reg_0; wire sig_txd_sb_wr_en_reg_n_0; - wire \sig_txd_wr_data_reg[0]_0 ; - wire \sig_txd_wr_data_reg[0]_1 ; + wire \sig_txd_wr_data[31]_i_1_n_0 ; + wire \sig_txd_wr_data[31]_i_2_n_0 ; wire sig_txd_wr_en; wire [31:2]txd_wr_data; wire [1:0]txd_wr_data_0; @@ -2882,14 +2888,13 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s wire [3:2]\NLW_gtxd.sig_txd_packet_size_reg[28]_i_1_CO_UNCONNECTED ; wire [3:3]\NLW_gtxd.sig_txd_packet_size_reg[28]_i_1_O_UNCONNECTED ; - assign s_axi_wdata_0_sp_1 = s_axi_wdata_0_sn_1; assign s_axi_wdata_7_sp_1 = s_axi_wdata_7_sn_1; FDRE #( .INIT(1'b0)) IP2Bus_Error_reg (.C(s_axi_aclk), .CE(1'b1), - .D(\gtxd.COMP_TXD_FIFO_n_51 ), + .D(\gtxd.COMP_TXD_FIFO_n_53 ), .Q(p_1_in), .R(1'b0)); LUT1 #( @@ -3196,9 +3201,8 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .S({1'b0,i__carry__1_i_1_n_0,i__carry__1_i_2__0_n_0,i__carry__1_i_3__0_n_0})); design_1_axi_fifo_mm_s_0_0_fifo \gtxd.COMP_TXD_FIFO (.Axi_Str_RxD_AReset(Axi_Str_RxD_AReset), - .D({sig_ip2bus_data[22],sig_ip2bus_data[23],sig_ip2bus_data[24],sig_ip2bus_data[25],sig_ip2bus_data[26],sig_ip2bus_data[27],sig_ip2bus_data[28],sig_ip2bus_data[29],sig_ip2bus_data[30]}), - .E(\gtxd.COMP_TXD_FIFO_n_52 ), - .IP2Bus_Error_reg(\gtxd.COMP_TXD_FIFO_n_51 ), + .D({sig_ip2bus_data[19],sig_ip2bus_data[20],sig_ip2bus_data[21],sig_ip2bus_data[22],sig_ip2bus_data[23],sig_ip2bus_data[24],sig_ip2bus_data[25],sig_ip2bus_data[26],sig_ip2bus_data[27],sig_ip2bus_data[28],sig_ip2bus_data[29],sig_ip2bus_data[30]}), + .IP2Bus_Error_reg(\gtxd.COMP_TXD_FIFO_n_53 ), .IP2Bus_Error_reg_0(IP2Bus_Error_reg_0), .IP2Bus_Error_reg_1(IP2Bus_Error1_in), .Q({txd_wr_data,txd_wr_data_0}), @@ -3206,11 +3210,10 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .axi_str_txd_tlast(axi_str_txd_tlast), .axi_str_txd_tready(axi_str_txd_tready), .axi_str_txd_tvalid(axi_str_txd_tvalid), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg (\gtxd.COMP_TXD_FIFO_n_50 ), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg (\gtxd.COMP_TXD_FIFO_n_49 ), - .\gen_wr_a.gen_word_narrow.mem_reg (\gtxd.COMP_TXD_FIFO_n_37 ), - .\gen_wr_a.gen_word_narrow.mem_reg_0 (sig_txd_sb_wr_en_reg_n_0), - .\gwdc.wr_data_count_i_reg[9] (\gtxd.COMP_TXD_FIFO_n_48 ), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg (\gtxd.COMP_TXD_FIFO_n_52 ), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg (\gtxd.COMP_TXD_FIFO_n_51 ), + .\gen_wr_a.gen_word_narrow.mem_reg_5 (sig_txd_sb_wr_en_reg_n_0), + .\gwdc.wr_data_count_i_reg[12] (\gtxd.COMP_TXD_FIFO_n_50 ), .mm2s_prmry_reset_out_n(sig_tx_channel_reset_reg_0), .p_1_in(p_1_in), .prog_empty_axis(sig_txd_prog_empty), @@ -3218,15 +3221,9 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .s_aresetn(sig_str_rst_reg_0), .s_axi_aclk(s_axi_aclk), .s_axi_aresetn(s_axi_aresetn), - .s_axi_wdata(s_axi_wdata[27]), - .\sig_ip2bus_data_reg[22] (\sig_ip2bus_data_reg[22]_0 ), - .\sig_register_array_reg[0][4] (sig_str_rst_reg_1), - .\sig_register_array_reg[0][4]_0 (\sig_register_array_reg[0][3]_1 ), + .\sig_ip2bus_data_reg[19] (\sig_ip2bus_data_reg[19]_0 ), .sig_txd_prog_empty_d1(sig_txd_prog_empty_d1), .sig_txd_prog_full_d1(sig_txd_prog_full_d1), - .\sig_txd_wr_data_reg[0] (\sig_txd_wr_data_reg[0]_1 ), - .\sig_txd_wr_data_reg[0]_0 (s_axi_wdata_0_sn_1), - .\sig_txd_wr_data_reg[0]_1 (\sig_txd_wr_data_reg[0]_0 ), .sig_txd_wr_en(sig_txd_wr_en), .txd_wr_en(txd_wr_en)); LUT2 #( @@ -3501,42 +3498,42 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s LUT6 #( .INIT(64'h9009000000009009)) i__carry__0_i_1 - (.I0(\gtxd.sig_txd_packet_size_reg [22]), - .I1(s_axi_wdata[24]), - .I2(s_axi_wdata[25]), - .I3(\gtxd.sig_txd_packet_size_reg [23]), - .I4(s_axi_wdata[23]), - .I5(\gtxd.sig_txd_packet_size_reg [21]), + (.I0(\gtxd.sig_txd_packet_size_reg [23]), + .I1(s_axi_wdata[25]), + .I2(s_axi_wdata[23]), + .I3(\gtxd.sig_txd_packet_size_reg [21]), + .I4(s_axi_wdata[24]), + .I5(\gtxd.sig_txd_packet_size_reg [22]), .O(i__carry__0_i_1_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry__0_i_1__0 - (.I0(s_axi_wdata[23]), - .I1(R[21]), - .I2(s_axi_wdata[24]), - .I3(R[22]), - .I4(R[23]), - .I5(s_axi_wdata[25]), + (.I0(s_axi_wdata[25]), + .I1(R[23]), + .I2(s_axi_wdata[23]), + .I3(R[21]), + .I4(R[22]), + .I5(s_axi_wdata[24]), .O(i__carry__0_i_1__0_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry__0_i_2 - (.I0(\gtxd.sig_txd_packet_size_reg [19]), - .I1(s_axi_wdata[21]), - .I2(s_axi_wdata[22]), - .I3(\gtxd.sig_txd_packet_size_reg [20]), - .I4(s_axi_wdata[20]), - .I5(\gtxd.sig_txd_packet_size_reg [18]), + (.I0(\gtxd.sig_txd_packet_size_reg [20]), + .I1(s_axi_wdata[22]), + .I2(s_axi_wdata[20]), + .I3(\gtxd.sig_txd_packet_size_reg [18]), + .I4(s_axi_wdata[21]), + .I5(\gtxd.sig_txd_packet_size_reg [19]), .O(i__carry__0_i_2_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry__0_i_2__0 - (.I0(s_axi_wdata[20]), - .I1(R[18]), - .I2(s_axi_wdata[21]), - .I3(R[19]), - .I4(R[20]), - .I5(s_axi_wdata[22]), + (.I0(s_axi_wdata[22]), + .I1(R[20]), + .I2(s_axi_wdata[20]), + .I3(R[18]), + .I4(R[19]), + .I5(s_axi_wdata[21]), .O(i__carry__0_i_2__0_n_0)); LUT6 #( .INIT(64'h9009000000009009)) @@ -3551,32 +3548,32 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s LUT6 #( .INIT(64'h9009000000009009)) i__carry__0_i_3__0 - (.I0(R[16]), - .I1(s_axi_wdata[18]), - .I2(s_axi_wdata[19]), - .I3(R[17]), - .I4(s_axi_wdata[17]), - .I5(R[15]), + (.I0(s_axi_wdata[18]), + .I1(R[16]), + .I2(s_axi_wdata[17]), + .I3(R[15]), + .I4(R[17]), + .I5(s_axi_wdata[19]), .O(i__carry__0_i_3__0_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry__0_i_4 - (.I0(\gtxd.sig_txd_packet_size_reg [14]), - .I1(s_axi_wdata[16]), - .I2(s_axi_wdata[15]), - .I3(\gtxd.sig_txd_packet_size_reg [13]), + (.I0(\gtxd.sig_txd_packet_size_reg [13]), + .I1(s_axi_wdata[15]), + .I2(s_axi_wdata[16]), + .I3(\gtxd.sig_txd_packet_size_reg [14]), .I4(s_axi_wdata[14]), .I5(\gtxd.sig_txd_packet_size_reg [12]), .O(i__carry__0_i_4_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry__0_i_4__0 - (.I0(s_axi_wdata[16]), - .I1(R[14]), - .I2(s_axi_wdata[14]), - .I3(R[12]), - .I4(R[13]), - .I5(s_axi_wdata[15]), + (.I0(s_axi_wdata[14]), + .I1(R[12]), + .I2(s_axi_wdata[15]), + .I3(R[13]), + .I4(R[14]), + .I5(s_axi_wdata[16]), .O(i__carry__0_i_4__0_n_0)); LUT1 #( .INIT(2'h1)) @@ -3591,22 +3588,22 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s LUT6 #( .INIT(64'h9009000000009009)) i__carry__1_i_2 - (.I0(\gtxd.sig_txd_packet_size_reg [29]), - .I1(s_axi_wdata[31]), - .I2(s_axi_wdata[30]), - .I3(\gtxd.sig_txd_packet_size_reg [28]), + (.I0(\gtxd.sig_txd_packet_size_reg [28]), + .I1(s_axi_wdata[30]), + .I2(s_axi_wdata[31]), + .I3(\gtxd.sig_txd_packet_size_reg [29]), .I4(s_axi_wdata[29]), .I5(\gtxd.sig_txd_packet_size_reg [27]), .O(i__carry__1_i_2_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry__1_i_2__0 - (.I0(s_axi_wdata[29]), - .I1(R[27]), - .I2(s_axi_wdata[31]), - .I3(R[29]), - .I4(R[28]), - .I5(s_axi_wdata[30]), + (.I0(R[28]), + .I1(s_axi_wdata[30]), + .I2(s_axi_wdata[29]), + .I3(R[27]), + .I4(s_axi_wdata[31]), + .I5(R[29]), .O(i__carry__1_i_2__0_n_0)); LUT6 #( .INIT(64'h9009000000009009)) @@ -3631,62 +3628,62 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s LUT6 #( .INIT(64'h9009000000009009)) i__carry_i_1 - (.I0(\gtxd.sig_txd_packet_size_reg [10]), - .I1(s_axi_wdata[12]), - .I2(s_axi_wdata[13]), - .I3(\gtxd.sig_txd_packet_size_reg [11]), + (.I0(\gtxd.sig_txd_packet_size_reg [11]), + .I1(s_axi_wdata[13]), + .I2(s_axi_wdata[12]), + .I3(\gtxd.sig_txd_packet_size_reg [10]), .I4(s_axi_wdata[11]), .I5(\gtxd.sig_txd_packet_size_reg [9]), .O(i__carry_i_1_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry_i_1__0 - (.I0(R[10]), - .I1(s_axi_wdata[12]), - .I2(s_axi_wdata[13]), - .I3(R[11]), - .I4(s_axi_wdata[11]), - .I5(R[9]), + (.I0(s_axi_wdata[13]), + .I1(R[11]), + .I2(s_axi_wdata[11]), + .I3(R[9]), + .I4(R[10]), + .I5(s_axi_wdata[12]), .O(i__carry_i_1__0_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry_i_2 - (.I0(\gtxd.sig_txd_packet_size_reg [8]), - .I1(s_axi_wdata[10]), + (.I0(\gtxd.sig_txd_packet_size_reg [7]), + .I1(s_axi_wdata[9]), .I2(s_axi_wdata[8]), .I3(\gtxd.sig_txd_packet_size_reg [6]), - .I4(s_axi_wdata[9]), - .I5(\gtxd.sig_txd_packet_size_reg [7]), + .I4(s_axi_wdata[10]), + .I5(\gtxd.sig_txd_packet_size_reg [8]), .O(i__carry_i_2_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry_i_2__0 - (.I0(R[8]), - .I1(s_axi_wdata[10]), + (.I0(s_axi_wdata[9]), + .I1(R[7]), .I2(s_axi_wdata[8]), .I3(R[6]), - .I4(s_axi_wdata[9]), - .I5(R[7]), + .I4(R[8]), + .I5(s_axi_wdata[10]), .O(i__carry_i_2__0_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry_i_3 - (.I0(\gtxd.sig_txd_packet_size_reg [4]), - .I1(s_axi_wdata[6]), - .I2(s_axi_wdata[7]), - .I3(\gtxd.sig_txd_packet_size_reg [5]), + (.I0(\gtxd.sig_txd_packet_size_reg [5]), + .I1(s_axi_wdata[7]), + .I2(s_axi_wdata[6]), + .I3(\gtxd.sig_txd_packet_size_reg [4]), .I4(s_axi_wdata[5]), .I5(\gtxd.sig_txd_packet_size_reg [3]), .O(i__carry_i_3_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry_i_3__0 - (.I0(s_axi_wdata[6]), - .I1(R[4]), + (.I0(s_axi_wdata[7]), + .I1(R[5]), .I2(s_axi_wdata[5]), .I3(R[3]), - .I4(R[5]), - .I5(s_axi_wdata[7]), + .I4(R[4]), + .I5(s_axi_wdata[6]), .O(i__carry_i_3__0_n_0)); LUT6 #( .INIT(64'h9009000000009009)) @@ -3713,70 +3710,70 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s interrupt_INST_0 (.I0(interrupt_INST_0_i_1_n_0), .I1(interrupt_INST_0_i_2_n_0), - .I2(Q[5]), - .I3(\sig_register_array_reg[0][4]_0 ), + .I2(Q[0]), + .I3(\sig_register_array_reg[0][10]_0 ), .I4(Q[6]), .I5(\sig_register_array_reg[0][3]_0 ), .O(interrupt)); LUT6 #( .INIT(64'hFFFFF888F888F888)) interrupt_INST_0_i_1 - (.I0(Q[3]), - .I1(\sig_register_array_reg[0][7]_0 ), - .I2(\sig_register_array_reg[0][9]_0 ), - .I3(Q[1]), - .I4(\sig_register_array_reg[0][8]_0 ), - .I5(Q[2]), + (.I0(Q[2]), + .I1(\sig_register_array_reg[0][8]_0 ), + .I2(\sig_register_array_reg[0][6]_0 ), + .I3(Q[4]), + .I4(\sig_register_array_reg[0][9]_0 ), + .I5(Q[1]), .O(interrupt_INST_0_i_1_n_0)); LUT4 #( .INIT(16'hF888)) interrupt_INST_0_i_2 - (.I0(Q[4]), - .I1(\sig_register_array_reg[0][6]_0 ), - .I2(Q[0]), - .I3(\sig_register_array_reg[0][10]_0 ), + (.I0(Q[3]), + .I1(\sig_register_array_reg[0][7]_0 ), + .I2(Q[5]), + .I3(\sig_register_array_reg[0][4]_0 ), .O(interrupt_INST_0_i_2_n_0)); LUT1 #( .INIT(2'h1)) s2mm_prmry_reset_out_n_INST_0 (.I0(Axi_Str_RxD_AReset), .O(s2mm_prmry_reset_out_n)); - (* SOFT_HLUTNM = "soft_lutpair37" *) + (* SOFT_HLUTNM = "soft_lutpair16" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[0]_i_1 (.I0(\sig_register_array_reg_n_0_[1][0] ), .I1(\sig_ip2bus_data_reg[12]_0 ), .O(sig_ip2bus_data[0])); - (* SOFT_HLUTNM = "soft_lutpair35" *) + (* SOFT_HLUTNM = "soft_lutpair14" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[11]_i_1 (.I0(\sig_register_array_reg_n_0_[1][11] ), .I1(\sig_ip2bus_data_reg[12]_0 ), .O(sig_ip2bus_data[11])); - (* SOFT_HLUTNM = "soft_lutpair35" *) + (* SOFT_HLUTNM = "soft_lutpair14" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[12]_i_1 (.I0(\sig_register_array_reg_n_0_[1][12] ), .I1(\sig_ip2bus_data_reg[12]_0 ), .O(sig_ip2bus_data[12])); - (* SOFT_HLUTNM = "soft_lutpair37" *) + (* SOFT_HLUTNM = "soft_lutpair16" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[1]_i_1 (.I0(\sig_register_array_reg_n_0_[1][1] ), .I1(\sig_ip2bus_data_reg[12]_0 ), .O(sig_ip2bus_data[1])); - (* SOFT_HLUTNM = "soft_lutpair36" *) + (* SOFT_HLUTNM = "soft_lutpair15" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[2]_i_1 (.I0(\sig_register_array_reg_n_0_[1][2] ), .I1(\sig_ip2bus_data_reg[12]_0 ), .O(sig_ip2bus_data[2])); - (* SOFT_HLUTNM = "soft_lutpair36" *) + (* SOFT_HLUTNM = "soft_lutpair15" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[5]_i_1 @@ -3789,7 +3786,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(sig_ip2bus_data[0]), - .Q(\sig_ip2bus_data_reg[0]_0 [21]), + .Q(\sig_ip2bus_data_reg[0]_0 [24]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3797,7 +3794,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(D[0]), - .Q(\sig_ip2bus_data_reg[0]_0 [11]), + .Q(\sig_ip2bus_data_reg[0]_0 [14]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3805,7 +3802,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(sig_ip2bus_data[11]), - .Q(\sig_ip2bus_data_reg[0]_0 [10]), + .Q(\sig_ip2bus_data_reg[0]_0 [13]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3813,7 +3810,15 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(sig_ip2bus_data[12]), - .Q(\sig_ip2bus_data_reg[0]_0 [9]), + .Q(\sig_ip2bus_data_reg[0]_0 [12]), + .R(IP2Bus_WrAck_i_1_n_0)); + FDRE #( + .INIT(1'b0)) + \sig_ip2bus_data_reg[19] + (.C(s_axi_aclk), + .CE(1'b1), + .D(sig_ip2bus_data[19]), + .Q(\sig_ip2bus_data_reg[0]_0 [11]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3821,7 +3826,23 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(sig_ip2bus_data[1]), - .Q(\sig_ip2bus_data_reg[0]_0 [20]), + .Q(\sig_ip2bus_data_reg[0]_0 [23]), + .R(IP2Bus_WrAck_i_1_n_0)); + FDRE #( + .INIT(1'b0)) + \sig_ip2bus_data_reg[20] + (.C(s_axi_aclk), + .CE(1'b1), + .D(sig_ip2bus_data[20]), + .Q(\sig_ip2bus_data_reg[0]_0 [10]), + .R(IP2Bus_WrAck_i_1_n_0)); + FDRE #( + .INIT(1'b0)) + \sig_ip2bus_data_reg[21] + (.C(s_axi_aclk), + .CE(1'b1), + .D(sig_ip2bus_data[21]), + .Q(\sig_ip2bus_data_reg[0]_0 [9]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3893,7 +3914,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(sig_ip2bus_data[2]), - .Q(\sig_ip2bus_data_reg[0]_0 [19]), + .Q(\sig_ip2bus_data_reg[0]_0 [22]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3909,7 +3930,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(D[6]), - .Q(\sig_ip2bus_data_reg[0]_0 [18]), + .Q(\sig_ip2bus_data_reg[0]_0 [21]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3917,7 +3938,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(D[5]), - .Q(\sig_ip2bus_data_reg[0]_0 [17]), + .Q(\sig_ip2bus_data_reg[0]_0 [20]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3925,7 +3946,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(sig_ip2bus_data[5]), - .Q(\sig_ip2bus_data_reg[0]_0 [16]), + .Q(\sig_ip2bus_data_reg[0]_0 [19]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3933,7 +3954,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(D[4]), - .Q(\sig_ip2bus_data_reg[0]_0 [15]), + .Q(\sig_ip2bus_data_reg[0]_0 [18]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3941,7 +3962,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(D[3]), - .Q(\sig_ip2bus_data_reg[0]_0 [14]), + .Q(\sig_ip2bus_data_reg[0]_0 [17]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3949,7 +3970,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(D[2]), - .Q(\sig_ip2bus_data_reg[0]_0 [13]), + .Q(\sig_ip2bus_data_reg[0]_0 [16]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3957,33 +3978,28 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(D[1]), - .Q(\sig_ip2bus_data_reg[0]_0 [12]), + .Q(\sig_ip2bus_data_reg[0]_0 [15]), .R(IP2Bus_WrAck_i_1_n_0)); LUT6 #( - .INIT(64'h0F004F470C004C44)) + .INIT(64'h3705330337050000)) \sig_register_array[0][10]_i_1 (.I0(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ), - .I1(\gtxd.COMP_TXD_FIFO_n_50 ), - .I2(sig_str_rst_reg_1), + .I1(sig_str_rst_reg_1), + .I2(s_axi_wdata[21]), .I3(\sig_register_array_reg[0][3]_1 ), - .I4(s_axi_wdata[21]), + .I4(\gtxd.COMP_TXD_FIFO_n_52 ), .I5(\sig_register_array_reg[0][10]_0 ), .O(\sig_register_array[0][10]_i_1_n_0 )); - LUT5 #( - .INIT(32'h0D0D000D)) + LUT6 #( + .INIT(64'h3705330337050000)) \sig_register_array[0][3]_i_1 - (.I0(s_axi_wdata[28]), - .I1(\sig_register_array_reg[0][3]_1 ), - .I2(sig_str_rst_reg_1), - .I3(\gtxd.COMP_TXD_FIFO_n_48 ), - .I4(\sig_register_array_reg[0][3]_0 ), + (.I0(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ), + .I1(sig_str_rst_reg_1), + .I2(s_axi_wdata[28]), + .I3(\sig_register_array_reg[0][3]_1 ), + .I4(\gtxd.COMP_TXD_FIFO_n_50 ), + .I5(\sig_register_array_reg[0][3]_0 ), .O(\sig_register_array[0][3]_i_1_n_0 )); - LUT2 #( - .INIT(4'hE)) - \sig_register_array[0][3]_i_4 - (.I0(IP2Bus_Error1_in), - .I1(\sig_txd_wr_data_reg[0]_0 ), - .O(IPIC_STATE_reg_0)); LUT6 #( .INIT(64'h02AAFFFF02AA0000)) \sig_register_array[0][4]_i_1 @@ -3991,19 +4007,30 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .I1(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ), .I2(s_axi_wdata[27]), .I3(\sig_register_array_reg[0][4]_1 ), - .I4(\gtxd.COMP_TXD_FIFO_n_37 ), + .I4(\sig_register_array_reg[0][4]_2 ), .I5(\sig_register_array_reg[0][4]_0 ), .O(\sig_register_array[0][4]_i_1_n_0 )); - LUT4 #( - .INIT(16'h2F20)) + LUT5 #( + .INIT(32'h222F2220)) \sig_register_array[0][6]_i_1 (.I0(\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg ), .I1(Bus_RNW_reg), - .I2(\sig_register_array_reg[0][6]_1 ), - .I3(\sig_register_array_reg[0][6]_0 ), + .I2(sig_str_rst_reg_1), + .I3(\sig_register_array[0][6]_i_2_n_0 ), + .I4(\sig_register_array_reg[0][6]_0 ), .O(\sig_register_array[0][6]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair13" *) LUT5 #( - .INIT(32'hF0FFD0DD)) + .INIT(32'h10FF1010)) + \sig_register_array[0][6]_i_2 + (.I0(IP2Bus_Error1_in), + .I1(\sig_txd_wr_data[31]_i_2_n_0 ), + .I2(sig_txd_sb_wr_en_reg_0), + .I3(\sig_register_array_reg[0][3]_1 ), + .I4(s_axi_wdata[25]), + .O(\sig_register_array[0][6]_i_2_n_0 )); + LUT5 #( + .INIT(32'hFCFFDCDD)) \sig_register_array[0][7]_i_1 (.I0(sig_str_rst_reg_0), .I1(sig_str_rst_reg_1), @@ -4022,13 +4049,13 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .I5(\sig_register_array_reg[0][8]_0 ), .O(\sig_register_array[0][8]_i_1_n_0 )); LUT6 #( - .INIT(64'h0F004F470C004C44)) + .INIT(64'h3705330337050000)) \sig_register_array[0][9]_i_1 (.I0(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ), - .I1(\gtxd.COMP_TXD_FIFO_n_49 ), - .I2(sig_str_rst_reg_1), + .I1(sig_str_rst_reg_1), + .I2(s_axi_wdata[22]), .I3(\sig_register_array_reg[0][3]_1 ), - .I4(s_axi_wdata[22]), + .I4(\gtxd.COMP_TXD_FIFO_n_51 ), .I5(\sig_register_array_reg[0][9]_0 ), .O(\sig_register_array[0][9]_i_1_n_0 )); FDRE \sig_register_array_reg[0][10] @@ -4152,7 +4179,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .Q(Q[1]), .R(sig_Bus2IP_Reset)); LUT5 #( - .INIT(32'h00000008)) + .INIT(32'hFFFFFFF7)) sig_str_rst_i_2 (.I0(s_axi_wdata[7]), .I1(s_axi_wdata[5]), @@ -4191,6 +4218,13 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .D(sig_tx_channel_reset_i_1_n_0), .Q(sig_tx_channel_reset_reg_0), .R(sig_Bus2IP_Reset)); + (* SOFT_HLUTNM = "soft_lutpair13" *) + LUT2 #( + .INIT(4'h8)) + sig_txd_sb_wr_en_i_1 + (.I0(\sig_txd_wr_data[31]_i_2_n_0 ), + .I1(sig_txd_sb_wr_en_reg_0), + .O(sig_txd_sb_wr_en)); FDRE #( .INIT(1'b0)) sig_txd_sb_wr_en_reg @@ -4199,19 +4233,28 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .D(sig_txd_sb_wr_en), .Q(sig_txd_sb_wr_en_reg_n_0), .R(IP2Bus_WrAck_i_1_n_0)); + LUT5 #( + .INIT(32'h0000888F)) + \sig_txd_wr_data[31]_i_1 + (.I0(\sig_txd_wr_data[31]_i_2_n_0 ), + .I1(sig_txd_sb_wr_en_reg_0), + .I2(\gtxd.COMP_TXD_FIFO_n_50 ), + .I3(IP2Bus_Error_reg_0), + .I4(IP2Bus_Error1_in), + .O(\sig_txd_wr_data[31]_i_1_n_0 )); LUT4 #( .INIT(16'hFE02)) - \sig_txd_wr_data[31]_i_3 + \sig_txd_wr_data[31]_i_2 (.I0(eqOp0_out), .I1(s_axi_wdata[0]), .I2(s_axi_wdata[1]), .I3(\eqOp_inferred__2/i__carry__1_n_1 ), - .O(s_axi_wdata_0_sn_1)); + .O(\sig_txd_wr_data[31]_i_2_n_0 )); FDRE #( .INIT(1'b0)) \sig_txd_wr_data_reg[0] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[0]), .Q(txd_wr_data_0[0]), .R(sig_Bus2IP_Reset)); @@ -4219,7 +4262,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[10] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[10]), .Q(txd_wr_data[10]), .R(sig_Bus2IP_Reset)); @@ -4227,7 +4270,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[11] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[11]), .Q(txd_wr_data[11]), .R(sig_Bus2IP_Reset)); @@ -4235,7 +4278,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[12] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[12]), .Q(txd_wr_data[12]), .R(sig_Bus2IP_Reset)); @@ -4243,7 +4286,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[13] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[13]), .Q(txd_wr_data[13]), .R(sig_Bus2IP_Reset)); @@ -4251,7 +4294,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[14] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[14]), .Q(txd_wr_data[14]), .R(sig_Bus2IP_Reset)); @@ -4259,7 +4302,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[15] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[15]), .Q(txd_wr_data[15]), .R(sig_Bus2IP_Reset)); @@ -4267,7 +4310,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[16] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[16]), .Q(txd_wr_data[16]), .R(sig_Bus2IP_Reset)); @@ -4275,7 +4318,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[17] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[17]), .Q(txd_wr_data[17]), .R(sig_Bus2IP_Reset)); @@ -4283,7 +4326,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[18] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[18]), .Q(txd_wr_data[18]), .R(sig_Bus2IP_Reset)); @@ -4291,7 +4334,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[19] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[19]), .Q(txd_wr_data[19]), .R(sig_Bus2IP_Reset)); @@ -4299,7 +4342,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[1] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[1]), .Q(txd_wr_data_0[1]), .R(sig_Bus2IP_Reset)); @@ -4307,7 +4350,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[20] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[20]), .Q(txd_wr_data[20]), .R(sig_Bus2IP_Reset)); @@ -4315,7 +4358,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[21] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[21]), .Q(txd_wr_data[21]), .R(sig_Bus2IP_Reset)); @@ -4323,7 +4366,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[22] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[22]), .Q(txd_wr_data[22]), .R(sig_Bus2IP_Reset)); @@ -4331,7 +4374,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[23] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[23]), .Q(txd_wr_data[23]), .R(sig_Bus2IP_Reset)); @@ -4339,7 +4382,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[24] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[24]), .Q(txd_wr_data[24]), .R(sig_Bus2IP_Reset)); @@ -4347,7 +4390,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[25] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[25]), .Q(txd_wr_data[25]), .R(sig_Bus2IP_Reset)); @@ -4355,7 +4398,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[26] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[26]), .Q(txd_wr_data[26]), .R(sig_Bus2IP_Reset)); @@ -4363,7 +4406,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[27] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[27]), .Q(txd_wr_data[27]), .R(sig_Bus2IP_Reset)); @@ -4371,7 +4414,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[28] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[28]), .Q(txd_wr_data[28]), .R(sig_Bus2IP_Reset)); @@ -4379,7 +4422,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[29] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[29]), .Q(txd_wr_data[29]), .R(sig_Bus2IP_Reset)); @@ -4387,7 +4430,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[2] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[2]), .Q(txd_wr_data[2]), .R(sig_Bus2IP_Reset)); @@ -4395,7 +4438,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[30] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[30]), .Q(txd_wr_data[30]), .R(sig_Bus2IP_Reset)); @@ -4403,7 +4446,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[31] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[31]), .Q(txd_wr_data[31]), .R(sig_Bus2IP_Reset)); @@ -4411,7 +4454,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[3] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[3]), .Q(txd_wr_data[3]), .R(sig_Bus2IP_Reset)); @@ -4419,7 +4462,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[4] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[4]), .Q(txd_wr_data[4]), .R(sig_Bus2IP_Reset)); @@ -4427,7 +4470,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[5] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[5]), .Q(txd_wr_data[5]), .R(sig_Bus2IP_Reset)); @@ -4435,7 +4478,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[6] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[6]), .Q(txd_wr_data[6]), .R(sig_Bus2IP_Reset)); @@ -4443,7 +4486,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[7] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[7]), .Q(txd_wr_data[7]), .R(sig_Bus2IP_Reset)); @@ -4451,7 +4494,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[8] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[8]), .Q(txd_wr_data[8]), .R(sig_Bus2IP_Reset)); @@ -4459,7 +4502,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[9] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[9]), .Q(txd_wr_data[9]), .R(sig_Bus2IP_Reset)); @@ -4743,22 +4786,20 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment s_axi_rvalid, s_axi_bvalid, s_axi_bresp, - \s_axi_wdata[25] , + \s_axi_wdata[27] , + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] , \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 , - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 , - sig_tx_channel_reset_reg, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 , + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 , + E, bus2ip_rnw_i_reg_0, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] , - sig_txd_sb_wr_en, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] , D, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 , - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] , - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 , + sig_tx_channel_reset_reg, + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 , + \s_axi_wdata[31] , bus2ip_rnw_i_reg_1, - Bus_RNW_reg_reg_0, - E, s_axi_rdata, sig_Bus2IP_Reset, s_axi_aclk, @@ -4770,8 +4811,8 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment s_axi_wvalid, s_axi_awvalid, s_axi_wdata, - \sig_register_array_reg[0][6] , - sig_txd_sb_wr_en_reg, + axi_str_txd_tvalid, + axi_str_txd_tlast, IP2Bus_Error1_in, sig_str_rst_reg, \sig_ip2bus_data_reg[10] , @@ -4782,7 +4823,7 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment \sig_ip2bus_data_reg[6] , \sig_ip2bus_data_reg[4] , \sig_ip2bus_data_reg[3] , - sig_txd_sb_wr_en_reg_0, + IP2Bus_Error_reg, s_axi_rready, s_axi_bready, s_axi_araddr, @@ -4796,23 +4837,21 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment output s_axi_rvalid; output s_axi_bvalid; output [0:0]s_axi_bresp; - output \s_axi_wdata[25] ; + output \s_axi_wdata[27] ; + output \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] ; output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ; - output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ; - output sig_tx_channel_reset_reg; - output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 ; + output \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ; + output [0:0]E; output bus2ip_rnw_i_reg_0; output \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] ; - output sig_txd_sb_wr_en; output \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] ; output [6:0]D; output \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ; - output \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] ; - output \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 ; + output sig_tx_channel_reset_reg; + output \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 ; + output [12:0]\s_axi_wdata[31] ; output bus2ip_rnw_i_reg_1; - output [12:0]Bus_RNW_reg_reg_0; - output [0:0]E; - output [21:0]s_axi_rdata; + output [24:0]s_axi_rdata; input sig_Bus2IP_Reset; input s_axi_aclk; input cs_ce_clr; @@ -4823,8 +4862,8 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment input s_axi_wvalid; input s_axi_awvalid; input [12:0]s_axi_wdata; - input \sig_register_array_reg[0][6] ; - input sig_txd_sb_wr_en_reg; + input axi_str_txd_tvalid; + input axi_str_txd_tlast; input IP2Bus_Error1_in; input sig_str_rst_reg; input \sig_ip2bus_data_reg[10] ; @@ -4835,15 +4874,14 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment input \sig_ip2bus_data_reg[6] ; input \sig_ip2bus_data_reg[4] ; input \sig_ip2bus_data_reg[3] ; - input sig_txd_sb_wr_en_reg_0; + input IP2Bus_Error_reg; input s_axi_rready; input s_axi_bready; input [3:0]s_axi_araddr; input [3:0]s_axi_awaddr; - input [21:0]\s_axi_rdata_i_reg[31]_0 ; + input [24:0]\s_axi_rdata_i_reg[31]_0 ; wire Bus_RNW_reg_reg; - wire [12:0]Bus_RNW_reg_reg_0; wire [6:0]D; wire [0:0]E; wire \FSM_onehot_state[0]_i_1_n_0 ; @@ -4857,16 +4895,18 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment wire \FSM_onehot_state_reg_n_0_[1] ; wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] ; wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ; - wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ; - wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 ; wire \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] ; wire \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ; wire \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] ; - wire \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] ; - wire \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 ; + wire \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 ; + wire \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] ; + wire \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ; wire \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5] ; wire IP2Bus_Error1_in; + wire IP2Bus_Error_reg; wire [6:0]Q; + wire axi_str_txd_tlast; + wire axi_str_txd_tvalid; wire \bus2ip_addr_i[2]_i_1_n_0 ; wire \bus2ip_addr_i[3]_i_1_n_0 ; wire \bus2ip_addr_i[4]_i_1_n_0 ; @@ -4893,15 +4933,16 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment wire \s_axi_bresp_i[1]_i_1_n_0 ; wire s_axi_bvalid; wire s_axi_bvalid_i_i_1_n_0; - wire [21:0]s_axi_rdata; - wire [21:0]\s_axi_rdata_i_reg[31]_0 ; + wire [24:0]s_axi_rdata; + wire [24:0]\s_axi_rdata_i_reg[31]_0 ; wire s_axi_rready; wire [0:0]s_axi_rresp; wire s_axi_rresp_i; wire s_axi_rvalid; wire s_axi_rvalid_i_i_1_n_0; wire [12:0]s_axi_wdata; - wire \s_axi_wdata[25] ; + wire \s_axi_wdata[27] ; + wire [12:0]\s_axi_wdata[31] ; wire s_axi_wvalid; wire sig_Bus2IP_CS; wire sig_Bus2IP_RNW; @@ -4913,12 +4954,8 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment wire \sig_ip2bus_data_reg[7] ; wire \sig_ip2bus_data_reg[8] ; wire \sig_ip2bus_data_reg[9] ; - wire \sig_register_array_reg[0][6] ; wire sig_str_rst_reg; wire sig_tx_channel_reset_reg; - wire sig_txd_sb_wr_en; - wire sig_txd_sb_wr_en_reg; - wire sig_txd_sb_wr_en_reg_0; wire start2; wire start2_i_1_n_0; @@ -5004,14 +5041,14 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .D(\FSM_onehot_state[3]_i_1_n_0 ), .Q(s_axi_rresp_i), .R(rst)); - (* SOFT_HLUTNM = "soft_lutpair49" *) + (* SOFT_HLUTNM = "soft_lutpair29" *) LUT2 #( .INIT(4'h2)) IP2Bus_RdAck_i_2 (.I0(sig_Bus2IP_RNW), .I1(IP2Bus_Error1_in), .O(bus2ip_rnw_i_reg_0)); - (* SOFT_HLUTNM = "soft_lutpair49" *) + (* SOFT_HLUTNM = "soft_lutpair29" *) LUT1 #( .INIT(2'h1)) IP2Bus_WrAck_i_2 @@ -5019,26 +5056,28 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .O(bus2ip_rnw_i_reg_1)); design_1_axi_fifo_mm_s_0_0_address_decoder I_DECODER (.Bus_RNW_reg_reg_0(Bus_RNW_reg_reg), - .Bus_RNW_reg_reg_1(Bus_RNW_reg_reg_0), .D(D), .E(E), .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 (\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] ), .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 (\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ), - .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 (\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ), - .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_3 (\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 ), .\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 (\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] ), .\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1 (\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ), .\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 (\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] ), - .\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 (\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] ), - .\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_1 (\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 ), + .\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_1 (\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 ), + .\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 (\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] ), + .\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_1 (\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ), .\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 (\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5] ), .\GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0 ({\bus2ip_addr_i_reg_n_0_[5] ,\bus2ip_addr_i_reg_n_0_[4] ,\bus2ip_addr_i_reg_n_0_[3] ,\bus2ip_addr_i_reg_n_0_[2] }), .IP2Bus_Error1_in(IP2Bus_Error1_in), + .IP2Bus_Error_reg(IP2Bus_Error_reg), .Q(Q), + .axi_str_txd_tlast(axi_str_txd_tlast), + .axi_str_txd_tvalid(axi_str_txd_tvalid), .cs_ce_clr(cs_ce_clr), .s_axi_aclk(s_axi_aclk), .s_axi_wdata(s_axi_wdata), - .\s_axi_wdata[25] (\s_axi_wdata[25] ), + .\s_axi_wdata[27] (\s_axi_wdata[27] ), + .\s_axi_wdata[31] (\s_axi_wdata[31] ), .sig_Bus2IP_CS(sig_Bus2IP_CS), .sig_Bus2IP_RNW(sig_Bus2IP_RNW), .\sig_ip2bus_data_reg[10] (\sig_ip2bus_data_reg[10] ), @@ -5048,14 +5087,10 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .\sig_ip2bus_data_reg[7] (\sig_ip2bus_data_reg[7] ), .\sig_ip2bus_data_reg[8] (\sig_ip2bus_data_reg[8] ), .\sig_ip2bus_data_reg[9] (\sig_ip2bus_data_reg[9] ), - .\sig_register_array_reg[0][6] (\sig_register_array_reg[0][6] ), .sig_str_rst_reg(sig_str_rst_reg), .sig_tx_channel_reset_reg(sig_tx_channel_reset_reg), - .sig_txd_sb_wr_en(sig_txd_sb_wr_en), - .sig_txd_sb_wr_en_reg(sig_txd_sb_wr_en_reg), - .sig_txd_sb_wr_en_reg_0(sig_txd_sb_wr_en_reg_0), .start2(start2)); - (* SOFT_HLUTNM = "soft_lutpair48" *) + (* SOFT_HLUTNM = "soft_lutpair28" *) LUT4 #( .INIT(16'hF780)) \bus2ip_addr_i[2]_i_1 @@ -5120,7 +5155,7 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .I2(s_axi_wvalid), .I3(s_axi_awvalid), .O(bus2ip_rnw_i_i_1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair48" *) + (* SOFT_HLUTNM = "soft_lutpair28" *) LUT2 #( .INIT(4'h8)) bus2ip_rnw_i_i_2 @@ -5172,12 +5207,36 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .R(rst)); FDRE #( .INIT(1'b0)) - \s_axi_rdata_i_reg[19] + \s_axi_rdata_i_reg[10] (.C(s_axi_aclk), .CE(s_axi_rresp_i), .D(\s_axi_rdata_i_reg[31]_0 [9]), .Q(s_axi_rdata[9]), .R(rst)); + FDRE #( + .INIT(1'b0)) + \s_axi_rdata_i_reg[11] + (.C(s_axi_aclk), + .CE(s_axi_rresp_i), + .D(\s_axi_rdata_i_reg[31]_0 [10]), + .Q(s_axi_rdata[10]), + .R(rst)); + FDRE #( + .INIT(1'b0)) + \s_axi_rdata_i_reg[12] + (.C(s_axi_aclk), + .CE(s_axi_rresp_i), + .D(\s_axi_rdata_i_reg[31]_0 [11]), + .Q(s_axi_rdata[11]), + .R(rst)); + FDRE #( + .INIT(1'b0)) + \s_axi_rdata_i_reg[19] + (.C(s_axi_aclk), + .CE(s_axi_rresp_i), + .D(\s_axi_rdata_i_reg[31]_0 [12]), + .Q(s_axi_rdata[12]), + .R(rst)); FDRE #( .INIT(1'b0)) \s_axi_rdata_i_reg[1] @@ -5189,30 +5248,6 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment FDRE #( .INIT(1'b0)) \s_axi_rdata_i_reg[20] - (.C(s_axi_aclk), - .CE(s_axi_rresp_i), - .D(\s_axi_rdata_i_reg[31]_0 [10]), - .Q(s_axi_rdata[10]), - .R(rst)); - FDRE #( - .INIT(1'b0)) - \s_axi_rdata_i_reg[21] - (.C(s_axi_aclk), - .CE(s_axi_rresp_i), - .D(\s_axi_rdata_i_reg[31]_0 [11]), - .Q(s_axi_rdata[11]), - .R(rst)); - FDRE #( - .INIT(1'b0)) - \s_axi_rdata_i_reg[22] - (.C(s_axi_aclk), - .CE(s_axi_rresp_i), - .D(\s_axi_rdata_i_reg[31]_0 [12]), - .Q(s_axi_rdata[12]), - .R(rst)); - FDRE #( - .INIT(1'b0)) - \s_axi_rdata_i_reg[23] (.C(s_axi_aclk), .CE(s_axi_rresp_i), .D(\s_axi_rdata_i_reg[31]_0 [13]), @@ -5220,7 +5255,7 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .R(rst)); FDRE #( .INIT(1'b0)) - \s_axi_rdata_i_reg[24] + \s_axi_rdata_i_reg[21] (.C(s_axi_aclk), .CE(s_axi_rresp_i), .D(\s_axi_rdata_i_reg[31]_0 [14]), @@ -5228,7 +5263,7 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .R(rst)); FDRE #( .INIT(1'b0)) - \s_axi_rdata_i_reg[25] + \s_axi_rdata_i_reg[22] (.C(s_axi_aclk), .CE(s_axi_rresp_i), .D(\s_axi_rdata_i_reg[31]_0 [15]), @@ -5236,7 +5271,7 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .R(rst)); FDRE #( .INIT(1'b0)) - \s_axi_rdata_i_reg[26] + \s_axi_rdata_i_reg[23] (.C(s_axi_aclk), .CE(s_axi_rresp_i), .D(\s_axi_rdata_i_reg[31]_0 [16]), @@ -5244,7 +5279,7 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .R(rst)); FDRE #( .INIT(1'b0)) - \s_axi_rdata_i_reg[27] + \s_axi_rdata_i_reg[24] (.C(s_axi_aclk), .CE(s_axi_rresp_i), .D(\s_axi_rdata_i_reg[31]_0 [17]), @@ -5252,7 +5287,7 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .R(rst)); FDRE #( .INIT(1'b0)) - \s_axi_rdata_i_reg[28] + \s_axi_rdata_i_reg[25] (.C(s_axi_aclk), .CE(s_axi_rresp_i), .D(\s_axi_rdata_i_reg[31]_0 [18]), @@ -5260,12 +5295,36 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .R(rst)); FDRE #( .INIT(1'b0)) - \s_axi_rdata_i_reg[29] + \s_axi_rdata_i_reg[26] (.C(s_axi_aclk), .CE(s_axi_rresp_i), .D(\s_axi_rdata_i_reg[31]_0 [19]), .Q(s_axi_rdata[19]), .R(rst)); + FDRE #( + .INIT(1'b0)) + \s_axi_rdata_i_reg[27] + (.C(s_axi_aclk), + .CE(s_axi_rresp_i), + .D(\s_axi_rdata_i_reg[31]_0 [20]), + .Q(s_axi_rdata[20]), + .R(rst)); + FDRE #( + .INIT(1'b0)) + \s_axi_rdata_i_reg[28] + (.C(s_axi_aclk), + .CE(s_axi_rresp_i), + .D(\s_axi_rdata_i_reg[31]_0 [21]), + .Q(s_axi_rdata[21]), + .R(rst)); + FDRE #( + .INIT(1'b0)) + \s_axi_rdata_i_reg[29] + (.C(s_axi_aclk), + .CE(s_axi_rresp_i), + .D(\s_axi_rdata_i_reg[31]_0 [22]), + .Q(s_axi_rdata[22]), + .R(rst)); FDRE #( .INIT(1'b0)) \s_axi_rdata_i_reg[2] @@ -5279,16 +5338,16 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment \s_axi_rdata_i_reg[30] (.C(s_axi_aclk), .CE(s_axi_rresp_i), - .D(\s_axi_rdata_i_reg[31]_0 [20]), - .Q(s_axi_rdata[20]), + .D(\s_axi_rdata_i_reg[31]_0 [23]), + .Q(s_axi_rdata[23]), .R(rst)); FDRE #( .INIT(1'b0)) \s_axi_rdata_i_reg[31] (.C(s_axi_aclk), .CE(s_axi_rresp_i), - .D(\s_axi_rdata_i_reg[31]_0 [21]), - .Q(s_axi_rdata[21]), + .D(\s_axi_rdata_i_reg[31]_0 [24]), + .Q(s_axi_rdata[24]), .R(rst)); FDRE #( .INIT(1'b0)) @@ -5451,247 +5510,167 @@ endmodule (* ORIG_REF_NAME = "xpm_counter_updn" *) module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized0 - (\count_value_i_reg[7]_0 , - Q, - \count_value_i_reg[4]_0 , + (Q, + S, \count_value_i_reg[0]_0 , - E, + ram_wr_en_pf, wr_clk); - output [0:0]\count_value_i_reg[7]_0 ; - input [8:0]Q; - input \count_value_i_reg[4]_0 ; + output [11:0]Q; + input [0:0]S; input [0:0]\count_value_i_reg[0]_0 ; - input [0:0]E; + input ram_wr_en_pf; input wr_clk; - wire [0:0]E; - wire [8:0]Q; - wire \count_value_i[0]_i_1__3_n_0 ; - wire \count_value_i[1]_i_1__1_n_0 ; - wire \count_value_i[2]_i_1__0_n_0 ; - wire \count_value_i[3]_i_1__0_n_0 ; - wire \count_value_i[4]_i_1__0_n_0 ; - wire \count_value_i[5]_i_1__0_n_0 ; - wire \count_value_i[6]_i_1__0_n_0 ; - wire \count_value_i[7]_i_1__0_n_0 ; - wire \count_value_i[8]_i_1__2_n_0 ; - wire \count_value_i[8]_i_2__0_n_0 ; + wire [11:0]Q; + wire [0:0]S; wire [0:0]\count_value_i_reg[0]_0 ; - wire \count_value_i_reg[4]_0 ; - wire [0:0]\count_value_i_reg[7]_0 ; - wire \count_value_i_reg_n_0_[0] ; - wire \count_value_i_reg_n_0_[1] ; - wire \count_value_i_reg_n_0_[2] ; - wire \count_value_i_reg_n_0_[3] ; - wire \count_value_i_reg_n_0_[4] ; - wire \count_value_i_reg_n_0_[5] ; - wire \count_value_i_reg_n_0_[6] ; - wire \count_value_i_reg_n_0_[7] ; - wire \count_value_i_reg_n_0_[8] ; - wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0 ; - wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0 ; - wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0 ; - wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_2 ; - wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_3 ; + wire \count_value_i_reg[11]_i_1__3_n_1 ; + wire \count_value_i_reg[11]_i_1__3_n_2 ; + wire \count_value_i_reg[11]_i_1__3_n_3 ; + wire \count_value_i_reg[11]_i_1__3_n_4 ; + wire \count_value_i_reg[11]_i_1__3_n_5 ; + wire \count_value_i_reg[11]_i_1__3_n_6 ; + wire \count_value_i_reg[11]_i_1__3_n_7 ; + wire \count_value_i_reg[3]_i_1__3_n_0 ; + wire \count_value_i_reg[3]_i_1__3_n_1 ; + wire \count_value_i_reg[3]_i_1__3_n_2 ; + wire \count_value_i_reg[3]_i_1__3_n_3 ; + wire \count_value_i_reg[3]_i_1__3_n_4 ; + wire \count_value_i_reg[3]_i_1__3_n_5 ; + wire \count_value_i_reg[3]_i_1__3_n_6 ; + wire \count_value_i_reg[3]_i_1__3_n_7 ; + wire \count_value_i_reg[7]_i_1__3_n_0 ; + wire \count_value_i_reg[7]_i_1__3_n_1 ; + wire \count_value_i_reg[7]_i_1__3_n_2 ; + wire \count_value_i_reg[7]_i_1__3_n_3 ; + wire \count_value_i_reg[7]_i_1__3_n_4 ; + wire \count_value_i_reg[7]_i_1__3_n_5 ; + wire \count_value_i_reg[7]_i_1__3_n_6 ; + wire \count_value_i_reg[7]_i_1__3_n_7 ; + wire ram_wr_en_pf; wire wr_clk; - wire [3:3]\NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_CO_UNCONNECTED ; - wire [3:0]\NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_O_UNCONNECTED ; + wire [3:3]\NLW_count_value_i_reg[11]_i_1__3_CO_UNCONNECTED ; - (* SOFT_HLUTNM = "soft_lutpair20" *) - LUT1 #( - .INIT(2'h1)) - \count_value_i[0]_i_1__3 - (.I0(\count_value_i_reg_n_0_[0] ), - .O(\count_value_i[0]_i_1__3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair20" *) - LUT2 #( - .INIT(4'h6)) - \count_value_i[1]_i_1__1 - (.I0(\count_value_i_reg_n_0_[1] ), - .I1(\count_value_i_reg_n_0_[0] ), - .O(\count_value_i[1]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair18" *) - LUT4 #( - .INIT(16'hDF20)) - \count_value_i[2]_i_1__0 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(\count_value_i_reg[4]_0 ), - .I2(\count_value_i_reg_n_0_[1] ), - .I3(\count_value_i_reg_n_0_[2] ), - .O(\count_value_i[2]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair18" *) - LUT5 #( - .INIT(32'hDF20FF00)) - \count_value_i[3]_i_1__0 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(\count_value_i_reg[4]_0 ), - .I2(\count_value_i_reg_n_0_[1] ), - .I3(\count_value_i_reg_n_0_[3] ), - .I4(\count_value_i_reg_n_0_[2] ), - .O(\count_value_i[3]_i_1__0_n_0 )); - LUT6 #( - .INIT(64'hDF20FF00FF00FF00)) - \count_value_i[4]_i_1__0 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(\count_value_i_reg[4]_0 ), - .I2(\count_value_i_reg_n_0_[1] ), - .I3(\count_value_i_reg_n_0_[4] ), - .I4(\count_value_i_reg_n_0_[2] ), - .I5(\count_value_i_reg_n_0_[3] ), - .O(\count_value_i[4]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair19" *) - LUT2 #( - .INIT(4'h9)) - \count_value_i[5]_i_1__0 - (.I0(\count_value_i[8]_i_2__0_n_0 ), - .I1(\count_value_i_reg_n_0_[5] ), - .O(\count_value_i[5]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair19" *) - LUT3 #( - .INIT(8'h9A)) - \count_value_i[6]_i_1__0 - (.I0(\count_value_i_reg_n_0_[6] ), - .I1(\count_value_i[8]_i_2__0_n_0 ), - .I2(\count_value_i_reg_n_0_[5] ), - .O(\count_value_i[6]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair17" *) - LUT4 #( - .INIT(16'hA6AA)) - \count_value_i[7]_i_1__0 - (.I0(\count_value_i_reg_n_0_[7] ), - .I1(\count_value_i_reg_n_0_[5] ), - .I2(\count_value_i[8]_i_2__0_n_0 ), - .I3(\count_value_i_reg_n_0_[6] ), - .O(\count_value_i[7]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair17" *) - LUT5 #( - .INIT(32'hA6AAAAAA)) - \count_value_i[8]_i_1__2 - (.I0(\count_value_i_reg_n_0_[8] ), - .I1(\count_value_i_reg_n_0_[6] ), - .I2(\count_value_i[8]_i_2__0_n_0 ), - .I3(\count_value_i_reg_n_0_[5] ), - .I4(\count_value_i_reg_n_0_[7] ), - .O(\count_value_i[8]_i_1__2_n_0 )); - LUT6 #( - .INIT(64'hDFFFFFFFFFFFFFFF)) - \count_value_i[8]_i_2__0 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(\count_value_i_reg[4]_0 ), - .I2(\count_value_i_reg_n_0_[1] ), - .I3(\count_value_i_reg_n_0_[3] ), - .I4(\count_value_i_reg_n_0_[2] ), - .I5(\count_value_i_reg_n_0_[4] ), - .O(\count_value_i[8]_i_2__0_n_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[0] (.C(wr_clk), - .CE(E), - .D(\count_value_i[0]_i_1__3_n_0 ), - .Q(\count_value_i_reg_n_0_[0] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1__3_n_7 ), + .Q(Q[0]), .R(\count_value_i_reg[0]_0 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[10] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1__3_n_5 ), + .Q(Q[10]), + .R(\count_value_i_reg[0]_0 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[11] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1__3_n_4 ), + .Q(Q[11]), + .R(\count_value_i_reg[0]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[11]_i_1__3 + (.CI(\count_value_i_reg[7]_i_1__3_n_0 ), + .CO({\NLW_count_value_i_reg[11]_i_1__3_CO_UNCONNECTED [3],\count_value_i_reg[11]_i_1__3_n_1 ,\count_value_i_reg[11]_i_1__3_n_2 ,\count_value_i_reg[11]_i_1__3_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[11]_i_1__3_n_4 ,\count_value_i_reg[11]_i_1__3_n_5 ,\count_value_i_reg[11]_i_1__3_n_6 ,\count_value_i_reg[11]_i_1__3_n_7 }), + .S(Q[11:8])); FDSE #( .INIT(1'b1)) \count_value_i_reg[1] (.C(wr_clk), - .CE(E), - .D(\count_value_i[1]_i_1__1_n_0 ), - .Q(\count_value_i_reg_n_0_[1] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1__3_n_6 ), + .Q(Q[1]), .S(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[2] (.C(wr_clk), - .CE(E), - .D(\count_value_i[2]_i_1__0_n_0 ), - .Q(\count_value_i_reg_n_0_[2] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1__3_n_5 ), + .Q(Q[2]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[3] (.C(wr_clk), - .CE(E), - .D(\count_value_i[3]_i_1__0_n_0 ), - .Q(\count_value_i_reg_n_0_[3] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1__3_n_4 ), + .Q(Q[3]), .R(\count_value_i_reg[0]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[3]_i_1__3 + (.CI(1'b0), + .CO({\count_value_i_reg[3]_i_1__3_n_0 ,\count_value_i_reg[3]_i_1__3_n_1 ,\count_value_i_reg[3]_i_1__3_n_2 ,\count_value_i_reg[3]_i_1__3_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,Q[0]}), + .O({\count_value_i_reg[3]_i_1__3_n_4 ,\count_value_i_reg[3]_i_1__3_n_5 ,\count_value_i_reg[3]_i_1__3_n_6 ,\count_value_i_reg[3]_i_1__3_n_7 }), + .S({Q[3:1],S})); FDRE #( .INIT(1'b0)) \count_value_i_reg[4] (.C(wr_clk), - .CE(E), - .D(\count_value_i[4]_i_1__0_n_0 ), - .Q(\count_value_i_reg_n_0_[4] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1__3_n_7 ), + .Q(Q[4]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[5] (.C(wr_clk), - .CE(E), - .D(\count_value_i[5]_i_1__0_n_0 ), - .Q(\count_value_i_reg_n_0_[5] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1__3_n_6 ), + .Q(Q[5]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[6] (.C(wr_clk), - .CE(E), - .D(\count_value_i[6]_i_1__0_n_0 ), - .Q(\count_value_i_reg_n_0_[6] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1__3_n_5 ), + .Q(Q[6]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[7] (.C(wr_clk), - .CE(E), - .D(\count_value_i[7]_i_1__0_n_0 ), - .Q(\count_value_i_reg_n_0_[7] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1__3_n_4 ), + .Q(Q[7]), .R(\count_value_i_reg[0]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[7]_i_1__3 + (.CI(\count_value_i_reg[3]_i_1__3_n_0 ), + .CO({\count_value_i_reg[7]_i_1__3_n_0 ,\count_value_i_reg[7]_i_1__3_n_1 ,\count_value_i_reg[7]_i_1__3_n_2 ,\count_value_i_reg[7]_i_1__3_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[7]_i_1__3_n_4 ,\count_value_i_reg[7]_i_1__3_n_5 ,\count_value_i_reg[7]_i_1__3_n_6 ,\count_value_i_reg[7]_i_1__3_n_7 }), + .S(Q[7:4])); FDRE #( .INIT(1'b0)) \count_value_i_reg[8] (.C(wr_clk), - .CE(E), - .D(\count_value_i[8]_i_1__2_n_0 ), - .Q(\count_value_i_reg_n_0_[8] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1__3_n_7 ), + .Q(Q[8]), + .R(\count_value_i_reg[0]_0 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[9] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1__3_n_6 ), + .Q(Q[9]), .R(\count_value_i_reg[0]_0 )); - LUT6 #( - .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4 - (.I0(\count_value_i_reg_n_0_[7] ), - .I1(Q[7]), - .I2(Q[8]), - .I3(\count_value_i_reg_n_0_[8] ), - .I4(Q[6]), - .I5(\count_value_i_reg_n_0_[6] ), - .O(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0 )); - LUT6 #( - .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5 - (.I0(\count_value_i_reg_n_0_[3] ), - .I1(Q[3]), - .I2(Q[5]), - .I3(\count_value_i_reg_n_0_[5] ), - .I4(Q[4]), - .I5(\count_value_i_reg_n_0_[4] ), - .O(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0 )); - LUT6 #( - .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6 - (.I0(\count_value_i_reg_n_0_[1] ), - .I1(Q[1]), - .I2(Q[2]), - .I3(\count_value_i_reg_n_0_[2] ), - .I4(Q[0]), - .I5(\count_value_i_reg_n_0_[0] ), - .O(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0 )); - CARRY4 \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3 - (.CI(1'b0), - .CO({\NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_CO_UNCONNECTED [3],\count_value_i_reg[7]_0 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_2 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_3 }), - .CYINIT(1'b1), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O(\NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_O_UNCONNECTED [3:0]), - .S({1'b0,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0 })); endmodule (* ORIG_REF_NAME = "xpm_counter_updn" *) @@ -5699,9 +5678,9 @@ module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized1 (Q, S, DI, - \count_value_i_reg[1]_0 , - rd_en, ram_empty_i, + \count_value_i_reg[0]_0 , + rd_en, \grdc.rd_data_count_i_reg[3] , \grdc.rd_data_count_i_reg[3]_0 , SR, @@ -5709,9 +5688,9 @@ module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized1 output [0:0]Q; output [1:0]S; output [0:0]DI; - input [1:0]\count_value_i_reg[1]_0 ; - input rd_en; input ram_empty_i; + input [1:0]\count_value_i_reg[0]_0 ; + input rd_en; input [1:0]\grdc.rd_data_count_i_reg[3] ; input [1:0]\grdc.rd_data_count_i_reg[3]_0 ; input [0:0]SR; @@ -5722,9 +5701,9 @@ module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized1 wire [1:0]S; wire [0:0]SR; wire [0:0]count_value_i; - wire \count_value_i[0]_i_1__0_n_0 ; + wire \count_value_i[0]_i_1_n_0 ; wire \count_value_i[1]_i_3_n_0 ; - wire [1:0]\count_value_i_reg[1]_0 ; + wire [1:0]\count_value_i_reg[0]_0 ; wire \gen_fwft.count_en ; wire [1:0]\grdc.rd_data_count_i_reg[3] ; wire [1:0]\grdc.rd_data_count_i_reg[3]_0 ; @@ -5733,36 +5712,40 @@ module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized1 wire wr_clk; (* SOFT_HLUTNM = "soft_lutpair0" *) - LUT1 #( - .INIT(2'h1)) - \count_value_i[0]_i_1__0 + LUT5 #( + .INIT(32'h5AAAA655)) + \count_value_i[0]_i_1 (.I0(count_value_i), - .O(\count_value_i[0]_i_1__0_n_0 )); + .I1(\count_value_i_reg[0]_0 [0]), + .I2(rd_en), + .I3(\count_value_i_reg[0]_0 [1]), + .I4(ram_empty_i), + .O(\count_value_i[0]_i_1_n_0 )); LUT4 #( - .INIT(16'h9585)) + .INIT(16'hC02F)) \count_value_i[1]_i_2 - (.I0(ram_empty_i), + (.I0(\count_value_i_reg[0]_0 [0]), .I1(rd_en), - .I2(\count_value_i_reg[1]_0 [1]), - .I3(\count_value_i_reg[1]_0 [0]), + .I2(\count_value_i_reg[0]_0 [1]), + .I3(ram_empty_i), .O(\gen_fwft.count_en )); (* SOFT_HLUTNM = "soft_lutpair0" *) LUT6 #( - .INIT(64'hAA956AAAAA996AAA)) + .INIT(64'hA999A9A96AAA6AAA)) \count_value_i[1]_i_3 (.I0(Q), - .I1(\count_value_i_reg[1]_0 [1]), - .I2(rd_en), - .I3(ram_empty_i), - .I4(count_value_i), - .I5(\count_value_i_reg[1]_0 [0]), + .I1(ram_empty_i), + .I2(\count_value_i_reg[0]_0 [1]), + .I3(rd_en), + .I4(\count_value_i_reg[0]_0 [0]), + .I5(count_value_i), .O(\count_value_i[1]_i_3_n_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[0] (.C(wr_clk), .CE(\gen_fwft.count_en ), - .D(\count_value_i[0]_i_1__0_n_0 ), + .D(\count_value_i[0]_i_1_n_0 ), .Q(count_value_i), .R(SR)); FDRE #( @@ -5778,744 +5761,732 @@ module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized1 .INIT(4'hB)) \gwdc.wr_data_count_i[3]_i_4 (.I0(count_value_i), - .I1(\grdc.rd_data_count_i_reg[3]_0 [0]), + .I1(\grdc.rd_data_count_i_reg[3] [0]), .O(DI)); LUT4 #( .INIT(16'h9669)) \gwdc.wr_data_count_i[3]_i_7 (.I0(DI), .I1(\grdc.rd_data_count_i_reg[3] [1]), - .I2(\grdc.rd_data_count_i_reg[3]_0 [1]), - .I3(Q), + .I2(Q), + .I3(\grdc.rd_data_count_i_reg[3]_0 [1]), .O(S[1])); (* HLUTNM = "lutpair0" *) LUT3 #( .INIT(8'h96)) \gwdc.wr_data_count_i[3]_i_8 (.I0(count_value_i), - .I1(\grdc.rd_data_count_i_reg[3]_0 [0]), - .I2(\grdc.rd_data_count_i_reg[3] [0]), + .I1(\grdc.rd_data_count_i_reg[3] [0]), + .I2(\grdc.rd_data_count_i_reg[3]_0 [0]), .O(S[0])); endmodule (* ORIG_REF_NAME = "xpm_counter_updn" *) module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2 - (\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg , + (\syncstages_ff_reg[3] , \FSM_sequential_gen_fwft.curr_fwft_state_reg[0] , - \syncstages_ff_reg[3] , + DI, Q, - E, - D, - \count_value_i_reg[8]_0 , - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0 , - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg , - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0 , + S, + \count_value_i_reg[1]_0 , + \count_value_i_reg[11]_0 , CO, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1 , + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg , + \count_value_i_reg[3]_0 , + \count_value_i_reg[7]_0 , + \count_value_i_reg[11]_1 , + \count_value_i_reg[0]_0 , + \count_value_i_reg[1]_1 , + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0 , + clr_full, rst, almost_full, - \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg , - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2 , - ram_empty_i, + ram_wr_en_pf, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] , + \grdc.rd_data_count_i_reg[12] , + \grdc.rd_data_count_i_reg[3] , + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 , + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg , + \count_value_i_reg[0]_1 , rd_en, - S, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] , - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] , - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] , - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8] , - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 , - \count_value_i_reg[0]_0 , + ram_empty_i, + \count_value_i_reg[0]_2 , wr_clk); - output \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg ; - output \FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ; output \syncstages_ff_reg[3] ; - output [9:0]Q; - output [0:0]E; - output [8:0]D; - output [8:0]\count_value_i_reg[8]_0 ; + output \FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ; + output [0:0]DI; + output [11:0]Q; + output [0:0]S; + output [0:0]\count_value_i_reg[1]_0 ; + output [0:0]\count_value_i_reg[11]_0 ; + output [0:0]CO; + output \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg ; + output [2:0]\count_value_i_reg[3]_0 ; + output [3:0]\count_value_i_reg[7]_0 ; + output [3:0]\count_value_i_reg[11]_1 ; + output [0:0]\count_value_i_reg[0]_0 ; + output [0:0]\count_value_i_reg[1]_1 ; output \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0 ; - input \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg ; - input \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0 ; - input [0:0]CO; - input \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1 ; + input clr_full; input rst; input almost_full; - input [0:0]\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg ; - input [1:0]\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2 ; - input ram_empty_i; + input ram_wr_en_pf; + input [11:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] ; + input [12:0]\grdc.rd_data_count_i_reg[12] ; + input [0:0]\grdc.rd_data_count_i_reg[3] ; + input [11:0]\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 ; + input \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg ; + input [1:0]\count_value_i_reg[0]_1 ; input rd_en; - input [0:0]S; - input [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] ; - input [7:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] ; - input [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] ; - input [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8] ; - input [8:0]\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 ; - input [0:0]\count_value_i_reg[0]_0 ; + input ram_empty_i; + input [0:0]\count_value_i_reg[0]_2 ; input wr_clk; wire [0:0]CO; - wire [8:0]D; - wire [0:0]E; + wire [0:0]DI; wire \FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ; - wire [9:0]Q; + wire [11:0]Q; wire [0:0]S; wire almost_full; - wire \count_value_i[0]_i_1_n_0 ; - wire \count_value_i[1]_i_1__4_n_0 ; - wire \count_value_i[2]_i_1__2_n_0 ; - wire \count_value_i[3]_i_1__2_n_0 ; - wire \count_value_i[4]_i_1__2_n_0 ; - wire \count_value_i[5]_i_1__2_n_0 ; - wire \count_value_i[6]_i_1__2_n_0 ; - wire \count_value_i[7]_i_1__2_n_0 ; - wire \count_value_i[8]_i_1_n_0 ; - wire \count_value_i[9]_i_1_n_0 ; - wire \count_value_i[9]_i_2_n_0 ; + wire clr_full; + wire \count_value_i[3]_i_2__0_n_0 ; wire [0:0]\count_value_i_reg[0]_0 ; - wire [8:0]\count_value_i_reg[8]_0 ; - wire [0:0]\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg ; + wire [1:0]\count_value_i_reg[0]_1 ; + wire [0:0]\count_value_i_reg[0]_2 ; + wire [0:0]\count_value_i_reg[11]_0 ; + wire [3:0]\count_value_i_reg[11]_1 ; + wire \count_value_i_reg[11]_i_1__0_n_0 ; + wire \count_value_i_reg[11]_i_1__0_n_1 ; + wire \count_value_i_reg[11]_i_1__0_n_2 ; + wire \count_value_i_reg[11]_i_1__0_n_3 ; + wire \count_value_i_reg[11]_i_1__0_n_4 ; + wire \count_value_i_reg[11]_i_1__0_n_5 ; + wire \count_value_i_reg[11]_i_1__0_n_6 ; + wire \count_value_i_reg[11]_i_1__0_n_7 ; + wire \count_value_i_reg[12]_i_1__0_n_7 ; + wire [0:0]\count_value_i_reg[1]_0 ; + wire [0:0]\count_value_i_reg[1]_1 ; + wire [2:0]\count_value_i_reg[3]_0 ; + wire \count_value_i_reg[3]_i_1__0_n_0 ; + wire \count_value_i_reg[3]_i_1__0_n_1 ; + wire \count_value_i_reg[3]_i_1__0_n_2 ; + wire \count_value_i_reg[3]_i_1__0_n_3 ; + wire \count_value_i_reg[3]_i_1__0_n_4 ; + wire \count_value_i_reg[3]_i_1__0_n_5 ; + wire \count_value_i_reg[3]_i_1__0_n_6 ; + wire \count_value_i_reg[3]_i_1__0_n_7 ; + wire [3:0]\count_value_i_reg[7]_0 ; + wire \count_value_i_reg[7]_i_1__0_n_0 ; + wire \count_value_i_reg[7]_i_1__0_n_1 ; + wire \count_value_i_reg[7]_i_1__0_n_2 ; + wire \count_value_i_reg[7]_i_1__0_n_3 ; + wire \count_value_i_reg[7]_i_1__0_n_4 ; + wire \count_value_i_reg[7]_i_1__0_n_5 ; + wire \count_value_i_reg[7]_i_1__0_n_6 ; + wire \count_value_i_reg[7]_i_1__0_n_7 ; + wire \count_value_i_reg_n_0_[12] ; + wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0 ; + wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0 ; + wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0 ; + wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_7_n_0 ; + wire [11:0]\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 ; + wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_1 ; + wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_2 ; + wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_3 ; wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg ; wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_5_n_0 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6_n_0 ; wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7_n_0 ; wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8_n_0 ; wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9_n_0 ; wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0 ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1 ; - wire [1:0]\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2 ; - wire [8:0]\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_n_2 ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_n_3 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_7_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_8_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_6_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_7_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_8_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_9_n_0 ; - wire [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_1 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_2 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_3 ; - wire [7:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_1 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_2 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_3 ; - wire [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8] ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_7_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_1 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_2 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_3 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_1 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_2 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_3 ; - wire [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_1 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_2 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_3 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_1 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_2 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_3 ; + wire [11:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] ; + wire going_afull1; wire going_full1; + wire [12:0]\grdc.rd_data_count_i_reg[12] ; + wire [0:0]\grdc.rd_data_count_i_reg[3] ; wire ram_afull_i0; wire ram_empty_i; + wire ram_wr_en_pf; wire rd_en; wire rst; wire \syncstages_ff_reg[3] ; wire wr_clk; - wire [3:3]\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_CO_UNCONNECTED ; - wire [3:0]\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_O_UNCONNECTED ; - wire [3:0]\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1_CO_UNCONNECTED ; - wire [3:1]\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1_O_UNCONNECTED ; - wire [3:0]\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1_CO_UNCONNECTED ; - wire [3:1]\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1_O_UNCONNECTED ; + wire [3:0]\NLW_count_value_i_reg[12]_i_1__0_CO_UNCONNECTED ; + wire [3:1]\NLW_count_value_i_reg[12]_i_1__0_O_UNCONNECTED ; + wire [3:0]\NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_O_UNCONNECTED ; + wire [3:0]\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_O_UNCONNECTED ; + wire [3:0]\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_O_UNCONNECTED ; - (* SOFT_HLUTNM = "soft_lutpair4" *) - LUT1 #( - .INIT(2'h1)) - \count_value_i[0]_i_1 - (.I0(Q[0]), - .O(\count_value_i[0]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair4" *) LUT2 #( .INIT(4'h6)) - \count_value_i[1]_i_1__4 - (.I0(Q[1]), + \count_value_i[3]_i_2__0 + (.I0(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), .I1(Q[0]), - .O(\count_value_i[1]_i_1__4_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair2" *) - LUT4 #( - .INIT(16'hDF20)) - \count_value_i[2]_i_1__2 - (.I0(Q[0]), - .I1(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .I2(Q[1]), - .I3(Q[2]), - .O(\count_value_i[2]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair2" *) - LUT5 #( - .INIT(32'hDF20FF00)) - \count_value_i[3]_i_1__2 - (.I0(Q[0]), - .I1(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .I2(Q[1]), - .I3(Q[3]), - .I4(Q[2]), - .O(\count_value_i[3]_i_1__2_n_0 )); - LUT6 #( - .INIT(64'hDF20FF00FF00FF00)) - \count_value_i[4]_i_1__2 - (.I0(Q[0]), - .I1(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .I2(Q[1]), - .I3(Q[4]), - .I4(Q[3]), - .I5(Q[2]), - .O(\count_value_i[4]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT2 #( - .INIT(4'h9)) - \count_value_i[5]_i_1__2 - (.I0(Q[5]), - .I1(\count_value_i[9]_i_2_n_0 ), - .O(\count_value_i[5]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT3 #( - .INIT(8'hA6)) - \count_value_i[6]_i_1__2 - (.I0(Q[6]), - .I1(Q[5]), - .I2(\count_value_i[9]_i_2_n_0 ), - .O(\count_value_i[6]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair1" *) - LUT4 #( - .INIT(16'hA6AA)) - \count_value_i[7]_i_1__2 - (.I0(Q[7]), - .I1(Q[6]), - .I2(\count_value_i[9]_i_2_n_0 ), - .I3(Q[5]), - .O(\count_value_i[7]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair1" *) - LUT5 #( - .INIT(32'hA6AAAAAA)) - \count_value_i[8]_i_1 - (.I0(Q[8]), - .I1(Q[5]), - .I2(\count_value_i[9]_i_2_n_0 ), - .I3(Q[6]), - .I4(Q[7]), - .O(\count_value_i[8]_i_1_n_0 )); - LUT6 #( - .INIT(64'hAAAA6AAAAAAAAAAA)) - \count_value_i[9]_i_1 - (.I0(Q[9]), - .I1(Q[8]), - .I2(Q[7]), - .I3(Q[6]), - .I4(\count_value_i[9]_i_2_n_0 ), - .I5(Q[5]), - .O(\count_value_i[9]_i_1_n_0 )); - LUT6 #( - .INIT(64'hDFFFFFFFFFFFFFFF)) - \count_value_i[9]_i_2 - (.I0(Q[0]), - .I1(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .I2(Q[1]), - .I3(Q[3]), - .I4(Q[2]), - .I5(Q[4]), - .O(\count_value_i[9]_i_2_n_0 )); + .O(\count_value_i[3]_i_2__0_n_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[0] (.C(wr_clk), - .CE(E), - .D(\count_value_i[0]_i_1_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[3]_i_1__0_n_7 ), .Q(Q[0]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[10] + (.C(wr_clk), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[11]_i_1__0_n_5 ), + .Q(Q[10]), + .R(\count_value_i_reg[0]_2 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[11] + (.C(wr_clk), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[11]_i_1__0_n_4 ), + .Q(Q[11]), + .R(\count_value_i_reg[0]_2 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[11]_i_1__0 + (.CI(\count_value_i_reg[7]_i_1__0_n_0 ), + .CO({\count_value_i_reg[11]_i_1__0_n_0 ,\count_value_i_reg[11]_i_1__0_n_1 ,\count_value_i_reg[11]_i_1__0_n_2 ,\count_value_i_reg[11]_i_1__0_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[11]_i_1__0_n_4 ,\count_value_i_reg[11]_i_1__0_n_5 ,\count_value_i_reg[11]_i_1__0_n_6 ,\count_value_i_reg[11]_i_1__0_n_7 }), + .S(Q[11:8])); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[12] + (.C(wr_clk), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[12]_i_1__0_n_7 ), + .Q(\count_value_i_reg_n_0_[12] ), + .R(\count_value_i_reg[0]_2 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[12]_i_1__0 + (.CI(\count_value_i_reg[11]_i_1__0_n_0 ), + .CO(\NLW_count_value_i_reg[12]_i_1__0_CO_UNCONNECTED [3:0]), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\NLW_count_value_i_reg[12]_i_1__0_O_UNCONNECTED [3:1],\count_value_i_reg[12]_i_1__0_n_7 }), + .S({1'b0,1'b0,1'b0,\count_value_i_reg_n_0_[12] })); FDRE #( .INIT(1'b0)) \count_value_i_reg[1] (.C(wr_clk), - .CE(E), - .D(\count_value_i[1]_i_1__4_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[3]_i_1__0_n_6 ), .Q(Q[1]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[2] (.C(wr_clk), - .CE(E), - .D(\count_value_i[2]_i_1__2_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[3]_i_1__0_n_5 ), .Q(Q[2]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[3] (.C(wr_clk), - .CE(E), - .D(\count_value_i[3]_i_1__2_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[3]_i_1__0_n_4 ), .Q(Q[3]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[3]_i_1__0 + (.CI(1'b0), + .CO({\count_value_i_reg[3]_i_1__0_n_0 ,\count_value_i_reg[3]_i_1__0_n_1 ,\count_value_i_reg[3]_i_1__0_n_2 ,\count_value_i_reg[3]_i_1__0_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,Q[0]}), + .O({\count_value_i_reg[3]_i_1__0_n_4 ,\count_value_i_reg[3]_i_1__0_n_5 ,\count_value_i_reg[3]_i_1__0_n_6 ,\count_value_i_reg[3]_i_1__0_n_7 }), + .S({Q[3:1],\count_value_i[3]_i_2__0_n_0 })); FDRE #( .INIT(1'b0)) \count_value_i_reg[4] (.C(wr_clk), - .CE(E), - .D(\count_value_i[4]_i_1__2_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[7]_i_1__0_n_7 ), .Q(Q[4]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[5] (.C(wr_clk), - .CE(E), - .D(\count_value_i[5]_i_1__2_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[7]_i_1__0_n_6 ), .Q(Q[5]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[6] (.C(wr_clk), - .CE(E), - .D(\count_value_i[6]_i_1__2_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[7]_i_1__0_n_5 ), .Q(Q[6]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[7] (.C(wr_clk), - .CE(E), - .D(\count_value_i[7]_i_1__2_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[7]_i_1__0_n_4 ), .Q(Q[7]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[7]_i_1__0 + (.CI(\count_value_i_reg[3]_i_1__0_n_0 ), + .CO({\count_value_i_reg[7]_i_1__0_n_0 ,\count_value_i_reg[7]_i_1__0_n_1 ,\count_value_i_reg[7]_i_1__0_n_2 ,\count_value_i_reg[7]_i_1__0_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[7]_i_1__0_n_4 ,\count_value_i_reg[7]_i_1__0_n_5 ,\count_value_i_reg[7]_i_1__0_n_6 ,\count_value_i_reg[7]_i_1__0_n_7 }), + .S(Q[7:4])); FDRE #( .INIT(1'b0)) \count_value_i_reg[8] (.C(wr_clk), - .CE(E), - .D(\count_value_i[8]_i_1_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[11]_i_1__0_n_7 ), .Q(Q[8]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[9] (.C(wr_clk), - .CE(E), - .D(\count_value_i[9]_i_1_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[11]_i_1__0_n_6 ), .Q(Q[9]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); LUT4 #( - .INIT(16'h3202)) + .INIT(16'hF202)) \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_1 (.I0(ram_afull_i0), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1 ), + .I1(clr_full), .I2(rst), .I3(almost_full), .O(\syncstages_ff_reg[3] )); LUT5 #( - .INIT(32'hC4FCC4CC)) + .INIT(32'hF3FF00A0)) \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_2 - (.I0(going_full1), - .I1(almost_full), - .I2(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg ), - .I4(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg ), + (.I0(going_afull1), + .I1(going_full1), + .I2(ram_wr_en_pf), + .I3(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .I4(almost_full), .O(ram_afull_i0)); LUT6 #( - .INIT(64'h00000000F020FF20)) + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4 + (.I0(Q[9]), + .I1(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [9]), + .I2(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [11]), + .I3(Q[11]), + .I4(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [10]), + .I5(Q[10]), + .O(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0 )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5 + (.I0(Q[6]), + .I1(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [6]), + .I2(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [8]), + .I3(Q[8]), + .I4(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [7]), + .I5(Q[7]), + .O(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0 )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6 + (.I0(Q[3]), + .I1(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [3]), + .I2(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [5]), + .I3(Q[5]), + .I4(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [4]), + .I5(Q[4]), + .O(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0 )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_7 + (.I0(Q[0]), + .I1(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [0]), + .I2(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [2]), + .I3(Q[2]), + .I4(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [1]), + .I5(Q[1]), + .O(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_7_n_0 )); + CARRY4 \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3 + (.CI(1'b0), + .CO({going_afull1,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_1 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_2 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_3 }), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(\NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_O_UNCONNECTED [3:0]), + .S({\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_7_n_0 })); + LUT6 #( + .INIT(64'h0545044404440444)) \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_i_1 - (.I0(going_full1), + (.I0(clr_full), .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg ), .I2(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0 ), - .I4(CO), - .I5(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1 ), + .I3(CO), + .I4(going_full1), + .I5(ram_wr_en_pf), .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0 )); LUT6 #( - .INIT(64'hFFFFFFFF0FDF00DF)) + .INIT(64'hFABAFBBBFBBBFBBB)) \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_1 - (.I0(going_full1), + (.I0(clr_full), .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg ), .I2(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0 ), - .I4(CO), - .I5(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1 ), + .I3(CO), + .I4(going_full1), + .I5(ram_wr_en_pf), .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg )); - LUT4 #( - .INIT(16'hF0F4)) - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_4 - (.I0(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2 [0]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2 [1]), - .I2(ram_empty_i), - .I3(rd_en), - .O(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10 + (.I0(Q[6]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [6]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [8]), + .I3(Q[8]), + .I4(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [7]), + .I5(Q[7]), + .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0 )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11 + (.I0(Q[3]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [3]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [5]), + .I3(Q[5]), + .I4(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [4]), + .I5(Q[4]), + .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0 )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12 + (.I0(Q[0]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [0]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [2]), + .I3(Q[2]), + .I4(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [1]), + .I5(Q[1]), + .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0 )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_5 + (.I0(Q[9]), + .I1(\grdc.rd_data_count_i_reg[12] [9]), + .I2(\grdc.rd_data_count_i_reg[12] [11]), + .I3(Q[11]), + .I4(\grdc.rd_data_count_i_reg[12] [10]), + .I5(Q[10]), + .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_5_n_0 )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6 + (.I0(Q[6]), + .I1(\grdc.rd_data_count_i_reg[12] [6]), + .I2(\grdc.rd_data_count_i_reg[12] [8]), + .I3(Q[8]), + .I4(\grdc.rd_data_count_i_reg[12] [7]), + .I5(Q[7]), + .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6_n_0 )); LUT6 #( .INIT(64'h9009000000009009)) \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7 - (.I0(Q[6]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [6]), - .I2(Q[7]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [7]), - .I4(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [8]), - .I5(Q[8]), + (.I0(Q[3]), + .I1(\grdc.rd_data_count_i_reg[12] [3]), + .I2(\grdc.rd_data_count_i_reg[12] [5]), + .I3(Q[5]), + .I4(\grdc.rd_data_count_i_reg[12] [4]), + .I5(Q[4]), .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7_n_0 )); LUT6 #( .INIT(64'h9009000000009009)) \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8 - (.I0(Q[4]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [4]), - .I2(Q[3]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [3]), - .I4(Q[5]), - .I5(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [5]), + (.I0(Q[0]), + .I1(\grdc.rd_data_count_i_reg[12] [0]), + .I2(\grdc.rd_data_count_i_reg[12] [2]), + .I3(Q[2]), + .I4(\grdc.rd_data_count_i_reg[12] [1]), + .I5(Q[1]), .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8_n_0 )); LUT6 #( .INIT(64'h9009000000009009)) \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9 - (.I0(Q[2]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [2]), - .I2(Q[1]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [1]), - .I4(Q[0]), - .I5(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [0]), + (.I0(Q[9]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [9]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [11]), + .I3(Q[11]), + .I4(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [10]), + .I5(Q[10]), .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9_n_0 )); - CARRY4 \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2 + CARRY4 \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3 (.CI(1'b0), - .CO({\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_CO_UNCONNECTED [3],going_full1,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_n_2 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_n_3 }), + .CO({CO,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_1 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_2 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_3 }), .CYINIT(1'b1), .DI({1'b0,1'b0,1'b0,1'b0}), - .O(\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_O_UNCONNECTED [3:0]), - .S({1'b0,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9_n_0 })); - LUT1 #( - .INIT(2'h1)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2 - (.I0(Q[3]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2_n_0 )); - LUT1 #( - .INIT(2'h1)) + .O(\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_O_UNCONNECTED [3:0]), + .S({\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_5_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8_n_0 })); + CARRY4 \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4 + (.CI(1'b0), + .CO({going_full1,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_1 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_2 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_3 }), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_O_UNCONNECTED [3:0]), + .S({\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0 })); + LUT2 #( + .INIT(4'h9)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[11]_i_2 + (.I0(Q[11]), + .I1(\grdc.rd_data_count_i_reg[12] [11]), + .O(\count_value_i_reg[11]_1 [3])); + LUT2 #( + .INIT(4'h9)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[11]_i_3 + (.I0(Q[10]), + .I1(\grdc.rd_data_count_i_reg[12] [10]), + .O(\count_value_i_reg[11]_1 [2])); + LUT2 #( + .INIT(4'h9)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[11]_i_4 + (.I0(Q[9]), + .I1(\grdc.rd_data_count_i_reg[12] [9]), + .O(\count_value_i_reg[11]_1 [1])); + LUT2 #( + .INIT(4'h9)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[11]_i_5 + (.I0(Q[8]), + .I1(\grdc.rd_data_count_i_reg[12] [8]), + .O(\count_value_i_reg[11]_1 [0])); + LUT2 #( + .INIT(4'h9)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3 - (.I0(Q[2]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3_n_0 )); - LUT1 #( - .INIT(2'h1)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4 - (.I0(Q[1]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4_n_0 )); - LUT1 #( - .INIT(2'h1)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5 - (.I0(Q[0]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6 (.I0(Q[3]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] [3]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6_n_0 )); + .I1(\grdc.rd_data_count_i_reg[12] [3]), + .O(\count_value_i_reg[3]_0 [2])); LUT2 #( .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_7 + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4 (.I0(Q[2]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] [2]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_7_n_0 )); + .I1(\grdc.rd_data_count_i_reg[12] [2]), + .O(\count_value_i_reg[3]_0 [1])); LUT2 #( .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_8 + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5 (.I0(Q[1]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] [1]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_8_n_0 )); - LUT1 #( - .INIT(2'h1)) + .I1(\grdc.rd_data_count_i_reg[12] [1]), + .O(\count_value_i_reg[3]_0 [0])); + LUT2 #( + .INIT(4'h9)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2 (.I0(Q[7]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2_n_0 )); - LUT1 #( - .INIT(2'h1)) + .I1(\grdc.rd_data_count_i_reg[12] [7]), + .O(\count_value_i_reg[7]_0 [3])); + LUT2 #( + .INIT(4'h9)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3 (.I0(Q[6]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3_n_0 )); - LUT1 #( - .INIT(2'h1)) + .I1(\grdc.rd_data_count_i_reg[12] [6]), + .O(\count_value_i_reg[7]_0 [2])); + LUT2 #( + .INIT(4'h9)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4 (.I0(Q[5]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4_n_0 )); - LUT1 #( - .INIT(2'h1)) + .I1(\grdc.rd_data_count_i_reg[12] [5]), + .O(\count_value_i_reg[7]_0 [1])); + LUT2 #( + .INIT(4'h9)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5 (.I0(Q[4]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_6 - (.I0(Q[7]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] [7]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_6_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_7 - (.I0(Q[6]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] [6]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_7_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_8 - (.I0(Q[5]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] [5]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_8_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_9 - (.I0(Q[4]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] [4]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_9_n_0 )); - (* ADDER_THRESHOLD = "35" *) - (* METHODOLOGY_DRC_VIOS = "{SYNTH-8 {cell *THIS*}}" *) - CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1 - (.CI(1'b0), - .CO({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_3 }), - .CYINIT(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] [0]), - .DI({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5_n_0 }), - .O(\count_value_i_reg[8]_0 [3:0]), - .S({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_7_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_8_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] })); - (* ADDER_THRESHOLD = "35" *) - (* METHODOLOGY_DRC_VIOS = "{SYNTH-8 {cell *THIS*}}" *) - CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1 - (.CI(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0 ), - .CO({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_3 }), - .CYINIT(1'b0), - .DI({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5_n_0 }), - .O(\count_value_i_reg[8]_0 [7:4]), - .S({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_6_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_7_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_8_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_9_n_0 })); - (* ADDER_THRESHOLD = "35" *) - (* METHODOLOGY_DRC_VIOS = "{SYNTH-8 {cell *THIS*}}" *) - CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1 - (.CI(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0 ), - .CO(\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1_CO_UNCONNECTED [3:0]), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O({\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1_O_UNCONNECTED [3:1],\count_value_i_reg[8]_0 [8]}), - .S({1'b0,1'b0,1'b0,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8] })); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2 - (.I0(Q[3]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [3]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3 - (.I0(Q[2]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [2]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0 )); - LUT2 #( - .INIT(4'h9)) + .I1(\grdc.rd_data_count_i_reg[12] [4]), + .O(\count_value_i_reg[7]_0 [0])); + LUT4 #( + .INIT(16'hB44B)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_5 + (.I0(Q[10]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [10]), + .I2(Q[11]), + .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [11]), + .O(S)); + LUT4 #( + .INIT(16'h7510)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4 - (.I0(Q[1]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [1]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5 - (.I0(Q[3]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [3]), - .I2(Q[2]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [2]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6 - (.I0(Q[2]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [2]), - .I2(Q[1]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [1]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0 )); + (.I0(Q[0]), + .I1(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .I2(ram_wr_en_pf), + .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [0]), + .O(DI)); LUT6 #( - .INIT(64'h9699999966669699)) + .INIT(64'h8AEF751075108AEF)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_7 - (.I0(Q[1]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [1]), - .I2(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg ), - .I3(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .I4(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [0]), - .I5(Q[0]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_7_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2 - (.I0(Q[7]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [7]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3 - (.I0(Q[6]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [6]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4 - (.I0(Q[5]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [5]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5 - (.I0(Q[4]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [4]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0 )); + (.I0(Q[0]), + .I1(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .I2(ram_wr_en_pf), + .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [0]), + .I4(Q[1]), + .I5(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [1]), + .O(\count_value_i_reg[0]_0 )); LUT4 #( - .INIT(16'h9699)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6 - (.I0(Q[7]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [7]), - .I2(Q[6]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [6]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7 - (.I0(Q[6]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [6]), - .I2(Q[5]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [5]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8 - (.I0(Q[5]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [5]), - .I2(Q[4]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [4]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9 - (.I0(Q[4]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [4]), - .I2(Q[3]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [3]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0 )); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1 - (.CI(1'b0), - .CO({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_3 }), - .CYINIT(1'b0), - .DI({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4_n_0 ,1'b0}), - .O(D[3:0]), - .S({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_7_n_0 ,S})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1 - (.CI(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0 ), - .CO({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_3 }), - .CYINIT(1'b0), - .DI({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0 }), - .O(D[7:4]), - .S({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0 })); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1 - (.CI(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0 ), - .CO(\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1_CO_UNCONNECTED [3:0]), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O({\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1_O_UNCONNECTED [3:1],D[8]}), - .S({1'b0,1'b0,1'b0,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] })); - LUT1 #( - .INIT(2'h1)) + .INIT(16'h00FB)) \gen_sdpram.xpm_memory_base_inst_i_2 - (.I0(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .O(E)); + (.I0(\count_value_i_reg[0]_1 [0]), + .I1(\count_value_i_reg[0]_1 [1]), + .I2(rd_en), + .I3(ram_empty_i), + .O(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] )); + LUT4 #( + .INIT(16'hB44B)) + \gwdc.wr_data_count_i[12]_i_2 + (.I0(Q[11]), + .I1(\grdc.rd_data_count_i_reg[12] [11]), + .I2(\count_value_i_reg_n_0_[12] ), + .I3(\grdc.rd_data_count_i_reg[12] [12]), + .O(\count_value_i_reg[11]_0 )); + LUT3 #( + .INIT(8'hD4)) + \gwdc.wr_data_count_i[3]_i_3 + (.I0(Q[1]), + .I1(\grdc.rd_data_count_i_reg[3] ), + .I2(\grdc.rd_data_count_i_reg[12] [1]), + .O(\count_value_i_reg[1]_0 )); + LUT5 #( + .INIT(32'h2BD4D42B)) + \gwdc.wr_data_count_i[3]_i_6 + (.I0(Q[1]), + .I1(\grdc.rd_data_count_i_reg[3] ), + .I2(\grdc.rd_data_count_i_reg[12] [1]), + .I3(Q[2]), + .I4(\grdc.rd_data_count_i_reg[12] [2]), + .O(\count_value_i_reg[1]_1 )); endmodule (* ORIG_REF_NAME = "xpm_counter_updn" *) module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0 (ram_empty_i0, - CO, Q, D, - \count_value_i_reg[8]_0 , - ram_empty_i, + \count_value_i_reg[10]_0 , \gen_pntr_flags_cc.ram_empty_i_reg , - \gen_pntr_flags_cc.ram_empty_i_reg_0 , - \gen_pntr_flags_cc.ram_empty_i_reg_1 , - \grdc.rd_data_count_i_reg[9] , - DI, + CO, + ram_wr_en_pf, + ram_empty_i, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 , S, + DI, \grdc.rd_data_count_i_reg[3] , - \count_value_i_reg[9]_0 , - E, + \grdc.rd_data_count_i_reg[12] , + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] , + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0 , + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] , + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11] , + \grdc.rd_data_count_i_reg[11] , + \count_value_i_reg[12]_0 , wr_clk); output ram_empty_i0; - output [0:0]CO; - output [8:0]Q; - output [9:0]D; - output [0:0]\count_value_i_reg[8]_0 ; - input ram_empty_i; + output [12:0]Q; + output [12:0]D; + output [11:0]\count_value_i_reg[10]_0 ; input \gen_pntr_flags_cc.ram_empty_i_reg ; - input \gen_pntr_flags_cc.ram_empty_i_reg_0 ; - input [0:0]\gen_pntr_flags_cc.ram_empty_i_reg_1 ; - input [9:0]\grdc.rd_data_count_i_reg[9] ; - input [0:0]DI; - input [1:0]S; - input [0:0]\grdc.rd_data_count_i_reg[3] ; - input [0:0]\count_value_i_reg[9]_0 ; - input [0:0]E; + input [0:0]CO; + input ram_wr_en_pf; + input ram_empty_i; + input [11:0]\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 ; + input [0:0]S; + input [1:0]DI; + input [2:0]\grdc.rd_data_count_i_reg[3] ; + input [0:0]\grdc.rd_data_count_i_reg[12] ; + input [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] ; + input [3:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0 ; + input [3:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] ; + input [3:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11] ; + input [9:0]\grdc.rd_data_count_i_reg[11] ; + input [0:0]\count_value_i_reg[12]_0 ; input wr_clk; wire [0:0]CO; - wire [9:0]D; - wire [0:0]DI; - wire [0:0]E; - wire [8:0]Q; - wire [1:0]S; - wire \count_value_i[0]_i_1__1_n_0 ; - wire \count_value_i[1]_i_1__3_n_0 ; - wire \count_value_i[2]_i_1__3_n_0 ; - wire \count_value_i[3]_i_1__3_n_0 ; - wire \count_value_i[4]_i_1__3_n_0 ; - wire \count_value_i[5]_i_1__3_n_0 ; - wire \count_value_i[6]_i_1__3_n_0 ; - wire \count_value_i[7]_i_1__3_n_0 ; - wire \count_value_i[8]_i_1__1_n_0 ; - wire \count_value_i[9]_i_1__0_n_0 ; - wire \count_value_i[9]_i_2__0_n_0 ; - wire [0:0]\count_value_i_reg[8]_0 ; - wire [0:0]\count_value_i_reg[9]_0 ; - wire \count_value_i_reg_n_0_[9] ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0 ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0 ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0 ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_n_2 ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_n_3 ; + wire [12:0]D; + wire [1:0]DI; + wire [12:0]Q; + wire [0:0]S; + wire [11:0]\count_value_i_reg[10]_0 ; + wire \count_value_i_reg[11]_i_1_n_0 ; + wire \count_value_i_reg[11]_i_1_n_1 ; + wire \count_value_i_reg[11]_i_1_n_2 ; + wire \count_value_i_reg[11]_i_1_n_3 ; + wire \count_value_i_reg[11]_i_1_n_4 ; + wire \count_value_i_reg[11]_i_1_n_5 ; + wire \count_value_i_reg[11]_i_1_n_6 ; + wire \count_value_i_reg[11]_i_1_n_7 ; + wire [0:0]\count_value_i_reg[12]_0 ; + wire \count_value_i_reg[12]_i_1_n_7 ; + wire \count_value_i_reg[3]_i_1_n_0 ; + wire \count_value_i_reg[3]_i_1_n_1 ; + wire \count_value_i_reg[3]_i_1_n_2 ; + wire \count_value_i_reg[3]_i_1_n_3 ; + wire \count_value_i_reg[3]_i_1_n_4 ; + wire \count_value_i_reg[3]_i_1_n_5 ; + wire \count_value_i_reg[3]_i_1_n_6 ; + wire \count_value_i_reg[3]_i_1_n_7 ; + wire \count_value_i_reg[7]_i_1_n_0 ; + wire \count_value_i_reg[7]_i_1_n_1 ; + wire \count_value_i_reg[7]_i_1_n_2 ; + wire \count_value_i_reg[7]_i_1_n_3 ; + wire \count_value_i_reg[7]_i_1_n_4 ; + wire \count_value_i_reg[7]_i_1_n_5 ; + wire \count_value_i_reg[7]_i_1_n_6 ; + wire \count_value_i_reg[7]_i_1_n_7 ; + wire \gen_pntr_flags_cc.ram_empty_i_i_3_n_0 ; + wire \gen_pntr_flags_cc.ram_empty_i_i_4_n_0 ; + wire \gen_pntr_flags_cc.ram_empty_i_i_5_n_0 ; + wire \gen_pntr_flags_cc.ram_empty_i_i_6_n_0 ; wire \gen_pntr_flags_cc.ram_empty_i_reg ; - wire \gen_pntr_flags_cc.ram_empty_i_reg_0 ; - wire [0:0]\gen_pntr_flags_cc.ram_empty_i_reg_1 ; - wire [0:0]\grdc.rd_data_count_i_reg[3] ; - wire [9:0]\grdc.rd_data_count_i_reg[9] ; + wire [11:0]\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 ; + wire \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_1 ; + wire \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_2 ; + wire \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_3 ; + wire [3:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11] ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_1 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_2 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_3 ; + wire [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] ; + wire [3:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_1 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_2 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_3 ; + wire [3:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_1 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_2 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_3 ; + wire going_empty1; + wire [9:0]\grdc.rd_data_count_i_reg[11] ; + wire [0:0]\grdc.rd_data_count_i_reg[12] ; + wire [2:0]\grdc.rd_data_count_i_reg[3] ; + wire \gwdc.wr_data_count_i[11]_i_2_n_0 ; + wire \gwdc.wr_data_count_i[11]_i_3_n_0 ; + wire \gwdc.wr_data_count_i[11]_i_4_n_0 ; + wire \gwdc.wr_data_count_i[11]_i_5_n_0 ; + wire \gwdc.wr_data_count_i[11]_i_6_n_0 ; + wire \gwdc.wr_data_count_i[11]_i_7_n_0 ; + wire \gwdc.wr_data_count_i[11]_i_8_n_0 ; + wire \gwdc.wr_data_count_i[11]_i_9_n_0 ; wire \gwdc.wr_data_count_i[3]_i_2_n_0 ; - wire \gwdc.wr_data_count_i[3]_i_3_n_0 ; wire \gwdc.wr_data_count_i[3]_i_5_n_0 ; - wire \gwdc.wr_data_count_i[3]_i_6_n_0 ; wire \gwdc.wr_data_count_i[7]_i_2_n_0 ; wire \gwdc.wr_data_count_i[7]_i_3_n_0 ; wire \gwdc.wr_data_count_i[7]_i_4_n_0 ; @@ -6524,9 +6495,10 @@ module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0 wire \gwdc.wr_data_count_i[7]_i_7_n_0 ; wire \gwdc.wr_data_count_i[7]_i_8_n_0 ; wire \gwdc.wr_data_count_i[7]_i_9_n_0 ; - wire \gwdc.wr_data_count_i[9]_i_2_n_0 ; - wire \gwdc.wr_data_count_i[9]_i_3_n_0 ; - wire \gwdc.wr_data_count_i[9]_i_4_n_0 ; + wire \gwdc.wr_data_count_i_reg[11]_i_1_n_0 ; + wire \gwdc.wr_data_count_i_reg[11]_i_1_n_1 ; + wire \gwdc.wr_data_count_i_reg[11]_i_1_n_2 ; + wire \gwdc.wr_data_count_i_reg[11]_i_1_n_3 ; wire \gwdc.wr_data_count_i_reg[3]_i_1_n_0 ; wire \gwdc.wr_data_count_i_reg[3]_i_1_n_1 ; wire \gwdc.wr_data_count_i_reg[3]_i_1_n_2 ; @@ -6535,358 +6507,386 @@ module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0 wire \gwdc.wr_data_count_i_reg[7]_i_1_n_1 ; wire \gwdc.wr_data_count_i_reg[7]_i_1_n_2 ; wire \gwdc.wr_data_count_i_reg[7]_i_1_n_3 ; - wire \gwdc.wr_data_count_i_reg[9]_i_1_n_3 ; wire ram_empty_i; wire ram_empty_i0; + wire ram_wr_en_pf; wire wr_clk; - wire [3:3]\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_CO_UNCONNECTED ; - wire [3:0]\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_O_UNCONNECTED ; - wire [3:1]\NLW_gwdc.wr_data_count_i_reg[9]_i_1_CO_UNCONNECTED ; - wire [3:2]\NLW_gwdc.wr_data_count_i_reg[9]_i_1_O_UNCONNECTED ; + wire [3:0]\NLW_count_value_i_reg[12]_i_1_CO_UNCONNECTED ; + wire [3:1]\NLW_count_value_i_reg[12]_i_1_O_UNCONNECTED ; + wire [3:0]\NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_O_UNCONNECTED ; + wire [3:3]\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_gwdc.wr_data_count_i_reg[12]_i_1_CO_UNCONNECTED ; + wire [3:1]\NLW_gwdc.wr_data_count_i_reg[12]_i_1_O_UNCONNECTED ; - (* SOFT_HLUTNM = "soft_lutpair12" *) - LUT1 #( - .INIT(2'h1)) - \count_value_i[0]_i_1__1 - (.I0(Q[0]), - .O(\count_value_i[0]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair12" *) - LUT2 #( - .INIT(4'h6)) - \count_value_i[1]_i_1__3 - (.I0(Q[1]), - .I1(Q[0]), - .O(\count_value_i[1]_i_1__3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair10" *) - LUT4 #( - .INIT(16'hDF20)) - \count_value_i[2]_i_1__3 - (.I0(Q[0]), - .I1(\gen_pntr_flags_cc.ram_empty_i_reg_0 ), - .I2(Q[1]), - .I3(Q[2]), - .O(\count_value_i[2]_i_1__3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair10" *) - LUT5 #( - .INIT(32'hDF20FF00)) - \count_value_i[3]_i_1__3 - (.I0(Q[0]), - .I1(\gen_pntr_flags_cc.ram_empty_i_reg_0 ), - .I2(Q[1]), - .I3(Q[3]), - .I4(Q[2]), - .O(\count_value_i[3]_i_1__3_n_0 )); - LUT6 #( - .INIT(64'hDF20FF00FF00FF00)) - \count_value_i[4]_i_1__3 - (.I0(Q[0]), - .I1(\gen_pntr_flags_cc.ram_empty_i_reg_0 ), - .I2(Q[1]), - .I3(Q[4]), - .I4(Q[3]), - .I5(Q[2]), - .O(\count_value_i[4]_i_1__3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair11" *) - LUT2 #( - .INIT(4'h9)) - \count_value_i[5]_i_1__3 - (.I0(Q[5]), - .I1(\count_value_i[9]_i_2__0_n_0 ), - .O(\count_value_i[5]_i_1__3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair11" *) - LUT3 #( - .INIT(8'hA6)) - \count_value_i[6]_i_1__3 - (.I0(Q[6]), - .I1(Q[5]), - .I2(\count_value_i[9]_i_2__0_n_0 ), - .O(\count_value_i[6]_i_1__3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair9" *) - LUT4 #( - .INIT(16'hA6AA)) - \count_value_i[7]_i_1__3 - (.I0(Q[7]), - .I1(Q[6]), - .I2(\count_value_i[9]_i_2__0_n_0 ), - .I3(Q[5]), - .O(\count_value_i[7]_i_1__3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair9" *) - LUT5 #( - .INIT(32'hA6AAAAAA)) - \count_value_i[8]_i_1__1 - (.I0(Q[8]), - .I1(Q[5]), - .I2(\count_value_i[9]_i_2__0_n_0 ), - .I3(Q[6]), - .I4(Q[7]), - .O(\count_value_i[8]_i_1__1_n_0 )); - LUT6 #( - .INIT(64'hAA6AAAAAAAAAAAAA)) - \count_value_i[9]_i_1__0 - (.I0(\count_value_i_reg_n_0_[9] ), - .I1(Q[7]), - .I2(Q[6]), - .I3(\count_value_i[9]_i_2__0_n_0 ), - .I4(Q[5]), - .I5(Q[8]), - .O(\count_value_i[9]_i_1__0_n_0 )); - LUT6 #( - .INIT(64'hDFFFFFFFFFFFFFFF)) - \count_value_i[9]_i_2__0 - (.I0(Q[0]), - .I1(\gen_pntr_flags_cc.ram_empty_i_reg_0 ), - .I2(Q[1]), - .I3(Q[3]), - .I4(Q[2]), - .I5(Q[4]), - .O(\count_value_i[9]_i_2__0_n_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[0] (.C(wr_clk), - .CE(E), - .D(\count_value_i[0]_i_1__1_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1_n_7 ), .Q(Q[0]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[10] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1_n_5 ), + .Q(Q[10]), + .R(\count_value_i_reg[12]_0 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[11] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1_n_4 ), + .Q(Q[11]), + .R(\count_value_i_reg[12]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[11]_i_1 + (.CI(\count_value_i_reg[7]_i_1_n_0 ), + .CO({\count_value_i_reg[11]_i_1_n_0 ,\count_value_i_reg[11]_i_1_n_1 ,\count_value_i_reg[11]_i_1_n_2 ,\count_value_i_reg[11]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[11]_i_1_n_4 ,\count_value_i_reg[11]_i_1_n_5 ,\count_value_i_reg[11]_i_1_n_6 ,\count_value_i_reg[11]_i_1_n_7 }), + .S(Q[11:8])); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[12] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[12]_i_1_n_7 ), + .Q(Q[12]), + .R(\count_value_i_reg[12]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[12]_i_1 + (.CI(\count_value_i_reg[11]_i_1_n_0 ), + .CO(\NLW_count_value_i_reg[12]_i_1_CO_UNCONNECTED [3:0]), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\NLW_count_value_i_reg[12]_i_1_O_UNCONNECTED [3:1],\count_value_i_reg[12]_i_1_n_7 }), + .S({1'b0,1'b0,1'b0,Q[12]})); FDRE #( .INIT(1'b0)) \count_value_i_reg[1] (.C(wr_clk), - .CE(E), - .D(\count_value_i[1]_i_1__3_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1_n_6 ), .Q(Q[1]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[2] (.C(wr_clk), - .CE(E), - .D(\count_value_i[2]_i_1__3_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1_n_5 ), .Q(Q[2]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[3] (.C(wr_clk), - .CE(E), - .D(\count_value_i[3]_i_1__3_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1_n_4 ), .Q(Q[3]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[3]_i_1 + (.CI(1'b0), + .CO({\count_value_i_reg[3]_i_1_n_0 ,\count_value_i_reg[3]_i_1_n_1 ,\count_value_i_reg[3]_i_1_n_2 ,\count_value_i_reg[3]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,Q[0]}), + .O({\count_value_i_reg[3]_i_1_n_4 ,\count_value_i_reg[3]_i_1_n_5 ,\count_value_i_reg[3]_i_1_n_6 ,\count_value_i_reg[3]_i_1_n_7 }), + .S({Q[3:1],S})); FDRE #( .INIT(1'b0)) \count_value_i_reg[4] (.C(wr_clk), - .CE(E), - .D(\count_value_i[4]_i_1__3_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1_n_7 ), .Q(Q[4]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[5] (.C(wr_clk), - .CE(E), - .D(\count_value_i[5]_i_1__3_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1_n_6 ), .Q(Q[5]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[6] (.C(wr_clk), - .CE(E), - .D(\count_value_i[6]_i_1__3_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1_n_5 ), .Q(Q[6]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[7] (.C(wr_clk), - .CE(E), - .D(\count_value_i[7]_i_1__3_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1_n_4 ), .Q(Q[7]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[7]_i_1 + (.CI(\count_value_i_reg[3]_i_1_n_0 ), + .CO({\count_value_i_reg[7]_i_1_n_0 ,\count_value_i_reg[7]_i_1_n_1 ,\count_value_i_reg[7]_i_1_n_2 ,\count_value_i_reg[7]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[7]_i_1_n_4 ,\count_value_i_reg[7]_i_1_n_5 ,\count_value_i_reg[7]_i_1_n_6 ,\count_value_i_reg[7]_i_1_n_7 }), + .S(Q[7:4])); FDRE #( .INIT(1'b0)) \count_value_i_reg[8] (.C(wr_clk), - .CE(E), - .D(\count_value_i[8]_i_1__1_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1_n_7 ), .Q(Q[8]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[9] (.C(wr_clk), - .CE(E), - .D(\count_value_i[9]_i_1__0_n_0 ), - .Q(\count_value_i_reg_n_0_[9] ), - .R(\count_value_i_reg[9]_0 )); + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1_n_6 ), + .Q(Q[9]), + .R(\count_value_i_reg[12]_0 )); + LUT5 #( + .INIT(32'h0FFF0088)) + \gen_pntr_flags_cc.ram_empty_i_i_1 + (.I0(\gen_pntr_flags_cc.ram_empty_i_reg ), + .I1(going_empty1), + .I2(CO), + .I3(ram_wr_en_pf), + .I4(ram_empty_i), + .O(ram_empty_i0)); LUT6 #( .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10 - (.I0(Q[7]), - .I1(\grdc.rd_data_count_i_reg[9] [7]), - .I2(Q[6]), - .I3(\grdc.rd_data_count_i_reg[9] [6]), - .I4(Q[8]), - .I5(\grdc.rd_data_count_i_reg[9] [8]), - .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0 )); + \gen_pntr_flags_cc.ram_empty_i_i_3 + (.I0(Q[9]), + .I1(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [9]), + .I2(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [11]), + .I3(Q[11]), + .I4(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [10]), + .I5(Q[10]), + .O(\gen_pntr_flags_cc.ram_empty_i_i_3_n_0 )); LUT6 #( .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11 - (.I0(Q[5]), - .I1(\grdc.rd_data_count_i_reg[9] [5]), - .I2(Q[3]), - .I3(\grdc.rd_data_count_i_reg[9] [3]), - .I4(Q[4]), - .I5(\grdc.rd_data_count_i_reg[9] [4]), - .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0 )); + \gen_pntr_flags_cc.ram_empty_i_i_4 + (.I0(Q[6]), + .I1(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [6]), + .I2(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [8]), + .I3(Q[8]), + .I4(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [7]), + .I5(Q[7]), + .O(\gen_pntr_flags_cc.ram_empty_i_i_4_n_0 )); LUT6 #( .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12 - (.I0(Q[2]), - .I1(\grdc.rd_data_count_i_reg[9] [2]), - .I2(\grdc.rd_data_count_i_reg[9] [1]), - .I3(Q[1]), - .I4(Q[0]), - .I5(\grdc.rd_data_count_i_reg[9] [0]), - .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0 )); - CARRY4 \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5 + \gen_pntr_flags_cc.ram_empty_i_i_5 + (.I0(Q[3]), + .I1(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [3]), + .I2(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [5]), + .I3(Q[5]), + .I4(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [4]), + .I5(Q[4]), + .O(\gen_pntr_flags_cc.ram_empty_i_i_5_n_0 )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.ram_empty_i_i_6 + (.I0(Q[0]), + .I1(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [0]), + .I2(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [2]), + .I3(Q[2]), + .I4(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [1]), + .I5(Q[1]), + .O(\gen_pntr_flags_cc.ram_empty_i_i_6_n_0 )); + CARRY4 \gen_pntr_flags_cc.ram_empty_i_reg_i_2 (.CI(1'b0), - .CO({\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_CO_UNCONNECTED [3],CO,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_n_2 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_n_3 }), + .CO({going_empty1,\gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_1 ,\gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_2 ,\gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_3 }), .CYINIT(1'b1), .DI({1'b0,1'b0,1'b0,1'b0}), - .O(\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_O_UNCONNECTED [3:0]), - .S({1'b0,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0 })); - LUT5 #( - .INIT(32'hCF44CC44)) - \gen_pntr_flags_cc.ram_empty_i_i_1 - (.I0(CO), - .I1(ram_empty_i), - .I2(\gen_pntr_flags_cc.ram_empty_i_reg ), - .I3(\gen_pntr_flags_cc.ram_empty_i_reg_0 ), - .I4(\gen_pntr_flags_cc.ram_empty_i_reg_1 ), - .O(ram_empty_i0)); + .O(\NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_O_UNCONNECTED [3:0]), + .S({\gen_pntr_flags_cc.ram_empty_i_i_3_n_0 ,\gen_pntr_flags_cc.ram_empty_i_i_4_n_0 ,\gen_pntr_flags_cc.ram_empty_i_i_5_n_0 ,\gen_pntr_flags_cc.ram_empty_i_i_6_n_0 })); + (* ADDER_THRESHOLD = "35" *) + (* METHODOLOGY_DRC_VIOS = "{SYNTH-8 {cell *THIS*}}" *) + CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1 + (.CI(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0 ), + .CO({\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_CO_UNCONNECTED [3],\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,Q[10:8]}), + .O(\count_value_i_reg[10]_0 [11:8]), + .S(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11] )); + (* ADDER_THRESHOLD = "35" *) + (* METHODOLOGY_DRC_VIOS = "{SYNTH-8 {cell *THIS*}}" *) + CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1 + (.CI(1'b0), + .CO({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_3 }), + .CYINIT(Q[0]), + .DI({Q[3:1],\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] }), + .O(\count_value_i_reg[10]_0 [3:0]), + .S(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0 )); + (* ADDER_THRESHOLD = "35" *) + (* METHODOLOGY_DRC_VIOS = "{SYNTH-8 {cell *THIS*}}" *) + CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1 + (.CI(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0 ), + .CO({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_3 }), + .CYINIT(1'b0), + .DI(Q[7:4]), + .O(\count_value_i_reg[10]_0 [7:4]), + .S(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] )); LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[8]_i_2 + .INIT(4'h2)) + \gwdc.wr_data_count_i[11]_i_2 + (.I0(Q[10]), + .I1(\grdc.rd_data_count_i_reg[11] [8]), + .O(\gwdc.wr_data_count_i[11]_i_2_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gwdc.wr_data_count_i[11]_i_3 + (.I0(Q[9]), + .I1(\grdc.rd_data_count_i_reg[11] [7]), + .O(\gwdc.wr_data_count_i[11]_i_3_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gwdc.wr_data_count_i[11]_i_4 (.I0(Q[8]), - .I1(\grdc.rd_data_count_i_reg[9] [8]), - .O(\count_value_i_reg[8]_0 )); + .I1(\grdc.rd_data_count_i_reg[11] [6]), + .O(\gwdc.wr_data_count_i[11]_i_4_n_0 )); LUT2 #( - .INIT(4'h9)) - \gwdc.wr_data_count_i[3]_i_2 - (.I0(Q[3]), - .I1(\grdc.rd_data_count_i_reg[9] [3]), - .O(\gwdc.wr_data_count_i[3]_i_2_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gwdc.wr_data_count_i[3]_i_3 - (.I0(Q[2]), - .I1(\grdc.rd_data_count_i_reg[9] [2]), - .O(\gwdc.wr_data_count_i[3]_i_3_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gwdc.wr_data_count_i[3]_i_5 - (.I0(Q[3]), - .I1(\grdc.rd_data_count_i_reg[9] [3]), - .I2(\grdc.rd_data_count_i_reg[9] [2]), - .I3(Q[2]), - .O(\gwdc.wr_data_count_i[3]_i_5_n_0 )); - LUT5 #( - .INIT(32'h69669969)) - \gwdc.wr_data_count_i[3]_i_6 - (.I0(Q[2]), - .I1(\grdc.rd_data_count_i_reg[9] [2]), - .I2(Q[1]), - .I3(\grdc.rd_data_count_i_reg[9] [1]), - .I4(\grdc.rd_data_count_i_reg[3] ), - .O(\gwdc.wr_data_count_i[3]_i_6_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gwdc.wr_data_count_i[7]_i_2 + .INIT(4'h2)) + \gwdc.wr_data_count_i[11]_i_5 (.I0(Q[7]), - .I1(\grdc.rd_data_count_i_reg[9] [7]), - .O(\gwdc.wr_data_count_i[7]_i_2_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gwdc.wr_data_count_i[7]_i_3 - (.I0(Q[6]), - .I1(\grdc.rd_data_count_i_reg[9] [6]), - .O(\gwdc.wr_data_count_i[7]_i_3_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gwdc.wr_data_count_i[7]_i_4 - (.I0(Q[5]), - .I1(\grdc.rd_data_count_i_reg[9] [5]), - .O(\gwdc.wr_data_count_i[7]_i_4_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gwdc.wr_data_count_i[7]_i_5 - (.I0(Q[4]), - .I1(\grdc.rd_data_count_i_reg[9] [4]), - .O(\gwdc.wr_data_count_i[7]_i_5_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gwdc.wr_data_count_i[7]_i_6 - (.I0(Q[7]), - .I1(\grdc.rd_data_count_i_reg[9] [7]), - .I2(\grdc.rd_data_count_i_reg[9] [6]), - .I3(Q[6]), - .O(\gwdc.wr_data_count_i[7]_i_6_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gwdc.wr_data_count_i[7]_i_7 - (.I0(Q[6]), - .I1(\grdc.rd_data_count_i_reg[9] [6]), - .I2(\grdc.rd_data_count_i_reg[9] [5]), - .I3(Q[5]), - .O(\gwdc.wr_data_count_i[7]_i_7_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gwdc.wr_data_count_i[7]_i_8 - (.I0(Q[5]), - .I1(\grdc.rd_data_count_i_reg[9] [5]), - .I2(\grdc.rd_data_count_i_reg[9] [4]), - .I3(Q[4]), - .O(\gwdc.wr_data_count_i[7]_i_8_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gwdc.wr_data_count_i[7]_i_9 - (.I0(Q[4]), - .I1(\grdc.rd_data_count_i_reg[9] [4]), - .I2(\grdc.rd_data_count_i_reg[9] [3]), - .I3(Q[3]), - .O(\gwdc.wr_data_count_i[7]_i_9_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gwdc.wr_data_count_i[9]_i_2 - (.I0(Q[8]), - .I1(\grdc.rd_data_count_i_reg[9] [8]), - .O(\gwdc.wr_data_count_i[9]_i_2_n_0 )); + .I1(\grdc.rd_data_count_i_reg[11] [5]), + .O(\gwdc.wr_data_count_i[11]_i_5_n_0 )); LUT4 #( .INIT(16'hD22D)) - \gwdc.wr_data_count_i[9]_i_3 - (.I0(Q[8]), - .I1(\grdc.rd_data_count_i_reg[9] [8]), - .I2(\count_value_i_reg_n_0_[9] ), - .I3(\grdc.rd_data_count_i_reg[9] [9]), - .O(\gwdc.wr_data_count_i[9]_i_3_n_0 )); + \gwdc.wr_data_count_i[11]_i_6 + (.I0(Q[10]), + .I1(\grdc.rd_data_count_i_reg[11] [8]), + .I2(\grdc.rd_data_count_i_reg[11] [9]), + .I3(Q[11]), + .O(\gwdc.wr_data_count_i[11]_i_6_n_0 )); LUT4 #( - .INIT(16'h9699)) - \gwdc.wr_data_count_i[9]_i_4 + .INIT(16'hD22D)) + \gwdc.wr_data_count_i[11]_i_7 + (.I0(Q[9]), + .I1(\grdc.rd_data_count_i_reg[11] [7]), + .I2(\grdc.rd_data_count_i_reg[11] [8]), + .I3(Q[10]), + .O(\gwdc.wr_data_count_i[11]_i_7_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gwdc.wr_data_count_i[11]_i_8 (.I0(Q[8]), - .I1(\grdc.rd_data_count_i_reg[9] [8]), - .I2(\grdc.rd_data_count_i_reg[9] [7]), + .I1(\grdc.rd_data_count_i_reg[11] [6]), + .I2(\grdc.rd_data_count_i_reg[11] [7]), + .I3(Q[9]), + .O(\gwdc.wr_data_count_i[11]_i_8_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gwdc.wr_data_count_i[11]_i_9 + (.I0(Q[7]), + .I1(\grdc.rd_data_count_i_reg[11] [5]), + .I2(\grdc.rd_data_count_i_reg[11] [6]), + .I3(Q[8]), + .O(\gwdc.wr_data_count_i[11]_i_9_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gwdc.wr_data_count_i[3]_i_2 + (.I0(Q[2]), + .I1(\grdc.rd_data_count_i_reg[11] [0]), + .O(\gwdc.wr_data_count_i[3]_i_2_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gwdc.wr_data_count_i[3]_i_5 + (.I0(Q[2]), + .I1(\grdc.rd_data_count_i_reg[11] [0]), + .I2(\grdc.rd_data_count_i_reg[11] [1]), + .I3(Q[3]), + .O(\gwdc.wr_data_count_i[3]_i_5_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gwdc.wr_data_count_i[7]_i_2 + (.I0(Q[6]), + .I1(\grdc.rd_data_count_i_reg[11] [4]), + .O(\gwdc.wr_data_count_i[7]_i_2_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gwdc.wr_data_count_i[7]_i_3 + (.I0(Q[5]), + .I1(\grdc.rd_data_count_i_reg[11] [3]), + .O(\gwdc.wr_data_count_i[7]_i_3_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gwdc.wr_data_count_i[7]_i_4 + (.I0(Q[4]), + .I1(\grdc.rd_data_count_i_reg[11] [2]), + .O(\gwdc.wr_data_count_i[7]_i_4_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gwdc.wr_data_count_i[7]_i_5 + (.I0(Q[3]), + .I1(\grdc.rd_data_count_i_reg[11] [1]), + .O(\gwdc.wr_data_count_i[7]_i_5_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gwdc.wr_data_count_i[7]_i_6 + (.I0(Q[6]), + .I1(\grdc.rd_data_count_i_reg[11] [4]), + .I2(\grdc.rd_data_count_i_reg[11] [5]), .I3(Q[7]), - .O(\gwdc.wr_data_count_i[9]_i_4_n_0 )); + .O(\gwdc.wr_data_count_i[7]_i_6_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gwdc.wr_data_count_i[7]_i_7 + (.I0(Q[5]), + .I1(\grdc.rd_data_count_i_reg[11] [3]), + .I2(\grdc.rd_data_count_i_reg[11] [4]), + .I3(Q[6]), + .O(\gwdc.wr_data_count_i[7]_i_7_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gwdc.wr_data_count_i[7]_i_8 + (.I0(Q[4]), + .I1(\grdc.rd_data_count_i_reg[11] [2]), + .I2(\grdc.rd_data_count_i_reg[11] [3]), + .I3(Q[5]), + .O(\gwdc.wr_data_count_i[7]_i_8_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gwdc.wr_data_count_i[7]_i_9 + (.I0(Q[3]), + .I1(\grdc.rd_data_count_i_reg[11] [1]), + .I2(\grdc.rd_data_count_i_reg[11] [2]), + .I3(Q[4]), + .O(\gwdc.wr_data_count_i[7]_i_9_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \gwdc.wr_data_count_i_reg[11]_i_1 + (.CI(\gwdc.wr_data_count_i_reg[7]_i_1_n_0 ), + .CO({\gwdc.wr_data_count_i_reg[11]_i_1_n_0 ,\gwdc.wr_data_count_i_reg[11]_i_1_n_1 ,\gwdc.wr_data_count_i_reg[11]_i_1_n_2 ,\gwdc.wr_data_count_i_reg[11]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({\gwdc.wr_data_count_i[11]_i_2_n_0 ,\gwdc.wr_data_count_i[11]_i_3_n_0 ,\gwdc.wr_data_count_i[11]_i_4_n_0 ,\gwdc.wr_data_count_i[11]_i_5_n_0 }), + .O(D[11:8]), + .S({\gwdc.wr_data_count_i[11]_i_6_n_0 ,\gwdc.wr_data_count_i[11]_i_7_n_0 ,\gwdc.wr_data_count_i[11]_i_8_n_0 ,\gwdc.wr_data_count_i[11]_i_9_n_0 })); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \gwdc.wr_data_count_i_reg[12]_i_1 + (.CI(\gwdc.wr_data_count_i_reg[11]_i_1_n_0 ), + .CO(\NLW_gwdc.wr_data_count_i_reg[12]_i_1_CO_UNCONNECTED [3:0]), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\NLW_gwdc.wr_data_count_i_reg[12]_i_1_O_UNCONNECTED [3:1],D[12]}), + .S({1'b0,1'b0,1'b0,\grdc.rd_data_count_i_reg[12] })); (* ADDER_THRESHOLD = "35" *) CARRY4 \gwdc.wr_data_count_i_reg[3]_i_1 (.CI(1'b0), .CO({\gwdc.wr_data_count_i_reg[3]_i_1_n_0 ,\gwdc.wr_data_count_i_reg[3]_i_1_n_1 ,\gwdc.wr_data_count_i_reg[3]_i_1_n_2 ,\gwdc.wr_data_count_i_reg[3]_i_1_n_3 }), .CYINIT(1'b0), - .DI({\gwdc.wr_data_count_i[3]_i_2_n_0 ,\gwdc.wr_data_count_i[3]_i_3_n_0 ,DI,Q[0]}), + .DI({\gwdc.wr_data_count_i[3]_i_2_n_0 ,DI,Q[0]}), .O(D[3:0]), - .S({\gwdc.wr_data_count_i[3]_i_5_n_0 ,\gwdc.wr_data_count_i[3]_i_6_n_0 ,S})); + .S({\gwdc.wr_data_count_i[3]_i_5_n_0 ,\grdc.rd_data_count_i_reg[3] })); (* ADDER_THRESHOLD = "35" *) CARRY4 \gwdc.wr_data_count_i_reg[7]_i_1 (.CI(\gwdc.wr_data_count_i_reg[3]_i_1_n_0 ), @@ -6895,492 +6895,563 @@ module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0 .DI({\gwdc.wr_data_count_i[7]_i_2_n_0 ,\gwdc.wr_data_count_i[7]_i_3_n_0 ,\gwdc.wr_data_count_i[7]_i_4_n_0 ,\gwdc.wr_data_count_i[7]_i_5_n_0 }), .O(D[7:4]), .S({\gwdc.wr_data_count_i[7]_i_6_n_0 ,\gwdc.wr_data_count_i[7]_i_7_n_0 ,\gwdc.wr_data_count_i[7]_i_8_n_0 ,\gwdc.wr_data_count_i[7]_i_9_n_0 })); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \gwdc.wr_data_count_i_reg[9]_i_1 - (.CI(\gwdc.wr_data_count_i_reg[7]_i_1_n_0 ), - .CO({\NLW_gwdc.wr_data_count_i_reg[9]_i_1_CO_UNCONNECTED [3:1],\gwdc.wr_data_count_i_reg[9]_i_1_n_3 }), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,\gwdc.wr_data_count_i[9]_i_2_n_0 }), - .O({\NLW_gwdc.wr_data_count_i_reg[9]_i_1_O_UNCONNECTED [3:2],D[9:8]}), - .S({1'b0,1'b0,\gwdc.wr_data_count_i[9]_i_3_n_0 ,\gwdc.wr_data_count_i[9]_i_4_n_0 })); endmodule (* ORIG_REF_NAME = "xpm_counter_updn" *) module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3 - (CO, - Q, - \count_value_i_reg[4]_0 , + (Q, \count_value_i_reg[0]_0 , - E, + \count_value_i_reg[0]_1 , wr_clk); - output [0:0]CO; - input [8:0]Q; - input \count_value_i_reg[4]_0 ; - input [0:0]\count_value_i_reg[0]_0 ; - input [0:0]E; + output [11:0]Q; + input \count_value_i_reg[0]_0 ; + input [0:0]\count_value_i_reg[0]_1 ; input wr_clk; - wire [0:0]CO; - wire [0:0]E; - wire [8:0]Q; - wire \count_value_i[0]_i_1__4_n_0 ; - wire \count_value_i[1]_i_1__2_n_0 ; - wire \count_value_i[2]_i_1_n_0 ; - wire \count_value_i[3]_i_1_n_0 ; - wire \count_value_i[4]_i_1_n_0 ; - wire \count_value_i[5]_i_1_n_0 ; - wire \count_value_i[6]_i_1_n_0 ; - wire \count_value_i[7]_i_1_n_0 ; - wire \count_value_i[8]_i_1__0_n_0 ; - wire \count_value_i[8]_i_2_n_0 ; - wire [0:0]\count_value_i_reg[0]_0 ; - wire \count_value_i_reg[4]_0 ; - wire \count_value_i_reg_n_0_[0] ; - wire \count_value_i_reg_n_0_[1] ; - wire \count_value_i_reg_n_0_[2] ; - wire \count_value_i_reg_n_0_[3] ; - wire \count_value_i_reg_n_0_[4] ; - wire \count_value_i_reg_n_0_[5] ; - wire \count_value_i_reg_n_0_[6] ; - wire \count_value_i_reg_n_0_[7] ; - wire \count_value_i_reg_n_0_[8] ; - wire \gen_pntr_flags_cc.ram_empty_i_i_3_n_0 ; - wire \gen_pntr_flags_cc.ram_empty_i_i_4_n_0 ; - wire \gen_pntr_flags_cc.ram_empty_i_i_5_n_0 ; - wire \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_2 ; - wire \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_3 ; + wire [11:0]Q; + wire \count_value_i[3]_i_2__1_n_0 ; + wire \count_value_i_reg[0]_0 ; + wire [0:0]\count_value_i_reg[0]_1 ; + wire \count_value_i_reg[11]_i_1__1_n_1 ; + wire \count_value_i_reg[11]_i_1__1_n_2 ; + wire \count_value_i_reg[11]_i_1__1_n_3 ; + wire \count_value_i_reg[11]_i_1__1_n_4 ; + wire \count_value_i_reg[11]_i_1__1_n_5 ; + wire \count_value_i_reg[11]_i_1__1_n_6 ; + wire \count_value_i_reg[11]_i_1__1_n_7 ; + wire \count_value_i_reg[3]_i_1__1_n_0 ; + wire \count_value_i_reg[3]_i_1__1_n_1 ; + wire \count_value_i_reg[3]_i_1__1_n_2 ; + wire \count_value_i_reg[3]_i_1__1_n_3 ; + wire \count_value_i_reg[3]_i_1__1_n_4 ; + wire \count_value_i_reg[3]_i_1__1_n_5 ; + wire \count_value_i_reg[3]_i_1__1_n_6 ; + wire \count_value_i_reg[3]_i_1__1_n_7 ; + wire \count_value_i_reg[7]_i_1__1_n_0 ; + wire \count_value_i_reg[7]_i_1__1_n_1 ; + wire \count_value_i_reg[7]_i_1__1_n_2 ; + wire \count_value_i_reg[7]_i_1__1_n_3 ; + wire \count_value_i_reg[7]_i_1__1_n_4 ; + wire \count_value_i_reg[7]_i_1__1_n_5 ; + wire \count_value_i_reg[7]_i_1__1_n_6 ; + wire \count_value_i_reg[7]_i_1__1_n_7 ; wire wr_clk; - wire [3:3]\NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_CO_UNCONNECTED ; - wire [3:0]\NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_O_UNCONNECTED ; + wire [3:3]\NLW_count_value_i_reg[11]_i_1__1_CO_UNCONNECTED ; - (* SOFT_HLUTNM = "soft_lutpair8" *) - LUT1 #( - .INIT(2'h1)) - \count_value_i[0]_i_1__4 - (.I0(\count_value_i_reg_n_0_[0] ), - .O(\count_value_i[0]_i_1__4_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair8" *) LUT2 #( .INIT(4'h6)) - \count_value_i[1]_i_1__2 - (.I0(\count_value_i_reg_n_0_[1] ), - .I1(\count_value_i_reg_n_0_[0] ), - .O(\count_value_i[1]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT4 #( - .INIT(16'hDF20)) - \count_value_i[2]_i_1 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(\count_value_i_reg[4]_0 ), - .I2(\count_value_i_reg_n_0_[1] ), - .I3(\count_value_i_reg_n_0_[2] ), - .O(\count_value_i[2]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT5 #( - .INIT(32'hDF20FF00)) - \count_value_i[3]_i_1 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(\count_value_i_reg[4]_0 ), - .I2(\count_value_i_reg_n_0_[1] ), - .I3(\count_value_i_reg_n_0_[3] ), - .I4(\count_value_i_reg_n_0_[2] ), - .O(\count_value_i[3]_i_1_n_0 )); - LUT6 #( - .INIT(64'hDFFFFFFF20000000)) - \count_value_i[4]_i_1 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(\count_value_i_reg[4]_0 ), - .I2(\count_value_i_reg_n_0_[1] ), - .I3(\count_value_i_reg_n_0_[2] ), - .I4(\count_value_i_reg_n_0_[3] ), - .I5(\count_value_i_reg_n_0_[4] ), - .O(\count_value_i[4]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair7" *) - LUT2 #( - .INIT(4'h6)) - \count_value_i[5]_i_1 - (.I0(\count_value_i_reg_n_0_[5] ), - .I1(\count_value_i[8]_i_2_n_0 ), - .O(\count_value_i[5]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair7" *) - LUT3 #( - .INIT(8'h6A)) - \count_value_i[6]_i_1 - (.I0(\count_value_i_reg_n_0_[6] ), - .I1(\count_value_i[8]_i_2_n_0 ), - .I2(\count_value_i_reg_n_0_[5] ), - .O(\count_value_i[6]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair5" *) - LUT4 #( - .INIT(16'h6AAA)) - \count_value_i[7]_i_1 - (.I0(\count_value_i_reg_n_0_[7] ), - .I1(\count_value_i_reg_n_0_[5] ), - .I2(\count_value_i[8]_i_2_n_0 ), - .I3(\count_value_i_reg_n_0_[6] ), - .O(\count_value_i[7]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair5" *) - LUT5 #( - .INIT(32'h6AAAAAAA)) - \count_value_i[8]_i_1__0 - (.I0(\count_value_i_reg_n_0_[8] ), - .I1(\count_value_i_reg_n_0_[6] ), - .I2(\count_value_i[8]_i_2_n_0 ), - .I3(\count_value_i_reg_n_0_[5] ), - .I4(\count_value_i_reg_n_0_[7] ), - .O(\count_value_i[8]_i_1__0_n_0 )); - LUT6 #( - .INIT(64'h2000000000000000)) - \count_value_i[8]_i_2 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(\count_value_i_reg[4]_0 ), - .I2(\count_value_i_reg_n_0_[1] ), - .I3(\count_value_i_reg_n_0_[4] ), - .I4(\count_value_i_reg_n_0_[3] ), - .I5(\count_value_i_reg_n_0_[2] ), - .O(\count_value_i[8]_i_2_n_0 )); + \count_value_i[3]_i_2__1 + (.I0(\count_value_i_reg[0]_0 ), + .I1(Q[0]), + .O(\count_value_i[3]_i_2__1_n_0 )); FDSE #( .INIT(1'b1)) \count_value_i_reg[0] (.C(wr_clk), - .CE(E), - .D(\count_value_i[0]_i_1__4_n_0 ), - .Q(\count_value_i_reg_n_0_[0] ), - .S(\count_value_i_reg[0]_0 )); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[3]_i_1__1_n_7 ), + .Q(Q[0]), + .S(\count_value_i_reg[0]_1 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[10] + (.C(wr_clk), + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[11]_i_1__1_n_5 ), + .Q(Q[10]), + .R(\count_value_i_reg[0]_1 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[11] + (.C(wr_clk), + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[11]_i_1__1_n_4 ), + .Q(Q[11]), + .R(\count_value_i_reg[0]_1 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[11]_i_1__1 + (.CI(\count_value_i_reg[7]_i_1__1_n_0 ), + .CO({\NLW_count_value_i_reg[11]_i_1__1_CO_UNCONNECTED [3],\count_value_i_reg[11]_i_1__1_n_1 ,\count_value_i_reg[11]_i_1__1_n_2 ,\count_value_i_reg[11]_i_1__1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[11]_i_1__1_n_4 ,\count_value_i_reg[11]_i_1__1_n_5 ,\count_value_i_reg[11]_i_1__1_n_6 ,\count_value_i_reg[11]_i_1__1_n_7 }), + .S(Q[11:8])); FDRE #( .INIT(1'b0)) \count_value_i_reg[1] (.C(wr_clk), - .CE(E), - .D(\count_value_i[1]_i_1__2_n_0 ), - .Q(\count_value_i_reg_n_0_[1] ), - .R(\count_value_i_reg[0]_0 )); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[3]_i_1__1_n_6 ), + .Q(Q[1]), + .R(\count_value_i_reg[0]_1 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[2] (.C(wr_clk), - .CE(E), - .D(\count_value_i[2]_i_1_n_0 ), - .Q(\count_value_i_reg_n_0_[2] ), - .R(\count_value_i_reg[0]_0 )); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[3]_i_1__1_n_5 ), + .Q(Q[2]), + .R(\count_value_i_reg[0]_1 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[3] (.C(wr_clk), - .CE(E), - .D(\count_value_i[3]_i_1_n_0 ), - .Q(\count_value_i_reg_n_0_[3] ), - .R(\count_value_i_reg[0]_0 )); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[3]_i_1__1_n_4 ), + .Q(Q[3]), + .R(\count_value_i_reg[0]_1 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[3]_i_1__1 + (.CI(1'b0), + .CO({\count_value_i_reg[3]_i_1__1_n_0 ,\count_value_i_reg[3]_i_1__1_n_1 ,\count_value_i_reg[3]_i_1__1_n_2 ,\count_value_i_reg[3]_i_1__1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,Q[0]}), + .O({\count_value_i_reg[3]_i_1__1_n_4 ,\count_value_i_reg[3]_i_1__1_n_5 ,\count_value_i_reg[3]_i_1__1_n_6 ,\count_value_i_reg[3]_i_1__1_n_7 }), + .S({Q[3:1],\count_value_i[3]_i_2__1_n_0 })); FDRE #( .INIT(1'b0)) \count_value_i_reg[4] (.C(wr_clk), - .CE(E), - .D(\count_value_i[4]_i_1_n_0 ), - .Q(\count_value_i_reg_n_0_[4] ), - .R(\count_value_i_reg[0]_0 )); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[7]_i_1__1_n_7 ), + .Q(Q[4]), + .R(\count_value_i_reg[0]_1 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[5] (.C(wr_clk), - .CE(E), - .D(\count_value_i[5]_i_1_n_0 ), - .Q(\count_value_i_reg_n_0_[5] ), - .R(\count_value_i_reg[0]_0 )); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[7]_i_1__1_n_6 ), + .Q(Q[5]), + .R(\count_value_i_reg[0]_1 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[6] (.C(wr_clk), - .CE(E), - .D(\count_value_i[6]_i_1_n_0 ), - .Q(\count_value_i_reg_n_0_[6] ), - .R(\count_value_i_reg[0]_0 )); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[7]_i_1__1_n_5 ), + .Q(Q[6]), + .R(\count_value_i_reg[0]_1 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[7] (.C(wr_clk), - .CE(E), - .D(\count_value_i[7]_i_1_n_0 ), - .Q(\count_value_i_reg_n_0_[7] ), - .R(\count_value_i_reg[0]_0 )); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[7]_i_1__1_n_4 ), + .Q(Q[7]), + .R(\count_value_i_reg[0]_1 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[7]_i_1__1 + (.CI(\count_value_i_reg[3]_i_1__1_n_0 ), + .CO({\count_value_i_reg[7]_i_1__1_n_0 ,\count_value_i_reg[7]_i_1__1_n_1 ,\count_value_i_reg[7]_i_1__1_n_2 ,\count_value_i_reg[7]_i_1__1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[7]_i_1__1_n_4 ,\count_value_i_reg[7]_i_1__1_n_5 ,\count_value_i_reg[7]_i_1__1_n_6 ,\count_value_i_reg[7]_i_1__1_n_7 }), + .S(Q[7:4])); FDRE #( .INIT(1'b0)) \count_value_i_reg[8] (.C(wr_clk), - .CE(E), - .D(\count_value_i[8]_i_1__0_n_0 ), - .Q(\count_value_i_reg_n_0_[8] ), - .R(\count_value_i_reg[0]_0 )); - LUT6 #( - .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.ram_empty_i_i_3 - (.I0(\count_value_i_reg_n_0_[7] ), - .I1(Q[7]), - .I2(Q[8]), - .I3(\count_value_i_reg_n_0_[8] ), - .I4(Q[6]), - .I5(\count_value_i_reg_n_0_[6] ), - .O(\gen_pntr_flags_cc.ram_empty_i_i_3_n_0 )); - LUT6 #( - .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.ram_empty_i_i_4 - (.I0(\count_value_i_reg_n_0_[3] ), - .I1(Q[3]), - .I2(Q[5]), - .I3(\count_value_i_reg_n_0_[5] ), - .I4(Q[4]), - .I5(\count_value_i_reg_n_0_[4] ), - .O(\gen_pntr_flags_cc.ram_empty_i_i_4_n_0 )); - LUT6 #( - .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.ram_empty_i_i_5 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(Q[0]), - .I2(Q[2]), - .I3(\count_value_i_reg_n_0_[2] ), - .I4(Q[1]), - .I5(\count_value_i_reg_n_0_[1] ), - .O(\gen_pntr_flags_cc.ram_empty_i_i_5_n_0 )); - CARRY4 \gen_pntr_flags_cc.ram_empty_i_reg_i_2 - (.CI(1'b0), - .CO({\NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_CO_UNCONNECTED [3],CO,\gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_2 ,\gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_3 }), - .CYINIT(1'b1), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O(\NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_O_UNCONNECTED [3:0]), - .S({1'b0,\gen_pntr_flags_cc.ram_empty_i_i_3_n_0 ,\gen_pntr_flags_cc.ram_empty_i_i_4_n_0 ,\gen_pntr_flags_cc.ram_empty_i_i_5_n_0 })); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[11]_i_1__1_n_7 ), + .Q(Q[8]), + .R(\count_value_i_reg[0]_1 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[9] + (.C(wr_clk), + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[11]_i_1__1_n_6 ), + .Q(Q[9]), + .R(\count_value_i_reg[0]_1 )); endmodule (* ORIG_REF_NAME = "xpm_counter_updn" *) module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3_1 (Q, - \count_value_i_reg[8]_0 , + D, S, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] , + DI, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] , - \count_value_i_reg[4]_0 , + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] , + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 , + ram_wr_en_pf, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_0 , \count_value_i_reg[0]_0 , - E, wr_clk); - output [8:0]Q; - output [0:0]\count_value_i_reg[8]_0 ; - output [0:0]S; - input [2:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] ; - input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] ; - input \count_value_i_reg[4]_0 ; + output [11:0]Q; + output [11:0]D; + input [0:0]S; + input [0:0]DI; + input [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] ; + input [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] ; + input [10:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 ; + input ram_wr_en_pf; + input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_0 ; input [0:0]\count_value_i_reg[0]_0 ; - input [0:0]E; input wr_clk; - wire [0:0]E; - wire [8:0]Q; + wire [11:0]D; + wire [0:0]DI; + wire [11:0]Q; wire [0:0]S; - wire \count_value_i[0]_i_1__2_n_0 ; - wire \count_value_i[1]_i_1__0_n_0 ; - wire \count_value_i[2]_i_1__1_n_0 ; - wire \count_value_i[3]_i_1__1_n_0 ; - wire \count_value_i[4]_i_1__1_n_0 ; - wire \count_value_i[5]_i_1__1_n_0 ; - wire \count_value_i[6]_i_1__1_n_0 ; - wire \count_value_i[7]_i_1__1_n_0 ; - wire \count_value_i[8]_i_1__3_n_0 ; - wire \count_value_i[8]_i_2__1_n_0 ; wire [0:0]\count_value_i_reg[0]_0 ; - wire \count_value_i_reg[4]_0 ; - wire [0:0]\count_value_i_reg[8]_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] ; - wire [2:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] ; + wire \count_value_i_reg[11]_i_1__2_n_1 ; + wire \count_value_i_reg[11]_i_1__2_n_2 ; + wire \count_value_i_reg[11]_i_1__2_n_3 ; + wire \count_value_i_reg[11]_i_1__2_n_4 ; + wire \count_value_i_reg[11]_i_1__2_n_5 ; + wire \count_value_i_reg[11]_i_1__2_n_6 ; + wire \count_value_i_reg[11]_i_1__2_n_7 ; + wire \count_value_i_reg[3]_i_1__2_n_0 ; + wire \count_value_i_reg[3]_i_1__2_n_1 ; + wire \count_value_i_reg[3]_i_1__2_n_2 ; + wire \count_value_i_reg[3]_i_1__2_n_3 ; + wire \count_value_i_reg[3]_i_1__2_n_4 ; + wire \count_value_i_reg[3]_i_1__2_n_5 ; + wire \count_value_i_reg[3]_i_1__2_n_6 ; + wire \count_value_i_reg[3]_i_1__2_n_7 ; + wire \count_value_i_reg[7]_i_1__2_n_0 ; + wire \count_value_i_reg[7]_i_1__2_n_1 ; + wire \count_value_i_reg[7]_i_1__2_n_2 ; + wire \count_value_i_reg[7]_i_1__2_n_3 ; + wire \count_value_i_reg[7]_i_1__2_n_4 ; + wire \count_value_i_reg[7]_i_1__2_n_5 ; + wire \count_value_i_reg[7]_i_1__2_n_6 ; + wire \count_value_i_reg[7]_i_1__2_n_7 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_2_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_3_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_4_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_6_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_7_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_8_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0 ; + wire [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] ; + wire [10:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_1 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_2 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_3 ; + wire [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_1 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_2 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_3 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_1 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_2 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_3 ; + wire ram_wr_en_pf; wire wr_clk; + wire [3:3]\NLW_count_value_i_reg[11]_i_1__2_CO_UNCONNECTED ; + wire [3:3]\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_CO_UNCONNECTED ; - (* SOFT_HLUTNM = "soft_lutpair16" *) - LUT1 #( - .INIT(2'h1)) - \count_value_i[0]_i_1__2 - (.I0(Q[0]), - .O(\count_value_i[0]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair16" *) - LUT2 #( - .INIT(4'h6)) - \count_value_i[1]_i_1__0 - (.I0(Q[1]), - .I1(Q[0]), - .O(\count_value_i[1]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair14" *) - LUT4 #( - .INIT(16'hDF20)) - \count_value_i[2]_i_1__1 - (.I0(Q[0]), - .I1(\count_value_i_reg[4]_0 ), - .I2(Q[1]), - .I3(Q[2]), - .O(\count_value_i[2]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair14" *) - LUT5 #( - .INIT(32'hDF20FF00)) - \count_value_i[3]_i_1__1 - (.I0(Q[0]), - .I1(\count_value_i_reg[4]_0 ), - .I2(Q[1]), - .I3(Q[3]), - .I4(Q[2]), - .O(\count_value_i[3]_i_1__1_n_0 )); - LUT6 #( - .INIT(64'hDF20FF00FF00FF00)) - \count_value_i[4]_i_1__1 - (.I0(Q[0]), - .I1(\count_value_i_reg[4]_0 ), - .I2(Q[1]), - .I3(Q[4]), - .I4(Q[2]), - .I5(Q[3]), - .O(\count_value_i[4]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair15" *) - LUT2 #( - .INIT(4'h9)) - \count_value_i[5]_i_1__1 - (.I0(\count_value_i[8]_i_2__1_n_0 ), - .I1(Q[5]), - .O(\count_value_i[5]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair15" *) - LUT3 #( - .INIT(8'h9A)) - \count_value_i[6]_i_1__1 - (.I0(Q[6]), - .I1(\count_value_i[8]_i_2__1_n_0 ), - .I2(Q[5]), - .O(\count_value_i[6]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair13" *) - LUT4 #( - .INIT(16'hA6AA)) - \count_value_i[7]_i_1__1 - (.I0(Q[7]), - .I1(Q[5]), - .I2(\count_value_i[8]_i_2__1_n_0 ), - .I3(Q[6]), - .O(\count_value_i[7]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair13" *) - LUT5 #( - .INIT(32'hA6AAAAAA)) - \count_value_i[8]_i_1__3 - (.I0(Q[8]), - .I1(Q[6]), - .I2(\count_value_i[8]_i_2__1_n_0 ), - .I3(Q[5]), - .I4(Q[7]), - .O(\count_value_i[8]_i_1__3_n_0 )); - LUT6 #( - .INIT(64'hDFFFFFFFFFFFFFFF)) - \count_value_i[8]_i_2__1 - (.I0(Q[0]), - .I1(\count_value_i_reg[4]_0 ), - .I2(Q[1]), - .I3(Q[3]), - .I4(Q[2]), - .I5(Q[4]), - .O(\count_value_i[8]_i_2__1_n_0 )); FDSE #( .INIT(1'b1)) \count_value_i_reg[0] (.C(wr_clk), - .CE(E), - .D(\count_value_i[0]_i_1__2_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1__2_n_7 ), .Q(Q[0]), .S(\count_value_i_reg[0]_0 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[10] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1__2_n_5 ), + .Q(Q[10]), + .R(\count_value_i_reg[0]_0 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[11] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1__2_n_4 ), + .Q(Q[11]), + .R(\count_value_i_reg[0]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[11]_i_1__2 + (.CI(\count_value_i_reg[7]_i_1__2_n_0 ), + .CO({\NLW_count_value_i_reg[11]_i_1__2_CO_UNCONNECTED [3],\count_value_i_reg[11]_i_1__2_n_1 ,\count_value_i_reg[11]_i_1__2_n_2 ,\count_value_i_reg[11]_i_1__2_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[11]_i_1__2_n_4 ,\count_value_i_reg[11]_i_1__2_n_5 ,\count_value_i_reg[11]_i_1__2_n_6 ,\count_value_i_reg[11]_i_1__2_n_7 }), + .S(Q[11:8])); FDRE #( .INIT(1'b0)) \count_value_i_reg[1] (.C(wr_clk), - .CE(E), - .D(\count_value_i[1]_i_1__0_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1__2_n_6 ), .Q(Q[1]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[2] (.C(wr_clk), - .CE(E), - .D(\count_value_i[2]_i_1__1_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1__2_n_5 ), .Q(Q[2]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[3] (.C(wr_clk), - .CE(E), - .D(\count_value_i[3]_i_1__1_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1__2_n_4 ), .Q(Q[3]), .R(\count_value_i_reg[0]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[3]_i_1__2 + (.CI(1'b0), + .CO({\count_value_i_reg[3]_i_1__2_n_0 ,\count_value_i_reg[3]_i_1__2_n_1 ,\count_value_i_reg[3]_i_1__2_n_2 ,\count_value_i_reg[3]_i_1__2_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,Q[0]}), + .O({\count_value_i_reg[3]_i_1__2_n_4 ,\count_value_i_reg[3]_i_1__2_n_5 ,\count_value_i_reg[3]_i_1__2_n_6 ,\count_value_i_reg[3]_i_1__2_n_7 }), + .S({Q[3:1],S})); FDRE #( .INIT(1'b0)) \count_value_i_reg[4] (.C(wr_clk), - .CE(E), - .D(\count_value_i[4]_i_1__1_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1__2_n_7 ), .Q(Q[4]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[5] (.C(wr_clk), - .CE(E), - .D(\count_value_i[5]_i_1__1_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1__2_n_6 ), .Q(Q[5]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[6] (.C(wr_clk), - .CE(E), - .D(\count_value_i[6]_i_1__1_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1__2_n_5 ), .Q(Q[6]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[7] (.C(wr_clk), - .CE(E), - .D(\count_value_i[7]_i_1__1_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1__2_n_4 ), .Q(Q[7]), .R(\count_value_i_reg[0]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[7]_i_1__2 + (.CI(\count_value_i_reg[3]_i_1__2_n_0 ), + .CO({\count_value_i_reg[7]_i_1__2_n_0 ,\count_value_i_reg[7]_i_1__2_n_1 ,\count_value_i_reg[7]_i_1__2_n_2 ,\count_value_i_reg[7]_i_1__2_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[7]_i_1__2_n_4 ,\count_value_i_reg[7]_i_1__2_n_5 ,\count_value_i_reg[7]_i_1__2_n_6 ,\count_value_i_reg[7]_i_1__2_n_7 }), + .S(Q[7:4])); FDRE #( .INIT(1'b0)) \count_value_i_reg[8] (.C(wr_clk), - .CE(E), - .D(\count_value_i[8]_i_1__3_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1__2_n_7 ), .Q(Q[8]), .R(\count_value_i_reg[0]_0 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[9] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1__2_n_6 ), + .Q(Q[9]), + .R(\count_value_i_reg[0]_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_2 + (.I0(Q[9]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [9]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_2_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_3 + (.I0(Q[8]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [8]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_3_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_4 + (.I0(Q[7]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [7]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_4_n_0 )); LUT4 #( - .INIT(16'hA659)) + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_6 + (.I0(Q[9]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [9]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [10]), + .I3(Q[10]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_6_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_7 + (.I0(Q[8]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [8]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [9]), + .I3(Q[9]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_7_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_8 + (.I0(Q[7]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [7]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [8]), + .I3(Q[8]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_8_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2 + (.I0(Q[2]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [2]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3 + (.I0(Q[1]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [1]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5 + (.I0(Q[2]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [2]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [3]), + .I3(Q[3]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6 + (.I0(Q[1]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [1]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [2]), + .I3(Q[2]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0 )); + LUT4 #( + .INIT(16'h9969)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8 (.I0(Q[0]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] ), - .I2(\count_value_i_reg[4]_0 ), - .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] [0]), - .O(S)); + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [0]), + .I2(ram_wr_en_pf), + .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_0 ), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2 + (.I0(Q[6]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [6]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3 + (.I0(Q[5]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [5]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4 + (.I0(Q[4]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [4]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5 + (.I0(Q[3]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [3]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0 )); LUT4 #( - .INIT(16'h9699)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[9]_i_2 - (.I0(Q[8]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] [2]), - .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] [1]), + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6 + (.I0(Q[6]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [6]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [7]), .I3(Q[7]), - .O(\count_value_i_reg[8]_0 )); + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7 + (.I0(Q[5]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [5]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [6]), + .I3(Q[6]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8 + (.I0(Q[4]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [4]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [5]), + .I3(Q[5]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9 + (.I0(Q[3]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [3]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [4]), + .I3(Q[4]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1 + (.CI(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0 ), + .CO({\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_CO_UNCONNECTED [3],\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_2_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_3_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_4_n_0 }), + .O(D[11:8]), + .S({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_6_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_7_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_8_n_0 })); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1 + (.CI(1'b0), + .CO({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0 ,DI,1'b0}), + .O(D[3:0]), + .S({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8_n_0 })); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1 + (.CI(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0 ), + .CO({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0 }), + .O(D[7:4]), + .S({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0 })); endmodule (* AXIS_DATA_WIDTH = "53" *) (* AXIS_FINAL_DATA_WIDTH = "53" *) (* CASCADE_HEIGHT = "0" *) (* CDC_SYNC_STAGES = "2" *) (* CLOCKING_MODE = "COMMON" *) (* ECC_MODE = "NO_ECC" *) (* EN_ADV_FEATURE_AXIS = "16'b0001011000000110" *) (* EN_ADV_FEATURE_AXIS_INT = "16'b0001111000001110" *) (* EN_ALMOST_EMPTY_INT = "1'b1" *) -(* EN_ALMOST_FULL_INT = "1'b1" *) (* EN_DATA_VALID_INT = "1'b1" *) (* FIFO_DEPTH = "512" *) -(* FIFO_MEMORY_TYPE = "BRAM" *) (* LOG_DEPTH_AXIS = "9" *) (* ORIG_REF_NAME = "xpm_fifo_axis" *) +(* EN_ALMOST_FULL_INT = "1'b1" *) (* EN_DATA_VALID_INT = "1'b1" *) (* FIFO_DEPTH = "4096" *) +(* FIFO_MEMORY_TYPE = "BRAM" *) (* LOG_DEPTH_AXIS = "12" *) (* ORIG_REF_NAME = "xpm_fifo_axis" *) (* PACKET_FIFO = "true" *) (* PKT_SIZE_LT8 = "1'b0" *) (* PROG_EMPTY_THRESH = "5" *) -(* PROG_FULL_THRESH = "507" *) (* P_COMMON_CLOCK = "1" *) (* P_ECC_MODE = "0" *) -(* P_FIFO_MEMORY_TYPE = "2" *) (* P_PKT_MODE = "1" *) (* RD_DATA_COUNT_WIDTH = "10" *) +(* PROG_FULL_THRESH = "4091" *) (* P_COMMON_CLOCK = "1" *) (* P_ECC_MODE = "0" *) +(* P_FIFO_MEMORY_TYPE = "2" *) (* P_PKT_MODE = "1" *) (* RD_DATA_COUNT_WIDTH = "13" *) (* RELATED_CLOCKS = "0" *) (* SIM_ASSERT_CHK = "0" *) (* TDATA_OFFSET = "32" *) (* TDATA_WIDTH = "32" *) (* TDEST_OFFSET = "48" *) (* TDEST_WIDTH = "4" *) (* TID_OFFSET = "44" *) (* TID_WIDTH = "4" *) (* TKEEP_OFFSET = "40" *) (* TSTRB_OFFSET = "36" *) (* TUSER_MAX_WIDTH = "4047" *) (* TUSER_OFFSET = "52" *) (* TUSER_WIDTH = "4" *) (* USE_ADV_FEATURES = "1606" *) (* USE_ADV_FEATURES_INT = "826617925" *) -(* WR_DATA_COUNT_WIDTH = "10" *) (* XPM_MODULE = "TRUE" *) (* dont_touch = "true" *) +(* WR_DATA_COUNT_WIDTH = "13" *) (* XPM_MODULE = "TRUE" *) (* dont_touch = "true" *) module design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis (s_aresetn, s_aclk, @@ -7435,10 +7506,10 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis output [3:0]m_axis_tdest; output [3:0]m_axis_tuser; output prog_full_axis; - output [9:0]wr_data_count_axis; + output [12:0]wr_data_count_axis; output almost_full_axis; output prog_empty_axis; - output [9:0]rd_data_count_axis; + output [12:0]rd_data_count_axis; output almost_empty_axis; input injectsbiterr_axis; input injectdbiterr_axis; @@ -7619,7 +7690,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis wire p_3_in; wire prog_empty_axis; wire prog_full_axis; - wire [9:0]rd_data_count_axis; + wire [12:0]rd_data_count_axis; wire rst_axis; wire s_aclk; wire s_aresetn; @@ -7632,7 +7703,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis wire [3:0]s_axis_tstrb; wire [3:0]s_axis_tuser; wire s_axis_tvalid; - wire [9:0]wr_data_count_axis; + wire [12:0]wr_data_count_axis; wire [3:3]\NLW_gaxis_pkt_fifo_cc.axis_pkt_cnt_reg[28]_i_1_CO_UNCONNECTED ; wire [3:0]\NLW_gaxis_pkt_fifo_cc.axis_pkt_read_reg_i_15_O_UNCONNECTED ; wire [3:0]\NLW_gaxis_pkt_fifo_cc.axis_pkt_read_reg_i_2_O_UNCONNECTED ; @@ -8144,7 +8215,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis .D(\gaxis_pkt_fifo_cc.axis_pkt_cnt_reg[8]_i_1_n_6 ), .Q(\gaxis_pkt_fifo_cc.axis_pkt_cnt_reg [9]), .R(rst_axis)); - (* SOFT_HLUTNM = "soft_lutpair25" *) + (* SOFT_HLUTNM = "soft_lutpair5" *) LUT5 #( .INIT(32'h0000FEEE)) \gaxis_pkt_fifo_cc.axis_pkt_read_i_1 @@ -8489,7 +8560,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis \gaxis_rst_sync.xpm_cdc_sync_rst_inst_i_1 (.I0(s_aresetn), .O(\gaxis_rst_sync.xpm_cdc_sync_rst_inst_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair25" *) + (* SOFT_HLUTNM = "soft_lutpair5" *) LUT2 #( .INIT(4'h8)) m_axis_tvalid_INST_0 @@ -8516,26 +8587,26 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis (* FG_EQ_ASYM_DOUT = "1'b0" *) (* FIFO_MEMORY_TYPE = "2" *) (* FIFO_MEM_TYPE = "2" *) - (* FIFO_READ_DEPTH = "512" *) + (* FIFO_READ_DEPTH = "4096" *) (* FIFO_READ_LATENCY = "0" *) - (* FIFO_SIZE = "27136" *) - (* FIFO_WRITE_DEPTH = "512" *) + (* FIFO_SIZE = "217088" *) + (* FIFO_WRITE_DEPTH = "4096" *) (* FULL_RESET_VALUE = "1" *) (* FULL_RST_VAL = "1'b1" *) (* KEEP_HIERARCHY = "soft" *) (* PE_THRESH_ADJ = "3" *) - (* PE_THRESH_MAX = "507" *) + (* PE_THRESH_MAX = "4091" *) (* PE_THRESH_MIN = "5" *) - (* PF_THRESH_ADJ = "505" *) - (* PF_THRESH_MAX = "507" *) + (* PF_THRESH_ADJ = "4089" *) + (* PF_THRESH_MAX = "4091" *) (* PF_THRESH_MIN = "5" *) (* PROG_EMPTY_THRESH = "5" *) - (* PROG_FULL_THRESH = "507" *) - (* RD_DATA_COUNT_WIDTH = "10" *) - (* RD_DC_WIDTH_EXT = "10" *) + (* PROG_FULL_THRESH = "4091" *) + (* RD_DATA_COUNT_WIDTH = "13" *) + (* RD_DC_WIDTH_EXT = "13" *) (* RD_LATENCY = "2" *) (* RD_MODE = "1" *) - (* RD_PNTR_WIDTH = "9" *) + (* RD_PNTR_WIDTH = "12" *) (* READ_DATA_WIDTH = "53" *) (* READ_MODE = "1" *) (* RELATED_CLOCKS = "0" *) @@ -8546,10 +8617,10 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis (* WAKEUP_TIME = "0" *) (* WIDTH_RATIO = "1" *) (* WRITE_DATA_WIDTH = "53" *) - (* WR_DATA_COUNT_WIDTH = "10" *) - (* WR_DC_WIDTH_EXT = "10" *) - (* WR_DEPTH_LOG = "9" *) - (* WR_PNTR_WIDTH = "9" *) + (* WR_DATA_COUNT_WIDTH = "13" *) + (* WR_DC_WIDTH_EXT = "13" *) + (* WR_DEPTH_LOG = "12" *) + (* WR_PNTR_WIDTH = "12" *) (* WR_RD_RATIO = "0" *) (* WR_WIDTH_LOG = "6" *) (* XPM_MODULE = "TRUE" *) @@ -8600,18 +8671,18 @@ endmodule (* EN_DVLD = "1'b1" *) (* EN_OF = "1'b0" *) (* EN_PE = "1'b1" *) (* EN_PF = "1'b1" *) (* EN_RDC = "1'b1" *) (* EN_UF = "1'b0" *) (* EN_WACK = "1'b0" *) (* EN_WDC = "1'b1" *) (* FG_EQ_ASYM_DOUT = "1'b0" *) -(* FIFO_MEMORY_TYPE = "2" *) (* FIFO_MEM_TYPE = "2" *) (* FIFO_READ_DEPTH = "512" *) -(* FIFO_READ_LATENCY = "0" *) (* FIFO_SIZE = "27136" *) (* FIFO_WRITE_DEPTH = "512" *) +(* FIFO_MEMORY_TYPE = "2" *) (* FIFO_MEM_TYPE = "2" *) (* FIFO_READ_DEPTH = "4096" *) +(* FIFO_READ_LATENCY = "0" *) (* FIFO_SIZE = "217088" *) (* FIFO_WRITE_DEPTH = "4096" *) (* FULL_RESET_VALUE = "1" *) (* FULL_RST_VAL = "1'b1" *) (* ORIG_REF_NAME = "xpm_fifo_base" *) -(* PE_THRESH_ADJ = "3" *) (* PE_THRESH_MAX = "507" *) (* PE_THRESH_MIN = "5" *) -(* PF_THRESH_ADJ = "505" *) (* PF_THRESH_MAX = "507" *) (* PF_THRESH_MIN = "5" *) -(* PROG_EMPTY_THRESH = "5" *) (* PROG_FULL_THRESH = "507" *) (* RD_DATA_COUNT_WIDTH = "10" *) -(* RD_DC_WIDTH_EXT = "10" *) (* RD_LATENCY = "2" *) (* RD_MODE = "1" *) -(* RD_PNTR_WIDTH = "9" *) (* READ_DATA_WIDTH = "53" *) (* READ_MODE = "1" *) +(* PE_THRESH_ADJ = "3" *) (* PE_THRESH_MAX = "4091" *) (* PE_THRESH_MIN = "5" *) +(* PF_THRESH_ADJ = "4089" *) (* PF_THRESH_MAX = "4091" *) (* PF_THRESH_MIN = "5" *) +(* PROG_EMPTY_THRESH = "5" *) (* PROG_FULL_THRESH = "4091" *) (* RD_DATA_COUNT_WIDTH = "13" *) +(* RD_DC_WIDTH_EXT = "13" *) (* RD_LATENCY = "2" *) (* RD_MODE = "1" *) +(* RD_PNTR_WIDTH = "12" *) (* READ_DATA_WIDTH = "53" *) (* READ_MODE = "1" *) (* RELATED_CLOCKS = "0" *) (* REMOVE_WR_RD_PROT_LOGIC = "0" *) (* SIM_ASSERT_CHK = "0" *) (* USE_ADV_FEATURES = "826617925" *) (* VERSION = "0" *) (* WAKEUP_TIME = "0" *) -(* WIDTH_RATIO = "1" *) (* WRITE_DATA_WIDTH = "53" *) (* WR_DATA_COUNT_WIDTH = "10" *) -(* WR_DC_WIDTH_EXT = "10" *) (* WR_DEPTH_LOG = "9" *) (* WR_PNTR_WIDTH = "9" *) +(* WIDTH_RATIO = "1" *) (* WRITE_DATA_WIDTH = "53" *) (* WR_DATA_COUNT_WIDTH = "13" *) +(* WR_DC_WIDTH_EXT = "13" *) (* WR_DEPTH_LOG = "12" *) (* WR_PNTR_WIDTH = "12" *) (* WR_RD_RATIO = "0" *) (* WR_WIDTH_LOG = "6" *) (* XPM_MODULE = "TRUE" *) (* both_stages_valid = "3" *) (* invalid = "0" *) (* keep_hierarchy = "soft" *) (* stage1_valid = "2" *) (* stage2_valid = "1" *) @@ -8651,7 +8722,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base output full; output full_n; output prog_full; - output [9:0]wr_data_count; + output [12:0]wr_data_count; output overflow; output wr_rst_busy; output almost_full; @@ -8661,7 +8732,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base output [52:0]dout; output empty; output prog_empty; - output [9:0]rd_data_count; + output [12:0]rd_data_count; output underflow; output rd_rst_busy; output almost_empty; @@ -8675,13 +8746,14 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base wire aempty_fwft_i0; wire almost_empty; wire almost_full; + wire clr_full; wire [1:1]count_value_i; wire [1:0]curr_fwft_state; wire data_valid; wire data_valid_fwft1; - wire [8:0]diff_pntr_pe; - wire [9:1]diff_pntr_pf_q; - wire [9:1]diff_pntr_pf_q0; + wire [11:0]diff_pntr_pe; + wire [12:1]diff_pntr_pf_q; + wire [12:1]diff_pntr_pf_q0; wire [52:0]din; wire [52:0]dout; wire full_n; @@ -8694,6 +8766,8 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base wire \gen_fwft.rdpp1_inst_n_3 ; wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0 ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[0] ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[10] ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[11] ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[1] ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[2] ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[3] ; @@ -8702,47 +8776,76 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[6] ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[7] ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[8] ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[9] ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2_n_0 ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3_n_0 ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2_n_0 ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3_n_0 ; - wire going_afull1; - wire going_empty1; - wire [9:0]\grdc.diff_wr_rd_pntr_rdc ; + wire [12:0]\grdc.diff_wr_rd_pntr_rdc ; wire \grdc.rd_data_count_i0 ; wire leaving_empty0; wire [1:0]next_fwft_state__0; + wire p_1_in__0; wire prog_empty; wire prog_full; wire ram_empty_i; wire ram_empty_i0; - wire ram_rd_en_pf; wire ram_rd_en_pf_q; wire ram_wr_en_pf; wire ram_wr_en_pf_q; - wire [9:0]rd_data_count; + wire [12:0]rd_data_count; wire rd_en; - wire [8:0]rd_pntr_ext; + wire [11:0]rd_pntr_ext; wire rdp_inst_n_0; wire rdp_inst_n_1; + wire rdp_inst_n_15; + wire rdp_inst_n_16; + wire rdp_inst_n_17; + wire rdp_inst_n_19; wire rdp_inst_n_2; - wire rdp_inst_n_3; + wire rdp_inst_n_20; + wire rdp_inst_n_21; + wire rdp_inst_n_22; + wire rdp_inst_n_23; + wire rdp_inst_n_24; + wire rdp_inst_n_25; + wire rdp_inst_n_26; + wire rdp_inst_n_27; + wire rdp_inst_n_28; + wire rdp_inst_n_29; + wire rdp_inst_n_30; + wire rdp_inst_n_31; wire rdp_inst_n_32; + wire rdp_inst_n_33; + wire rdpp1_inst_n_0; + wire rdpp1_inst_n_1; + wire rdpp1_inst_n_10; + wire rdpp1_inst_n_11; + wire rdpp1_inst_n_2; + wire rdpp1_inst_n_3; + wire rdpp1_inst_n_4; + wire rdpp1_inst_n_5; + wire rdpp1_inst_n_6; + wire rdpp1_inst_n_7; + wire rdpp1_inst_n_8; + wire rdpp1_inst_n_9; + wire read_only; wire read_only_q; wire rst; wire rst_d1; - wire rst_d1_inst_n_1; wire rst_d1_inst_n_2; wire sleep; wire wr_clk; - wire [9:0]wr_data_count; + wire [12:0]wr_data_count; wire wr_en; - wire [8:0]wr_pntr_ext; + wire [11:0]wr_pntr_ext; + wire write_only; wire write_only_q; - wire wrp_inst_n_21; + wire wrp_inst_n_1; wire wrpp1_inst_n_0; wire wrpp1_inst_n_1; wire wrpp1_inst_n_10; + wire wrpp1_inst_n_11; wire wrpp1_inst_n_2; wire wrpp1_inst_n_3; wire wrpp1_inst_n_4; @@ -8751,12 +8854,24 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base wire wrpp1_inst_n_7; wire wrpp1_inst_n_8; wire wrpp1_inst_n_9; + wire wrpp2_inst_n_0; + wire wrpp2_inst_n_1; + wire wrpp2_inst_n_10; + wire wrpp2_inst_n_11; + wire wrpp2_inst_n_2; + wire wrpp2_inst_n_3; + wire wrpp2_inst_n_4; + wire wrpp2_inst_n_5; + wire wrpp2_inst_n_6; + wire wrpp2_inst_n_7; + wire wrpp2_inst_n_8; + wire wrpp2_inst_n_9; wire xpm_fifo_rst_inst_n_0; wire xpm_fifo_rst_inst_n_1; - wire xpm_fifo_rst_inst_n_3; - wire xpm_fifo_rst_inst_n_6; + wire xpm_fifo_rst_inst_n_11; wire xpm_fifo_rst_inst_n_7; wire xpm_fifo_rst_inst_n_8; + wire xpm_fifo_rst_inst_n_9; wire \NLW_gen_sdpram.xpm_memory_base_inst_dbiterra_UNCONNECTED ; wire \NLW_gen_sdpram.xpm_memory_base_inst_dbiterrb_UNCONNECTED ; wire \NLW_gen_sdpram.xpm_memory_base_inst_sbiterra_UNCONNECTED ; @@ -8772,21 +8887,21 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base assign underflow = \ ; assign wr_ack = \ ; assign wr_rst_busy = \ ; - (* SOFT_HLUTNM = "soft_lutpair23" *) + (* SOFT_HLUTNM = "soft_lutpair3" *) LUT4 #( - .INIT(16'h6899)) + .INIT(16'h7883)) \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1 - (.I0(curr_fwft_state[0]), - .I1(ram_empty_i), - .I2(rd_en), - .I3(curr_fwft_state[1]), - .O(next_fwft_state__0[0])); - LUT3 #( - .INIT(8'h7C)) - \FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1 (.I0(rd_en), .I1(curr_fwft_state[1]), .I2(curr_fwft_state[0]), + .I3(ram_empty_i), + .O(next_fwft_state__0[0])); + LUT3 #( + .INIT(8'h7A)) + \FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1 + (.I0(curr_fwft_state[0]), + .I1(rd_en), + .I2(curr_fwft_state[1]), .O(next_fwft_state__0[1])); (* FSM_ENCODED_STATES = "invalid:00,stage1_valid:01,both_stages_valid:10,stage2_valid:11" *) FDRE #( @@ -8808,13 +8923,13 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .R(xpm_fifo_rst_inst_n_1)); GND GND (.G(\ )); - (* SOFT_HLUTNM = "soft_lutpair24" *) + (* SOFT_HLUTNM = "soft_lutpair4" *) LUT4 #( - .INIT(16'hBB80)) + .INIT(16'hF380)) \gen_fwft.empty_fwft_i_i_1 - (.I0(curr_fwft_state[1]), + (.I0(rd_en), .I1(curr_fwft_state[0]), - .I2(rd_en), + .I2(curr_fwft_state[1]), .I3(\gen_fwft.empty_fwft_i_reg_n_0 ), .O(data_valid_fwft1)); FDSE #( @@ -8825,15 +8940,15 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .D(data_valid_fwft1), .Q(\gen_fwft.empty_fwft_i_reg_n_0 ), .S(xpm_fifo_rst_inst_n_1)); - (* SOFT_HLUTNM = "soft_lutpair23" *) + (* SOFT_HLUTNM = "soft_lutpair3" *) LUT5 #( - .INIT(32'hA888EAAA)) + .INIT(32'hFDDD4000)) \gen_fwft.gae_fwft.aempty_fwft_i_i_1 - (.I0(almost_empty), + (.I0(curr_fwft_state[0]), .I1(ram_empty_i), - .I2(rd_en), - .I3(curr_fwft_state[1]), - .I4(curr_fwft_state[0]), + .I2(curr_fwft_state[1]), + .I3(rd_en), + .I4(almost_empty), .O(aempty_fwft_i0)); FDSE #( .INIT(1'b1)) @@ -8843,13 +8958,13 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .D(aempty_fwft_i0), .Q(almost_empty), .S(xpm_fifo_rst_inst_n_1)); - (* SOFT_HLUTNM = "soft_lutpair24" *) + (* SOFT_HLUTNM = "soft_lutpair4" *) LUT4 #( - .INIT(16'h447F)) + .INIT(16'h0C7F)) \gen_fwft.gdvld_fwft.data_valid_fwft_i_1 - (.I0(curr_fwft_state[1]), + (.I0(rd_en), .I1(curr_fwft_state[0]), - .I2(rd_en), + .I2(curr_fwft_state[1]), .I3(\gen_fwft.empty_fwft_i_reg_n_0 ), .O(\gen_fwft.gdvld_fwft.data_valid_fwft_i_1_n_0 )); FDRE #( @@ -8865,9 +8980,9 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .Q(count_value_i), .S({\gen_fwft.rdpp1_inst_n_1 ,\gen_fwft.rdpp1_inst_n_2 }), .SR(\gen_fwft.count_rst ), - .\count_value_i_reg[1]_0 (curr_fwft_state), - .\grdc.rd_data_count_i_reg[3] (wr_pntr_ext[1:0]), - .\grdc.rd_data_count_i_reg[3]_0 (rd_pntr_ext[1:0]), + .\count_value_i_reg[0]_0 (curr_fwft_state), + .\grdc.rd_data_count_i_reg[3] (rd_pntr_ext[1:0]), + .\grdc.rd_data_count_i_reg[3]_0 (wr_pntr_ext[1:0]), .ram_empty_i(ram_empty_i), .rd_en(rd_en), .wr_clk(wr_clk)); @@ -8876,7 +8991,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg (.C(wr_clk), .CE(1'b1), - .D(rdp_inst_n_2), + .D(rdp_inst_n_0), .Q(almost_full), .S(xpm_fifo_rst_inst_n_1)); FDSE #( @@ -8884,7 +8999,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg (.C(wr_clk), .CE(1'b1), - .D(rdp_inst_n_32), + .D(rdp_inst_n_33), .Q(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0 ), .S(xpm_fifo_rst_inst_n_1)); FDRE #( @@ -8892,7 +9007,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg (.C(wr_clk), .CE(1'b1), - .D(rdp_inst_n_0), + .D(rdp_inst_n_19), .Q(full_n), .R(xpm_fifo_rst_inst_n_1)); FDSE #( @@ -8911,6 +9026,22 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .D(diff_pntr_pe[0]), .Q(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[0] ), .R(xpm_fifo_rst_inst_n_1)); + FDRE #( + .INIT(1'b0)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[10] + (.C(wr_clk), + .CE(1'b1), + .D(diff_pntr_pe[10]), + .Q(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[10] ), + .R(xpm_fifo_rst_inst_n_1)); + FDRE #( + .INIT(1'b0)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11] + (.C(wr_clk), + .CE(1'b1), + .D(diff_pntr_pe[11]), + .Q(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[11] ), + .R(xpm_fifo_rst_inst_n_1)); FDRE #( .INIT(1'b0)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[1] @@ -8975,23 +9106,33 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .D(diff_pntr_pe[8]), .Q(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[8] ), .R(xpm_fifo_rst_inst_n_1)); - LUT4 #( - .INIT(16'hFFEF)) + FDRE #( + .INIT(1'b0)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[9] + (.C(wr_clk), + .CE(1'b1), + .D(diff_pntr_pe[9]), + .Q(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[9] ), + .R(xpm_fifo_rst_inst_n_1)); + LUT6 #( + .INIT(64'hFFFFFFFFFFFFFFBF)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2 (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[2] ), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[5] ), - .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[0] ), - .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3_n_0 ), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[0] ), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[1] ), + .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[5] ), + .I4(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[3] ), + .I5(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[4] ), .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2_n_0 )); LUT6 #( - .INIT(64'hFFFFFFFFFFFFFFFD)) + .INIT(64'h0000000000000001)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3 - (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[1] ), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[8] ), - .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[4] ), - .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[7] ), - .I4(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[3] ), - .I5(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[6] ), + (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[10] ), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[9] ), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[11] ), + .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[6] ), + .I4(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[7] ), + .I5(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[8] ), .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3_n_0 )); FDRE #( .INIT(1'b1)) @@ -9004,15 +9145,39 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base FDRE \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.read_only_q_reg (.C(wr_clk), .CE(1'b1), - .D(xpm_fifo_rst_inst_n_7), + .D(read_only), .Q(read_only_q), .R(xpm_fifo_rst_inst_n_1)); FDRE \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg (.C(wr_clk), .CE(1'b1), - .D(xpm_fifo_rst_inst_n_6), + .D(write_only), .Q(write_only_q), .R(xpm_fifo_rst_inst_n_1)); + FDRE #( + .INIT(1'b0)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[10] + (.C(wr_clk), + .CE(1'b1), + .D(diff_pntr_pf_q0[10]), + .Q(diff_pntr_pf_q[10]), + .R(xpm_fifo_rst_inst_n_1)); + FDRE #( + .INIT(1'b0)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[11] + (.C(wr_clk), + .CE(1'b1), + .D(diff_pntr_pf_q0[11]), + .Q(diff_pntr_pf_q[11]), + .R(xpm_fifo_rst_inst_n_1)); + FDRE #( + .INIT(1'b0)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] + (.C(wr_clk), + .CE(1'b1), + .D(diff_pntr_pf_q0[12]), + .Q(diff_pntr_pf_q[12]), + .R(xpm_fifo_rst_inst_n_1)); FDRE #( .INIT(1'b0)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[1] @@ -9085,30 +9250,32 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .D(diff_pntr_pf_q0[9]), .Q(diff_pntr_pf_q[9]), .R(xpm_fifo_rst_inst_n_1)); - LUT4 #( - .INIT(16'h0080)) + LUT6 #( + .INIT(64'h7FFFFFFFFFFFFFFF)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2 - (.I0(diff_pntr_pf_q[8]), - .I1(diff_pntr_pf_q[9]), - .I2(diff_pntr_pf_q[1]), - .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3_n_0 ), + (.I0(diff_pntr_pf_q[1]), + .I1(diff_pntr_pf_q[4]), + .I2(diff_pntr_pf_q[5]), + .I3(diff_pntr_pf_q[6]), + .I4(diff_pntr_pf_q[7]), + .I5(diff_pntr_pf_q[8]), .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2_n_0 )); LUT6 #( - .INIT(64'hFDFFFFFFFFFFFFFF)) + .INIT(64'h0400000000000000)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3 - (.I0(diff_pntr_pf_q[7]), - .I1(diff_pntr_pf_q[2]), - .I2(diff_pntr_pf_q[3]), - .I3(diff_pntr_pf_q[4]), - .I4(diff_pntr_pf_q[5]), - .I5(diff_pntr_pf_q[6]), + (.I0(diff_pntr_pf_q[3]), + .I1(diff_pntr_pf_q[11]), + .I2(diff_pntr_pf_q[2]), + .I3(diff_pntr_pf_q[12]), + .I4(diff_pntr_pf_q[9]), + .I5(diff_pntr_pf_q[10]), .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3_n_0 )); FDSE #( .INIT(1'b1)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg (.C(wr_clk), .CE(1'b1), - .D(rst_d1_inst_n_1), + .D(rst_d1_inst_n_2), .Q(prog_full), .S(xpm_fifo_rst_inst_n_1)); FDRE #( @@ -9116,7 +9283,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg (.C(wr_clk), .CE(1'b1), - .D(ram_rd_en_pf), + .D(rdp_inst_n_1), .Q(ram_rd_en_pf_q), .R(xpm_fifo_rst_inst_n_1)); FDRE #( @@ -9127,8 +9294,8 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .D(ram_wr_en_pf), .Q(ram_wr_en_pf_q), .R(xpm_fifo_rst_inst_n_1)); - (* ADDR_WIDTH_A = "9" *) - (* ADDR_WIDTH_B = "9" *) + (* ADDR_WIDTH_A = "12" *) + (* ADDR_WIDTH_B = "12" *) (* AUTO_SLEEP_TIME = "0" *) (* BYTE_WRITE_WIDTH_A = "53" *) (* BYTE_WRITE_WIDTH_B = "53" *) @@ -9141,20 +9308,20 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base (* \MEM.ADDRESS_SPACE_BEGIN = "0" *) (* \MEM.ADDRESS_SPACE_DATA_LSB = "0" *) (* \MEM.ADDRESS_SPACE_DATA_MSB = "52" *) - (* \MEM.ADDRESS_SPACE_END = "511" *) + (* \MEM.ADDRESS_SPACE_END = "4095" *) (* \MEM.CORE_MEMORY_WIDTH = "53" *) (* MEMORY_INIT_FILE = "none" *) (* MEMORY_INIT_PARAM = "" *) (* MEMORY_OPTIMIZATION = "true" *) (* MEMORY_PRIMITIVE = "2" *) - (* MEMORY_SIZE = "27136" *) + (* MEMORY_SIZE = "217088" *) (* MEMORY_TYPE = "1" *) (* MESSAGE_CONTROL = "0" *) (* NUM_CHAR_LOC = "0" *) (* P_ECC_MODE = "no_ecc" *) (* P_ENABLE_BYTE_WRITE_A = "0" *) (* P_ENABLE_BYTE_WRITE_B = "0" *) - (* P_MAX_DEPTH_DATA = "512" *) + (* P_MAX_DEPTH_DATA = "4096" *) (* P_MEMORY_OPT = "yes" *) (* P_MEMORY_PRIMITIVE = "block" *) (* P_MIN_WIDTH_DATA = "53" *) @@ -9174,10 +9341,10 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base (* P_WIDTH_ADDR_LSB_READ_B = "0" *) (* P_WIDTH_ADDR_LSB_WRITE_A = "0" *) (* P_WIDTH_ADDR_LSB_WRITE_B = "0" *) - (* P_WIDTH_ADDR_READ_A = "9" *) - (* P_WIDTH_ADDR_READ_B = "9" *) - (* P_WIDTH_ADDR_WRITE_A = "9" *) - (* P_WIDTH_ADDR_WRITE_B = "9" *) + (* P_WIDTH_ADDR_READ_A = "12" *) + (* P_WIDTH_ADDR_READ_B = "12" *) + (* P_WIDTH_ADDR_WRITE_A = "12" *) + (* P_WIDTH_ADDR_WRITE_B = "12" *) (* P_WIDTH_COL_WRITE_A = "53" *) (* P_WIDTH_COL_WRITE_B = "53" *) (* READ_DATA_WIDTH_A = "53" *) @@ -9214,7 +9381,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .douta(\NLW_gen_sdpram.xpm_memory_base_inst_douta_UNCONNECTED [52:0]), .doutb(dout), .ena(1'b0), - .enb(ram_rd_en_pf), + .enb(rdp_inst_n_1), .injectdbiterra(1'b0), .injectdbiterrb(1'b0), .injectsbiterra(1'b0), @@ -9229,10 +9396,10 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .wea(ram_wr_en_pf), .web(1'b0)); LUT3 #( - .INIT(8'h4A)) + .INIT(8'h2C)) \gen_sdpram.xpm_memory_base_inst_i_3 - (.I0(curr_fwft_state[0]), - .I1(rd_en), + (.I0(rd_en), + .I1(curr_fwft_state[0]), .I2(curr_fwft_state[1]), .O(\gen_fwft.ram_regout_en )); FDRE \grdc.rd_data_count_i_reg[0] @@ -9241,6 +9408,24 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .D(\grdc.diff_wr_rd_pntr_rdc [0]), .Q(rd_data_count[0]), .R(\grdc.rd_data_count_i0 )); + FDRE \grdc.rd_data_count_i_reg[10] + (.C(wr_clk), + .CE(1'b1), + .D(\grdc.diff_wr_rd_pntr_rdc [10]), + .Q(rd_data_count[10]), + .R(\grdc.rd_data_count_i0 )); + FDRE \grdc.rd_data_count_i_reg[11] + (.C(wr_clk), + .CE(1'b1), + .D(\grdc.diff_wr_rd_pntr_rdc [11]), + .Q(rd_data_count[11]), + .R(\grdc.rd_data_count_i0 )); + FDRE \grdc.rd_data_count_i_reg[12] + (.C(wr_clk), + .CE(1'b1), + .D(\grdc.diff_wr_rd_pntr_rdc [12]), + .Q(rd_data_count[12]), + .R(\grdc.rd_data_count_i0 )); FDRE \grdc.rd_data_count_i_reg[1] (.C(wr_clk), .CE(1'b1), @@ -9301,6 +9486,24 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .D(\grdc.diff_wr_rd_pntr_rdc [0]), .Q(wr_data_count[0]), .R(xpm_fifo_rst_inst_n_1)); + FDRE \gwdc.wr_data_count_i_reg[10] + (.C(wr_clk), + .CE(1'b1), + .D(\grdc.diff_wr_rd_pntr_rdc [10]), + .Q(wr_data_count[10]), + .R(xpm_fifo_rst_inst_n_1)); + FDRE \gwdc.wr_data_count_i_reg[11] + (.C(wr_clk), + .CE(1'b1), + .D(\grdc.diff_wr_rd_pntr_rdc [11]), + .Q(wr_data_count[11]), + .R(xpm_fifo_rst_inst_n_1)); + FDRE \gwdc.wr_data_count_i_reg[12] + (.C(wr_clk), + .CE(1'b1), + .D(\grdc.diff_wr_rd_pntr_rdc [12]), + .Q(wr_data_count[12]), + .R(xpm_fifo_rst_inst_n_1)); FDRE \gwdc.wr_data_count_i_reg[1] (.C(wr_clk), .CE(1'b1), @@ -9357,141 +9560,158 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .R(xpm_fifo_rst_inst_n_1)); design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2 rdp_inst (.CO(leaving_empty0), - .D(diff_pntr_pf_q0), - .E(ram_rd_en_pf), + .DI(rdp_inst_n_2), .\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] (rdp_inst_n_1), - .Q({rdp_inst_n_3,rd_pntr_ext}), - .S(wrpp1_inst_n_10), + .Q(rd_pntr_ext), + .S(rdp_inst_n_15), .almost_full(almost_full), - .\count_value_i_reg[0]_0 (xpm_fifo_rst_inst_n_1), - .\count_value_i_reg[8]_0 (diff_pntr_pe), - .\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg (going_afull1), - .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg (rdp_inst_n_0), - .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0 (rdp_inst_n_32), - .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg (xpm_fifo_rst_inst_n_3), - .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0 (\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0 ), - .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1 (rst_d1_inst_n_2), - .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2 (curr_fwft_state), - .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 ({wrpp1_inst_n_0,wrpp1_inst_n_1,wrpp1_inst_n_2,wrpp1_inst_n_3,wrpp1_inst_n_4,wrpp1_inst_n_5,wrpp1_inst_n_6,wrpp1_inst_n_7,wrpp1_inst_n_8}), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] (xpm_fifo_rst_inst_n_8), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] (wr_pntr_ext[7:0]), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8] (wrp_inst_n_21), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] (wrpp1_inst_n_9), + .clr_full(clr_full), + .\count_value_i_reg[0]_0 (rdp_inst_n_31), + .\count_value_i_reg[0]_1 (curr_fwft_state), + .\count_value_i_reg[0]_2 (xpm_fifo_rst_inst_n_1), + .\count_value_i_reg[11]_0 (rdp_inst_n_17), + .\count_value_i_reg[11]_1 ({rdp_inst_n_27,rdp_inst_n_28,rdp_inst_n_29,rdp_inst_n_30}), + .\count_value_i_reg[1]_0 (rdp_inst_n_16), + .\count_value_i_reg[1]_1 (rdp_inst_n_32), + .\count_value_i_reg[3]_0 ({rdp_inst_n_20,rdp_inst_n_21,rdp_inst_n_22}), + .\count_value_i_reg[7]_0 ({rdp_inst_n_23,rdp_inst_n_24,rdp_inst_n_25,rdp_inst_n_26}), + .\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 ({wrpp2_inst_n_0,wrpp2_inst_n_1,wrpp2_inst_n_2,wrpp2_inst_n_3,wrpp2_inst_n_4,wrpp2_inst_n_5,wrpp2_inst_n_6,wrpp2_inst_n_7,wrpp2_inst_n_8,wrpp2_inst_n_9,wrpp2_inst_n_10,wrpp2_inst_n_11}), + .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg (rdp_inst_n_19), + .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0 (rdp_inst_n_33), + .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg (\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0 ), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] ({wrpp1_inst_n_0,wrpp1_inst_n_1,wrpp1_inst_n_2,wrpp1_inst_n_3,wrpp1_inst_n_4,wrpp1_inst_n_5,wrpp1_inst_n_6,wrpp1_inst_n_7,wrpp1_inst_n_8,wrpp1_inst_n_9,wrpp1_inst_n_10,wrpp1_inst_n_11}), + .\grdc.rd_data_count_i_reg[12] ({wrp_inst_n_1,wr_pntr_ext}), + .\grdc.rd_data_count_i_reg[3] (count_value_i), .ram_empty_i(ram_empty_i), + .ram_wr_en_pf(ram_wr_en_pf), .rd_en(rd_en), .rst(rst), - .\syncstages_ff_reg[3] (rdp_inst_n_2), + .\syncstages_ff_reg[3] (rdp_inst_n_0), .wr_clk(wr_clk)); design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3 rdpp1_inst - (.CO(going_empty1), - .E(ram_rd_en_pf), - .Q(wr_pntr_ext), - .\count_value_i_reg[0]_0 (xpm_fifo_rst_inst_n_1), - .\count_value_i_reg[4]_0 (rdp_inst_n_1), + (.Q({rdpp1_inst_n_0,rdpp1_inst_n_1,rdpp1_inst_n_2,rdpp1_inst_n_3,rdpp1_inst_n_4,rdpp1_inst_n_5,rdpp1_inst_n_6,rdpp1_inst_n_7,rdpp1_inst_n_8,rdpp1_inst_n_9,rdpp1_inst_n_10,rdpp1_inst_n_11}), + .\count_value_i_reg[0]_0 (rdp_inst_n_1), + .\count_value_i_reg[0]_1 (xpm_fifo_rst_inst_n_1), .wr_clk(wr_clk)); design_1_axi_fifo_mm_s_0_0_xpm_fifo_reg_bit rst_d1_inst (.Q(xpm_fifo_rst_inst_n_1), + .clr_full(clr_full), .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg (\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2_n_0 ), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg (rst_d1_inst_n_1), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg_0 (\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3_n_0 ), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_wr_en_pf_q_reg (rst_d1_inst_n_2), .prog_full(prog_full), .ram_rd_en_pf_q(ram_rd_en_pf_q), .ram_wr_en_pf_q(ram_wr_en_pf_q), .rst(rst), .rst_d1(rst_d1), - .\syncstages_ff_reg[3] (rst_d1_inst_n_2), .wr_clk(wr_clk)); design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0 wrp_inst (.CO(leaving_empty0), .D(\grdc.diff_wr_rd_pntr_rdc ), - .DI(\gen_fwft.rdpp1_inst_n_3 ), - .E(ram_wr_en_pf), - .Q(wr_pntr_ext), - .S({\gen_fwft.rdpp1_inst_n_1 ,\gen_fwft.rdpp1_inst_n_2 }), - .\count_value_i_reg[8]_0 (wrp_inst_n_21), - .\count_value_i_reg[9]_0 (xpm_fifo_rst_inst_n_1), + .DI({rdp_inst_n_16,\gen_fwft.rdpp1_inst_n_3 }), + .Q({wrp_inst_n_1,wr_pntr_ext}), + .S(xpm_fifo_rst_inst_n_7), + .\count_value_i_reg[10]_0 (diff_pntr_pe), + .\count_value_i_reg[12]_0 (xpm_fifo_rst_inst_n_1), .\gen_pntr_flags_cc.ram_empty_i_reg (rdp_inst_n_1), - .\gen_pntr_flags_cc.ram_empty_i_reg_0 (xpm_fifo_rst_inst_n_3), - .\gen_pntr_flags_cc.ram_empty_i_reg_1 (going_empty1), - .\grdc.rd_data_count_i_reg[3] (count_value_i), - .\grdc.rd_data_count_i_reg[9] ({rdp_inst_n_3,rd_pntr_ext}), + .\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 ({rdpp1_inst_n_0,rdpp1_inst_n_1,rdpp1_inst_n_2,rdpp1_inst_n_3,rdpp1_inst_n_4,rdpp1_inst_n_5,rdpp1_inst_n_6,rdpp1_inst_n_7,rdpp1_inst_n_8,rdpp1_inst_n_9,rdpp1_inst_n_10,rdpp1_inst_n_11}), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11] ({rdp_inst_n_27,rdp_inst_n_28,rdp_inst_n_29,rdp_inst_n_30}), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] (p_1_in__0), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0 ({rdp_inst_n_20,rdp_inst_n_21,rdp_inst_n_22,xpm_fifo_rst_inst_n_11}), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] ({rdp_inst_n_23,rdp_inst_n_24,rdp_inst_n_25,rdp_inst_n_26}), + .\grdc.rd_data_count_i_reg[11] (rd_pntr_ext[11:2]), + .\grdc.rd_data_count_i_reg[12] (rdp_inst_n_17), + .\grdc.rd_data_count_i_reg[3] ({rdp_inst_n_32,\gen_fwft.rdpp1_inst_n_1 ,\gen_fwft.rdpp1_inst_n_2 }), .ram_empty_i(ram_empty_i), .ram_empty_i0(ram_empty_i0), + .ram_wr_en_pf(ram_wr_en_pf), .wr_clk(wr_clk)); design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3_1 wrpp1_inst - (.E(ram_wr_en_pf), - .Q({wrpp1_inst_n_0,wrpp1_inst_n_1,wrpp1_inst_n_2,wrpp1_inst_n_3,wrpp1_inst_n_4,wrpp1_inst_n_5,wrpp1_inst_n_6,wrpp1_inst_n_7,wrpp1_inst_n_8}), - .S(wrpp1_inst_n_10), + (.D(diff_pntr_pf_q0), + .DI(rdp_inst_n_2), + .Q({wrpp1_inst_n_0,wrpp1_inst_n_1,wrpp1_inst_n_2,wrpp1_inst_n_3,wrpp1_inst_n_4,wrpp1_inst_n_5,wrpp1_inst_n_6,wrpp1_inst_n_7,wrpp1_inst_n_8,wrpp1_inst_n_9,wrpp1_inst_n_10,wrpp1_inst_n_11}), + .S(xpm_fifo_rst_inst_n_8), .\count_value_i_reg[0]_0 (xpm_fifo_rst_inst_n_1), - .\count_value_i_reg[4]_0 (xpm_fifo_rst_inst_n_3), - .\count_value_i_reg[8]_0 (wrpp1_inst_n_9), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] (rdp_inst_n_1), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] ({rd_pntr_ext[8:7],rd_pntr_ext[0]}), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] (rdp_inst_n_15), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 (rd_pntr_ext[10:0]), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] (rdp_inst_n_31), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_0 (rdp_inst_n_1), + .ram_wr_en_pf(ram_wr_en_pf), .wr_clk(wr_clk)); design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized0 wrpp2_inst - (.E(ram_wr_en_pf), - .Q(rd_pntr_ext), + (.Q({wrpp2_inst_n_0,wrpp2_inst_n_1,wrpp2_inst_n_2,wrpp2_inst_n_3,wrpp2_inst_n_4,wrpp2_inst_n_5,wrpp2_inst_n_6,wrpp2_inst_n_7,wrpp2_inst_n_8,wrpp2_inst_n_9,wrpp2_inst_n_10,wrpp2_inst_n_11}), + .S(xpm_fifo_rst_inst_n_9), .\count_value_i_reg[0]_0 (xpm_fifo_rst_inst_n_1), - .\count_value_i_reg[4]_0 (xpm_fifo_rst_inst_n_3), - .\count_value_i_reg[7]_0 (going_afull1), + .ram_wr_en_pf(ram_wr_en_pf), .wr_clk(wr_clk)); design_1_axi_fifo_mm_s_0_0_xpm_fifo_rst xpm_fifo_rst_inst - (.E(ram_wr_en_pf), - .Q(xpm_fifo_rst_inst_n_1), - .SR(\gen_fwft.count_rst ), - .\count_value_i_reg[1] (curr_fwft_state), - .\gen_fwft.empty_fwft_i_reg (xpm_fifo_rst_inst_n_6), - .\gen_fwft.empty_fwft_i_reg_0 (xpm_fifo_rst_inst_n_7), - .\gen_fwft.empty_fwft_i_reg_1 (xpm_fifo_rst_inst_n_8), + (.Q(xpm_fifo_rst_inst_n_1), + .S(xpm_fifo_rst_inst_n_7), + .SR(\grdc.rd_data_count_i0 ), + .\count_value_i_reg[0] (xpm_fifo_rst_inst_n_8), + .\count_value_i_reg[0]_0 (xpm_fifo_rst_inst_n_9), + .\count_value_i_reg[3] (wr_pntr_ext[0]), + .\count_value_i_reg[3]_0 (wrpp1_inst_n_11), + .\count_value_i_reg[3]_1 (wrpp2_inst_n_11), + .\gen_fwft.empty_fwft_i_reg (p_1_in__0), + .\gen_fwft.empty_fwft_i_reg_0 (xpm_fifo_rst_inst_n_11), .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] (rd_pntr_ext[0]), .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg (xpm_fifo_rst_inst_n_0), .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0 (\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2_n_0 ), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_1 (\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3_n_0 ), .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg (\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0 ), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 (rdp_inst_n_1), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 (\gen_fwft.empty_fwft_i_reg_n_0 ), - .\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 (xpm_fifo_rst_inst_n_3), - .\gen_rst_cc.fifo_wr_rst_cc_reg[2]_1 (\grdc.rd_data_count_i0 ), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 (\gen_fwft.empty_fwft_i_reg_n_0 ), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 (rdp_inst_n_1), + .\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 (\gen_fwft.count_rst ), + .\grdc.rd_data_count_i_reg[0] (curr_fwft_state), .prog_empty(prog_empty), .ram_empty_i(ram_empty_i), + .ram_wr_en_pf(ram_wr_en_pf), + .read_only(read_only), .read_only_q(read_only_q), .rst(rst), .rst_d1(rst_d1), .wr_clk(wr_clk), .wr_en(wr_en), + .write_only(write_only), .write_only_q(write_only_q)); endmodule (* ORIG_REF_NAME = "xpm_fifo_reg_bit" *) module design_1_axi_fifo_mm_s_0_0_xpm_fifo_reg_bit (rst_d1, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg , - \syncstages_ff_reg[3] , + clr_full, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_wr_en_pf_q_reg , Q, wr_clk, - ram_rd_en_pf_q, - ram_wr_en_pf_q, + rst, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg , - prog_full, - rst); + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg_0 , + ram_wr_en_pf_q, + ram_rd_en_pf_q, + prog_full); output rst_d1; - output \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg ; - output \syncstages_ff_reg[3] ; + output clr_full; + output \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_wr_en_pf_q_reg ; input [0:0]Q; input wr_clk; - input ram_rd_en_pf_q; - input ram_wr_en_pf_q; - input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ; - input prog_full; input rst; + input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ; + input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg_0 ; + input ram_wr_en_pf_q; + input ram_rd_en_pf_q; + input prog_full; wire [0:0]Q; + wire clr_full; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_wr_en_pf_q_reg ; wire prog_full; wire ram_rd_en_pf_q; wire ram_wr_en_pf_q; wire rst; wire rst_d1; - wire \syncstages_ff_reg[3] ; wire wr_clk; FDRE #( @@ -9504,154 +9724,198 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_reg_bit .R(1'b0)); LUT3 #( .INIT(8'h04)) - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6 + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_2 (.I0(rst), .I1(rst_d1), .I2(Q), - .O(\syncstages_ff_reg[3] )); - LUT5 #( - .INIT(32'h51551000)) + .O(clr_full)); + LUT6 #( + .INIT(64'h5545555500001000)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_1 - (.I0(\syncstages_ff_reg[3] ), - .I1(ram_rd_en_pf_q), - .I2(ram_wr_en_pf_q), - .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ), - .I4(prog_full), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg )); + (.I0(clr_full), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg_0 ), + .I3(ram_wr_en_pf_q), + .I4(ram_rd_en_pf_q), + .I5(prog_full), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_wr_en_pf_q_reg )); endmodule (* ORIG_REF_NAME = "xpm_fifo_rst" *) module design_1_axi_fifo_mm_s_0_0_xpm_fifo_rst (\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg , Q, - E, - \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 , + write_only, + ram_wr_en_pf, + read_only, SR, - \gen_rst_cc.fifo_wr_rst_cc_reg[2]_1 , + \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 , + S, + \count_value_i_reg[0] , + \count_value_i_reg[0]_0 , \gen_fwft.empty_fwft_i_reg , \gen_fwft.empty_fwft_i_reg_0 , - \gen_fwft.empty_fwft_i_reg_1 , prog_empty, write_only_q, - read_only_q, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0 , + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_1 , + read_only_q, rst, - wr_en, - rst_d1, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg , - \count_value_i_reg[1] , - ram_empty_i, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 , \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 , + wr_en, + rst_d1, + \grdc.rd_data_count_i_reg[0] , + ram_empty_i, + \count_value_i_reg[3] , + \count_value_i_reg[3]_0 , + \count_value_i_reg[3]_1 , \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] , wr_clk); output \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg ; output [0:0]Q; - output [0:0]E; - output \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 ; + output write_only; + output ram_wr_en_pf; + output read_only; output [0:0]SR; - output [0:0]\gen_rst_cc.fifo_wr_rst_cc_reg[2]_1 ; - output \gen_fwft.empty_fwft_i_reg ; - output \gen_fwft.empty_fwft_i_reg_0 ; - output [0:0]\gen_fwft.empty_fwft_i_reg_1 ; + output [0:0]\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 ; + output [0:0]S; + output [0:0]\count_value_i_reg[0] ; + output [0:0]\count_value_i_reg[0]_0 ; + output [0:0]\gen_fwft.empty_fwft_i_reg ; + output [0:0]\gen_fwft.empty_fwft_i_reg_0 ; input prog_empty; input write_only_q; - input read_only_q; input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0 ; + input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_1 ; + input read_only_q; input rst; - input wr_en; - input rst_d1; input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg ; - input [1:0]\count_value_i_reg[1] ; - input ram_empty_i; input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ; input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ; + input wr_en; + input rst_d1; + input [1:0]\grdc.rd_data_count_i_reg[0] ; + input ram_empty_i; + input [0:0]\count_value_i_reg[3] ; + input [0:0]\count_value_i_reg[3]_0 ; + input [0:0]\count_value_i_reg[3]_1 ; input [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] ; input wr_clk; - wire [0:0]E; wire [0:0]Q; + wire [0:0]S; wire [0:0]SR; - wire [1:0]\count_value_i_reg[1] ; - wire \gen_fwft.empty_fwft_i_reg ; - wire \gen_fwft.empty_fwft_i_reg_0 ; - wire [0:0]\gen_fwft.empty_fwft_i_reg_1 ; + wire [0:0]\count_value_i_reg[0] ; + wire [0:0]\count_value_i_reg[0]_0 ; + wire [0:0]\count_value_i_reg[3] ; + wire [0:0]\count_value_i_reg[3]_0 ; + wire [0:0]\count_value_i_reg[3]_1 ; + wire [0:0]\gen_fwft.empty_fwft_i_reg ; + wire [0:0]\gen_fwft.empty_fwft_i_reg_0 ; wire [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_1 ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ; wire [1:0]\gen_rst_cc.fifo_wr_rst_cc ; - wire \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 ; - wire [0:0]\gen_rst_cc.fifo_wr_rst_cc_reg[2]_1 ; + wire [0:0]\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 ; + wire [1:0]\grdc.rd_data_count_i_reg[0] ; wire p_0_in; wire \power_on_rst_reg_n_0_[0] ; wire prog_empty; wire ram_empty_i; + wire ram_wr_en_pf; + wire read_only; wire read_only_q; wire rst; wire rst_d1; wire rst_i; wire wr_clk; wire wr_en; + wire write_only; wire write_only_q; - (* SOFT_HLUTNM = "soft_lutpair21" *) + (* SOFT_HLUTNM = "soft_lutpair2" *) LUT4 #( - .INIT(16'hABAA)) + .INIT(16'hAAAE)) \count_value_i[1]_i_1 (.I0(Q), - .I1(\count_value_i_reg[1] [0]), - .I2(\count_value_i_reg[1] [1]), - .I3(ram_empty_i), - .O(SR)); - LUT4 #( - .INIT(16'hFFFD)) - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_3 - (.I0(wr_en), - .I1(Q), - .I2(rst_d1), - .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg ), + .I1(ram_empty_i), + .I2(\grdc.rd_data_count_i_reg[0] [1]), + .I3(\grdc.rd_data_count_i_reg[0] [0]), .O(\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 )); + LUT2 #( + .INIT(4'h6)) + \count_value_i[3]_i_2 + (.I0(ram_wr_en_pf), + .I1(\count_value_i_reg[3] ), + .O(S)); + LUT2 #( + .INIT(4'h6)) + \count_value_i[3]_i_2__2 + (.I0(ram_wr_en_pf), + .I1(\count_value_i_reg[3]_0 ), + .O(\count_value_i_reg[0] )); + LUT2 #( + .INIT(4'h6)) + \count_value_i[3]_i_2__3 + (.I0(ram_wr_en_pf), + .I1(\count_value_i_reg[3]_1 ), + .O(\count_value_i_reg[0]_0 )); LUT4 #( - .INIT(16'hFB04)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_9 - (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ), - .I1(\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 ), - .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ), - .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] ), - .O(\gen_fwft.empty_fwft_i_reg_1 )); + .INIT(16'hBFBB)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2 + (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg ), + .I3(ram_wr_en_pf), + .O(\gen_fwft.empty_fwft_i_reg )); LUT5 #( - .INIT(32'hFFFFAAF2)) + .INIT(32'hBFBB4044)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6 + (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg ), + .I3(ram_wr_en_pf), + .I4(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] ), + .O(\gen_fwft.empty_fwft_i_reg_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFAFAAA2AA)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_1 (.I0(prog_empty), .I1(write_only_q), - .I2(read_only_q), - .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0 ), - .I4(Q), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0 ), + .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_1 ), + .I4(read_only_q), + .I5(Q), .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg )); - (* SOFT_HLUTNM = "soft_lutpair22" *) - LUT3 #( - .INIT(8'h04)) + (* SOFT_HLUTNM = "soft_lutpair1" *) + LUT4 #( + .INIT(16'h4044)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.read_only_q_i_1 - (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ), - .I1(\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 ), - .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ), - .O(\gen_fwft.empty_fwft_i_reg_0 )); - (* SOFT_HLUTNM = "soft_lutpair22" *) - LUT3 #( - .INIT(8'h54)) + (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg ), + .I3(ram_wr_en_pf), + .O(read_only)); + (* SOFT_HLUTNM = "soft_lutpair1" *) + LUT4 #( + .INIT(16'h4044)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_i_1 - (.I0(\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 ), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ), - .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ), - .O(\gen_fwft.empty_fwft_i_reg )); + (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg ), + .I1(ram_wr_en_pf), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ), + .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ), + .O(write_only)); LUT2 #( .INIT(4'hE)) \gen_rst_cc.fifo_wr_rst_cc[2]_i_1 - (.I0(rst), - .I1(p_0_in), + (.I0(p_0_in), + .I1(rst), .O(rst_i)); FDSE #( .INIT(1'b0)) @@ -9677,19 +9941,22 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_rst .D(\gen_rst_cc.fifo_wr_rst_cc [1]), .Q(Q), .S(rst_i)); - LUT1 #( - .INIT(2'h1)) + LUT4 #( + .INIT(16'h0002)) \gen_sdpram.xpm_memory_base_inst_i_1 - (.I0(\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 ), - .O(E)); - (* SOFT_HLUTNM = "soft_lutpair21" *) + (.I0(wr_en), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg ), + .I2(Q), + .I3(rst_d1), + .O(ram_wr_en_pf)); + (* SOFT_HLUTNM = "soft_lutpair2" *) LUT3 #( .INIT(8'hAB)) - \grdc.rd_data_count_i[9]_i_1 + \grdc.rd_data_count_i[12]_i_1 (.I0(Q), - .I1(\count_value_i_reg[1] [1]), - .I2(\count_value_i_reg[1] [0]), - .O(\gen_rst_cc.fifo_wr_rst_cc_reg[2]_1 )); + .I1(\grdc.rd_data_count_i_reg[0] [1]), + .I2(\grdc.rd_data_count_i_reg[0] [0]), + .O(SR)); FDRE #( .INIT(1'b1)) \power_on_rst_reg[0] @@ -9708,21 +9975,21 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_rst .R(1'b0)); endmodule -(* ADDR_WIDTH_A = "9" *) (* ADDR_WIDTH_B = "9" *) (* AUTO_SLEEP_TIME = "0" *) +(* ADDR_WIDTH_A = "12" *) (* ADDR_WIDTH_B = "12" *) (* AUTO_SLEEP_TIME = "0" *) (* BYTE_WRITE_WIDTH_A = "53" *) (* BYTE_WRITE_WIDTH_B = "53" *) (* CASCADE_HEIGHT = "0" *) (* CLOCKING_MODE = "0" *) (* ECC_MODE = "0" *) (* MAX_NUM_CHAR = "0" *) (* MEMORY_INIT_FILE = "none" *) (* MEMORY_INIT_PARAM = "" *) (* MEMORY_OPTIMIZATION = "true" *) -(* MEMORY_PRIMITIVE = "2" *) (* MEMORY_SIZE = "27136" *) (* MEMORY_TYPE = "1" *) +(* MEMORY_PRIMITIVE = "2" *) (* MEMORY_SIZE = "217088" *) (* MEMORY_TYPE = "1" *) (* MESSAGE_CONTROL = "0" *) (* NUM_CHAR_LOC = "0" *) (* ORIG_REF_NAME = "xpm_memory_base" *) (* P_ECC_MODE = "no_ecc" *) (* P_ENABLE_BYTE_WRITE_A = "0" *) (* P_ENABLE_BYTE_WRITE_B = "0" *) -(* P_MAX_DEPTH_DATA = "512" *) (* P_MEMORY_OPT = "yes" *) (* P_MEMORY_PRIMITIVE = "block" *) +(* P_MAX_DEPTH_DATA = "4096" *) (* P_MEMORY_OPT = "yes" *) (* P_MEMORY_PRIMITIVE = "block" *) (* P_MIN_WIDTH_DATA = "53" *) (* P_MIN_WIDTH_DATA_A = "53" *) (* P_MIN_WIDTH_DATA_B = "53" *) (* P_MIN_WIDTH_DATA_ECC = "53" *) (* P_MIN_WIDTH_DATA_LDW = "4" *) (* P_MIN_WIDTH_DATA_SHFT = "53" *) (* P_NUM_COLS_WRITE_A = "1" *) (* P_NUM_COLS_WRITE_B = "1" *) (* P_NUM_ROWS_READ_A = "1" *) (* P_NUM_ROWS_READ_B = "1" *) (* P_NUM_ROWS_WRITE_A = "1" *) (* P_NUM_ROWS_WRITE_B = "1" *) (* P_SDP_WRITE_MODE = "no" *) (* P_WIDTH_ADDR_LSB_READ_A = "0" *) (* P_WIDTH_ADDR_LSB_READ_B = "0" *) -(* P_WIDTH_ADDR_LSB_WRITE_A = "0" *) (* P_WIDTH_ADDR_LSB_WRITE_B = "0" *) (* P_WIDTH_ADDR_READ_A = "9" *) -(* P_WIDTH_ADDR_READ_B = "9" *) (* P_WIDTH_ADDR_WRITE_A = "9" *) (* P_WIDTH_ADDR_WRITE_B = "9" *) +(* P_WIDTH_ADDR_LSB_WRITE_A = "0" *) (* P_WIDTH_ADDR_LSB_WRITE_B = "0" *) (* P_WIDTH_ADDR_READ_A = "12" *) +(* P_WIDTH_ADDR_READ_B = "12" *) (* P_WIDTH_ADDR_WRITE_A = "12" *) (* P_WIDTH_ADDR_WRITE_B = "12" *) (* P_WIDTH_COL_WRITE_A = "53" *) (* P_WIDTH_COL_WRITE_B = "53" *) (* READ_DATA_WIDTH_A = "53" *) (* READ_DATA_WIDTH_B = "53" *) (* READ_LATENCY_A = "2" *) (* READ_LATENCY_B = "2" *) (* READ_RESET_VALUE_A = "0" *) (* READ_RESET_VALUE_B = "" *) (* RST_MODE_A = "SYNC" *) @@ -9764,7 +10031,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_memory_base input ena; input regcea; input [0:0]wea; - input [8:0]addra; + input [11:0]addra; input [52:0]dina; input injectsbiterra; input injectdbiterra; @@ -9776,7 +10043,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_memory_base input enb; input regceb; input [0:0]web; - input [8:0]addrb; + input [11:0]addrb; input [52:0]dinb; input injectsbiterrb; input injectdbiterrb; @@ -9785,25 +10052,97 @@ module design_1_axi_fifo_mm_s_0_0_xpm_memory_base output dbiterrb; wire \ ; - wire [8:0]addra; - wire [8:0]addrb; + wire [11:0]addra; + wire [11:0]addrb; wire clka; wire [52:0]dina; wire [52:0]doutb; wire enb; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_60 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_61 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_62 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_63 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_64 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_65 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_66 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_67 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_75 ; wire regceb; wire rstb; wire sleep; wire [0:0]wea; - wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASCADEOUTA_UNCONNECTED ; - wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASCADEOUTB_UNCONNECTED ; - wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_DBITERR_UNCONNECTED ; - wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_SBITERR_UNCONNECTED ; - wire [31:21]\NLW_gen_wr_a.gen_word_narrow.mem_reg_DOBDO_UNCONNECTED ; - wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_DOPADOP_UNCONNECTED ; - wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_DOPBDOP_UNCONNECTED ; - wire [7:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_ECCPARITY_UNCONNECTED ; - wire [8:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_RDADDRECC_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_CASCADEOUTA_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_CASCADEOUTB_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_INJECTDBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_INJECTSBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_SBITERR_UNCONNECTED ; + wire [31:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOADO_UNCONNECTED ; + wire [31:8]\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOBDO_UNCONNECTED ; + wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOPADOP_UNCONNECTED ; + wire [3:1]\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOPBDOP_UNCONNECTED ; + wire [7:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_ECCPARITY_UNCONNECTED ; + wire [8:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_RDADDRECC_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_CASCADEOUTA_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_CASCADEOUTB_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_INJECTDBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_INJECTSBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_SBITERR_UNCONNECTED ; + wire [31:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOADO_UNCONNECTED ; + wire [31:8]\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOBDO_UNCONNECTED ; + wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOPADOP_UNCONNECTED ; + wire [3:1]\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOPBDOP_UNCONNECTED ; + wire [7:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_ECCPARITY_UNCONNECTED ; + wire [8:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_RDADDRECC_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_CASCADEOUTA_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_CASCADEOUTB_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_INJECTDBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_INJECTSBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_SBITERR_UNCONNECTED ; + wire [31:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOADO_UNCONNECTED ; + wire [31:8]\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOBDO_UNCONNECTED ; + wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOPADOP_UNCONNECTED ; + wire [3:1]\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOPBDOP_UNCONNECTED ; + wire [7:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_ECCPARITY_UNCONNECTED ; + wire [8:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_RDADDRECC_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_CASCADEOUTA_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_CASCADEOUTB_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_INJECTDBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_INJECTSBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_SBITERR_UNCONNECTED ; + wire [31:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOADO_UNCONNECTED ; + wire [31:8]\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOBDO_UNCONNECTED ; + wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOPADOP_UNCONNECTED ; + wire [3:1]\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOPBDOP_UNCONNECTED ; + wire [7:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_ECCPARITY_UNCONNECTED ; + wire [8:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_RDADDRECC_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_CASCADEOUTA_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_CASCADEOUTB_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_INJECTDBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_INJECTSBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_SBITERR_UNCONNECTED ; + wire [31:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOADO_UNCONNECTED ; + wire [31:8]\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOBDO_UNCONNECTED ; + wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOPADOP_UNCONNECTED ; + wire [3:1]\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOPBDOP_UNCONNECTED ; + wire [7:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_ECCPARITY_UNCONNECTED ; + wire [8:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_RDADDRECC_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_CASCADEOUTA_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_CASCADEOUTB_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_INJECTDBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_INJECTSBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_SBITERR_UNCONNECTED ; + wire [31:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOADO_UNCONNECTED ; + wire [31:8]\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOBDO_UNCONNECTED ; + wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOPADOP_UNCONNECTED ; + wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOPBDOP_UNCONNECTED ; + wire [7:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_ECCPARITY_UNCONNECTED ; + wire [8:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_RDADDRECC_UNCONNECTED ; assign dbiterra = \ ; assign dbiterrb = \ ; @@ -9864,31 +10203,103 @@ module design_1_axi_fifo_mm_s_0_0_xpm_memory_base assign sbiterrb = \ ; GND GND (.G(\ )); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][36] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_67 ), + .Q(doutb[36]), + .R(rstb)); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][37] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_66 ), + .Q(doutb[37]), + .R(rstb)); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][38] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_65 ), + .Q(doutb[38]), + .R(rstb)); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][39] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_64 ), + .Q(doutb[39]), + .R(rstb)); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][40] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_63 ), + .Q(doutb[40]), + .R(rstb)); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][41] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_62 ), + .Q(doutb[41]), + .R(rstb)); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][42] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_61 ), + .Q(doutb[42]), + .R(rstb)); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][43] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_60 ), + .Q(doutb[43]), + .R(rstb)); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][44] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_75 ), + .Q(doutb[44]), + .R(rstb)); (* \MEM.PORTA.ADDRESS_BEGIN = "0" *) - (* \MEM.PORTA.ADDRESS_END = "511" *) - (* \MEM.PORTA.DATA_BIT_LAYOUT = "p0_d53" *) + (* \MEM.PORTA.ADDRESS_END = "4095" *) + (* \MEM.PORTA.DATA_BIT_LAYOUT = "p1_d8" *) (* \MEM.PORTA.DATA_LSB = "0" *) - (* \MEM.PORTA.DATA_MSB = "52" *) + (* \MEM.PORTA.DATA_MSB = "8" *) (* \MEM.PORTB.ADDRESS_BEGIN = "0" *) - (* \MEM.PORTB.ADDRESS_END = "511" *) - (* \MEM.PORTB.DATA_BIT_LAYOUT = "p0_d53" *) + (* \MEM.PORTB.ADDRESS_END = "4095" *) + (* \MEM.PORTB.DATA_BIT_LAYOUT = "p1_d8" *) (* \MEM.PORTB.DATA_LSB = "0" *) - (* \MEM.PORTB.DATA_MSB = "52" *) + (* \MEM.PORTB.DATA_MSB = "8" *) (* METHODOLOGY_DRC_VIOS = "" *) - (* RTL_RAM_BITS = "27136" *) + (* RTL_RAM_BITS = "217088" *) (* RTL_RAM_NAME = "gen_wr_a.gen_word_narrow.mem" *) (* RTL_RAM_TYPE = "RAM_SDP" *) (* bram_addr_begin = "0" *) - (* bram_addr_end = "511" *) + (* bram_addr_end = "4095" *) (* bram_slice_begin = "0" *) - (* bram_slice_end = "52" *) + (* bram_slice_end = "8" *) (* ram_addr_begin = "0" *) - (* ram_addr_end = "511" *) + (* ram_addr_end = "4095" *) (* ram_offset = "0" *) (* ram_slice_begin = "0" *) - (* ram_slice_end = "52" *) + (* ram_slice_end = "8" *) RAMB36E1 #( - .DOA_REG(1), + .DOA_REG(0), .DOB_REG(1), .EN_ECC_READ("FALSE"), .EN_ECC_WRITE("FALSE"), @@ -10040,53 +10451,1168 @@ module design_1_axi_fifo_mm_s_0_0_xpm_memory_base .INIT_B(36'h000000000), .RAM_EXTENSION_A("NONE"), .RAM_EXTENSION_B("NONE"), - .RAM_MODE("SDP"), + .RAM_MODE("TDP"), .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"), - .READ_WIDTH_A(72), - .READ_WIDTH_B(0), + .READ_WIDTH_A(9), + .READ_WIDTH_B(9), .RSTREG_PRIORITY_A("RSTREG"), .RSTREG_PRIORITY_B("RSTREG"), .SIM_COLLISION_CHECK("ALL"), .SIM_DEVICE("7SERIES"), .SRVAL_A(36'h000000000), .SRVAL_B(36'h000000000), - .WRITE_MODE_A("WRITE_FIRST"), - .WRITE_MODE_B("WRITE_FIRST"), - .WRITE_WIDTH_A(0), - .WRITE_WIDTH_B(72)) - \gen_wr_a.gen_word_narrow.mem_reg - (.ADDRARDADDR({1'b1,addrb,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), - .ADDRBWRADDR({1'b1,addra,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), - .CASCADEINA(1'b0), - .CASCADEINB(1'b0), - .CASCADEOUTA(\NLW_gen_wr_a.gen_word_narrow.mem_reg_CASCADEOUTA_UNCONNECTED ), - .CASCADEOUTB(\NLW_gen_wr_a.gen_word_narrow.mem_reg_CASCADEOUTB_UNCONNECTED ), + .WRITE_MODE_A("NO_CHANGE"), + .WRITE_MODE_B("NO_CHANGE"), + .WRITE_WIDTH_A(9), + .WRITE_WIDTH_B(9)) + \gen_wr_a.gen_word_narrow.mem_reg_0 + (.ADDRARDADDR({1'b1,addra,1'b0,1'b0,1'b0}), + .ADDRBWRADDR({1'b1,addrb,1'b0,1'b0,1'b0}), + .CASCADEINA(1'b1), + .CASCADEINB(1'b1), + .CASCADEOUTA(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_CASCADEOUTA_UNCONNECTED ), + .CASCADEOUTB(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_CASCADEOUTB_UNCONNECTED ), .CLKARDCLK(clka), .CLKBWRCLK(clka), - .DBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_DBITERR_UNCONNECTED ), - .DIADI(dina[31:0]), - .DIBDI({1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,dina[52:32]}), - .DIPADIP({1'b1,1'b1,1'b1,1'b1}), - .DIPBDIP({1'b1,1'b1,1'b1,1'b1}), - .DOADO(doutb[31:0]), - .DOBDO({\NLW_gen_wr_a.gen_word_narrow.mem_reg_DOBDO_UNCONNECTED [31:21],doutb[52:32]}), - .DOPADOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_DOPADOP_UNCONNECTED [3:0]), - .DOPBDOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_DOPBDOP_UNCONNECTED [3:0]), - .ECCPARITY(\NLW_gen_wr_a.gen_word_narrow.mem_reg_ECCPARITY_UNCONNECTED [7:0]), - .ENARDEN(enb), - .ENBWREN(1'b1), - .INJECTDBITERR(1'b0), - .INJECTSBITERR(1'b0), - .RDADDRECC(\NLW_gen_wr_a.gen_word_narrow.mem_reg_RDADDRECC_UNCONNECTED [8:0]), - .REGCEAREGCE(regceb), + .DBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DBITERR_UNCONNECTED ), + .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina[7:0]}), + .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), + .DIPADIP({1'b0,1'b0,1'b0,dina[8]}), + .DIPBDIP({1'b0,1'b0,1'b0,1'b1}), + .DOADO(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOADO_UNCONNECTED [31:0]), + .DOBDO({\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOBDO_UNCONNECTED [31:8],doutb[7:0]}), + .DOPADOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOPADOP_UNCONNECTED [3:0]), + .DOPBDOP({\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOPBDOP_UNCONNECTED [3:1],doutb[8]}), + .ECCPARITY(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_ECCPARITY_UNCONNECTED [7:0]), + .ENARDEN(wea), + .ENBWREN(enb), + .INJECTDBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_INJECTDBITERR_UNCONNECTED ), + .INJECTSBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_INJECTSBITERR_UNCONNECTED ), + .RDADDRECC(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_RDADDRECC_UNCONNECTED [8:0]), + .REGCEAREGCE(1'b0), + .REGCEB(regceb), + .RSTRAMARSTRAM(1'b0), + .RSTRAMB(1'b0), + .RSTREGARSTREG(1'b0), + .RSTREGB(rstb), + .SBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_SBITERR_UNCONNECTED ), + .WEA({wea,wea,wea,1'b1}), + .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0})); + (* \MEM.PORTA.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTA.ADDRESS_END = "4095" *) + (* \MEM.PORTA.DATA_BIT_LAYOUT = "p1_d8" *) + (* \MEM.PORTA.DATA_LSB = "9" *) + (* \MEM.PORTA.DATA_MSB = "17" *) + (* \MEM.PORTB.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTB.ADDRESS_END = "4095" *) + (* \MEM.PORTB.DATA_BIT_LAYOUT = "p1_d8" *) + (* \MEM.PORTB.DATA_LSB = "9" *) + (* \MEM.PORTB.DATA_MSB = "17" *) + (* METHODOLOGY_DRC_VIOS = "" *) + (* RTL_RAM_BITS = "217088" *) + (* RTL_RAM_NAME = "gen_wr_a.gen_word_narrow.mem" *) + (* RTL_RAM_TYPE = "RAM_SDP" *) + (* bram_addr_begin = "0" *) + (* bram_addr_end = "4095" *) + (* bram_slice_begin = "9" *) + (* bram_slice_end = "17" *) + (* ram_addr_begin = "0" *) + (* ram_addr_end = "4095" *) + (* ram_offset = "0" *) + (* ram_slice_begin = "9" *) + (* ram_slice_end = "17" *) + RAMB36E1 #( + .DOA_REG(0), + .DOB_REG(1), + .EN_ECC_READ("FALSE"), + .EN_ECC_WRITE("FALSE"), + .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_A(36'h000000000), + .INIT_B(36'h000000000), + .RAM_EXTENSION_A("NONE"), + .RAM_EXTENSION_B("NONE"), + .RAM_MODE("TDP"), + .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"), + .READ_WIDTH_A(9), + .READ_WIDTH_B(9), + .RSTREG_PRIORITY_A("RSTREG"), + .RSTREG_PRIORITY_B("RSTREG"), + .SIM_COLLISION_CHECK("ALL"), + .SIM_DEVICE("7SERIES"), + .SRVAL_A(36'h000000000), + .SRVAL_B(36'h000000000), + .WRITE_MODE_A("NO_CHANGE"), + .WRITE_MODE_B("NO_CHANGE"), + .WRITE_WIDTH_A(9), + .WRITE_WIDTH_B(9)) + \gen_wr_a.gen_word_narrow.mem_reg_1 + (.ADDRARDADDR({1'b1,addra,1'b0,1'b0,1'b0}), + .ADDRBWRADDR({1'b1,addrb,1'b0,1'b0,1'b0}), + .CASCADEINA(1'b1), + .CASCADEINB(1'b1), + .CASCADEOUTA(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_CASCADEOUTA_UNCONNECTED ), + .CASCADEOUTB(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_CASCADEOUTB_UNCONNECTED ), + .CLKARDCLK(clka), + .CLKBWRCLK(clka), + .DBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DBITERR_UNCONNECTED ), + .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina[16:9]}), + .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), + .DIPADIP({1'b0,1'b0,1'b0,dina[17]}), + .DIPBDIP({1'b0,1'b0,1'b0,1'b1}), + .DOADO(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOADO_UNCONNECTED [31:0]), + .DOBDO({\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOBDO_UNCONNECTED [31:8],doutb[16:9]}), + .DOPADOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOPADOP_UNCONNECTED [3:0]), + .DOPBDOP({\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOPBDOP_UNCONNECTED [3:1],doutb[17]}), + .ECCPARITY(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_ECCPARITY_UNCONNECTED [7:0]), + .ENARDEN(wea), + .ENBWREN(enb), + .INJECTDBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_INJECTDBITERR_UNCONNECTED ), + .INJECTSBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_INJECTSBITERR_UNCONNECTED ), + .RDADDRECC(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_RDADDRECC_UNCONNECTED [8:0]), + .REGCEAREGCE(1'b0), + .REGCEB(regceb), + .RSTRAMARSTRAM(1'b0), + .RSTRAMB(1'b0), + .RSTREGARSTREG(1'b0), + .RSTREGB(rstb), + .SBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_SBITERR_UNCONNECTED ), + .WEA({wea,wea,wea,1'b1}), + .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0})); + (* \MEM.PORTA.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTA.ADDRESS_END = "4095" *) + (* \MEM.PORTA.DATA_BIT_LAYOUT = "p1_d8" *) + (* \MEM.PORTA.DATA_LSB = "18" *) + (* \MEM.PORTA.DATA_MSB = "26" *) + (* \MEM.PORTB.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTB.ADDRESS_END = "4095" *) + (* \MEM.PORTB.DATA_BIT_LAYOUT = "p1_d8" *) + (* \MEM.PORTB.DATA_LSB = "18" *) + (* \MEM.PORTB.DATA_MSB = "26" *) + (* METHODOLOGY_DRC_VIOS = "" *) + (* RTL_RAM_BITS = "217088" *) + (* RTL_RAM_NAME = "gen_wr_a.gen_word_narrow.mem" *) + (* RTL_RAM_TYPE = "RAM_SDP" *) + (* bram_addr_begin = "0" *) + (* bram_addr_end = "4095" *) + (* bram_slice_begin = "18" *) + (* bram_slice_end = "26" *) + (* ram_addr_begin = "0" *) + (* ram_addr_end = "4095" *) + (* ram_offset = "0" *) + (* ram_slice_begin = "18" *) + (* ram_slice_end = "26" *) + RAMB36E1 #( + .DOA_REG(0), + .DOB_REG(1), + .EN_ECC_READ("FALSE"), + .EN_ECC_WRITE("FALSE"), + .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_A(36'h000000000), + .INIT_B(36'h000000000), + .RAM_EXTENSION_A("NONE"), + .RAM_EXTENSION_B("NONE"), + .RAM_MODE("TDP"), + .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"), + .READ_WIDTH_A(9), + .READ_WIDTH_B(9), + .RSTREG_PRIORITY_A("RSTREG"), + .RSTREG_PRIORITY_B("RSTREG"), + .SIM_COLLISION_CHECK("ALL"), + .SIM_DEVICE("7SERIES"), + .SRVAL_A(36'h000000000), + .SRVAL_B(36'h000000000), + .WRITE_MODE_A("NO_CHANGE"), + .WRITE_MODE_B("NO_CHANGE"), + .WRITE_WIDTH_A(9), + .WRITE_WIDTH_B(9)) + \gen_wr_a.gen_word_narrow.mem_reg_2 + (.ADDRARDADDR({1'b1,addra,1'b0,1'b0,1'b0}), + .ADDRBWRADDR({1'b1,addrb,1'b0,1'b0,1'b0}), + .CASCADEINA(1'b1), + .CASCADEINB(1'b1), + .CASCADEOUTA(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_CASCADEOUTA_UNCONNECTED ), + .CASCADEOUTB(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_CASCADEOUTB_UNCONNECTED ), + .CLKARDCLK(clka), + .CLKBWRCLK(clka), + .DBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DBITERR_UNCONNECTED ), + .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina[25:18]}), + .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), + .DIPADIP({1'b0,1'b0,1'b0,dina[26]}), + .DIPBDIP({1'b0,1'b0,1'b0,1'b1}), + .DOADO(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOADO_UNCONNECTED [31:0]), + .DOBDO({\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOBDO_UNCONNECTED [31:8],doutb[25:18]}), + .DOPADOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOPADOP_UNCONNECTED [3:0]), + .DOPBDOP({\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOPBDOP_UNCONNECTED [3:1],doutb[26]}), + .ECCPARITY(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_ECCPARITY_UNCONNECTED [7:0]), + .ENARDEN(wea), + .ENBWREN(enb), + .INJECTDBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_INJECTDBITERR_UNCONNECTED ), + .INJECTSBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_INJECTSBITERR_UNCONNECTED ), + .RDADDRECC(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_RDADDRECC_UNCONNECTED [8:0]), + .REGCEAREGCE(1'b0), + .REGCEB(regceb), + .RSTRAMARSTRAM(1'b0), + .RSTRAMB(1'b0), + .RSTREGARSTREG(1'b0), + .RSTREGB(rstb), + .SBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_SBITERR_UNCONNECTED ), + .WEA({wea,wea,wea,1'b1}), + .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0})); + (* \MEM.PORTA.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTA.ADDRESS_END = "4095" *) + (* \MEM.PORTA.DATA_BIT_LAYOUT = "p1_d8" *) + (* \MEM.PORTA.DATA_LSB = "27" *) + (* \MEM.PORTA.DATA_MSB = "35" *) + (* \MEM.PORTB.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTB.ADDRESS_END = "4095" *) + (* \MEM.PORTB.DATA_BIT_LAYOUT = "p1_d8" *) + (* \MEM.PORTB.DATA_LSB = "27" *) + (* \MEM.PORTB.DATA_MSB = "35" *) + (* METHODOLOGY_DRC_VIOS = "" *) + (* RTL_RAM_BITS = "217088" *) + (* RTL_RAM_NAME = "gen_wr_a.gen_word_narrow.mem" *) + (* RTL_RAM_TYPE = "RAM_SDP" *) + (* bram_addr_begin = "0" *) + (* bram_addr_end = "4095" *) + (* bram_slice_begin = "27" *) + (* bram_slice_end = "35" *) + (* ram_addr_begin = "0" *) + (* ram_addr_end = "4095" *) + (* ram_offset = "0" *) + (* ram_slice_begin = "27" *) + (* ram_slice_end = "35" *) + RAMB36E1 #( + .DOA_REG(0), + .DOB_REG(1), + .EN_ECC_READ("FALSE"), + .EN_ECC_WRITE("FALSE"), + .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_A(36'h000000000), + .INIT_B(36'h000000000), + .RAM_EXTENSION_A("NONE"), + .RAM_EXTENSION_B("NONE"), + .RAM_MODE("TDP"), + .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"), + .READ_WIDTH_A(9), + .READ_WIDTH_B(9), + .RSTREG_PRIORITY_A("RSTREG"), + .RSTREG_PRIORITY_B("RSTREG"), + .SIM_COLLISION_CHECK("ALL"), + .SIM_DEVICE("7SERIES"), + .SRVAL_A(36'h000000000), + .SRVAL_B(36'h000000000), + .WRITE_MODE_A("NO_CHANGE"), + .WRITE_MODE_B("NO_CHANGE"), + .WRITE_WIDTH_A(9), + .WRITE_WIDTH_B(9)) + \gen_wr_a.gen_word_narrow.mem_reg_3 + (.ADDRARDADDR({1'b1,addra,1'b0,1'b0,1'b0}), + .ADDRBWRADDR({1'b1,addrb,1'b0,1'b0,1'b0}), + .CASCADEINA(1'b1), + .CASCADEINB(1'b1), + .CASCADEOUTA(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_CASCADEOUTA_UNCONNECTED ), + .CASCADEOUTB(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_CASCADEOUTB_UNCONNECTED ), + .CLKARDCLK(clka), + .CLKBWRCLK(clka), + .DBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DBITERR_UNCONNECTED ), + .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina[34:27]}), + .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), + .DIPADIP({1'b0,1'b0,1'b0,dina[35]}), + .DIPBDIP({1'b0,1'b0,1'b0,1'b1}), + .DOADO(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOADO_UNCONNECTED [31:0]), + .DOBDO({\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOBDO_UNCONNECTED [31:8],doutb[34:27]}), + .DOPADOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOPADOP_UNCONNECTED [3:0]), + .DOPBDOP({\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOPBDOP_UNCONNECTED [3:1],doutb[35]}), + .ECCPARITY(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_ECCPARITY_UNCONNECTED [7:0]), + .ENARDEN(wea), + .ENBWREN(enb), + .INJECTDBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_INJECTDBITERR_UNCONNECTED ), + .INJECTSBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_INJECTSBITERR_UNCONNECTED ), + .RDADDRECC(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_RDADDRECC_UNCONNECTED [8:0]), + .REGCEAREGCE(1'b0), + .REGCEB(regceb), + .RSTRAMARSTRAM(1'b0), + .RSTRAMB(1'b0), + .RSTREGARSTREG(1'b0), + .RSTREGB(rstb), + .SBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_SBITERR_UNCONNECTED ), + .WEA({wea,wea,wea,1'b1}), + .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0})); + (* \MEM.PORTA.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTA.ADDRESS_END = "4095" *) + (* \MEM.PORTA.DATA_BIT_LAYOUT = "p1_d8" *) + (* \MEM.PORTA.DATA_LSB = "36" *) + (* \MEM.PORTA.DATA_MSB = "44" *) + (* \MEM.PORTB.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTB.ADDRESS_END = "4095" *) + (* \MEM.PORTB.DATA_BIT_LAYOUT = "p1_d8" *) + (* \MEM.PORTB.DATA_LSB = "36" *) + (* \MEM.PORTB.DATA_MSB = "44" *) + (* METHODOLOGY_DRC_VIOS = "{SYNTH-6 {cell *THIS*}}" *) + (* RTL_RAM_BITS = "217088" *) + (* RTL_RAM_NAME = "gen_wr_a.gen_word_narrow.mem" *) + (* RTL_RAM_TYPE = "RAM_SDP" *) + (* bram_addr_begin = "0" *) + (* bram_addr_end = "4095" *) + (* bram_slice_begin = "36" *) + (* bram_slice_end = "44" *) + (* ram_addr_begin = "0" *) + (* ram_addr_end = "4095" *) + (* ram_offset = "0" *) + (* ram_slice_begin = "36" *) + (* ram_slice_end = "44" *) + RAMB36E1 #( + .DOA_REG(0), + .DOB_REG(0), + .EN_ECC_READ("FALSE"), + .EN_ECC_WRITE("FALSE"), + .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_A(36'h000000000), + .INIT_B(36'h000000000), + .RAM_EXTENSION_A("NONE"), + .RAM_EXTENSION_B("NONE"), + .RAM_MODE("TDP"), + .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"), + .READ_WIDTH_A(9), + .READ_WIDTH_B(9), + .RSTREG_PRIORITY_A("RSTREG"), + .RSTREG_PRIORITY_B("RSTREG"), + .SIM_COLLISION_CHECK("ALL"), + .SIM_DEVICE("7SERIES"), + .SRVAL_A(36'h000000000), + .SRVAL_B(36'h000000000), + .WRITE_MODE_A("NO_CHANGE"), + .WRITE_MODE_B("NO_CHANGE"), + .WRITE_WIDTH_A(9), + .WRITE_WIDTH_B(9)) + \gen_wr_a.gen_word_narrow.mem_reg_4 + (.ADDRARDADDR({1'b1,addra,1'b0,1'b0,1'b0}), + .ADDRBWRADDR({1'b1,addrb,1'b0,1'b0,1'b0}), + .CASCADEINA(1'b1), + .CASCADEINB(1'b1), + .CASCADEOUTA(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_CASCADEOUTA_UNCONNECTED ), + .CASCADEOUTB(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_CASCADEOUTB_UNCONNECTED ), + .CLKARDCLK(clka), + .CLKBWRCLK(clka), + .DBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DBITERR_UNCONNECTED ), + .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina[43:36]}), + .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), + .DIPADIP({1'b0,1'b0,1'b0,dina[44]}), + .DIPBDIP({1'b0,1'b0,1'b0,1'b1}), + .DOADO(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOADO_UNCONNECTED [31:0]), + .DOBDO({\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOBDO_UNCONNECTED [31:8],\gen_wr_a.gen_word_narrow.mem_reg_4_n_60 ,\gen_wr_a.gen_word_narrow.mem_reg_4_n_61 ,\gen_wr_a.gen_word_narrow.mem_reg_4_n_62 ,\gen_wr_a.gen_word_narrow.mem_reg_4_n_63 ,\gen_wr_a.gen_word_narrow.mem_reg_4_n_64 ,\gen_wr_a.gen_word_narrow.mem_reg_4_n_65 ,\gen_wr_a.gen_word_narrow.mem_reg_4_n_66 ,\gen_wr_a.gen_word_narrow.mem_reg_4_n_67 }), + .DOPADOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOPADOP_UNCONNECTED [3:0]), + .DOPBDOP({\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOPBDOP_UNCONNECTED [3:1],\gen_wr_a.gen_word_narrow.mem_reg_4_n_75 }), + .ECCPARITY(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_ECCPARITY_UNCONNECTED [7:0]), + .ENARDEN(wea), + .ENBWREN(enb), + .INJECTDBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_INJECTDBITERR_UNCONNECTED ), + .INJECTSBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_INJECTSBITERR_UNCONNECTED ), + .RDADDRECC(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_RDADDRECC_UNCONNECTED [8:0]), + .REGCEAREGCE(1'b0), .REGCEB(1'b0), .RSTRAMARSTRAM(1'b0), .RSTRAMB(1'b0), - .RSTREGARSTREG(rstb), + .RSTREGARSTREG(1'b0), .RSTREGB(1'b0), - .SBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_SBITERR_UNCONNECTED ), - .WEA({1'b0,1'b0,1'b0,1'b0}), - .WEBWE({wea,wea,wea,wea,wea,wea,wea,wea})); + .SBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_SBITERR_UNCONNECTED ), + .WEA({wea,wea,wea,1'b1}), + .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0})); + (* \MEM.PORTA.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTA.ADDRESS_END = "4095" *) + (* \MEM.PORTA.DATA_BIT_LAYOUT = "p0_d8" *) + (* \MEM.PORTA.DATA_LSB = "45" *) + (* \MEM.PORTA.DATA_MSB = "52" *) + (* \MEM.PORTB.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTB.ADDRESS_END = "4095" *) + (* \MEM.PORTB.DATA_BIT_LAYOUT = "p0_d8" *) + (* \MEM.PORTB.DATA_LSB = "45" *) + (* \MEM.PORTB.DATA_MSB = "52" *) + (* METHODOLOGY_DRC_VIOS = "" *) + (* RTL_RAM_BITS = "217088" *) + (* RTL_RAM_NAME = "gen_wr_a.gen_word_narrow.mem" *) + (* RTL_RAM_TYPE = "RAM_SDP" *) + (* bram_addr_begin = "0" *) + (* bram_addr_end = "4095" *) + (* bram_slice_begin = "45" *) + (* bram_slice_end = "52" *) + (* ram_addr_begin = "0" *) + (* ram_addr_end = "4095" *) + (* ram_offset = "0" *) + (* ram_slice_begin = "45" *) + (* ram_slice_end = "52" *) + RAMB36E1 #( + .DOA_REG(0), + .DOB_REG(1), + .EN_ECC_READ("FALSE"), + .EN_ECC_WRITE("FALSE"), + .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_A(36'h000000000), + .INIT_B(36'h000000000), + .RAM_EXTENSION_A("NONE"), + .RAM_EXTENSION_B("NONE"), + .RAM_MODE("TDP"), + .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"), + .READ_WIDTH_A(9), + .READ_WIDTH_B(9), + .RSTREG_PRIORITY_A("RSTREG"), + .RSTREG_PRIORITY_B("RSTREG"), + .SIM_COLLISION_CHECK("ALL"), + .SIM_DEVICE("7SERIES"), + .SRVAL_A(36'h000000000), + .SRVAL_B(36'h000000000), + .WRITE_MODE_A("NO_CHANGE"), + .WRITE_MODE_B("NO_CHANGE"), + .WRITE_WIDTH_A(9), + .WRITE_WIDTH_B(9)) + \gen_wr_a.gen_word_narrow.mem_reg_5 + (.ADDRARDADDR({1'b1,addra,1'b0,1'b0,1'b0}), + .ADDRBWRADDR({1'b1,addrb,1'b0,1'b0,1'b0}), + .CASCADEINA(1'b1), + .CASCADEINB(1'b1), + .CASCADEOUTA(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_CASCADEOUTA_UNCONNECTED ), + .CASCADEOUTB(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_CASCADEOUTB_UNCONNECTED ), + .CLKARDCLK(clka), + .CLKBWRCLK(clka), + .DBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DBITERR_UNCONNECTED ), + .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina[52:45]}), + .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), + .DIPADIP({1'b0,1'b0,1'b0,1'b0}), + .DIPBDIP({1'b0,1'b0,1'b0,1'b0}), + .DOADO(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOADO_UNCONNECTED [31:0]), + .DOBDO({\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOBDO_UNCONNECTED [31:8],doutb[52:45]}), + .DOPADOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOPADOP_UNCONNECTED [3:0]), + .DOPBDOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOPBDOP_UNCONNECTED [3:0]), + .ECCPARITY(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_ECCPARITY_UNCONNECTED [7:0]), + .ENARDEN(wea), + .ENBWREN(enb), + .INJECTDBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_INJECTDBITERR_UNCONNECTED ), + .INJECTSBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_INJECTSBITERR_UNCONNECTED ), + .RDADDRECC(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_RDADDRECC_UNCONNECTED [8:0]), + .REGCEAREGCE(1'b0), + .REGCEB(regceb), + .RSTRAMARSTRAM(1'b0), + .RSTRAMB(1'b0), + .RSTREGARSTREG(1'b0), + .RSTREGB(rstb), + .SBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_SBITERR_UNCONNECTED ), + .WEA({wea,wea,wea,1'b1}), + .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0})); endmodule `ifndef GLBL `define GLBL diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.vhdl b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.vhdl index a179243..7ba2159 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.vhdl +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.vhdl @@ -1,10 +1,10 @@ -- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2020.1 (win64) Build 2902540 Wed May 27 19:54:49 MDT 2020 --- Date : Thu Jan 20 22:00:03 2022 +-- Date : Wed May 11 18:46:03 2022 -- Host : DESKTOP-J72MK93 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim --- c:/Users/Aleksa/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.vhdl +-- c:/Users/Aleksa/Documents/FPGA_Dev/Artix7_PCIe/dso_top_Rev2/dso_top_Rev2.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.vhdl -- Design : design_1_axi_fifo_mm_s_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. @@ -467,11 +467,10 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized0\ is port ( - \count_value_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - Q : in STD_LOGIC_VECTOR ( 8 downto 0 ); - \count_value_i_reg[4]_0\ : in STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 11 downto 0 ); + S : in STD_LOGIC_VECTOR ( 0 to 0 ); \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - E : in STD_LOGIC_VECTOR ( 0 to 0 ); + ram_wr_en_pf : in STD_LOGIC; wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; @@ -479,170 +478,94 @@ entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized0\ is end \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized0\; architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized0\ is - signal \count_value_i[0]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[1]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[2]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[3]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[4]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[5]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[6]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[7]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[8]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[8]_i_2__0_n_0\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[0]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[1]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[2]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[3]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[4]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[5]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[6]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[7]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[8]\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_2\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_3\ : STD_LOGIC; - signal \NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - signal \NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \count_value_i[0]_i_1__3\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \count_value_i[1]_i_1__1\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \count_value_i[2]_i_1__0\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \count_value_i[3]_i_1__0\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \count_value_i[5]_i_1__0\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \count_value_i[6]_i_1__0\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \count_value_i[7]_i_1__0\ : label is "soft_lutpair17"; - attribute SOFT_HLUTNM of \count_value_i[8]_i_1__2\ : label is "soft_lutpair17"; + signal \^q\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \count_value_i_reg[11]_i_1__3_n_1\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__3_n_2\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__3_n_3\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__3_n_4\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__3_n_5\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__3_n_6\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__3_n_7\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__3_n_0\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__3_n_1\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__3_n_2\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__3_n_3\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__3_n_4\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__3_n_5\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__3_n_6\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__3_n_7\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__3_n_0\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__3_n_1\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__3_n_2\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__3_n_3\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__3_n_4\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__3_n_5\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__3_n_6\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__3_n_7\ : STD_LOGIC; + signal \NLW_count_value_i_reg[11]_i_1__3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); + attribute ADDER_THRESHOLD : integer; + attribute ADDER_THRESHOLD of \count_value_i_reg[11]_i_1__3\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[3]_i_1__3\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[7]_i_1__3\ : label is 35; begin -\count_value_i[0]_i_1__3\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - O => \count_value_i[0]_i_1__3_n_0\ - ); -\count_value_i[1]_i_1__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \count_value_i_reg_n_0_[1]\, - I1 => \count_value_i_reg_n_0_[0]\, - O => \count_value_i[1]_i_1__1_n_0\ - ); -\count_value_i[2]_i_1__0\: unisim.vcomponents.LUT4 - generic map( - INIT => X"DF20" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => \count_value_i_reg[4]_0\, - I2 => \count_value_i_reg_n_0_[1]\, - I3 => \count_value_i_reg_n_0_[2]\, - O => \count_value_i[2]_i_1__0_n_0\ - ); -\count_value_i[3]_i_1__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"DF20FF00" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => \count_value_i_reg[4]_0\, - I2 => \count_value_i_reg_n_0_[1]\, - I3 => \count_value_i_reg_n_0_[3]\, - I4 => \count_value_i_reg_n_0_[2]\, - O => \count_value_i[3]_i_1__0_n_0\ - ); -\count_value_i[4]_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DF20FF00FF00FF00" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => \count_value_i_reg[4]_0\, - I2 => \count_value_i_reg_n_0_[1]\, - I3 => \count_value_i_reg_n_0_[4]\, - I4 => \count_value_i_reg_n_0_[2]\, - I5 => \count_value_i_reg_n_0_[3]\, - O => \count_value_i[4]_i_1__0_n_0\ - ); -\count_value_i[5]_i_1__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \count_value_i[8]_i_2__0_n_0\, - I1 => \count_value_i_reg_n_0_[5]\, - O => \count_value_i[5]_i_1__0_n_0\ - ); -\count_value_i[6]_i_1__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"9A" - ) - port map ( - I0 => \count_value_i_reg_n_0_[6]\, - I1 => \count_value_i[8]_i_2__0_n_0\, - I2 => \count_value_i_reg_n_0_[5]\, - O => \count_value_i[6]_i_1__0_n_0\ - ); -\count_value_i[7]_i_1__0\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A6AA" - ) - port map ( - I0 => \count_value_i_reg_n_0_[7]\, - I1 => \count_value_i_reg_n_0_[5]\, - I2 => \count_value_i[8]_i_2__0_n_0\, - I3 => \count_value_i_reg_n_0_[6]\, - O => \count_value_i[7]_i_1__0_n_0\ - ); -\count_value_i[8]_i_1__2\: unisim.vcomponents.LUT5 - generic map( - INIT => X"A6AAAAAA" - ) - port map ( - I0 => \count_value_i_reg_n_0_[8]\, - I1 => \count_value_i_reg_n_0_[6]\, - I2 => \count_value_i[8]_i_2__0_n_0\, - I3 => \count_value_i_reg_n_0_[5]\, - I4 => \count_value_i_reg_n_0_[7]\, - O => \count_value_i[8]_i_1__2_n_0\ - ); -\count_value_i[8]_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DFFFFFFFFFFFFFFF" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => \count_value_i_reg[4]_0\, - I2 => \count_value_i_reg_n_0_[1]\, - I3 => \count_value_i_reg_n_0_[3]\, - I4 => \count_value_i_reg_n_0_[2]\, - I5 => \count_value_i_reg_n_0_[4]\, - O => \count_value_i[8]_i_2__0_n_0\ - ); + Q(11 downto 0) <= \^q\(11 downto 0); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[0]_i_1__3_n_0\, - Q => \count_value_i_reg_n_0_[0]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1__3_n_7\, + Q => \^q\(0), R => \count_value_i_reg[0]_0\(0) ); +\count_value_i_reg[10]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1__3_n_5\, + Q => \^q\(10), + R => \count_value_i_reg[0]_0\(0) + ); +\count_value_i_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1__3_n_4\, + Q => \^q\(11), + R => \count_value_i_reg[0]_0\(0) + ); +\count_value_i_reg[11]_i_1__3\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[7]_i_1__3_n_0\, + CO(3) => \NLW_count_value_i_reg[11]_i_1__3_CO_UNCONNECTED\(3), + CO(2) => \count_value_i_reg[11]_i_1__3_n_1\, + CO(1) => \count_value_i_reg[11]_i_1__3_n_2\, + CO(0) => \count_value_i_reg[11]_i_1__3_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[11]_i_1__3_n_4\, + O(2) => \count_value_i_reg[11]_i_1__3_n_5\, + O(1) => \count_value_i_reg[11]_i_1__3_n_6\, + O(0) => \count_value_i_reg[11]_i_1__3_n_7\, + S(3 downto 0) => \^q\(11 downto 8) + ); \count_value_i_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[1]_i_1__1_n_0\, - Q => \count_value_i_reg_n_0_[1]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1__3_n_6\, + Q => \^q\(1), S => \count_value_i_reg[0]_0\(0) ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE @@ -651,9 +574,9 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[2]_i_1__0_n_0\, - Q => \count_value_i_reg_n_0_[2]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1__3_n_5\, + Q => \^q\(2), R => \count_value_i_reg[0]_0\(0) ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE @@ -662,20 +585,37 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[3]_i_1__0_n_0\, - Q => \count_value_i_reg_n_0_[3]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1__3_n_4\, + Q => \^q\(3), R => \count_value_i_reg[0]_0\(0) ); +\count_value_i_reg[3]_i_1__3\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => \count_value_i_reg[3]_i_1__3_n_0\, + CO(2) => \count_value_i_reg[3]_i_1__3_n_1\, + CO(1) => \count_value_i_reg[3]_i_1__3_n_2\, + CO(0) => \count_value_i_reg[3]_i_1__3_n_3\, + CYINIT => '0', + DI(3 downto 1) => B"000", + DI(0) => \^q\(0), + O(3) => \count_value_i_reg[3]_i_1__3_n_4\, + O(2) => \count_value_i_reg[3]_i_1__3_n_5\, + O(1) => \count_value_i_reg[3]_i_1__3_n_6\, + O(0) => \count_value_i_reg[3]_i_1__3_n_7\, + S(3 downto 1) => \^q\(3 downto 1), + S(0) => S(0) + ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[4]_i_1__0_n_0\, - Q => \count_value_i_reg_n_0_[4]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1__3_n_7\, + Q => \^q\(4), R => \count_value_i_reg[0]_0\(0) ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE @@ -684,9 +624,9 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[5]_i_1__0_n_0\, - Q => \count_value_i_reg_n_0_[5]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1__3_n_6\, + Q => \^q\(5), R => \count_value_i_reg[0]_0\(0) ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE @@ -695,9 +635,9 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[6]_i_1__0_n_0\, - Q => \count_value_i_reg_n_0_[6]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1__3_n_5\, + Q => \^q\(6), R => \count_value_i_reg[0]_0\(0) ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE @@ -706,75 +646,47 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[7]_i_1__0_n_0\, - Q => \count_value_i_reg_n_0_[7]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1__3_n_4\, + Q => \^q\(7), R => \count_value_i_reg[0]_0\(0) ); +\count_value_i_reg[7]_i_1__3\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[3]_i_1__3_n_0\, + CO(3) => \count_value_i_reg[7]_i_1__3_n_0\, + CO(2) => \count_value_i_reg[7]_i_1__3_n_1\, + CO(1) => \count_value_i_reg[7]_i_1__3_n_2\, + CO(0) => \count_value_i_reg[7]_i_1__3_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[7]_i_1__3_n_4\, + O(2) => \count_value_i_reg[7]_i_1__3_n_5\, + O(1) => \count_value_i_reg[7]_i_1__3_n_6\, + O(0) => \count_value_i_reg[7]_i_1__3_n_7\, + S(3 downto 0) => \^q\(7 downto 4) + ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[8]_i_1__2_n_0\, - Q => \count_value_i_reg_n_0_[8]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1__3_n_7\, + Q => \^q\(8), R => \count_value_i_reg[0]_0\(0) ); -\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4\: unisim.vcomponents.LUT6 +\count_value_i_reg[9]\: unisim.vcomponents.FDRE generic map( - INIT => X"9009000000009009" + INIT => '0' ) port map ( - I0 => \count_value_i_reg_n_0_[7]\, - I1 => Q(7), - I2 => Q(8), - I3 => \count_value_i_reg_n_0_[8]\, - I4 => Q(6), - I5 => \count_value_i_reg_n_0_[6]\, - O => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0\ - ); -\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9009000000009009" - ) - port map ( - I0 => \count_value_i_reg_n_0_[3]\, - I1 => Q(3), - I2 => Q(5), - I3 => \count_value_i_reg_n_0_[5]\, - I4 => Q(4), - I5 => \count_value_i_reg_n_0_[4]\, - O => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0\ - ); -\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9009000000009009" - ) - port map ( - I0 => \count_value_i_reg_n_0_[1]\, - I1 => Q(1), - I2 => Q(2), - I3 => \count_value_i_reg_n_0_[2]\, - I4 => Q(0), - I5 => \count_value_i_reg_n_0_[0]\, - O => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0\ - ); -\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_CO_UNCONNECTED\(3), - CO(2) => \count_value_i_reg[7]_0\(0), - CO(1) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_2\, - CO(0) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_3\, - CYINIT => '1', - DI(3 downto 0) => B"0000", - O(3 downto 0) => \NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_O_UNCONNECTED\(3 downto 0), - S(3) => '0', - S(2) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0\, - S(1) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0\, - S(0) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0\ + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1__3_n_6\, + Q => \^q\(9), + R => \count_value_i_reg[0]_0\(0) ); end STRUCTURE; library IEEE; @@ -786,9 +698,9 @@ entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized1\ is Q : out STD_LOGIC_VECTOR ( 0 to 0 ); S : out STD_LOGIC_VECTOR ( 1 downto 0 ); DI : out STD_LOGIC_VECTOR ( 0 to 0 ); - \count_value_i_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC; + \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + rd_en : in STD_LOGIC; \grdc.rd_data_count_i_reg[3]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \grdc.rd_data_count_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); SR : in STD_LOGIC_VECTOR ( 0 to 0 ); @@ -802,11 +714,11 @@ architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__paramete signal \^di\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal count_value_i : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \count_value_i[0]_i_1__0_n_0\ : STD_LOGIC; + signal \count_value_i[0]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_3_n_0\ : STD_LOGIC; signal \gen_fwft.count_en\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \count_value_i[0]_i_1__0\ : label is "soft_lutpair0"; + attribute SOFT_HLUTNM of \count_value_i[0]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \count_value_i[1]_i_3\ : label is "soft_lutpair0"; attribute HLUTNM : string; attribute HLUTNM of \gwdc.wr_data_count_i[3]_i_4\ : label is "lutpair0"; @@ -814,36 +726,40 @@ architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__paramete begin DI(0) <= \^di\(0); Q(0) <= \^q\(0); -\count_value_i[0]_i_1__0\: unisim.vcomponents.LUT1 +\count_value_i[0]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"1" + INIT => X"5AAAA655" ) port map ( I0 => count_value_i(0), - O => \count_value_i[0]_i_1__0_n_0\ + I1 => \count_value_i_reg[0]_0\(0), + I2 => rd_en, + I3 => \count_value_i_reg[0]_0\(1), + I4 => ram_empty_i, + O => \count_value_i[0]_i_1_n_0\ ); \count_value_i[1]_i_2\: unisim.vcomponents.LUT4 generic map( - INIT => X"9585" + INIT => X"C02F" ) port map ( - I0 => ram_empty_i, + I0 => \count_value_i_reg[0]_0\(0), I1 => rd_en, - I2 => \count_value_i_reg[1]_0\(1), - I3 => \count_value_i_reg[1]_0\(0), + I2 => \count_value_i_reg[0]_0\(1), + I3 => ram_empty_i, O => \gen_fwft.count_en\ ); \count_value_i[1]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"AA956AAAAA996AAA" + INIT => X"A999A9A96AAA6AAA" ) port map ( I0 => \^q\(0), - I1 => \count_value_i_reg[1]_0\(1), - I2 => rd_en, - I3 => ram_empty_i, - I4 => count_value_i(0), - I5 => \count_value_i_reg[1]_0\(0), + I1 => ram_empty_i, + I2 => \count_value_i_reg[0]_0\(1), + I3 => rd_en, + I4 => \count_value_i_reg[0]_0\(0), + I5 => count_value_i(0), O => \count_value_i[1]_i_3_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE @@ -853,7 +769,7 @@ begin port map ( C => wr_clk, CE => \gen_fwft.count_en\, - D => \count_value_i[0]_i_1__0_n_0\, + D => \count_value_i[0]_i_1_n_0\, Q => count_value_i(0), R => SR(0) ); @@ -874,7 +790,7 @@ begin ) port map ( I0 => count_value_i(0), - I1 => \grdc.rd_data_count_i_reg[3]_0\(0), + I1 => \grdc.rd_data_count_i_reg[3]\(0), O => \^di\(0) ); \gwdc.wr_data_count_i[3]_i_7\: unisim.vcomponents.LUT4 @@ -884,8 +800,8 @@ begin port map ( I0 => \^di\(0), I1 => \grdc.rd_data_count_i_reg[3]\(1), - I2 => \grdc.rd_data_count_i_reg[3]_0\(1), - I3 => \^q\(0), + I2 => \^q\(0), + I3 => \grdc.rd_data_count_i_reg[3]_0\(1), O => S(1) ); \gwdc.wr_data_count_i[3]_i_8\: unisim.vcomponents.LUT3 @@ -894,8 +810,8 @@ begin ) port map ( I0 => count_value_i(0), - I1 => \grdc.rd_data_count_i_reg[3]_0\(0), - I2 => \grdc.rd_data_count_i_reg[3]\(0), + I1 => \grdc.rd_data_count_i_reg[3]\(0), + I2 => \grdc.rd_data_count_i_reg[3]_0\(0), O => S(0) ); end STRUCTURE; @@ -905,31 +821,34 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2\ is port ( - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg\ : out STD_LOGIC; - \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\ : out STD_LOGIC; \syncstages_ff_reg[3]\ : out STD_LOGIC; - Q : out STD_LOGIC_VECTOR ( 9 downto 0 ); - E : out STD_LOGIC_VECTOR ( 0 to 0 ); - D : out STD_LOGIC_VECTOR ( 8 downto 0 ); - \count_value_i_reg[8]_0\ : out STD_LOGIC_VECTOR ( 8 downto 0 ); + \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\ : out STD_LOGIC; + DI : out STD_LOGIC_VECTOR ( 0 to 0 ); + Q : out STD_LOGIC_VECTOR ( 11 downto 0 ); + S : out STD_LOGIC_VECTOR ( 0 to 0 ); + \count_value_i_reg[1]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \count_value_i_reg[11]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + CO : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg\ : out STD_LOGIC; + \count_value_i_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); + \count_value_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \count_value_i_reg[11]_1\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \count_value_i_reg[0]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \count_value_i_reg[1]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0\ : out STD_LOGIC; - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg\ : in STD_LOGIC; - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0\ : in STD_LOGIC; - CO : in STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1\ : in STD_LOGIC; + clr_full : in STD_LOGIC; rst : in STD_LOGIC; almost_full : in STD_LOGIC; - \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - ram_empty_i : in STD_LOGIC; + ram_wr_en_pf : in STD_LOGIC; + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \grdc.rd_data_count_i_reg[12]\ : in STD_LOGIC_VECTOR ( 12 downto 0 ); + \grdc.rd_data_count_i_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg\ : in STD_LOGIC; + \count_value_i_reg[0]_1\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; - S : in STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); - \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + ram_empty_i : in STD_LOGIC; + \count_value_i_reg[0]_2\ : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; @@ -937,222 +856,82 @@ entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2\ is end \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2\; architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2\ is - signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^co\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\ : STD_LOGIC; - signal \^q\ : STD_LOGIC_VECTOR ( 9 downto 0 ); - signal \count_value_i[0]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[1]_i_1__4_n_0\ : STD_LOGIC; - signal \count_value_i[2]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[3]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[4]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[5]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[6]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[7]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[8]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[9]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[9]_i_2_n_0\ : STD_LOGIC; + signal \^q\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \count_value_i[3]_i_2__0_n_0\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__0_n_0\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__0_n_1\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__0_n_2\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__0_n_3\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__0_n_4\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__0_n_5\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__0_n_6\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__0_n_7\ : STD_LOGIC; + signal \count_value_i_reg[12]_i_1__0_n_7\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__0_n_0\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__0_n_1\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__0_n_2\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__0_n_3\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__0_n_4\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__0_n_5\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__0_n_6\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__0_n_7\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__0_n_0\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__0_n_1\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__0_n_2\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__0_n_3\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__0_n_4\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__0_n_5\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__0_n_6\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__0_n_7\ : STD_LOGIC; + signal \count_value_i_reg_n_0_[12]\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_7_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_3\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_5_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6_n_0\ : STD_LOGIC; signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7_n_0\ : STD_LOGIC; signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8_n_0\ : STD_LOGIC; signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_n_2\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_n_3\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_7_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_8_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_6_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_7_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_8_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_9_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_1\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_2\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_3\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_1\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_2\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_3\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_7_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_1\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_2\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_3\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_1\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_2\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_3\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_3\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_3\ : STD_LOGIC; + signal going_afull1 : STD_LOGIC; signal going_full1 : STD_LOGIC; signal ram_afull_i0 : STD_LOGIC; - signal \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - signal \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - signal \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \count_value_i[0]_i_1\ : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of \count_value_i[1]_i_1__4\ : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of \count_value_i[2]_i_1__2\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \count_value_i[3]_i_1__2\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \count_value_i[5]_i_1__2\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \count_value_i[6]_i_1__2\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \count_value_i[7]_i_1__2\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \count_value_i[8]_i_1\ : label is "soft_lutpair1"; + signal \NLW_count_value_i_reg[12]_i_1__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_count_value_i_reg[12]_i_1__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute ADDER_THRESHOLD : integer; - attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1\ : label is 35; - attribute METHODOLOGY_DRC_VIOS : string; - attribute METHODOLOGY_DRC_VIOS of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; - attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1\ : label is 35; - attribute METHODOLOGY_DRC_VIOS of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; - attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1\ : label is 35; - attribute METHODOLOGY_DRC_VIOS of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; - attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1\ : label is 35; - attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1\ : label is 35; - attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[11]_i_1__0\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[12]_i_1__0\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[3]_i_1__0\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[7]_i_1__0\ : label is 35; begin - E(0) <= \^e\(0); + CO(0) <= \^co\(0); \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\ <= \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\; - Q(9 downto 0) <= \^q\(9 downto 0); -\count_value_i[0]_i_1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \^q\(0), - O => \count_value_i[0]_i_1_n_0\ - ); -\count_value_i[1]_i_1__4\: unisim.vcomponents.LUT2 + Q(11 downto 0) <= \^q\(11 downto 0); +\count_value_i[3]_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( - I0 => \^q\(1), + I0 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, I1 => \^q\(0), - O => \count_value_i[1]_i_1__4_n_0\ - ); -\count_value_i[2]_i_1__2\: unisim.vcomponents.LUT4 - generic map( - INIT => X"DF20" - ) - port map ( - I0 => \^q\(0), - I1 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - I2 => \^q\(1), - I3 => \^q\(2), - O => \count_value_i[2]_i_1__2_n_0\ - ); -\count_value_i[3]_i_1__2\: unisim.vcomponents.LUT5 - generic map( - INIT => X"DF20FF00" - ) - port map ( - I0 => \^q\(0), - I1 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - I2 => \^q\(1), - I3 => \^q\(3), - I4 => \^q\(2), - O => \count_value_i[3]_i_1__2_n_0\ - ); -\count_value_i[4]_i_1__2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DF20FF00FF00FF00" - ) - port map ( - I0 => \^q\(0), - I1 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - I2 => \^q\(1), - I3 => \^q\(4), - I4 => \^q\(3), - I5 => \^q\(2), - O => \count_value_i[4]_i_1__2_n_0\ - ); -\count_value_i[5]_i_1__2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(5), - I1 => \count_value_i[9]_i_2_n_0\, - O => \count_value_i[5]_i_1__2_n_0\ - ); -\count_value_i[6]_i_1__2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"A6" - ) - port map ( - I0 => \^q\(6), - I1 => \^q\(5), - I2 => \count_value_i[9]_i_2_n_0\, - O => \count_value_i[6]_i_1__2_n_0\ - ); -\count_value_i[7]_i_1__2\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A6AA" - ) - port map ( - I0 => \^q\(7), - I1 => \^q\(6), - I2 => \count_value_i[9]_i_2_n_0\, - I3 => \^q\(5), - O => \count_value_i[7]_i_1__2_n_0\ - ); -\count_value_i[8]_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"A6AAAAAA" - ) - port map ( - I0 => \^q\(8), - I1 => \^q\(5), - I2 => \count_value_i[9]_i_2_n_0\, - I3 => \^q\(6), - I4 => \^q\(7), - O => \count_value_i[8]_i_1_n_0\ - ); -\count_value_i[9]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"AAAA6AAAAAAAAAAA" - ) - port map ( - I0 => \^q\(9), - I1 => \^q\(8), - I2 => \^q\(7), - I3 => \^q\(6), - I4 => \count_value_i[9]_i_2_n_0\, - I5 => \^q\(5), - O => \count_value_i[9]_i_1_n_0\ - ); -\count_value_i[9]_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DFFFFFFFFFFFFFFF" - ) - port map ( - I0 => \^q\(0), - I1 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - I2 => \^q\(1), - I3 => \^q\(3), - I4 => \^q\(2), - I5 => \^q\(4), - O => \count_value_i[9]_i_2_n_0\ + O => \count_value_i[3]_i_2__0_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( @@ -1160,10 +939,69 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[0]_i_1_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[3]_i_1__0_n_7\, Q => \^q\(0), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) + ); +\count_value_i_reg[10]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[11]_i_1__0_n_5\, + Q => \^q\(10), + R => \count_value_i_reg[0]_2\(0) + ); +\count_value_i_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[11]_i_1__0_n_4\, + Q => \^q\(11), + R => \count_value_i_reg[0]_2\(0) + ); +\count_value_i_reg[11]_i_1__0\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[7]_i_1__0_n_0\, + CO(3) => \count_value_i_reg[11]_i_1__0_n_0\, + CO(2) => \count_value_i_reg[11]_i_1__0_n_1\, + CO(1) => \count_value_i_reg[11]_i_1__0_n_2\, + CO(0) => \count_value_i_reg[11]_i_1__0_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[11]_i_1__0_n_4\, + O(2) => \count_value_i_reg[11]_i_1__0_n_5\, + O(1) => \count_value_i_reg[11]_i_1__0_n_6\, + O(0) => \count_value_i_reg[11]_i_1__0_n_7\, + S(3 downto 0) => \^q\(11 downto 8) + ); +\count_value_i_reg[12]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[12]_i_1__0_n_7\, + Q => \count_value_i_reg_n_0_[12]\, + R => \count_value_i_reg[0]_2\(0) + ); +\count_value_i_reg[12]_i_1__0\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[11]_i_1__0_n_0\, + CO(3 downto 0) => \NLW_count_value_i_reg[12]_i_1__0_CO_UNCONNECTED\(3 downto 0), + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3 downto 1) => \NLW_count_value_i_reg[12]_i_1__0_O_UNCONNECTED\(3 downto 1), + O(0) => \count_value_i_reg[12]_i_1__0_n_7\, + S(3 downto 1) => B"000", + S(0) => \count_value_i_reg_n_0_[12]\ ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( @@ -1171,10 +1009,10 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[1]_i_1__4_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[3]_i_1__0_n_6\, Q => \^q\(1), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( @@ -1182,10 +1020,10 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[2]_i_1__2_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[3]_i_1__0_n_5\, Q => \^q\(2), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( @@ -1193,10 +1031,27 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[3]_i_1__2_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[3]_i_1__0_n_4\, Q => \^q\(3), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) + ); +\count_value_i_reg[3]_i_1__0\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => \count_value_i_reg[3]_i_1__0_n_0\, + CO(2) => \count_value_i_reg[3]_i_1__0_n_1\, + CO(1) => \count_value_i_reg[3]_i_1__0_n_2\, + CO(0) => \count_value_i_reg[3]_i_1__0_n_3\, + CYINIT => '0', + DI(3 downto 1) => B"000", + DI(0) => \^q\(0), + O(3) => \count_value_i_reg[3]_i_1__0_n_4\, + O(2) => \count_value_i_reg[3]_i_1__0_n_5\, + O(1) => \count_value_i_reg[3]_i_1__0_n_6\, + O(0) => \count_value_i_reg[3]_i_1__0_n_7\, + S(3 downto 1) => \^q\(3 downto 1), + S(0) => \count_value_i[3]_i_2__0_n_0\ ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( @@ -1204,10 +1059,10 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[4]_i_1__2_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[7]_i_1__0_n_7\, Q => \^q\(4), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( @@ -1215,10 +1070,10 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[5]_i_1__2_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[7]_i_1__0_n_6\, Q => \^q\(5), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( @@ -1226,10 +1081,10 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[6]_i_1__2_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[7]_i_1__0_n_5\, Q => \^q\(6), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( @@ -1237,10 +1092,25 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[7]_i_1__2_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[7]_i_1__0_n_4\, Q => \^q\(7), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) + ); +\count_value_i_reg[7]_i_1__0\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[3]_i_1__0_n_0\, + CO(3) => \count_value_i_reg[7]_i_1__0_n_0\, + CO(2) => \count_value_i_reg[7]_i_1__0_n_1\, + CO(1) => \count_value_i_reg[7]_i_1__0_n_2\, + CO(0) => \count_value_i_reg[7]_i_1__0_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[7]_i_1__0_n_4\, + O(2) => \count_value_i_reg[7]_i_1__0_n_5\, + O(1) => \count_value_i_reg[7]_i_1__0_n_6\, + O(0) => \count_value_i_reg[7]_i_1__0_n_7\, + S(3 downto 0) => \^q\(7 downto 4) ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( @@ -1248,10 +1118,10 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[8]_i_1_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[11]_i_1__0_n_7\, Q => \^q\(8), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) ); \count_value_i_reg[9]\: unisim.vcomponents.FDRE generic map( @@ -1259,82 +1129,203 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[9]_i_1_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[11]_i_1__0_n_6\, Q => \^q\(9), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) ); \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"3202" + INIT => X"F202" ) port map ( I0 => ram_afull_i0, - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1\, + I1 => clr_full, I2 => rst, I3 => almost_full, O => \syncstages_ff_reg[3]\ ); \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_2\: unisim.vcomponents.LUT5 generic map( - INIT => X"C4FCC4CC" + INIT => X"F3FF00A0" ) port map ( - I0 => going_full1, - I1 => almost_full, - I2 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg\, - I4 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg\(0), + I0 => going_afull1, + I1 => going_full1, + I2 => ram_wr_en_pf, + I3 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + I4 => almost_full, O => ram_afull_i0 ); +\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \^q\(9), + I1 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(9), + I2 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(11), + I3 => \^q\(11), + I4 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(10), + I5 => \^q\(10), + O => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0\ + ); +\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \^q\(6), + I1 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(6), + I2 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(8), + I3 => \^q\(8), + I4 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(7), + I5 => \^q\(7), + O => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0\ + ); +\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \^q\(3), + I1 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(3), + I2 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(5), + I3 => \^q\(5), + I4 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(4), + I5 => \^q\(4), + O => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0\ + ); +\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_7\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \^q\(0), + I1 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(0), + I2 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(2), + I3 => \^q\(2), + I4 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(1), + I5 => \^q\(1), + O => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_7_n_0\ + ); +\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => going_afull1, + CO(2) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_1\, + CO(1) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_2\, + CO(0) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_3\, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => \NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_O_UNCONNECTED\(3 downto 0), + S(3) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0\, + S(2) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0\, + S(1) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0\, + S(0) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_7_n_0\ + ); \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000000F020FF20" + INIT => X"0545044404440444" ) port map ( - I0 => going_full1, + I0 => clr_full, I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg\, I2 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0\, - I4 => CO(0), - I5 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1\, + I3 => \^co\(0), + I4 => going_full1, + I5 => ram_wr_en_pf, O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0\ ); \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFFFFFFF0FDF00DF" + INIT => X"FABAFBBBFBBBFBBB" ) port map ( - I0 => going_full1, + I0 => clr_full, I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg\, I2 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0\, - I4 => CO(0), - I5 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1\, + I3 => \^co\(0), + I4 => going_full1, + I5 => ram_wr_en_pf, O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg\ ); -\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_4\: unisim.vcomponents.LUT4 +\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10\: unisim.vcomponents.LUT6 generic map( - INIT => X"F0F4" + INIT => X"9009000000009009" ) port map ( - I0 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2\(0), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2\(1), - I2 => ram_empty_i, - I3 => rd_en, - O => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\ + I0 => \^q\(6), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(6), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(8), + I3 => \^q\(8), + I4 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(7), + I5 => \^q\(7), + O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0\ + ); +\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \^q\(3), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(3), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(5), + I3 => \^q\(5), + I4 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(4), + I5 => \^q\(4), + O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0\ + ); +\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \^q\(0), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(0), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(2), + I3 => \^q\(2), + I4 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(1), + I5 => \^q\(1), + O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0\ + ); +\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_5\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \^q\(9), + I1 => \grdc.rd_data_count_i_reg[12]\(9), + I2 => \grdc.rd_data_count_i_reg[12]\(11), + I3 => \^q\(11), + I4 => \grdc.rd_data_count_i_reg[12]\(10), + I5 => \^q\(10), + O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_5_n_0\ + ); +\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \^q\(6), + I1 => \grdc.rd_data_count_i_reg[12]\(6), + I2 => \grdc.rd_data_count_i_reg[12]\(8), + I3 => \^q\(8), + I4 => \grdc.rd_data_count_i_reg[12]\(7), + I5 => \^q\(7), + O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6_n_0\ ); \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( - I0 => \^q\(6), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(6), - I2 => \^q\(7), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(7), - I4 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(8), - I5 => \^q\(8), + I0 => \^q\(3), + I1 => \grdc.rd_data_count_i_reg[12]\(3), + I2 => \grdc.rd_data_count_i_reg[12]\(5), + I3 => \^q\(5), + I4 => \grdc.rd_data_count_i_reg[12]\(4), + I5 => \^q\(4), O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7_n_0\ ); \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8\: unisim.vcomponents.LUT6 @@ -1342,12 +1333,12 @@ begin INIT => X"9009000000009009" ) port map ( - I0 => \^q\(4), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(4), - I2 => \^q\(3), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(3), - I4 => \^q\(5), - I5 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(5), + I0 => \^q\(0), + I1 => \grdc.rd_data_count_i_reg[12]\(0), + I2 => \grdc.rd_data_count_i_reg[12]\(2), + I3 => \^q\(2), + I4 => \grdc.rd_data_count_i_reg[12]\(1), + I5 => \^q\(1), O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8_n_0\ ); \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9\: unisim.vcomponents.LUT6 @@ -1355,399 +1346,221 @@ begin INIT => X"9009000000009009" ) port map ( - I0 => \^q\(2), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(2), - I2 => \^q\(1), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(1), - I4 => \^q\(0), - I5 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(0), + I0 => \^q\(9), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(9), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(11), + I3 => \^q\(11), + I4 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(10), + I5 => \^q\(10), O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9_n_0\ ); -\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2\: unisim.vcomponents.CARRY4 +\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3\: unisim.vcomponents.CARRY4 port map ( CI => '0', - CO(3) => \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_CO_UNCONNECTED\(3), - CO(2) => going_full1, - CO(1) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_n_2\, - CO(0) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_n_3\, + CO(3) => \^co\(0), + CO(2) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_1\, + CO(1) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_2\, + CO(0) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_3\, CYINIT => '1', DI(3 downto 0) => B"0000", - O(3 downto 0) => \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_O_UNCONNECTED\(3 downto 0), - S(3) => '0', - S(2) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7_n_0\, - S(1) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8_n_0\, - S(0) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9_n_0\ + O(3 downto 0) => \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_O_UNCONNECTED\(3 downto 0), + S(3) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_5_n_0\, + S(2) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6_n_0\, + S(1) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7_n_0\, + S(0) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8_n_0\ ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2\: unisim.vcomponents.LUT1 +\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => going_full1, + CO(2) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_1\, + CO(1) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_2\, + CO(0) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_3\, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_O_UNCONNECTED\(3 downto 0), + S(3) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9_n_0\, + S(2) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0\, + S(1) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0\, + S(0) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[11]_i_2\: unisim.vcomponents.LUT2 generic map( - INIT => X"1" + INIT => X"9" + ) + port map ( + I0 => \^q\(11), + I1 => \grdc.rd_data_count_i_reg[12]\(11), + O => \count_value_i_reg[11]_1\(3) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[11]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => \^q\(10), + I1 => \grdc.rd_data_count_i_reg[12]\(10), + O => \count_value_i_reg[11]_1\(2) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[11]_i_4\: unisim.vcomponents.LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => \^q\(9), + I1 => \grdc.rd_data_count_i_reg[12]\(9), + O => \count_value_i_reg[11]_1\(1) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[11]_i_5\: unisim.vcomponents.LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => \^q\(8), + I1 => \grdc.rd_data_count_i_reg[12]\(8), + O => \count_value_i_reg[11]_1\(0) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"9" ) port map ( I0 => \^q\(3), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2_n_0\ + I1 => \grdc.rd_data_count_i_reg[12]\(3), + O => \count_value_i_reg[3]_0\(2) ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3\: unisim.vcomponents.LUT1 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4\: unisim.vcomponents.LUT2 generic map( - INIT => X"1" + INIT => X"9" ) port map ( I0 => \^q\(2), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3_n_0\ + I1 => \grdc.rd_data_count_i_reg[12]\(2), + O => \count_value_i_reg[3]_0\(1) ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4\: unisim.vcomponents.LUT1 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5\: unisim.vcomponents.LUT2 generic map( - INIT => X"1" + INIT => X"9" ) port map ( I0 => \^q\(1), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4_n_0\ + I1 => \grdc.rd_data_count_i_reg[12]\(1), + O => \count_value_i_reg[3]_0\(0) ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5\: unisim.vcomponents.LUT1 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2\: unisim.vcomponents.LUT2 generic map( - INIT => X"1" + INIT => X"9" + ) + port map ( + I0 => \^q\(7), + I1 => \grdc.rd_data_count_i_reg[12]\(7), + O => \count_value_i_reg[7]_0\(3) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => \^q\(6), + I1 => \grdc.rd_data_count_i_reg[12]\(6), + O => \count_value_i_reg[7]_0\(2) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4\: unisim.vcomponents.LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => \^q\(5), + I1 => \grdc.rd_data_count_i_reg[12]\(5), + O => \count_value_i_reg[7]_0\(1) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5\: unisim.vcomponents.LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => \^q\(4), + I1 => \grdc.rd_data_count_i_reg[12]\(4), + O => \count_value_i_reg[7]_0\(0) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_5\: unisim.vcomponents.LUT4 + generic map( + INIT => X"B44B" + ) + port map ( + I0 => \^q\(10), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(10), + I2 => \^q\(11), + I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(11), + O => S(0) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4\: unisim.vcomponents.LUT4 + generic map( + INIT => X"7510" ) port map ( I0 => \^q\(0), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(3), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(3), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_7\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(2), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(2), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_7_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_8\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(1), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(1), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_8_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \^q\(7), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \^q\(6), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \^q\(5), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \^q\(4), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_6\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(7), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(7), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_6_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_7\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(6), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(6), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_7_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_8\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(5), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(5), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_8_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_9\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(4), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(4), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_9_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0\, - CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_1\, - CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_2\, - CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_3\, - CYINIT => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(0), - DI(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2_n_0\, - DI(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3_n_0\, - DI(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4_n_0\, - DI(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5_n_0\, - O(3 downto 0) => \count_value_i_reg[8]_0\(3 downto 0), - S(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6_n_0\, - S(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_7_n_0\, - S(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_8_n_0\, - S(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\(0) - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0\, - CO(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0\, - CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_1\, - CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_2\, - CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_3\, - CYINIT => '0', - DI(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2_n_0\, - DI(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3_n_0\, - DI(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4_n_0\, - DI(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5_n_0\, - O(3 downto 0) => \count_value_i_reg[8]_0\(7 downto 4), - S(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_6_n_0\, - S(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_7_n_0\, - S(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_8_n_0\, - S(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_9_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0\, - CO(3 downto 0) => \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1_CO_UNCONNECTED\(3 downto 0), - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 1) => \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1_O_UNCONNECTED\(3 downto 1), - O(0) => \count_value_i_reg[8]_0\(8), - S(3 downto 1) => B"000", - S(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]\(0) - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(3), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(3), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(2), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(2), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(1), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(1), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(3), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(3), - I2 => \^q\(2), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(2), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(2), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(2), - I2 => \^q\(1), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(1), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0\ + I1 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + I2 => ram_wr_en_pf, + I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(0), + O => DI(0) ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_7\: unisim.vcomponents.LUT6 generic map( - INIT => X"9699999966669699" + INIT => X"8AEF751075108AEF" + ) + port map ( + I0 => \^q\(0), + I1 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + I2 => ram_wr_en_pf, + I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(0), + I4 => \^q\(1), + I5 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(1), + O => \count_value_i_reg[0]_0\(0) + ); +\gen_sdpram.xpm_memory_base_inst_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"00FB" + ) + port map ( + I0 => \count_value_i_reg[0]_1\(0), + I1 => \count_value_i_reg[0]_1\(1), + I2 => rd_en, + I3 => ram_empty_i, + O => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\ + ); +\gwdc.wr_data_count_i[12]_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"B44B" + ) + port map ( + I0 => \^q\(11), + I1 => \grdc.rd_data_count_i_reg[12]\(11), + I2 => \count_value_i_reg_n_0_[12]\, + I3 => \grdc.rd_data_count_i_reg[12]\(12), + O => \count_value_i_reg[11]_0\(0) + ); +\gwdc.wr_data_count_i[3]_i_3\: unisim.vcomponents.LUT3 + generic map( + INIT => X"D4" ) port map ( I0 => \^q\(1), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(1), - I2 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg\, - I3 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - I4 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(0), - I5 => \^q\(0), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_7_n_0\ + I1 => \grdc.rd_data_count_i_reg[3]\(0), + I2 => \grdc.rd_data_count_i_reg[12]\(1), + O => \count_value_i_reg[1]_0\(0) ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2\: unisim.vcomponents.LUT2 +\gwdc.wr_data_count_i[3]_i_6\: unisim.vcomponents.LUT5 generic map( - INIT => X"9" + INIT => X"2BD4D42B" ) port map ( - I0 => \^q\(7), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(7), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(6), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(6), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(5), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(5), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(4), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(4), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(7), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(7), - I2 => \^q\(6), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(6), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(6), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(6), - I2 => \^q\(5), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(5), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(5), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(5), - I2 => \^q\(4), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(4), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(4), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(4), - I2 => \^q\(3), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(3), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0\, - CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_1\, - CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_2\, - CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_3\, - CYINIT => '0', - DI(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0\, - DI(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0\, - DI(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4_n_0\, - DI(0) => '0', - O(3 downto 0) => D(3 downto 0), - S(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0\, - S(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0\, - S(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_7_n_0\, - S(0) => S(0) - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0\, - CO(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0\, - CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_1\, - CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_2\, - CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_3\, - CYINIT => '0', - DI(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0\, - DI(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0\, - DI(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0\, - DI(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0\, - O(3 downto 0) => D(7 downto 4), - S(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0\, - S(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0\, - S(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0\, - S(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0\, - CO(3 downto 0) => \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1_CO_UNCONNECTED\(3 downto 0), - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 1) => \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1_O_UNCONNECTED\(3 downto 1), - O(0) => D(8), - S(3 downto 1) => B"000", - S(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\(0) - ); -\gen_sdpram.xpm_memory_base_inst_i_2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - O => \^e\(0) + I0 => \^q\(1), + I1 => \grdc.rd_data_count_i_reg[3]\(0), + I2 => \grdc.rd_data_count_i_reg[12]\(1), + I3 => \^q\(2), + I4 => \grdc.rd_data_count_i_reg[12]\(2), + O => \count_value_i_reg[1]_1\(0) ); end STRUCTURE; library IEEE; @@ -1757,20 +1570,24 @@ use UNISIM.VCOMPONENTS.ALL; entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0\ is port ( ram_empty_i0 : out STD_LOGIC; - CO : out STD_LOGIC_VECTOR ( 0 to 0 ); - Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); - D : out STD_LOGIC_VECTOR ( 9 downto 0 ); - \count_value_i_reg[8]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - ram_empty_i : in STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 12 downto 0 ); + D : out STD_LOGIC_VECTOR ( 12 downto 0 ); + \count_value_i_reg[10]_0\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_pntr_flags_cc.ram_empty_i_reg\ : in STD_LOGIC; - \gen_pntr_flags_cc.ram_empty_i_reg_0\ : in STD_LOGIC; - \gen_pntr_flags_cc.ram_empty_i_reg_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \grdc.rd_data_count_i_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 ); - DI : in STD_LOGIC_VECTOR ( 0 to 0 ); - S : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \grdc.rd_data_count_i_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \count_value_i_reg[9]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - E : in STD_LOGIC_VECTOR ( 0 to 0 ); + CO : in STD_LOGIC_VECTOR ( 0 to 0 ); + ram_wr_en_pf : in STD_LOGIC; + ram_empty_i : in STD_LOGIC; + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + S : in STD_LOGIC_VECTOR ( 0 to 0 ); + DI : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \grdc.rd_data_count_i_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \grdc.rd_data_count_i_reg[12]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \grdc.rd_data_count_i_reg[11]\ : in STD_LOGIC_VECTOR ( 9 downto 0 ); + \count_value_i_reg[12]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; @@ -1778,29 +1595,61 @@ entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0\ is end \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0\; architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0\ is - signal \^co\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); - signal \count_value_i[0]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[1]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[2]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[3]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[4]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[5]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[6]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[7]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[8]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[9]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[9]_i_2__0_n_0\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[9]\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_n_2\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_n_3\ : STD_LOGIC; + signal \^q\ : STD_LOGIC_VECTOR ( 12 downto 0 ); + signal \count_value_i_reg[11]_i_1_n_0\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1_n_1\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1_n_2\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1_n_3\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1_n_4\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1_n_5\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1_n_6\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1_n_7\ : STD_LOGIC; + signal \count_value_i_reg[12]_i_1_n_7\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1_n_0\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1_n_1\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1_n_2\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1_n_3\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1_n_4\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1_n_5\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1_n_6\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1_n_7\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1_n_0\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1_n_1\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1_n_2\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1_n_3\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1_n_4\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1_n_5\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1_n_6\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1_n_7\ : STD_LOGIC; + signal \gen_pntr_flags_cc.ram_empty_i_i_3_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.ram_empty_i_i_4_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.ram_empty_i_i_5_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.ram_empty_i_i_6_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_3\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_3\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_3\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_3\ : STD_LOGIC; + signal going_empty1 : STD_LOGIC; + signal \gwdc.wr_data_count_i[11]_i_2_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i[11]_i_3_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i[11]_i_4_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i[11]_i_5_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i[11]_i_6_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i[11]_i_7_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i[11]_i_8_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i[11]_i_9_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[3]_i_2_n_0\ : STD_LOGIC; - signal \gwdc.wr_data_count_i[3]_i_3_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[3]_i_5_n_0\ : STD_LOGIC; - signal \gwdc.wr_data_count_i[3]_i_6_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_2_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_3_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_4_n_0\ : STD_LOGIC; @@ -1809,9 +1658,10 @@ architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__paramete signal \gwdc.wr_data_count_i[7]_i_7_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_8_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_9_n_0\ : STD_LOGIC; - signal \gwdc.wr_data_count_i[9]_i_2_n_0\ : STD_LOGIC; - signal \gwdc.wr_data_count_i[9]_i_3_n_0\ : STD_LOGIC; - signal \gwdc.wr_data_count_i[9]_i_4_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i_reg[11]_i_1_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i_reg[11]_i_1_n_1\ : STD_LOGIC; + signal \gwdc.wr_data_count_i_reg[11]_i_1_n_2\ : STD_LOGIC; + signal \gwdc.wr_data_count_i_reg[11]_i_1_n_3\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[3]_i_1_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[3]_i_1_n_1\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[3]_i_1_n_2\ : STD_LOGIC; @@ -1820,158 +1670,99 @@ architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__paramete signal \gwdc.wr_data_count_i_reg[7]_i_1_n_1\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_2\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_3\ : STD_LOGIC; - signal \gwdc.wr_data_count_i_reg[9]_i_1_n_3\ : STD_LOGIC; - signal \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - signal \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_gwdc.wr_data_count_i_reg[9]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - signal \NLW_gwdc.wr_data_count_i_reg[9]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \count_value_i[0]_i_1__1\ : label is "soft_lutpair12"; - attribute SOFT_HLUTNM of \count_value_i[1]_i_1__3\ : label is "soft_lutpair12"; - attribute SOFT_HLUTNM of \count_value_i[2]_i_1__3\ : label is "soft_lutpair10"; - attribute SOFT_HLUTNM of \count_value_i[3]_i_1__3\ : label is "soft_lutpair10"; - attribute SOFT_HLUTNM of \count_value_i[5]_i_1__3\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \count_value_i[6]_i_1__3\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \count_value_i[7]_i_1__3\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \count_value_i[8]_i_1__1\ : label is "soft_lutpair9"; + signal \NLW_count_value_i_reg[12]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_count_value_i_reg[12]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); + signal \NLW_gwdc.wr_data_count_i_reg[12]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gwdc.wr_data_count_i_reg[12]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); attribute ADDER_THRESHOLD : integer; + attribute ADDER_THRESHOLD of \count_value_i_reg[11]_i_1\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[12]_i_1\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[3]_i_1\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[7]_i_1\ : label is 35; + attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1\ : label is 35; + attribute METHODOLOGY_DRC_VIOS : string; + attribute METHODOLOGY_DRC_VIOS of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; + attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1\ : label is 35; + attribute METHODOLOGY_DRC_VIOS of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; + attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1\ : label is 35; + attribute METHODOLOGY_DRC_VIOS of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; + attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[11]_i_1\ : label is 35; + attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[12]_i_1\ : label is 35; attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[3]_i_1\ : label is 35; attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[7]_i_1\ : label is 35; - attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[9]_i_1\ : label is 35; begin - CO(0) <= \^co\(0); - Q(8 downto 0) <= \^q\(8 downto 0); -\count_value_i[0]_i_1__1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \^q\(0), - O => \count_value_i[0]_i_1__1_n_0\ - ); -\count_value_i[1]_i_1__3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \^q\(1), - I1 => \^q\(0), - O => \count_value_i[1]_i_1__3_n_0\ - ); -\count_value_i[2]_i_1__3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"DF20" - ) - port map ( - I0 => \^q\(0), - I1 => \gen_pntr_flags_cc.ram_empty_i_reg_0\, - I2 => \^q\(1), - I3 => \^q\(2), - O => \count_value_i[2]_i_1__3_n_0\ - ); -\count_value_i[3]_i_1__3\: unisim.vcomponents.LUT5 - generic map( - INIT => X"DF20FF00" - ) - port map ( - I0 => \^q\(0), - I1 => \gen_pntr_flags_cc.ram_empty_i_reg_0\, - I2 => \^q\(1), - I3 => \^q\(3), - I4 => \^q\(2), - O => \count_value_i[3]_i_1__3_n_0\ - ); -\count_value_i[4]_i_1__3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DF20FF00FF00FF00" - ) - port map ( - I0 => \^q\(0), - I1 => \gen_pntr_flags_cc.ram_empty_i_reg_0\, - I2 => \^q\(1), - I3 => \^q\(4), - I4 => \^q\(3), - I5 => \^q\(2), - O => \count_value_i[4]_i_1__3_n_0\ - ); -\count_value_i[5]_i_1__3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(5), - I1 => \count_value_i[9]_i_2__0_n_0\, - O => \count_value_i[5]_i_1__3_n_0\ - ); -\count_value_i[6]_i_1__3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"A6" - ) - port map ( - I0 => \^q\(6), - I1 => \^q\(5), - I2 => \count_value_i[9]_i_2__0_n_0\, - O => \count_value_i[6]_i_1__3_n_0\ - ); -\count_value_i[7]_i_1__3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A6AA" - ) - port map ( - I0 => \^q\(7), - I1 => \^q\(6), - I2 => \count_value_i[9]_i_2__0_n_0\, - I3 => \^q\(5), - O => \count_value_i[7]_i_1__3_n_0\ - ); -\count_value_i[8]_i_1__1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"A6AAAAAA" - ) - port map ( - I0 => \^q\(8), - I1 => \^q\(5), - I2 => \count_value_i[9]_i_2__0_n_0\, - I3 => \^q\(6), - I4 => \^q\(7), - O => \count_value_i[8]_i_1__1_n_0\ - ); -\count_value_i[9]_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"AA6AAAAAAAAAAAAA" - ) - port map ( - I0 => \count_value_i_reg_n_0_[9]\, - I1 => \^q\(7), - I2 => \^q\(6), - I3 => \count_value_i[9]_i_2__0_n_0\, - I4 => \^q\(5), - I5 => \^q\(8), - O => \count_value_i[9]_i_1__0_n_0\ - ); -\count_value_i[9]_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DFFFFFFFFFFFFFFF" - ) - port map ( - I0 => \^q\(0), - I1 => \gen_pntr_flags_cc.ram_empty_i_reg_0\, - I2 => \^q\(1), - I3 => \^q\(3), - I4 => \^q\(2), - I5 => \^q\(4), - O => \count_value_i[9]_i_2__0_n_0\ - ); + Q(12 downto 0) <= \^q\(12 downto 0); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[0]_i_1__1_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1_n_7\, Q => \^q\(0), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) + ); +\count_value_i_reg[10]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1_n_5\, + Q => \^q\(10), + R => \count_value_i_reg[12]_0\(0) + ); +\count_value_i_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1_n_4\, + Q => \^q\(11), + R => \count_value_i_reg[12]_0\(0) + ); +\count_value_i_reg[11]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[7]_i_1_n_0\, + CO(3) => \count_value_i_reg[11]_i_1_n_0\, + CO(2) => \count_value_i_reg[11]_i_1_n_1\, + CO(1) => \count_value_i_reg[11]_i_1_n_2\, + CO(0) => \count_value_i_reg[11]_i_1_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[11]_i_1_n_4\, + O(2) => \count_value_i_reg[11]_i_1_n_5\, + O(1) => \count_value_i_reg[11]_i_1_n_6\, + O(0) => \count_value_i_reg[11]_i_1_n_7\, + S(3 downto 0) => \^q\(11 downto 8) + ); +\count_value_i_reg[12]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[12]_i_1_n_7\, + Q => \^q\(12), + R => \count_value_i_reg[12]_0\(0) + ); +\count_value_i_reg[12]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[11]_i_1_n_0\, + CO(3 downto 0) => \NLW_count_value_i_reg[12]_i_1_CO_UNCONNECTED\(3 downto 0), + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3 downto 1) => \NLW_count_value_i_reg[12]_i_1_O_UNCONNECTED\(3 downto 1), + O(0) => \count_value_i_reg[12]_i_1_n_7\, + S(3 downto 1) => B"000", + S(0) => \^q\(12) ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( @@ -1979,10 +1770,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[1]_i_1__3_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1_n_6\, Q => \^q\(1), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( @@ -1990,10 +1781,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[2]_i_1__3_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1_n_5\, Q => \^q\(2), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( @@ -2001,10 +1792,27 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[3]_i_1__3_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1_n_4\, Q => \^q\(3), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) + ); +\count_value_i_reg[3]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => \count_value_i_reg[3]_i_1_n_0\, + CO(2) => \count_value_i_reg[3]_i_1_n_1\, + CO(1) => \count_value_i_reg[3]_i_1_n_2\, + CO(0) => \count_value_i_reg[3]_i_1_n_3\, + CYINIT => '0', + DI(3 downto 1) => B"000", + DI(0) => \^q\(0), + O(3) => \count_value_i_reg[3]_i_1_n_4\, + O(2) => \count_value_i_reg[3]_i_1_n_5\, + O(1) => \count_value_i_reg[3]_i_1_n_6\, + O(0) => \count_value_i_reg[3]_i_1_n_7\, + S(3 downto 1) => \^q\(3 downto 1), + S(0) => S(0) ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( @@ -2012,10 +1820,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[4]_i_1__3_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1_n_7\, Q => \^q\(4), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( @@ -2023,10 +1831,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[5]_i_1__3_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1_n_6\, Q => \^q\(5), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( @@ -2034,10 +1842,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[6]_i_1__3_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1_n_5\, Q => \^q\(6), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( @@ -2045,10 +1853,25 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[7]_i_1__3_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1_n_4\, Q => \^q\(7), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) + ); +\count_value_i_reg[7]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[3]_i_1_n_0\, + CO(3) => \count_value_i_reg[7]_i_1_n_0\, + CO(2) => \count_value_i_reg[7]_i_1_n_1\, + CO(1) => \count_value_i_reg[7]_i_1_n_2\, + CO(0) => \count_value_i_reg[7]_i_1_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[7]_i_1_n_4\, + O(2) => \count_value_i_reg[7]_i_1_n_5\, + O(1) => \count_value_i_reg[7]_i_1_n_6\, + O(0) => \count_value_i_reg[7]_i_1_n_7\, + S(3 downto 0) => \^q\(7 downto 4) ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( @@ -2056,10 +1879,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[8]_i_1__1_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1_n_7\, Q => \^q\(8), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) ); \count_value_i_reg[9]\: unisim.vcomponents.FDRE generic map( @@ -2067,237 +1890,336 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[9]_i_1__0_n_0\, - Q => \count_value_i_reg_n_0_[9]\, - R => \count_value_i_reg[9]_0\(0) - ); -\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9009000000009009" - ) - port map ( - I0 => \^q\(7), - I1 => \grdc.rd_data_count_i_reg[9]\(7), - I2 => \^q\(6), - I3 => \grdc.rd_data_count_i_reg[9]\(6), - I4 => \^q\(8), - I5 => \grdc.rd_data_count_i_reg[9]\(8), - O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0\ - ); -\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9009000000009009" - ) - port map ( - I0 => \^q\(5), - I1 => \grdc.rd_data_count_i_reg[9]\(5), - I2 => \^q\(3), - I3 => \grdc.rd_data_count_i_reg[9]\(3), - I4 => \^q\(4), - I5 => \grdc.rd_data_count_i_reg[9]\(4), - O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0\ - ); -\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9009000000009009" - ) - port map ( - I0 => \^q\(2), - I1 => \grdc.rd_data_count_i_reg[9]\(2), - I2 => \grdc.rd_data_count_i_reg[9]\(1), - I3 => \^q\(1), - I4 => \^q\(0), - I5 => \grdc.rd_data_count_i_reg[9]\(0), - O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0\ - ); -\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_CO_UNCONNECTED\(3), - CO(2) => \^co\(0), - CO(1) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_n_2\, - CO(0) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_n_3\, - CYINIT => '1', - DI(3 downto 0) => B"0000", - O(3 downto 0) => \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_O_UNCONNECTED\(3 downto 0), - S(3) => '0', - S(2) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0\, - S(1) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0\, - S(0) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0\ + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1_n_6\, + Q => \^q\(9), + R => \count_value_i_reg[12]_0\(0) ); \gen_pntr_flags_cc.ram_empty_i_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"CF44CC44" + INIT => X"0FFF0088" ) port map ( - I0 => \^co\(0), - I1 => ram_empty_i, - I2 => \gen_pntr_flags_cc.ram_empty_i_reg\, - I3 => \gen_pntr_flags_cc.ram_empty_i_reg_0\, - I4 => \gen_pntr_flags_cc.ram_empty_i_reg_1\(0), + I0 => \gen_pntr_flags_cc.ram_empty_i_reg\, + I1 => going_empty1, + I2 => CO(0), + I3 => ram_wr_en_pf, + I4 => ram_empty_i, O => ram_empty_i0 ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[8]_i_2\: unisim.vcomponents.LUT2 +\gen_pntr_flags_cc.ram_empty_i_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"9" + INIT => X"9009000000009009" ) port map ( - I0 => \^q\(8), - I1 => \grdc.rd_data_count_i_reg[9]\(8), - O => \count_value_i_reg[8]_0\(0) + I0 => \^q\(9), + I1 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(9), + I2 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(11), + I3 => \^q\(11), + I4 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(10), + I5 => \^q\(10), + O => \gen_pntr_flags_cc.ram_empty_i_i_3_n_0\ ); -\gwdc.wr_data_count_i[3]_i_2\: unisim.vcomponents.LUT2 +\gen_pntr_flags_cc.ram_empty_i_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(3), - I1 => \grdc.rd_data_count_i_reg[9]\(3), - O => \gwdc.wr_data_count_i[3]_i_2_n_0\ - ); -\gwdc.wr_data_count_i[3]_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(2), - I1 => \grdc.rd_data_count_i_reg[9]\(2), - O => \gwdc.wr_data_count_i[3]_i_3_n_0\ - ); -\gwdc.wr_data_count_i[3]_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(3), - I1 => \grdc.rd_data_count_i_reg[9]\(3), - I2 => \grdc.rd_data_count_i_reg[9]\(2), - I3 => \^q\(2), - O => \gwdc.wr_data_count_i[3]_i_5_n_0\ - ); -\gwdc.wr_data_count_i[3]_i_6\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69669969" - ) - port map ( - I0 => \^q\(2), - I1 => \grdc.rd_data_count_i_reg[9]\(2), - I2 => \^q\(1), - I3 => \grdc.rd_data_count_i_reg[9]\(1), - I4 => \grdc.rd_data_count_i_reg[3]\(0), - O => \gwdc.wr_data_count_i[3]_i_6_n_0\ - ); -\gwdc.wr_data_count_i[7]_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(7), - I1 => \grdc.rd_data_count_i_reg[9]\(7), - O => \gwdc.wr_data_count_i[7]_i_2_n_0\ - ); -\gwdc.wr_data_count_i[7]_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" + INIT => X"9009000000009009" ) port map ( I0 => \^q\(6), - I1 => \grdc.rd_data_count_i_reg[9]\(6), - O => \gwdc.wr_data_count_i[7]_i_3_n_0\ + I1 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(6), + I2 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(8), + I3 => \^q\(8), + I4 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(7), + I5 => \^q\(7), + O => \gen_pntr_flags_cc.ram_empty_i_i_4_n_0\ ); -\gwdc.wr_data_count_i[7]_i_4\: unisim.vcomponents.LUT2 +\gen_pntr_flags_cc.ram_empty_i_i_5\: unisim.vcomponents.LUT6 generic map( - INIT => X"9" + INIT => X"9009000000009009" ) port map ( - I0 => \^q\(5), - I1 => \grdc.rd_data_count_i_reg[9]\(5), - O => \gwdc.wr_data_count_i[7]_i_4_n_0\ - ); -\gwdc.wr_data_count_i[7]_i_5\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(4), - I1 => \grdc.rd_data_count_i_reg[9]\(4), - O => \gwdc.wr_data_count_i[7]_i_5_n_0\ - ); -\gwdc.wr_data_count_i[7]_i_6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(7), - I1 => \grdc.rd_data_count_i_reg[9]\(7), - I2 => \grdc.rd_data_count_i_reg[9]\(6), - I3 => \^q\(6), - O => \gwdc.wr_data_count_i[7]_i_6_n_0\ - ); -\gwdc.wr_data_count_i[7]_i_7\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(6), - I1 => \grdc.rd_data_count_i_reg[9]\(6), - I2 => \grdc.rd_data_count_i_reg[9]\(5), + I0 => \^q\(3), + I1 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(3), + I2 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(5), I3 => \^q\(5), - O => \gwdc.wr_data_count_i[7]_i_7_n_0\ + I4 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(4), + I5 => \^q\(4), + O => \gen_pntr_flags_cc.ram_empty_i_i_5_n_0\ ); -\gwdc.wr_data_count_i[7]_i_8\: unisim.vcomponents.LUT4 +\gen_pntr_flags_cc.ram_empty_i_i_6\: unisim.vcomponents.LUT6 generic map( - INIT => X"9699" + INIT => X"9009000000009009" ) port map ( - I0 => \^q\(5), - I1 => \grdc.rd_data_count_i_reg[9]\(5), - I2 => \grdc.rd_data_count_i_reg[9]\(4), - I3 => \^q\(4), - O => \gwdc.wr_data_count_i[7]_i_8_n_0\ + I0 => \^q\(0), + I1 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(0), + I2 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(2), + I3 => \^q\(2), + I4 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(1), + I5 => \^q\(1), + O => \gen_pntr_flags_cc.ram_empty_i_i_6_n_0\ ); -\gwdc.wr_data_count_i[7]_i_9\: unisim.vcomponents.LUT4 +\gen_pntr_flags_cc.ram_empty_i_reg_i_2\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => going_empty1, + CO(2) => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_1\, + CO(1) => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_2\, + CO(0) => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_3\, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => \NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_O_UNCONNECTED\(3 downto 0), + S(3) => \gen_pntr_flags_cc.ram_empty_i_i_3_n_0\, + S(2) => \gen_pntr_flags_cc.ram_empty_i_i_4_n_0\, + S(1) => \gen_pntr_flags_cc.ram_empty_i_i_5_n_0\, + S(0) => \gen_pntr_flags_cc.ram_empty_i_i_6_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0\, + CO(3) => \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_CO_UNCONNECTED\(3), + CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_1\, + CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_2\, + CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_3\, + CYINIT => '0', + DI(3) => '0', + DI(2 downto 0) => \^q\(10 downto 8), + O(3 downto 0) => \count_value_i_reg[10]_0\(11 downto 8), + S(3 downto 0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]\(3 downto 0) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0\, + CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_1\, + CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_2\, + CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_3\, + CYINIT => \^q\(0), + DI(3 downto 1) => \^q\(3 downto 1), + DI(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\(0), + O(3 downto 0) => \count_value_i_reg[10]_0\(3 downto 0), + S(3 downto 0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0\(3 downto 0) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0\, + CO(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0\, + CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_1\, + CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_2\, + CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_3\, + CYINIT => '0', + DI(3 downto 0) => \^q\(7 downto 4), + O(3 downto 0) => \count_value_i_reg[10]_0\(7 downto 4), + S(3 downto 0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(3 downto 0) + ); +\gwdc.wr_data_count_i[11]_i_2\: unisim.vcomponents.LUT2 generic map( - INIT => X"9699" + INIT => X"2" ) port map ( - I0 => \^q\(4), - I1 => \grdc.rd_data_count_i_reg[9]\(4), - I2 => \grdc.rd_data_count_i_reg[9]\(3), - I3 => \^q\(3), - O => \gwdc.wr_data_count_i[7]_i_9_n_0\ + I0 => \^q\(10), + I1 => \grdc.rd_data_count_i_reg[11]\(8), + O => \gwdc.wr_data_count_i[11]_i_2_n_0\ ); -\gwdc.wr_data_count_i[9]_i_2\: unisim.vcomponents.LUT2 +\gwdc.wr_data_count_i[11]_i_3\: unisim.vcomponents.LUT2 generic map( - INIT => X"9" + INIT => X"2" + ) + port map ( + I0 => \^q\(9), + I1 => \grdc.rd_data_count_i_reg[11]\(7), + O => \gwdc.wr_data_count_i[11]_i_3_n_0\ + ); +\gwdc.wr_data_count_i[11]_i_4\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" ) port map ( I0 => \^q\(8), - I1 => \grdc.rd_data_count_i_reg[9]\(8), - O => \gwdc.wr_data_count_i[9]_i_2_n_0\ + I1 => \grdc.rd_data_count_i_reg[11]\(6), + O => \gwdc.wr_data_count_i[11]_i_4_n_0\ ); -\gwdc.wr_data_count_i[9]_i_3\: unisim.vcomponents.LUT4 +\gwdc.wr_data_count_i[11]_i_5\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(7), + I1 => \grdc.rd_data_count_i_reg[11]\(5), + O => \gwdc.wr_data_count_i[11]_i_5_n_0\ + ); +\gwdc.wr_data_count_i[11]_i_6\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(10), + I1 => \grdc.rd_data_count_i_reg[11]\(8), + I2 => \grdc.rd_data_count_i_reg[11]\(9), + I3 => \^q\(11), + O => \gwdc.wr_data_count_i[11]_i_6_n_0\ + ); +\gwdc.wr_data_count_i[11]_i_7\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(9), + I1 => \grdc.rd_data_count_i_reg[11]\(7), + I2 => \grdc.rd_data_count_i_reg[11]\(8), + I3 => \^q\(10), + O => \gwdc.wr_data_count_i[11]_i_7_n_0\ + ); +\gwdc.wr_data_count_i[11]_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"D22D" ) port map ( I0 => \^q\(8), - I1 => \grdc.rd_data_count_i_reg[9]\(8), - I2 => \count_value_i_reg_n_0_[9]\, - I3 => \grdc.rd_data_count_i_reg[9]\(9), - O => \gwdc.wr_data_count_i[9]_i_3_n_0\ + I1 => \grdc.rd_data_count_i_reg[11]\(6), + I2 => \grdc.rd_data_count_i_reg[11]\(7), + I3 => \^q\(9), + O => \gwdc.wr_data_count_i[11]_i_8_n_0\ ); -\gwdc.wr_data_count_i[9]_i_4\: unisim.vcomponents.LUT4 +\gwdc.wr_data_count_i[11]_i_9\: unisim.vcomponents.LUT4 generic map( - INIT => X"9699" + INIT => X"D22D" ) port map ( - I0 => \^q\(8), - I1 => \grdc.rd_data_count_i_reg[9]\(8), - I2 => \grdc.rd_data_count_i_reg[9]\(7), + I0 => \^q\(7), + I1 => \grdc.rd_data_count_i_reg[11]\(5), + I2 => \grdc.rd_data_count_i_reg[11]\(6), + I3 => \^q\(8), + O => \gwdc.wr_data_count_i[11]_i_9_n_0\ + ); +\gwdc.wr_data_count_i[3]_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(2), + I1 => \grdc.rd_data_count_i_reg[11]\(0), + O => \gwdc.wr_data_count_i[3]_i_2_n_0\ + ); +\gwdc.wr_data_count_i[3]_i_5\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(2), + I1 => \grdc.rd_data_count_i_reg[11]\(0), + I2 => \grdc.rd_data_count_i_reg[11]\(1), + I3 => \^q\(3), + O => \gwdc.wr_data_count_i[3]_i_5_n_0\ + ); +\gwdc.wr_data_count_i[7]_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(6), + I1 => \grdc.rd_data_count_i_reg[11]\(4), + O => \gwdc.wr_data_count_i[7]_i_2_n_0\ + ); +\gwdc.wr_data_count_i[7]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(5), + I1 => \grdc.rd_data_count_i_reg[11]\(3), + O => \gwdc.wr_data_count_i[7]_i_3_n_0\ + ); +\gwdc.wr_data_count_i[7]_i_4\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(4), + I1 => \grdc.rd_data_count_i_reg[11]\(2), + O => \gwdc.wr_data_count_i[7]_i_4_n_0\ + ); +\gwdc.wr_data_count_i[7]_i_5\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(3), + I1 => \grdc.rd_data_count_i_reg[11]\(1), + O => \gwdc.wr_data_count_i[7]_i_5_n_0\ + ); +\gwdc.wr_data_count_i[7]_i_6\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(6), + I1 => \grdc.rd_data_count_i_reg[11]\(4), + I2 => \grdc.rd_data_count_i_reg[11]\(5), I3 => \^q\(7), - O => \gwdc.wr_data_count_i[9]_i_4_n_0\ + O => \gwdc.wr_data_count_i[7]_i_6_n_0\ + ); +\gwdc.wr_data_count_i[7]_i_7\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(5), + I1 => \grdc.rd_data_count_i_reg[11]\(3), + I2 => \grdc.rd_data_count_i_reg[11]\(4), + I3 => \^q\(6), + O => \gwdc.wr_data_count_i[7]_i_7_n_0\ + ); +\gwdc.wr_data_count_i[7]_i_8\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(4), + I1 => \grdc.rd_data_count_i_reg[11]\(2), + I2 => \grdc.rd_data_count_i_reg[11]\(3), + I3 => \^q\(5), + O => \gwdc.wr_data_count_i[7]_i_8_n_0\ + ); +\gwdc.wr_data_count_i[7]_i_9\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(3), + I1 => \grdc.rd_data_count_i_reg[11]\(1), + I2 => \grdc.rd_data_count_i_reg[11]\(2), + I3 => \^q\(4), + O => \gwdc.wr_data_count_i[7]_i_9_n_0\ + ); +\gwdc.wr_data_count_i_reg[11]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \gwdc.wr_data_count_i_reg[7]_i_1_n_0\, + CO(3) => \gwdc.wr_data_count_i_reg[11]_i_1_n_0\, + CO(2) => \gwdc.wr_data_count_i_reg[11]_i_1_n_1\, + CO(1) => \gwdc.wr_data_count_i_reg[11]_i_1_n_2\, + CO(0) => \gwdc.wr_data_count_i_reg[11]_i_1_n_3\, + CYINIT => '0', + DI(3) => \gwdc.wr_data_count_i[11]_i_2_n_0\, + DI(2) => \gwdc.wr_data_count_i[11]_i_3_n_0\, + DI(1) => \gwdc.wr_data_count_i[11]_i_4_n_0\, + DI(0) => \gwdc.wr_data_count_i[11]_i_5_n_0\, + O(3 downto 0) => D(11 downto 8), + S(3) => \gwdc.wr_data_count_i[11]_i_6_n_0\, + S(2) => \gwdc.wr_data_count_i[11]_i_7_n_0\, + S(1) => \gwdc.wr_data_count_i[11]_i_8_n_0\, + S(0) => \gwdc.wr_data_count_i[11]_i_9_n_0\ + ); +\gwdc.wr_data_count_i_reg[12]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \gwdc.wr_data_count_i_reg[11]_i_1_n_0\, + CO(3 downto 0) => \NLW_gwdc.wr_data_count_i_reg[12]_i_1_CO_UNCONNECTED\(3 downto 0), + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3 downto 1) => \NLW_gwdc.wr_data_count_i_reg[12]_i_1_O_UNCONNECTED\(3 downto 1), + O(0) => D(12), + S(3 downto 1) => B"000", + S(0) => \grdc.rd_data_count_i_reg[12]\(0) ); \gwdc.wr_data_count_i_reg[3]_i_1\: unisim.vcomponents.CARRY4 port map ( @@ -2308,13 +2230,11 @@ begin CO(0) => \gwdc.wr_data_count_i_reg[3]_i_1_n_3\, CYINIT => '0', DI(3) => \gwdc.wr_data_count_i[3]_i_2_n_0\, - DI(2) => \gwdc.wr_data_count_i[3]_i_3_n_0\, - DI(1) => DI(0), + DI(2 downto 1) => DI(1 downto 0), DI(0) => \^q\(0), O(3 downto 0) => D(3 downto 0), S(3) => \gwdc.wr_data_count_i[3]_i_5_n_0\, - S(2) => \gwdc.wr_data_count_i[3]_i_6_n_0\, - S(1 downto 0) => S(1 downto 0) + S(2 downto 0) => \grdc.rd_data_count_i_reg[3]\(2 downto 0) ); \gwdc.wr_data_count_i_reg[7]_i_1\: unisim.vcomponents.CARRY4 port map ( @@ -2334,20 +2254,6 @@ begin S(1) => \gwdc.wr_data_count_i[7]_i_8_n_0\, S(0) => \gwdc.wr_data_count_i[7]_i_9_n_0\ ); -\gwdc.wr_data_count_i_reg[9]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \gwdc.wr_data_count_i_reg[7]_i_1_n_0\, - CO(3 downto 1) => \NLW_gwdc.wr_data_count_i_reg[9]_i_1_CO_UNCONNECTED\(3 downto 1), - CO(0) => \gwdc.wr_data_count_i_reg[9]_i_1_n_3\, - CYINIT => '0', - DI(3 downto 1) => B"000", - DI(0) => \gwdc.wr_data_count_i[9]_i_2_n_0\, - O(3 downto 2) => \NLW_gwdc.wr_data_count_i_reg[9]_i_1_O_UNCONNECTED\(3 downto 2), - O(1 downto 0) => D(9 downto 8), - S(3 downto 2) => B"00", - S(1) => \gwdc.wr_data_count_i[9]_i_3_n_0\, - S(0) => \gwdc.wr_data_count_i[9]_i_4_n_0\ - ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; @@ -2355,11 +2261,9 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3\ is port ( - CO : out STD_LOGIC_VECTOR ( 0 to 0 ); - Q : in STD_LOGIC_VECTOR ( 8 downto 0 ); - \count_value_i_reg[4]_0\ : in STD_LOGIC; - \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - E : in STD_LOGIC_VECTOR ( 0 to 0 ); + Q : out STD_LOGIC_VECTOR ( 11 downto 0 ); + \count_value_i_reg[0]_0\ : in STD_LOGIC; + \count_value_i_reg[0]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; @@ -2367,149 +2271,46 @@ entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3\ is end \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3\; architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3\ is - signal \count_value_i[0]_i_1__4_n_0\ : STD_LOGIC; - signal \count_value_i[1]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[2]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[3]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[4]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[5]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[6]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[7]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[8]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[8]_i_2_n_0\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[0]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[1]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[2]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[3]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[4]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[5]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[6]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[7]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[8]\ : STD_LOGIC; - signal \gen_pntr_flags_cc.ram_empty_i_i_3_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.ram_empty_i_i_4_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.ram_empty_i_i_5_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_2\ : STD_LOGIC; - signal \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_3\ : STD_LOGIC; - signal \NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - signal \NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \count_value_i[0]_i_1__4\ : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \count_value_i[1]_i_1__2\ : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \count_value_i[2]_i_1\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \count_value_i[3]_i_1\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \count_value_i[5]_i_1\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \count_value_i[6]_i_1\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \count_value_i[7]_i_1\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \count_value_i[8]_i_1__0\ : label is "soft_lutpair5"; + signal \^q\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \count_value_i[3]_i_2__1_n_0\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__1_n_1\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__1_n_2\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__1_n_3\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__1_n_4\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__1_n_5\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__1_n_6\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__1_n_7\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__1_n_0\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__1_n_1\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__1_n_2\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__1_n_3\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__1_n_4\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__1_n_5\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__1_n_6\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__1_n_7\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__1_n_0\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__1_n_1\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__1_n_2\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__1_n_3\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__1_n_4\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__1_n_5\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__1_n_6\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__1_n_7\ : STD_LOGIC; + signal \NLW_count_value_i_reg[11]_i_1__1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); + attribute ADDER_THRESHOLD : integer; + attribute ADDER_THRESHOLD of \count_value_i_reg[11]_i_1__1\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[3]_i_1__1\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[7]_i_1__1\ : label is 35; begin -\count_value_i[0]_i_1__4\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - O => \count_value_i[0]_i_1__4_n_0\ - ); -\count_value_i[1]_i_1__2\: unisim.vcomponents.LUT2 + Q(11 downto 0) <= \^q\(11 downto 0); +\count_value_i[3]_i_2__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( - I0 => \count_value_i_reg_n_0_[1]\, - I1 => \count_value_i_reg_n_0_[0]\, - O => \count_value_i[1]_i_1__2_n_0\ - ); -\count_value_i[2]_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"DF20" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => \count_value_i_reg[4]_0\, - I2 => \count_value_i_reg_n_0_[1]\, - I3 => \count_value_i_reg_n_0_[2]\, - O => \count_value_i[2]_i_1_n_0\ - ); -\count_value_i[3]_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"DF20FF00" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => \count_value_i_reg[4]_0\, - I2 => \count_value_i_reg_n_0_[1]\, - I3 => \count_value_i_reg_n_0_[3]\, - I4 => \count_value_i_reg_n_0_[2]\, - O => \count_value_i[3]_i_1_n_0\ - ); -\count_value_i[4]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DFFFFFFF20000000" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => \count_value_i_reg[4]_0\, - I2 => \count_value_i_reg_n_0_[1]\, - I3 => \count_value_i_reg_n_0_[2]\, - I4 => \count_value_i_reg_n_0_[3]\, - I5 => \count_value_i_reg_n_0_[4]\, - O => \count_value_i[4]_i_1_n_0\ - ); -\count_value_i[5]_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \count_value_i_reg_n_0_[5]\, - I1 => \count_value_i[8]_i_2_n_0\, - O => \count_value_i[5]_i_1_n_0\ - ); -\count_value_i[6]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"6A" - ) - port map ( - I0 => \count_value_i_reg_n_0_[6]\, - I1 => \count_value_i[8]_i_2_n_0\, - I2 => \count_value_i_reg_n_0_[5]\, - O => \count_value_i[6]_i_1_n_0\ - ); -\count_value_i[7]_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"6AAA" - ) - port map ( - I0 => \count_value_i_reg_n_0_[7]\, - I1 => \count_value_i_reg_n_0_[5]\, - I2 => \count_value_i[8]_i_2_n_0\, - I3 => \count_value_i_reg_n_0_[6]\, - O => \count_value_i[7]_i_1_n_0\ - ); -\count_value_i[8]_i_1__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6AAAAAAA" - ) - port map ( - I0 => \count_value_i_reg_n_0_[8]\, - I1 => \count_value_i_reg_n_0_[6]\, - I2 => \count_value_i[8]_i_2_n_0\, - I3 => \count_value_i_reg_n_0_[5]\, - I4 => \count_value_i_reg_n_0_[7]\, - O => \count_value_i[8]_i_1__0_n_0\ - ); -\count_value_i[8]_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"2000000000000000" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => \count_value_i_reg[4]_0\, - I2 => \count_value_i_reg_n_0_[1]\, - I3 => \count_value_i_reg_n_0_[4]\, - I4 => \count_value_i_reg_n_0_[3]\, - I5 => \count_value_i_reg_n_0_[2]\, - O => \count_value_i[8]_i_2_n_0\ + I0 => \count_value_i_reg[0]_0\, + I1 => \^q\(0), + O => \count_value_i[3]_i_2__1_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDSE generic map( @@ -2517,10 +2318,47 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[0]_i_1__4_n_0\, - Q => \count_value_i_reg_n_0_[0]\, - S => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[3]_i_1__1_n_7\, + Q => \^q\(0), + S => \count_value_i_reg[0]_1\(0) + ); +\count_value_i_reg[10]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[11]_i_1__1_n_5\, + Q => \^q\(10), + R => \count_value_i_reg[0]_1\(0) + ); +\count_value_i_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[11]_i_1__1_n_4\, + Q => \^q\(11), + R => \count_value_i_reg[0]_1\(0) + ); +\count_value_i_reg[11]_i_1__1\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[7]_i_1__1_n_0\, + CO(3) => \NLW_count_value_i_reg[11]_i_1__1_CO_UNCONNECTED\(3), + CO(2) => \count_value_i_reg[11]_i_1__1_n_1\, + CO(1) => \count_value_i_reg[11]_i_1__1_n_2\, + CO(0) => \count_value_i_reg[11]_i_1__1_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[11]_i_1__1_n_4\, + O(2) => \count_value_i_reg[11]_i_1__1_n_5\, + O(1) => \count_value_i_reg[11]_i_1__1_n_6\, + O(0) => \count_value_i_reg[11]_i_1__1_n_7\, + S(3 downto 0) => \^q\(11 downto 8) ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( @@ -2528,10 +2366,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[1]_i_1__2_n_0\, - Q => \count_value_i_reg_n_0_[1]\, - R => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[3]_i_1__1_n_6\, + Q => \^q\(1), + R => \count_value_i_reg[0]_1\(0) ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( @@ -2539,10 +2377,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[2]_i_1_n_0\, - Q => \count_value_i_reg_n_0_[2]\, - R => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[3]_i_1__1_n_5\, + Q => \^q\(2), + R => \count_value_i_reg[0]_1\(0) ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( @@ -2550,10 +2388,27 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[3]_i_1_n_0\, - Q => \count_value_i_reg_n_0_[3]\, - R => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[3]_i_1__1_n_4\, + Q => \^q\(3), + R => \count_value_i_reg[0]_1\(0) + ); +\count_value_i_reg[3]_i_1__1\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => \count_value_i_reg[3]_i_1__1_n_0\, + CO(2) => \count_value_i_reg[3]_i_1__1_n_1\, + CO(1) => \count_value_i_reg[3]_i_1__1_n_2\, + CO(0) => \count_value_i_reg[3]_i_1__1_n_3\, + CYINIT => '0', + DI(3 downto 1) => B"000", + DI(0) => \^q\(0), + O(3) => \count_value_i_reg[3]_i_1__1_n_4\, + O(2) => \count_value_i_reg[3]_i_1__1_n_5\, + O(1) => \count_value_i_reg[3]_i_1__1_n_6\, + O(0) => \count_value_i_reg[3]_i_1__1_n_7\, + S(3 downto 1) => \^q\(3 downto 1), + S(0) => \count_value_i[3]_i_2__1_n_0\ ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( @@ -2561,10 +2416,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[4]_i_1_n_0\, - Q => \count_value_i_reg_n_0_[4]\, - R => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[7]_i_1__1_n_7\, + Q => \^q\(4), + R => \count_value_i_reg[0]_1\(0) ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( @@ -2572,10 +2427,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[5]_i_1_n_0\, - Q => \count_value_i_reg_n_0_[5]\, - R => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[7]_i_1__1_n_6\, + Q => \^q\(5), + R => \count_value_i_reg[0]_1\(0) ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( @@ -2583,10 +2438,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[6]_i_1_n_0\, - Q => \count_value_i_reg_n_0_[6]\, - R => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[7]_i_1__1_n_5\, + Q => \^q\(6), + R => \count_value_i_reg[0]_1\(0) ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( @@ -2594,10 +2449,25 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[7]_i_1_n_0\, - Q => \count_value_i_reg_n_0_[7]\, - R => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[7]_i_1__1_n_4\, + Q => \^q\(7), + R => \count_value_i_reg[0]_1\(0) + ); +\count_value_i_reg[7]_i_1__1\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[3]_i_1__1_n_0\, + CO(3) => \count_value_i_reg[7]_i_1__1_n_0\, + CO(2) => \count_value_i_reg[7]_i_1__1_n_1\, + CO(1) => \count_value_i_reg[7]_i_1__1_n_2\, + CO(0) => \count_value_i_reg[7]_i_1__1_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[7]_i_1__1_n_4\, + O(2) => \count_value_i_reg[7]_i_1__1_n_5\, + O(1) => \count_value_i_reg[7]_i_1__1_n_6\, + O(0) => \count_value_i_reg[7]_i_1__1_n_7\, + S(3 downto 0) => \^q\(7 downto 4) ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( @@ -2605,64 +2475,21 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[8]_i_1__0_n_0\, - Q => \count_value_i_reg_n_0_[8]\, - R => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[11]_i_1__1_n_7\, + Q => \^q\(8), + R => \count_value_i_reg[0]_1\(0) ); -\gen_pntr_flags_cc.ram_empty_i_i_3\: unisim.vcomponents.LUT6 +\count_value_i_reg[9]\: unisim.vcomponents.FDRE generic map( - INIT => X"9009000000009009" + INIT => '0' ) port map ( - I0 => \count_value_i_reg_n_0_[7]\, - I1 => Q(7), - I2 => Q(8), - I3 => \count_value_i_reg_n_0_[8]\, - I4 => Q(6), - I5 => \count_value_i_reg_n_0_[6]\, - O => \gen_pntr_flags_cc.ram_empty_i_i_3_n_0\ - ); -\gen_pntr_flags_cc.ram_empty_i_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9009000000009009" - ) - port map ( - I0 => \count_value_i_reg_n_0_[3]\, - I1 => Q(3), - I2 => Q(5), - I3 => \count_value_i_reg_n_0_[5]\, - I4 => Q(4), - I5 => \count_value_i_reg_n_0_[4]\, - O => \gen_pntr_flags_cc.ram_empty_i_i_4_n_0\ - ); -\gen_pntr_flags_cc.ram_empty_i_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9009000000009009" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => Q(0), - I2 => Q(2), - I3 => \count_value_i_reg_n_0_[2]\, - I4 => Q(1), - I5 => \count_value_i_reg_n_0_[1]\, - O => \gen_pntr_flags_cc.ram_empty_i_i_5_n_0\ - ); -\gen_pntr_flags_cc.ram_empty_i_reg_i_2\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_CO_UNCONNECTED\(3), - CO(2) => CO(0), - CO(1) => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_2\, - CO(0) => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_3\, - CYINIT => '1', - DI(3 downto 0) => B"0000", - O(3 downto 0) => \NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_O_UNCONNECTED\(3 downto 0), - S(3) => '0', - S(2) => \gen_pntr_flags_cc.ram_empty_i_i_3_n_0\, - S(1) => \gen_pntr_flags_cc.ram_empty_i_i_4_n_0\, - S(0) => \gen_pntr_flags_cc.ram_empty_i_i_5_n_0\ + C => wr_clk, + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[11]_i_1__1_n_6\, + Q => \^q\(9), + R => \count_value_i_reg[0]_1\(0) ); end STRUCTURE; library IEEE; @@ -2671,14 +2498,16 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3_1\ is port ( - Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); - \count_value_i_reg[8]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - S : out STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]\ : in STD_LOGIC; - \count_value_i_reg[4]_0\ : in STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 11 downto 0 ); + D : out STD_LOGIC_VECTOR ( 11 downto 0 ); + S : in STD_LOGIC_VECTOR ( 0 to 0 ); + DI : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); + ram_wr_en_pf : in STD_LOGIC; + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_0\ : in STD_LOGIC; \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - E : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; @@ -2686,155 +2515,127 @@ entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3_1\ is end \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3_1\; architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3_1\ is - signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); - signal \count_value_i[0]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[1]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[2]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[3]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[4]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[5]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[6]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[7]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[8]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[8]_i_2__1_n_0\ : STD_LOGIC; - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \count_value_i[0]_i_1__2\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \count_value_i[1]_i_1__0\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \count_value_i[2]_i_1__1\ : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of \count_value_i[3]_i_1__1\ : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of \count_value_i[5]_i_1__1\ : label is "soft_lutpair15"; - attribute SOFT_HLUTNM of \count_value_i[6]_i_1__1\ : label is "soft_lutpair15"; - attribute SOFT_HLUTNM of \count_value_i[7]_i_1__1\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \count_value_i[8]_i_1__3\ : label is "soft_lutpair13"; + signal \^q\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \count_value_i_reg[11]_i_1__2_n_1\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__2_n_2\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__2_n_3\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__2_n_4\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__2_n_5\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__2_n_6\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__2_n_7\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__2_n_0\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__2_n_1\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__2_n_2\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__2_n_3\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__2_n_4\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__2_n_5\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__2_n_6\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__2_n_7\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__2_n_0\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__2_n_1\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__2_n_2\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__2_n_3\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__2_n_4\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__2_n_5\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__2_n_6\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__2_n_7\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_2_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_3_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_4_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_6_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_7_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_8_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_3\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_3\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_3\ : STD_LOGIC; + signal \NLW_count_value_i_reg[11]_i_1__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); + signal \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); + attribute ADDER_THRESHOLD : integer; + attribute ADDER_THRESHOLD of \count_value_i_reg[11]_i_1__2\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[3]_i_1__2\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[7]_i_1__2\ : label is 35; + attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1\ : label is 35; + attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1\ : label is 35; + attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1\ : label is 35; begin - Q(8 downto 0) <= \^q\(8 downto 0); -\count_value_i[0]_i_1__2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \^q\(0), - O => \count_value_i[0]_i_1__2_n_0\ - ); -\count_value_i[1]_i_1__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \^q\(1), - I1 => \^q\(0), - O => \count_value_i[1]_i_1__0_n_0\ - ); -\count_value_i[2]_i_1__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"DF20" - ) - port map ( - I0 => \^q\(0), - I1 => \count_value_i_reg[4]_0\, - I2 => \^q\(1), - I3 => \^q\(2), - O => \count_value_i[2]_i_1__1_n_0\ - ); -\count_value_i[3]_i_1__1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"DF20FF00" - ) - port map ( - I0 => \^q\(0), - I1 => \count_value_i_reg[4]_0\, - I2 => \^q\(1), - I3 => \^q\(3), - I4 => \^q\(2), - O => \count_value_i[3]_i_1__1_n_0\ - ); -\count_value_i[4]_i_1__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DF20FF00FF00FF00" - ) - port map ( - I0 => \^q\(0), - I1 => \count_value_i_reg[4]_0\, - I2 => \^q\(1), - I3 => \^q\(4), - I4 => \^q\(2), - I5 => \^q\(3), - O => \count_value_i[4]_i_1__1_n_0\ - ); -\count_value_i[5]_i_1__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \count_value_i[8]_i_2__1_n_0\, - I1 => \^q\(5), - O => \count_value_i[5]_i_1__1_n_0\ - ); -\count_value_i[6]_i_1__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"9A" - ) - port map ( - I0 => \^q\(6), - I1 => \count_value_i[8]_i_2__1_n_0\, - I2 => \^q\(5), - O => \count_value_i[6]_i_1__1_n_0\ - ); -\count_value_i[7]_i_1__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A6AA" - ) - port map ( - I0 => \^q\(7), - I1 => \^q\(5), - I2 => \count_value_i[8]_i_2__1_n_0\, - I3 => \^q\(6), - O => \count_value_i[7]_i_1__1_n_0\ - ); -\count_value_i[8]_i_1__3\: unisim.vcomponents.LUT5 - generic map( - INIT => X"A6AAAAAA" - ) - port map ( - I0 => \^q\(8), - I1 => \^q\(6), - I2 => \count_value_i[8]_i_2__1_n_0\, - I3 => \^q\(5), - I4 => \^q\(7), - O => \count_value_i[8]_i_1__3_n_0\ - ); -\count_value_i[8]_i_2__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DFFFFFFFFFFFFFFF" - ) - port map ( - I0 => \^q\(0), - I1 => \count_value_i_reg[4]_0\, - I2 => \^q\(1), - I3 => \^q\(3), - I4 => \^q\(2), - I5 => \^q\(4), - O => \count_value_i[8]_i_2__1_n_0\ - ); + Q(11 downto 0) <= \^q\(11 downto 0); \count_value_i_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[0]_i_1__2_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1__2_n_7\, Q => \^q\(0), S => \count_value_i_reg[0]_0\(0) ); +\count_value_i_reg[10]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1__2_n_5\, + Q => \^q\(10), + R => \count_value_i_reg[0]_0\(0) + ); +\count_value_i_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1__2_n_4\, + Q => \^q\(11), + R => \count_value_i_reg[0]_0\(0) + ); +\count_value_i_reg[11]_i_1__2\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[7]_i_1__2_n_0\, + CO(3) => \NLW_count_value_i_reg[11]_i_1__2_CO_UNCONNECTED\(3), + CO(2) => \count_value_i_reg[11]_i_1__2_n_1\, + CO(1) => \count_value_i_reg[11]_i_1__2_n_2\, + CO(0) => \count_value_i_reg[11]_i_1__2_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[11]_i_1__2_n_4\, + O(2) => \count_value_i_reg[11]_i_1__2_n_5\, + O(1) => \count_value_i_reg[11]_i_1__2_n_6\, + O(0) => \count_value_i_reg[11]_i_1__2_n_7\, + S(3 downto 0) => \^q\(11 downto 8) + ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[1]_i_1__0_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1__2_n_6\, Q => \^q\(1), R => \count_value_i_reg[0]_0\(0) ); @@ -2844,8 +2645,8 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[2]_i_1__1_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1__2_n_5\, Q => \^q\(2), R => \count_value_i_reg[0]_0\(0) ); @@ -2855,19 +2656,36 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[3]_i_1__1_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1__2_n_4\, Q => \^q\(3), R => \count_value_i_reg[0]_0\(0) ); +\count_value_i_reg[3]_i_1__2\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => \count_value_i_reg[3]_i_1__2_n_0\, + CO(2) => \count_value_i_reg[3]_i_1__2_n_1\, + CO(1) => \count_value_i_reg[3]_i_1__2_n_2\, + CO(0) => \count_value_i_reg[3]_i_1__2_n_3\, + CYINIT => '0', + DI(3 downto 1) => B"000", + DI(0) => \^q\(0), + O(3) => \count_value_i_reg[3]_i_1__2_n_4\, + O(2) => \count_value_i_reg[3]_i_1__2_n_5\, + O(1) => \count_value_i_reg[3]_i_1__2_n_6\, + O(0) => \count_value_i_reg[3]_i_1__2_n_7\, + S(3 downto 1) => \^q\(3 downto 1), + S(0) => S(0) + ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[4]_i_1__1_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1__2_n_7\, Q => \^q\(4), R => \count_value_i_reg[0]_0\(0) ); @@ -2877,8 +2695,8 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[5]_i_1__1_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1__2_n_6\, Q => \^q\(5), R => \count_value_i_reg[0]_0\(0) ); @@ -2888,8 +2706,8 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[6]_i_1__1_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1__2_n_5\, Q => \^q\(6), R => \count_value_i_reg[0]_0\(0) ); @@ -2899,43 +2717,292 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[7]_i_1__1_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1__2_n_4\, Q => \^q\(7), R => \count_value_i_reg[0]_0\(0) ); +\count_value_i_reg[7]_i_1__2\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[3]_i_1__2_n_0\, + CO(3) => \count_value_i_reg[7]_i_1__2_n_0\, + CO(2) => \count_value_i_reg[7]_i_1__2_n_1\, + CO(1) => \count_value_i_reg[7]_i_1__2_n_2\, + CO(0) => \count_value_i_reg[7]_i_1__2_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[7]_i_1__2_n_4\, + O(2) => \count_value_i_reg[7]_i_1__2_n_5\, + O(1) => \count_value_i_reg[7]_i_1__2_n_6\, + O(0) => \count_value_i_reg[7]_i_1__2_n_7\, + S(3 downto 0) => \^q\(7 downto 4) + ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[8]_i_1__3_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1__2_n_7\, Q => \^q\(8), R => \count_value_i_reg[0]_0\(0) ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8\: unisim.vcomponents.LUT4 +\count_value_i_reg[9]\: unisim.vcomponents.FDRE generic map( - INIT => X"A659" + INIT => '0' ) port map ( - I0 => \^q\(0), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]\, - I2 => \count_value_i_reg[4]_0\, - I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\(0), - O => S(0) + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1__2_n_6\, + Q => \^q\(9), + R => \count_value_i_reg[0]_0\(0) ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[9]_i_2\: unisim.vcomponents.LUT4 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_2\: unisim.vcomponents.LUT2 generic map( - INIT => X"9699" + INIT => X"2" + ) + port map ( + I0 => \^q\(9), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(9), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_2_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" ) port map ( I0 => \^q\(8), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\(2), - I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\(1), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(8), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_3_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_4\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(7), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(7), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_4_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_6\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(9), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(9), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(10), + I3 => \^q\(10), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_6_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_7\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(8), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(8), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(9), + I3 => \^q\(9), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_7_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_8\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(7), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(7), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(8), + I3 => \^q\(8), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_8_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(2), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(2), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(1), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(1), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(2), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(2), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(3), + I3 => \^q\(3), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(1), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(1), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(2), + I3 => \^q\(2), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8\: unisim.vcomponents.LUT4 + generic map( + INIT => X"9969" + ) + port map ( + I0 => \^q\(0), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(0), + I2 => ram_wr_en_pf, + I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_0\, + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(6), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(6), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(5), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(5), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(4), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(4), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(3), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(3), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(6), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(6), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(7), I3 => \^q\(7), - O => \count_value_i_reg[8]_0\(0) + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(5), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(5), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(6), + I3 => \^q\(6), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(4), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(4), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(5), + I3 => \^q\(5), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(3), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(3), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(4), + I3 => \^q\(4), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0\, + CO(3) => \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_CO_UNCONNECTED\(3), + CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_1\, + CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_2\, + CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_3\, + CYINIT => '0', + DI(3) => '0', + DI(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_2_n_0\, + DI(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_3_n_0\, + DI(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_4_n_0\, + O(3 downto 0) => D(11 downto 8), + S(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(0), + S(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_6_n_0\, + S(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_7_n_0\, + S(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_8_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0\, + CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_1\, + CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_2\, + CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_3\, + CYINIT => '0', + DI(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0\, + DI(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0\, + DI(1) => DI(0), + DI(0) => '0', + O(3 downto 0) => D(3 downto 0), + S(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0\, + S(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0\, + S(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]\(0), + S(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0\, + CO(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0\, + CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_1\, + CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_2\, + CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_3\, + CYINIT => '0', + DI(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0\, + DI(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0\, + DI(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0\, + DI(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0\, + O(3 downto 0) => D(7 downto 4), + S(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0\, + S(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0\, + S(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0\, + S(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0\ ); end STRUCTURE; library IEEE; @@ -2945,26 +3012,27 @@ use UNISIM.VCOMPONENTS.ALL; entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_reg_bit is port ( rst_d1 : out STD_LOGIC; - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg\ : out STD_LOGIC; - \syncstages_ff_reg[3]\ : out STD_LOGIC; + clr_full : out STD_LOGIC; + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_wr_en_pf_q_reg\ : out STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC; - ram_rd_en_pf_q : in STD_LOGIC; - ram_wr_en_pf_q : in STD_LOGIC; + rst : in STD_LOGIC; \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\ : in STD_LOGIC; - prog_full : in STD_LOGIC; - rst : in STD_LOGIC + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg_0\ : in STD_LOGIC; + ram_wr_en_pf_q : in STD_LOGIC; + ram_rd_en_pf_q : in STD_LOGIC; + prog_full : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of design_1_axi_fifo_mm_s_0_0_xpm_fifo_reg_bit : entity is "xpm_fifo_reg_bit"; end design_1_axi_fifo_mm_s_0_0_xpm_fifo_reg_bit; architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_reg_bit is + signal \^clr_full\ : STD_LOGIC; signal \^rst_d1\ : STD_LOGIC; - signal \^syncstages_ff_reg[3]\ : STD_LOGIC; begin + clr_full <= \^clr_full\; rst_d1 <= \^rst_d1\; - \syncstages_ff_reg[3]\ <= \^syncstages_ff_reg[3]\; d_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' @@ -2976,7 +3044,7 @@ d_out_reg: unisim.vcomponents.FDRE Q => \^rst_d1\, R => '0' ); -\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6\: unisim.vcomponents.LUT3 +\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) @@ -2984,19 +3052,20 @@ d_out_reg: unisim.vcomponents.FDRE I0 => rst, I1 => \^rst_d1\, I2 => Q(0), - O => \^syncstages_ff_reg[3]\ + O => \^clr_full\ ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_1\: unisim.vcomponents.LUT5 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"51551000" + INIT => X"5545555500001000" ) port map ( - I0 => \^syncstages_ff_reg[3]\, - I1 => ram_rd_en_pf_q, - I2 => ram_wr_en_pf_q, - I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\, - I4 => prog_full, - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg\ + I0 => \^clr_full\, + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\, + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg_0\, + I3 => ram_wr_en_pf_q, + I4 => ram_rd_en_pf_q, + I5 => prog_full, + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_wr_en_pf_q_reg\ ); end STRUCTURE; library IEEE; @@ -3007,25 +3076,32 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_rst is port ( \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 0 to 0 ); - E : out STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\ : out STD_LOGIC; + write_only : out STD_LOGIC; + ram_wr_en_pf : out STD_LOGIC; + read_only : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_rst_cc.fifo_wr_rst_cc_reg[2]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_fwft.empty_fwft_i_reg\ : out STD_LOGIC; - \gen_fwft.empty_fwft_i_reg_0\ : out STD_LOGIC; - \gen_fwft.empty_fwft_i_reg_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + S : out STD_LOGIC_VECTOR ( 0 to 0 ); + \count_value_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \count_value_i_reg[0]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_fwft.empty_fwft_i_reg\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_fwft.empty_fwft_i_reg_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); prog_empty : in STD_LOGIC; write_only_q : in STD_LOGIC; - read_only_q : in STD_LOGIC; \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0\ : in STD_LOGIC; + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_1\ : in STD_LOGIC; + read_only_q : in STD_LOGIC; rst : in STD_LOGIC; - wr_en : in STD_LOGIC; - rst_d1 : in STD_LOGIC; \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg\ : in STD_LOGIC; - \count_value_i_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - ram_empty_i : in STD_LOGIC; \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\ : in STD_LOGIC; \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\ : in STD_LOGIC; + wr_en : in STD_LOGIC; + rst_d1 : in STD_LOGIC; + \grdc.rd_data_count_i_reg[0]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + ram_empty_i : in STD_LOGIC; + \count_value_i_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \count_value_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \count_value_i_reg[3]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC ); @@ -3036,90 +3112,121 @@ end design_1_axi_fifo_mm_s_0_0_xpm_fifo_rst; architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_rst is signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gen_rst_cc.fifo_wr_rst_cc\ : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal \^gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\ : STD_LOGIC; signal p_0_in : STD_LOGIC; signal \power_on_rst_reg_n_0_[0]\ : STD_LOGIC; + signal \^ram_wr_en_pf\ : STD_LOGIC; signal rst_i : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \count_value_i[1]_i_1\ : label is "soft_lutpair21"; - attribute SOFT_HLUTNM of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.read_only_q_i_1\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_i_1\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \grdc.rd_data_count_i[9]_i_1\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \count_value_i[1]_i_1\ : label is "soft_lutpair2"; + attribute SOFT_HLUTNM of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.read_only_q_i_1\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_i_1\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \grdc.rd_data_count_i[12]_i_1\ : label is "soft_lutpair2"; begin Q(0) <= \^q\(0); - \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\ <= \^gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\; + ram_wr_en_pf <= \^ram_wr_en_pf\; \count_value_i[1]_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"ABAA" + INIT => X"AAAE" ) port map ( I0 => \^q\(0), - I1 => \count_value_i_reg[1]\(0), - I2 => \count_value_i_reg[1]\(1), - I3 => ram_empty_i, - O => SR(0) + I1 => ram_empty_i, + I2 => \grdc.rd_data_count_i_reg[0]\(1), + I3 => \grdc.rd_data_count_i_reg[0]\(0), + O => \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\(0) ); -\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_3\: unisim.vcomponents.LUT4 +\count_value_i[3]_i_2\: unisim.vcomponents.LUT2 generic map( - INIT => X"FFFD" + INIT => X"6" ) port map ( - I0 => wr_en, - I1 => \^q\(0), - I2 => rst_d1, - I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg\, - O => \^gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\ + I0 => \^ram_wr_en_pf\, + I1 => \count_value_i_reg[3]\(0), + O => S(0) ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_9\: unisim.vcomponents.LUT4 +\count_value_i[3]_i_2__2\: unisim.vcomponents.LUT2 generic map( - INIT => X"FB04" + INIT => X"6" ) port map ( - I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\, - I1 => \^gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\, - I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\, - I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\(0), - O => \gen_fwft.empty_fwft_i_reg_1\(0) + I0 => \^ram_wr_en_pf\, + I1 => \count_value_i_reg[3]_0\(0), + O => \count_value_i_reg[0]\(0) ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_1\: unisim.vcomponents.LUT5 +\count_value_i[3]_i_2__3\: unisim.vcomponents.LUT2 generic map( - INIT => X"FFFFAAF2" + INIT => X"6" + ) + port map ( + I0 => \^ram_wr_en_pf\, + I1 => \count_value_i_reg[3]_1\(0), + O => \count_value_i_reg[0]_0\(0) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"BFBB" + ) + port map ( + I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\, + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\, + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg\, + I3 => \^ram_wr_en_pf\, + O => \gen_fwft.empty_fwft_i_reg\(0) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6\: unisim.vcomponents.LUT5 + generic map( + INIT => X"BFBB4044" + ) + port map ( + I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\, + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\, + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg\, + I3 => \^ram_wr_en_pf\, + I4 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\(0), + O => \gen_fwft.empty_fwft_i_reg_0\(0) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFFFFFAFAAA2AA" ) port map ( I0 => prog_empty, I1 => write_only_q, - I2 => read_only_q, - I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0\, - I4 => \^q\(0), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0\, + I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_1\, + I4 => read_only_q, + I5 => \^q\(0), O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\ ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.read_only_q_i_1\: unisim.vcomponents.LUT3 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.read_only_q_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"04" + INIT => X"4044" ) port map ( - I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\, - I1 => \^gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\, - I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\, - O => \gen_fwft.empty_fwft_i_reg_0\ + I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\, + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\, + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg\, + I3 => \^ram_wr_en_pf\, + O => read_only ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_i_1\: unisim.vcomponents.LUT3 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"54" + INIT => X"4044" ) port map ( - I0 => \^gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\, - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\, - I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\, - O => \gen_fwft.empty_fwft_i_reg\ + I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg\, + I1 => \^ram_wr_en_pf\, + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\, + I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\, + O => write_only ); \gen_rst_cc.fifo_wr_rst_cc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( - I0 => rst, - I1 => p_0_in, + I0 => p_0_in, + I1 => rst, O => rst_i ); \gen_rst_cc.fifo_wr_rst_cc_reg[0]\: unisim.vcomponents.FDSE @@ -3155,23 +3262,26 @@ begin Q => \^q\(0), S => rst_i ); -\gen_sdpram.xpm_memory_base_inst_i_1\: unisim.vcomponents.LUT1 +\gen_sdpram.xpm_memory_base_inst_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"1" + INIT => X"0002" ) port map ( - I0 => \^gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\, - O => E(0) + I0 => wr_en, + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg\, + I2 => \^q\(0), + I3 => rst_d1, + O => \^ram_wr_en_pf\ ); -\grdc.rd_data_count_i[9]_i_1\: unisim.vcomponents.LUT3 +\grdc.rd_data_count_i[12]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AB" ) port map ( I0 => \^q\(0), - I1 => \count_value_i_reg[1]\(1), - I2 => \count_value_i_reg[1]\(0), - O => \gen_rst_cc.fifo_wr_rst_cc_reg[2]_1\(0) + I1 => \grdc.rd_data_count_i_reg[0]\(1), + I2 => \grdc.rd_data_count_i_reg[0]\(0), + O => SR(0) ); \power_on_rst_reg[0]\: unisim.vcomponents.FDRE generic map( @@ -3208,7 +3318,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_memory_base is ena : in STD_LOGIC; regcea : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); - addra : in STD_LOGIC_VECTOR ( 8 downto 0 ); + addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); dina : in STD_LOGIC_VECTOR ( 52 downto 0 ); injectsbiterra : in STD_LOGIC; injectdbiterra : in STD_LOGIC; @@ -3220,7 +3330,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_memory_base is enb : in STD_LOGIC; regceb : in STD_LOGIC; web : in STD_LOGIC_VECTOR ( 0 to 0 ); - addrb : in STD_LOGIC_VECTOR ( 8 downto 0 ); + addrb : in STD_LOGIC_VECTOR ( 11 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 52 downto 0 ); injectsbiterrb : in STD_LOGIC; injectdbiterrb : in STD_LOGIC; @@ -3229,9 +3339,9 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_memory_base is dbiterrb : out STD_LOGIC ); attribute ADDR_WIDTH_A : integer; - attribute ADDR_WIDTH_A of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 9; + attribute ADDR_WIDTH_A of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 12; attribute ADDR_WIDTH_B : integer; - attribute ADDR_WIDTH_B of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 9; + attribute ADDR_WIDTH_B of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 12; attribute AUTO_SLEEP_TIME : integer; attribute AUTO_SLEEP_TIME of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 0; attribute BYTE_WRITE_WIDTH_A : integer; @@ -3255,7 +3365,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_memory_base is attribute MEMORY_PRIMITIVE : integer; attribute MEMORY_PRIMITIVE of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 2; attribute MEMORY_SIZE : integer; - attribute MEMORY_SIZE of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 27136; + attribute MEMORY_SIZE of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 217088; attribute MEMORY_TYPE : integer; attribute MEMORY_TYPE of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 1; attribute MESSAGE_CONTROL : integer; @@ -3271,7 +3381,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_memory_base is attribute P_ENABLE_BYTE_WRITE_B : integer; attribute P_ENABLE_BYTE_WRITE_B of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 0; attribute P_MAX_DEPTH_DATA : integer; - attribute P_MAX_DEPTH_DATA of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 512; + attribute P_MAX_DEPTH_DATA of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 4096; attribute P_MEMORY_OPT : string; attribute P_MEMORY_OPT of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is "yes"; attribute P_MEMORY_PRIMITIVE : string; @@ -3311,13 +3421,13 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_memory_base is attribute P_WIDTH_ADDR_LSB_WRITE_B : integer; attribute P_WIDTH_ADDR_LSB_WRITE_B of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 0; attribute P_WIDTH_ADDR_READ_A : integer; - attribute P_WIDTH_ADDR_READ_A of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 9; + attribute P_WIDTH_ADDR_READ_A of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 12; attribute P_WIDTH_ADDR_READ_B : integer; - attribute P_WIDTH_ADDR_READ_B of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 9; + attribute P_WIDTH_ADDR_READ_B of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 12; attribute P_WIDTH_ADDR_WRITE_A : integer; - attribute P_WIDTH_ADDR_WRITE_A of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 9; + attribute P_WIDTH_ADDR_WRITE_A of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 12; attribute P_WIDTH_ADDR_WRITE_B : integer; - attribute P_WIDTH_ADDR_WRITE_B of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 9; + attribute P_WIDTH_ADDR_WRITE_B of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 12; attribute P_WIDTH_COL_WRITE_A : integer; attribute P_WIDTH_COL_WRITE_A of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 53; attribute P_WIDTH_COL_WRITE_B : integer; @@ -3372,61 +3482,248 @@ end design_1_axi_fifo_mm_s_0_0_xpm_memory_base; architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_memory_base is signal \\ : STD_LOGIC; - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DBITERR_UNCONNECTED\ : STD_LOGIC; - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_SBITERR_UNCONNECTED\ : STD_LOGIC; - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 21 ); - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_60\ : STD_LOGIC; + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_61\ : STD_LOGIC; + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_62\ : STD_LOGIC; + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_63\ : STD_LOGIC; + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_64\ : STD_LOGIC; + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_65\ : STD_LOGIC; + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_66\ : STD_LOGIC; + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_67\ : STD_LOGIC; + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_75\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_INJECTDBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_INJECTSBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_SBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_INJECTDBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_INJECTSBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_SBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_INJECTDBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_INJECTSBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_SBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_INJECTDBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_INJECTSBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_SBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_INJECTDBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_INJECTSBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_SBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_INJECTDBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_INJECTSBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_SBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute \MEM.PORTA.ADDRESS_BEGIN\ : integer; - attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute \MEM.PORTA.ADDRESS_END\ : integer; - attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; + attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 4095; attribute \MEM.PORTA.DATA_BIT_LAYOUT\ : string; - attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "p0_d53"; + attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is "p1_d8"; attribute \MEM.PORTA.DATA_LSB\ : integer; - attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute \MEM.PORTA.DATA_MSB\ : integer; - attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 52; + attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 8; attribute \MEM.PORTB.ADDRESS_BEGIN\ : integer; - attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute \MEM.PORTB.ADDRESS_END\ : integer; - attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; + attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 4095; attribute \MEM.PORTB.DATA_BIT_LAYOUT\ : string; - attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "p0_d53"; + attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is "p1_d8"; attribute \MEM.PORTB.DATA_LSB\ : integer; - attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute \MEM.PORTB.DATA_MSB\ : integer; - attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 52; + attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 8; attribute METHODOLOGY_DRC_VIOS : string; - attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg\ : label is ""; + attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is ""; attribute RTL_RAM_BITS : integer; - attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 27136; + attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 217088; attribute RTL_RAM_NAME : string; - attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "gen_wr_a.gen_word_narrow.mem"; + attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is "gen_wr_a.gen_word_narrow.mem"; attribute RTL_RAM_TYPE : string; - attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "RAM_SDP"; + attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is "RAM_SDP"; attribute bram_addr_begin : integer; - attribute bram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute bram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute bram_addr_end : integer; - attribute bram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; + attribute bram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 4095; attribute bram_slice_begin : integer; - attribute bram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute bram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute bram_slice_end : integer; - attribute bram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 52; + attribute bram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 8; attribute ram_addr_begin : integer; - attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute ram_addr_end : integer; - attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; + attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 4095; attribute ram_offset : integer; - attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute ram_slice_begin : integer; - attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute ram_slice_end : integer; - attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 52; + attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 8; + attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 0; + attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 4095; + attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is "p1_d8"; + attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 9; + attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 17; + attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 0; + attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 4095; + attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is "p1_d8"; + attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 9; + attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 17; + attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is ""; + attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 217088; + attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is "gen_wr_a.gen_word_narrow.mem"; + attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is "RAM_SDP"; + attribute bram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 0; + attribute bram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 4095; + attribute bram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 9; + attribute bram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 17; + attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 0; + attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 4095; + attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 0; + attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 9; + attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 17; + attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 0; + attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 4095; + attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is "p1_d8"; + attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 18; + attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 26; + attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 0; + attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 4095; + attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is "p1_d8"; + attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 18; + attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 26; + attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is ""; + attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 217088; + attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is "gen_wr_a.gen_word_narrow.mem"; + attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is "RAM_SDP"; + attribute bram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 0; + attribute bram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 4095; + attribute bram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 18; + attribute bram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 26; + attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 0; + attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 4095; + attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 0; + attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 18; + attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 26; + attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 0; + attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 4095; + attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is "p1_d8"; + attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 27; + attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 35; + attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 0; + attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 4095; + attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is "p1_d8"; + attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 27; + attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 35; + attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is ""; + attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 217088; + attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is "gen_wr_a.gen_word_narrow.mem"; + attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is "RAM_SDP"; + attribute bram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 0; + attribute bram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 4095; + attribute bram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 27; + attribute bram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 35; + attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 0; + attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 4095; + attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 0; + attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 27; + attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 35; + attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 0; + attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 4095; + attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is "p1_d8"; + attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 36; + attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 44; + attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 0; + attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 4095; + attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is "p1_d8"; + attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 36; + attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 44; + attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is "{SYNTH-6 {cell *THIS*}}"; + attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 217088; + attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is "gen_wr_a.gen_word_narrow.mem"; + attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is "RAM_SDP"; + attribute bram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 0; + attribute bram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 4095; + attribute bram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 36; + attribute bram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 44; + attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 0; + attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 4095; + attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 0; + attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 36; + attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 44; + attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 0; + attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 4095; + attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is "p0_d8"; + attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 45; + attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 52; + attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 0; + attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 4095; + attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is "p0_d8"; + attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 45; + attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 52; + attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is ""; + attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 217088; + attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is "gen_wr_a.gen_word_narrow.mem"; + attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is "RAM_SDP"; + attribute bram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 0; + attribute bram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 4095; + attribute bram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 45; + attribute bram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 52; + attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 0; + attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 4095; + attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 0; + attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 45; + attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 52; begin dbiterra <= \\; dbiterrb <= \\; @@ -3489,9 +3786,108 @@ GND: unisim.vcomponents.GND port map ( G => \\ ); -\gen_wr_a.gen_word_narrow.mem_reg\: unisim.vcomponents.RAMB36E1 +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][36]\: unisim.vcomponents.FDRE generic map( - DOA_REG => 1, + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_67\, + Q => doutb(36), + R => rstb + ); +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][37]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_66\, + Q => doutb(37), + R => rstb + ); +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][38]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_65\, + Q => doutb(38), + R => rstb + ); +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][39]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_64\, + Q => doutb(39), + R => rstb + ); +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][40]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_63\, + Q => doutb(40), + R => rstb + ); +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][41]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_62\, + Q => doutb(41), + R => rstb + ); +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][42]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_61\, + Q => doutb(42), + R => rstb + ); +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][43]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_60\, + Q => doutb(43), + R => rstb + ); +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][44]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_75\, + Q => doutb(44), + R => rstb + ); +\gen_wr_a.gen_word_narrow.mem_reg_0\: unisim.vcomponents.RAMB36E1 + generic map( + DOA_REG => 0, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, @@ -3643,67 +4039,1140 @@ GND: unisim.vcomponents.GND INIT_B => X"000000000", RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", - RAM_MODE => "SDP", + RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", - READ_WIDTH_A => 72, - READ_WIDTH_B => 0, + READ_WIDTH_A => 9, + READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "RSTREG", RSTREG_PRIORITY_B => "RSTREG", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", - WRITE_MODE_A => "WRITE_FIRST", - WRITE_MODE_B => "WRITE_FIRST", - WRITE_WIDTH_A => 0, - WRITE_WIDTH_B => 72 + WRITE_MODE_A => "NO_CHANGE", + WRITE_MODE_B => "NO_CHANGE", + WRITE_WIDTH_A => 9, + WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', - ADDRARDADDR(14 downto 6) => addrb(8 downto 0), - ADDRARDADDR(5 downto 0) => B"111111", + ADDRARDADDR(14 downto 3) => addra(11 downto 0), + ADDRARDADDR(2 downto 0) => B"000", ADDRBWRADDR(15) => '1', - ADDRBWRADDR(14 downto 6) => addra(8 downto 0), - ADDRBWRADDR(5 downto 0) => B"111111", - CASCADEINA => '0', - CASCADEINB => '0', - CASCADEOUTA => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASCADEOUTA_UNCONNECTED\, - CASCADEOUTB => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASCADEOUTB_UNCONNECTED\, + ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), + ADDRBWRADDR(2 downto 0) => B"000", + CASCADEINA => '1', + CASCADEINB => '1', + CASCADEOUTA => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_CASCADEOUTA_UNCONNECTED\, + CASCADEOUTB => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clka, - DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DBITERR_UNCONNECTED\, - DIADI(31 downto 0) => dina(31 downto 0), - DIBDI(31 downto 21) => B"11111111111", - DIBDI(20 downto 0) => dina(52 downto 32), - DIPADIP(3 downto 0) => B"1111", - DIPBDIP(3 downto 0) => B"1111", - DOADO(31 downto 0) => doutb(31 downto 0), - DOBDO(31 downto 21) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOBDO_UNCONNECTED\(31 downto 21), - DOBDO(20 downto 0) => doutb(52 downto 32), - DOPADOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOPADOP_UNCONNECTED\(3 downto 0), - DOPBDOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOPBDOP_UNCONNECTED\(3 downto 0), - ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_ECCPARITY_UNCONNECTED\(7 downto 0), - ENARDEN => enb, - ENBWREN => '1', - INJECTDBITERR => '0', - INJECTSBITERR => '0', - RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_RDADDRECC_UNCONNECTED\(8 downto 0), - REGCEAREGCE => regceb, + DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DBITERR_UNCONNECTED\, + DIADI(31 downto 8) => B"000000000000000000000000", + DIADI(7 downto 0) => dina(7 downto 0), + DIBDI(31 downto 0) => B"00000000000000000000000011111111", + DIPADIP(3 downto 1) => B"000", + DIPADIP(0) => dina(8), + DIPBDIP(3 downto 0) => B"0001", + DOADO(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOADO_UNCONNECTED\(31 downto 0), + DOBDO(31 downto 8) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOBDO_UNCONNECTED\(31 downto 8), + DOBDO(7 downto 0) => doutb(7 downto 0), + DOPADOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOPADOP_UNCONNECTED\(3 downto 0), + DOPBDOP(3 downto 1) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOPBDOP_UNCONNECTED\(3 downto 1), + DOPBDOP(0) => doutb(8), + ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_ECCPARITY_UNCONNECTED\(7 downto 0), + ENARDEN => wea(0), + ENBWREN => enb, + INJECTDBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_INJECTDBITERR_UNCONNECTED\, + INJECTSBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_INJECTSBITERR_UNCONNECTED\, + RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_RDADDRECC_UNCONNECTED\(8 downto 0), + REGCEAREGCE => '0', + REGCEB => regceb, + RSTRAMARSTRAM => '0', + RSTRAMB => '0', + RSTREGARSTREG => '0', + RSTREGB => rstb, + SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_SBITERR_UNCONNECTED\, + WEA(3) => wea(0), + WEA(2) => wea(0), + WEA(1) => wea(0), + WEA(0) => '1', + WEBWE(7 downto 0) => B"00000000" + ); +\gen_wr_a.gen_word_narrow.mem_reg_1\: unisim.vcomponents.RAMB36E1 + generic map( + DOA_REG => 0, + DOB_REG => 1, + EN_ECC_READ => false, + EN_ECC_WRITE => false, + INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_A => X"000000000", + INIT_B => X"000000000", + RAM_EXTENSION_A => "NONE", + RAM_EXTENSION_B => "NONE", + RAM_MODE => "TDP", + RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", + READ_WIDTH_A => 9, + READ_WIDTH_B => 9, + RSTREG_PRIORITY_A => "RSTREG", + RSTREG_PRIORITY_B => "RSTREG", + SIM_COLLISION_CHECK => "ALL", + SIM_DEVICE => "7SERIES", + SRVAL_A => X"000000000", + SRVAL_B => X"000000000", + WRITE_MODE_A => "NO_CHANGE", + WRITE_MODE_B => "NO_CHANGE", + WRITE_WIDTH_A => 9, + WRITE_WIDTH_B => 9 + ) + port map ( + ADDRARDADDR(15) => '1', + ADDRARDADDR(14 downto 3) => addra(11 downto 0), + ADDRARDADDR(2 downto 0) => B"000", + ADDRBWRADDR(15) => '1', + ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), + ADDRBWRADDR(2 downto 0) => B"000", + CASCADEINA => '1', + CASCADEINB => '1', + CASCADEOUTA => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_CASCADEOUTA_UNCONNECTED\, + CASCADEOUTB => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_CASCADEOUTB_UNCONNECTED\, + CLKARDCLK => clka, + CLKBWRCLK => clka, + DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DBITERR_UNCONNECTED\, + DIADI(31 downto 8) => B"000000000000000000000000", + DIADI(7 downto 0) => dina(16 downto 9), + DIBDI(31 downto 0) => B"00000000000000000000000011111111", + DIPADIP(3 downto 1) => B"000", + DIPADIP(0) => dina(17), + DIPBDIP(3 downto 0) => B"0001", + DOADO(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOADO_UNCONNECTED\(31 downto 0), + DOBDO(31 downto 8) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOBDO_UNCONNECTED\(31 downto 8), + DOBDO(7 downto 0) => doutb(16 downto 9), + DOPADOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOPADOP_UNCONNECTED\(3 downto 0), + DOPBDOP(3 downto 1) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOPBDOP_UNCONNECTED\(3 downto 1), + DOPBDOP(0) => doutb(17), + ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_ECCPARITY_UNCONNECTED\(7 downto 0), + ENARDEN => wea(0), + ENBWREN => enb, + INJECTDBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_INJECTDBITERR_UNCONNECTED\, + INJECTSBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_INJECTSBITERR_UNCONNECTED\, + RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_RDADDRECC_UNCONNECTED\(8 downto 0), + REGCEAREGCE => '0', + REGCEB => regceb, + RSTRAMARSTRAM => '0', + RSTRAMB => '0', + RSTREGARSTREG => '0', + RSTREGB => rstb, + SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_SBITERR_UNCONNECTED\, + WEA(3) => wea(0), + WEA(2) => wea(0), + WEA(1) => wea(0), + WEA(0) => '1', + WEBWE(7 downto 0) => B"00000000" + ); +\gen_wr_a.gen_word_narrow.mem_reg_2\: unisim.vcomponents.RAMB36E1 + generic map( + DOA_REG => 0, + DOB_REG => 1, + EN_ECC_READ => false, + EN_ECC_WRITE => false, + INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_A => X"000000000", + INIT_B => X"000000000", + RAM_EXTENSION_A => "NONE", + RAM_EXTENSION_B => "NONE", + RAM_MODE => "TDP", + RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", + READ_WIDTH_A => 9, + READ_WIDTH_B => 9, + RSTREG_PRIORITY_A => "RSTREG", + RSTREG_PRIORITY_B => "RSTREG", + SIM_COLLISION_CHECK => "ALL", + SIM_DEVICE => "7SERIES", + SRVAL_A => X"000000000", + SRVAL_B => X"000000000", + WRITE_MODE_A => "NO_CHANGE", + WRITE_MODE_B => "NO_CHANGE", + WRITE_WIDTH_A => 9, + WRITE_WIDTH_B => 9 + ) + port map ( + ADDRARDADDR(15) => '1', + ADDRARDADDR(14 downto 3) => addra(11 downto 0), + ADDRARDADDR(2 downto 0) => B"000", + ADDRBWRADDR(15) => '1', + ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), + ADDRBWRADDR(2 downto 0) => B"000", + CASCADEINA => '1', + CASCADEINB => '1', + CASCADEOUTA => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_CASCADEOUTA_UNCONNECTED\, + CASCADEOUTB => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_CASCADEOUTB_UNCONNECTED\, + CLKARDCLK => clka, + CLKBWRCLK => clka, + DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DBITERR_UNCONNECTED\, + DIADI(31 downto 8) => B"000000000000000000000000", + DIADI(7 downto 0) => dina(25 downto 18), + DIBDI(31 downto 0) => B"00000000000000000000000011111111", + DIPADIP(3 downto 1) => B"000", + DIPADIP(0) => dina(26), + DIPBDIP(3 downto 0) => B"0001", + DOADO(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOADO_UNCONNECTED\(31 downto 0), + DOBDO(31 downto 8) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOBDO_UNCONNECTED\(31 downto 8), + DOBDO(7 downto 0) => doutb(25 downto 18), + DOPADOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOPADOP_UNCONNECTED\(3 downto 0), + DOPBDOP(3 downto 1) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOPBDOP_UNCONNECTED\(3 downto 1), + DOPBDOP(0) => doutb(26), + ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_ECCPARITY_UNCONNECTED\(7 downto 0), + ENARDEN => wea(0), + ENBWREN => enb, + INJECTDBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_INJECTDBITERR_UNCONNECTED\, + INJECTSBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_INJECTSBITERR_UNCONNECTED\, + RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_RDADDRECC_UNCONNECTED\(8 downto 0), + REGCEAREGCE => '0', + REGCEB => regceb, + RSTRAMARSTRAM => '0', + RSTRAMB => '0', + RSTREGARSTREG => '0', + RSTREGB => rstb, + SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_SBITERR_UNCONNECTED\, + WEA(3) => wea(0), + WEA(2) => wea(0), + WEA(1) => wea(0), + WEA(0) => '1', + WEBWE(7 downto 0) => B"00000000" + ); +\gen_wr_a.gen_word_narrow.mem_reg_3\: unisim.vcomponents.RAMB36E1 + generic map( + DOA_REG => 0, + DOB_REG => 1, + EN_ECC_READ => false, + EN_ECC_WRITE => false, + INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_A => X"000000000", + INIT_B => X"000000000", + RAM_EXTENSION_A => "NONE", + RAM_EXTENSION_B => "NONE", + RAM_MODE => "TDP", + RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", + READ_WIDTH_A => 9, + READ_WIDTH_B => 9, + RSTREG_PRIORITY_A => "RSTREG", + RSTREG_PRIORITY_B => "RSTREG", + SIM_COLLISION_CHECK => "ALL", + SIM_DEVICE => "7SERIES", + SRVAL_A => X"000000000", + SRVAL_B => X"000000000", + WRITE_MODE_A => "NO_CHANGE", + WRITE_MODE_B => "NO_CHANGE", + WRITE_WIDTH_A => 9, + WRITE_WIDTH_B => 9 + ) + port map ( + ADDRARDADDR(15) => '1', + ADDRARDADDR(14 downto 3) => addra(11 downto 0), + ADDRARDADDR(2 downto 0) => B"000", + ADDRBWRADDR(15) => '1', + ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), + ADDRBWRADDR(2 downto 0) => B"000", + CASCADEINA => '1', + CASCADEINB => '1', + CASCADEOUTA => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_CASCADEOUTA_UNCONNECTED\, + CASCADEOUTB => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_CASCADEOUTB_UNCONNECTED\, + CLKARDCLK => clka, + CLKBWRCLK => clka, + DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DBITERR_UNCONNECTED\, + DIADI(31 downto 8) => B"000000000000000000000000", + DIADI(7 downto 0) => dina(34 downto 27), + DIBDI(31 downto 0) => B"00000000000000000000000011111111", + DIPADIP(3 downto 1) => B"000", + DIPADIP(0) => dina(35), + DIPBDIP(3 downto 0) => B"0001", + DOADO(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOADO_UNCONNECTED\(31 downto 0), + DOBDO(31 downto 8) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOBDO_UNCONNECTED\(31 downto 8), + DOBDO(7 downto 0) => doutb(34 downto 27), + DOPADOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOPADOP_UNCONNECTED\(3 downto 0), + DOPBDOP(3 downto 1) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOPBDOP_UNCONNECTED\(3 downto 1), + DOPBDOP(0) => doutb(35), + ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_ECCPARITY_UNCONNECTED\(7 downto 0), + ENARDEN => wea(0), + ENBWREN => enb, + INJECTDBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_INJECTDBITERR_UNCONNECTED\, + INJECTSBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_INJECTSBITERR_UNCONNECTED\, + RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_RDADDRECC_UNCONNECTED\(8 downto 0), + REGCEAREGCE => '0', + REGCEB => regceb, + RSTRAMARSTRAM => '0', + RSTRAMB => '0', + RSTREGARSTREG => '0', + RSTREGB => rstb, + SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_SBITERR_UNCONNECTED\, + WEA(3) => wea(0), + WEA(2) => wea(0), + WEA(1) => wea(0), + WEA(0) => '1', + WEBWE(7 downto 0) => B"00000000" + ); +\gen_wr_a.gen_word_narrow.mem_reg_4\: unisim.vcomponents.RAMB36E1 + generic map( + DOA_REG => 0, + DOB_REG => 0, + EN_ECC_READ => false, + EN_ECC_WRITE => false, + INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_A => X"000000000", + INIT_B => X"000000000", + RAM_EXTENSION_A => "NONE", + RAM_EXTENSION_B => "NONE", + RAM_MODE => "TDP", + RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", + READ_WIDTH_A => 9, + READ_WIDTH_B => 9, + RSTREG_PRIORITY_A => "RSTREG", + RSTREG_PRIORITY_B => "RSTREG", + SIM_COLLISION_CHECK => "ALL", + SIM_DEVICE => "7SERIES", + SRVAL_A => X"000000000", + SRVAL_B => X"000000000", + WRITE_MODE_A => "NO_CHANGE", + WRITE_MODE_B => "NO_CHANGE", + WRITE_WIDTH_A => 9, + WRITE_WIDTH_B => 9 + ) + port map ( + ADDRARDADDR(15) => '1', + ADDRARDADDR(14 downto 3) => addra(11 downto 0), + ADDRARDADDR(2 downto 0) => B"000", + ADDRBWRADDR(15) => '1', + ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), + ADDRBWRADDR(2 downto 0) => B"000", + CASCADEINA => '1', + CASCADEINB => '1', + CASCADEOUTA => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_CASCADEOUTA_UNCONNECTED\, + CASCADEOUTB => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_CASCADEOUTB_UNCONNECTED\, + CLKARDCLK => clka, + CLKBWRCLK => clka, + DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DBITERR_UNCONNECTED\, + DIADI(31 downto 8) => B"000000000000000000000000", + DIADI(7 downto 0) => dina(43 downto 36), + DIBDI(31 downto 0) => B"00000000000000000000000011111111", + DIPADIP(3 downto 1) => B"000", + DIPADIP(0) => dina(44), + DIPBDIP(3 downto 0) => B"0001", + DOADO(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOADO_UNCONNECTED\(31 downto 0), + DOBDO(31 downto 8) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOBDO_UNCONNECTED\(31 downto 8), + DOBDO(7) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_60\, + DOBDO(6) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_61\, + DOBDO(5) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_62\, + DOBDO(4) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_63\, + DOBDO(3) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_64\, + DOBDO(2) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_65\, + DOBDO(1) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_66\, + DOBDO(0) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_67\, + DOPADOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOPADOP_UNCONNECTED\(3 downto 0), + DOPBDOP(3 downto 1) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOPBDOP_UNCONNECTED\(3 downto 1), + DOPBDOP(0) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_75\, + ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_ECCPARITY_UNCONNECTED\(7 downto 0), + ENARDEN => wea(0), + ENBWREN => enb, + INJECTDBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_INJECTDBITERR_UNCONNECTED\, + INJECTSBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_INJECTSBITERR_UNCONNECTED\, + RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_RDADDRECC_UNCONNECTED\(8 downto 0), + REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', - RSTREGARSTREG => rstb, + RSTREGARSTREG => '0', RSTREGB => '0', - SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_SBITERR_UNCONNECTED\, - WEA(3 downto 0) => B"0000", - WEBWE(7) => wea(0), - WEBWE(6) => wea(0), - WEBWE(5) => wea(0), - WEBWE(4) => wea(0), - WEBWE(3) => wea(0), - WEBWE(2) => wea(0), - WEBWE(1) => wea(0), - WEBWE(0) => wea(0) + SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_SBITERR_UNCONNECTED\, + WEA(3) => wea(0), + WEA(2) => wea(0), + WEA(1) => wea(0), + WEA(0) => '1', + WEBWE(7 downto 0) => B"00000000" + ); +\gen_wr_a.gen_word_narrow.mem_reg_5\: unisim.vcomponents.RAMB36E1 + generic map( + DOA_REG => 0, + DOB_REG => 1, + EN_ECC_READ => false, + EN_ECC_WRITE => false, + INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_A => X"000000000", + INIT_B => X"000000000", + RAM_EXTENSION_A => "NONE", + RAM_EXTENSION_B => "NONE", + RAM_MODE => "TDP", + RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", + READ_WIDTH_A => 9, + READ_WIDTH_B => 9, + RSTREG_PRIORITY_A => "RSTREG", + RSTREG_PRIORITY_B => "RSTREG", + SIM_COLLISION_CHECK => "ALL", + SIM_DEVICE => "7SERIES", + SRVAL_A => X"000000000", + SRVAL_B => X"000000000", + WRITE_MODE_A => "NO_CHANGE", + WRITE_MODE_B => "NO_CHANGE", + WRITE_WIDTH_A => 9, + WRITE_WIDTH_B => 9 + ) + port map ( + ADDRARDADDR(15) => '1', + ADDRARDADDR(14 downto 3) => addra(11 downto 0), + ADDRARDADDR(2 downto 0) => B"000", + ADDRBWRADDR(15) => '1', + ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), + ADDRBWRADDR(2 downto 0) => B"000", + CASCADEINA => '1', + CASCADEINB => '1', + CASCADEOUTA => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_CASCADEOUTA_UNCONNECTED\, + CASCADEOUTB => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_CASCADEOUTB_UNCONNECTED\, + CLKARDCLK => clka, + CLKBWRCLK => clka, + DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DBITERR_UNCONNECTED\, + DIADI(31 downto 8) => B"000000000000000000000000", + DIADI(7 downto 0) => dina(52 downto 45), + DIBDI(31 downto 0) => B"00000000000000000000000011111111", + DIPADIP(3 downto 0) => B"0000", + DIPBDIP(3 downto 0) => B"0000", + DOADO(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOADO_UNCONNECTED\(31 downto 0), + DOBDO(31 downto 8) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOBDO_UNCONNECTED\(31 downto 8), + DOBDO(7 downto 0) => doutb(52 downto 45), + DOPADOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOPADOP_UNCONNECTED\(3 downto 0), + DOPBDOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOPBDOP_UNCONNECTED\(3 downto 0), + ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_ECCPARITY_UNCONNECTED\(7 downto 0), + ENARDEN => wea(0), + ENBWREN => enb, + INJECTDBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_INJECTDBITERR_UNCONNECTED\, + INJECTSBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_INJECTSBITERR_UNCONNECTED\, + RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_RDADDRECC_UNCONNECTED\(8 downto 0), + REGCEAREGCE => '0', + REGCEB => regceb, + RSTRAMARSTRAM => '0', + RSTRAMB => '0', + RSTREGARSTREG => '0', + RSTREGB => rstb, + SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_SBITERR_UNCONNECTED\, + WEA(3) => wea(0), + WEA(2) => wea(0), + WEA(1) => wea(0), + WEA(0) => '1', + WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; @@ -3716,26 +5185,25 @@ entity design_1_axi_fifo_mm_s_0_0_address_decoder is \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\ : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0\ : out STD_LOGIC; Bus_RNW_reg_reg_0 : out STD_LOGIC; - \s_axi_wdata[25]\ : out STD_LOGIC; + \s_axi_wdata[27]\ : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2\ : out STD_LOGIC; - sig_tx_channel_reset_reg : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_3\ : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_1\ : out STD_LOGIC; + E : out STD_LOGIC_VECTOR ( 0 to 0 ); \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\ : out STD_LOGIC; - sig_txd_sb_wr_en : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 6 downto 0 ); \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_1\ : out STD_LOGIC; - Bus_RNW_reg_reg_1 : out STD_LOGIC_VECTOR ( 12 downto 0 ); - E : out STD_LOGIC_VECTOR ( 0 to 0 ); + sig_tx_channel_reset_reg : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_1\ : out STD_LOGIC; + \s_axi_wdata[31]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); cs_ce_clr : in STD_LOGIC; start2 : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 12 downto 0 ); - \sig_register_array_reg[0][6]\ : in STD_LOGIC; - sig_txd_sb_wr_en_reg : in STD_LOGIC; + axi_str_txd_tvalid : in STD_LOGIC; + axi_str_txd_tlast : in STD_LOGIC; + IP2Bus_Error1_in : in STD_LOGIC; sig_str_rst_reg : in STD_LOGIC; \sig_ip2bus_data_reg[10]\ : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 6 downto 0 ); @@ -3745,8 +5213,7 @@ entity design_1_axi_fifo_mm_s_0_0_address_decoder is \sig_ip2bus_data_reg[6]\ : in STD_LOGIC; \sig_ip2bus_data_reg[4]\ : in STD_LOGIC; \sig_ip2bus_data_reg[3]\ : in STD_LOGIC; - sig_txd_sb_wr_en_reg_0 : in STD_LOGIC; - IP2Bus_Error1_in : in STD_LOGIC; + IP2Bus_Error_reg : in STD_LOGIC; sig_Bus2IP_RNW : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); @@ -3760,15 +5227,14 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_address_decoder is signal \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\ : STD_LOGIC; signal \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_0\ : STD_LOGIC; signal \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\ : STD_LOGIC; - signal \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_2\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[11].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[12].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\ : STD_LOGIC; - signal \^gen_bkend_ce_registers[1].ce_out_i_reg[1]_0\ : STD_LOGIC; signal \^gen_bkend_ce_registers[1].ce_out_i_reg[1]_1\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\ : STD_LOGIC; + signal \^gen_bkend_ce_registers[4].ce_out_i_reg[4]_0\ : STD_LOGIC; signal \^gen_bkend_ce_registers[5].ce_out_i_reg[5]_0\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[7].ce_out_i_reg\ : STD_LOGIC; @@ -3790,40 +5256,41 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_address_decoder is signal \sig_ip2bus_data[3]_i_2_n_0\ : STD_LOGIC; signal \sig_register_array[1][0]_i_3_n_0\ : STD_LOGIC; signal \sig_register_array[1][0]_i_4_n_0\ : STD_LOGIC; + signal \sig_register_array[1][0]_i_5_n_0\ : STD_LOGIC; + signal \sig_register_array[1][0]_i_6_n_0\ : STD_LOGIC; signal sig_str_rst_i_3_n_0 : STD_LOGIC; - signal \^sig_tx_channel_reset_reg\ : STD_LOGIC; + signal \sig_txd_wr_data[31]_i_4_n_0\ : STD_LOGIC; signal \sig_txd_wr_data[31]_i_5_n_0\ : STD_LOGIC; - signal sig_txd_wr_en_i_3_n_0 : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of Bus_RNW_reg_i_1 : label is "soft_lutpair42"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[0]_i_2\ : label is "soft_lutpair41"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[3]_i_2\ : label is "soft_lutpair38"; - attribute SOFT_HLUTNM of \sig_register_array[1][0]_i_2\ : label is "soft_lutpair41"; - attribute SOFT_HLUTNM of \sig_register_array[1][0]_i_4\ : label is "soft_lutpair38"; - attribute SOFT_HLUTNM of \sig_register_array[1][10]_i_1\ : label is "soft_lutpair47"; - attribute SOFT_HLUTNM of \sig_register_array[1][11]_i_1\ : label is "soft_lutpair47"; - attribute SOFT_HLUTNM of \sig_register_array[1][1]_i_1\ : label is "soft_lutpair42"; - attribute SOFT_HLUTNM of \sig_register_array[1][2]_i_1\ : label is "soft_lutpair43"; - attribute SOFT_HLUTNM of \sig_register_array[1][3]_i_1\ : label is "soft_lutpair43"; - attribute SOFT_HLUTNM of \sig_register_array[1][4]_i_1\ : label is "soft_lutpair44"; - attribute SOFT_HLUTNM of \sig_register_array[1][5]_i_1\ : label is "soft_lutpair44"; - attribute SOFT_HLUTNM of \sig_register_array[1][6]_i_1\ : label is "soft_lutpair45"; - attribute SOFT_HLUTNM of \sig_register_array[1][7]_i_1\ : label is "soft_lutpair45"; - attribute SOFT_HLUTNM of \sig_register_array[1][8]_i_1\ : label is "soft_lutpair46"; - attribute SOFT_HLUTNM of \sig_register_array[1][9]_i_1\ : label is "soft_lutpair46"; - attribute SOFT_HLUTNM of sig_str_rst_i_3 : label is "soft_lutpair39"; - attribute SOFT_HLUTNM of \sig_txd_wr_data[31]_i_2\ : label is "soft_lutpair39"; - attribute SOFT_HLUTNM of \sig_txd_wr_data[31]_i_4\ : label is "soft_lutpair40"; - attribute SOFT_HLUTNM of sig_txd_wr_en_i_3 : label is "soft_lutpair40"; + attribute SOFT_HLUTNM of \sig_register_array[0][3]_i_2\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \sig_register_array[0][4]_i_2\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \sig_register_array[0][4]_i_3\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \sig_register_array[1][0]_i_3\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \sig_register_array[1][0]_i_4\ : label is "soft_lutpair20"; + attribute SOFT_HLUTNM of \sig_register_array[1][0]_i_5\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \sig_register_array[1][0]_i_6\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \sig_register_array[1][10]_i_1\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of \sig_register_array[1][11]_i_1\ : label is "soft_lutpair22"; + attribute SOFT_HLUTNM of \sig_register_array[1][12]_i_1\ : label is "soft_lutpair22"; + attribute SOFT_HLUTNM of \sig_register_array[1][1]_i_1\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \sig_register_array[1][2]_i_1\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \sig_register_array[1][3]_i_1\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \sig_register_array[1][4]_i_1\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \sig_register_array[1][5]_i_1\ : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of \sig_register_array[1][6]_i_1\ : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of \sig_register_array[1][7]_i_1\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \sig_register_array[1][8]_i_1\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \sig_register_array[1][9]_i_1\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of sig_str_rst_i_3 : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \sig_txd_wr_data[31]_i_3\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \sig_txd_wr_data[31]_i_5\ : label is "soft_lutpair20"; begin Bus_RNW_reg_reg_0 <= \^bus_rnw_reg_reg_0\; \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\ <= \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_0\; \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\ <= \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\; - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2\ <= \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_2\; - \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ <= \^gen_bkend_ce_registers[1].ce_out_i_reg[1]_0\; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1\ <= \^gen_bkend_ce_registers[1].ce_out_i_reg[1]_1\; + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ <= \^gen_bkend_ce_registers[4].ce_out_i_reg[4]_0\; \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0\ <= \^gen_bkend_ce_registers[5].ce_out_i_reg[5]_0\; - sig_tx_channel_reset_reg <= \^sig_tx_channel_reset_reg\; Bus_RNW_reg_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"B8" @@ -4019,15 +5486,16 @@ Bus_RNW_reg_reg: unisim.vcomponents.FDRE Q => sig_Bus2IP_CS, R => cs_ce_clr ); -\sig_ip2bus_data[0]_i_2\: unisim.vcomponents.LUT4 +\sig_ip2bus_data[0]_i_2\: unisim.vcomponents.LUT5 generic map( - INIT => X"FBFF" + INIT => X"FFFFFBFF" ) port map ( - I0 => \sig_register_array[1][0]_i_3_n_0\, + I0 => \sig_register_array[1][0]_i_5_n_0\, I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => \sig_register_array[1][0]_i_4_n_0\, + I2 => \sig_register_array[1][0]_i_3_n_0\, I3 => \^bus_rnw_reg_reg_0\, + I4 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, O => \^gen_bkend_ce_registers[1].ce_out_i_reg[1]_1\ ); \sig_ip2bus_data[10]_i_1\: unisim.vcomponents.LUT4 @@ -4041,18 +5509,18 @@ Bus_RNW_reg_reg: unisim.vcomponents.FDRE I3 => Q(0), O => D(0) ); -\sig_ip2bus_data[22]_i_2\: unisim.vcomponents.LUT6 +\sig_ip2bus_data[19]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFFFFFFBFFFFFFFF" + INIT => X"FFFFFFFFFFEFFFFF" ) port map ( - I0 => sig_str_rst_i_3_n_0, - I1 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, - I2 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, - I4 => \sig_register_array[1][0]_i_4_n_0\, - I5 => \^bus_rnw_reg_reg_0\, - O => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\ + I0 => \sig_register_array[1][0]_i_6_n_0\, + I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, + I2 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, + I3 => \sig_register_array[1][0]_i_3_n_0\, + I4 => \^bus_rnw_reg_reg_0\, + I5 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, + O => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ ); \sig_ip2bus_data[3]_i_1\: unisim.vcomponents.LUT4 generic map( @@ -4065,16 +5533,17 @@ Bus_RNW_reg_reg: unisim.vcomponents.FDRE I3 => Q(6), O => D(6) ); -\sig_ip2bus_data[3]_i_2\: unisim.vcomponents.LUT5 +\sig_ip2bus_data[3]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFEFFFFF" + INIT => X"FFFFFFEFFFFFFFFF" ) port map ( - I0 => \sig_register_array[1][0]_i_3_n_0\, + I0 => \sig_register_array[1][0]_i_5_n_0\, I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, I2 => \^bus_rnw_reg_reg_0\, - I3 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_0\, - I4 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, + I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, + I4 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_0\, + I5 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, O => \sig_ip2bus_data[3]_i_2_n_0\ ); \sig_ip2bus_data[4]_i_1\: unisim.vcomponents.LUT4 @@ -4132,271 +5601,256 @@ Bus_RNW_reg_reg: unisim.vcomponents.FDRE I3 => Q(1), O => D(1) ); -\sig_register_array[0][3]_i_2\: unisim.vcomponents.LUT4 +\sig_register_array[0][3]_i_2\: unisim.vcomponents.LUT5 generic map( - INIT => X"FFEF" + INIT => X"FFFFFFEF" ) port map ( I0 => sig_str_rst_i_3_n_0, I1 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_0\, I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, - I3 => \sig_register_array_reg[0][6]\, - O => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_2\ + I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, + I4 => IP2Bus_Error1_in, + O => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\ ); \sig_register_array[0][4]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( - I0 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\, - I1 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_2\, - O => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_3\ + I0 => \^gen_bkend_ce_registers[4].ce_out_i_reg[4]_0\, + I1 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\, + O => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_1\ ); -\sig_register_array[0][6]_i_2\: unisim.vcomponents.LUT6 +\sig_register_array[0][4]_i_3\: unisim.vcomponents.LUT5 generic map( - INIT => X"AEAEAEAEAEAEFFAE" + INIT => X"FFAEAEAE" ) port map ( - I0 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\, - I1 => s_axi_wdata(6), - I2 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_2\, - I3 => \^sig_tx_channel_reset_reg\, - I4 => \sig_register_array_reg[0][6]\, - I5 => sig_txd_sb_wr_en_reg, - O => \s_axi_wdata[25]\ + I0 => \^gen_bkend_ce_registers[4].ce_out_i_reg[4]_0\, + I1 => s_axi_wdata(8), + I2 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\, + I3 => axi_str_txd_tvalid, + I4 => axi_str_txd_tlast, + O => \s_axi_wdata[27]\ ); \sig_register_array[1][0]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"F0F0F0F0F0F0F0F4" + INIT => X"AAAAAAAAAAAAAAAB" ) port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\, + I0 => \^gen_bkend_ce_registers[4].ce_out_i_reg[4]_0\, + I1 => IP2Bus_Error1_in, + I2 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, I3 => \sig_register_array[1][0]_i_3_n_0\, - I4 => IP2Bus_Error1_in, - I5 => \sig_register_array[1][0]_i_4_n_0\, + I4 => \sig_register_array[1][0]_i_4_n_0\, + I5 => \sig_register_array[1][0]_i_5_n_0\, O => E(0) ); -\sig_register_array[1][0]_i_2\: unisim.vcomponents.LUT3 +\sig_register_array[1][0]_i_2\: unisim.vcomponents.LUT2 generic map( - INIT => X"40" + INIT => X"2" ) port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(12), - O => Bus_RNW_reg_reg_1(12) + I0 => s_axi_wdata(12), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(12) ); -\sig_register_array[1][0]_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"FE" - ) - port map ( - I0 => sig_str_rst_i_3_n_0, - I1 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, - I2 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, - O => \sig_register_array[1][0]_i_3_n_0\ - ); -\sig_register_array[1][0]_i_4\: unisim.vcomponents.LUT2 +\sig_register_array[1][0]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, I1 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_0\, + O => \sig_register_array[1][0]_i_3_n_0\ + ); +\sig_register_array[1][0]_i_4\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => \^bus_rnw_reg_reg_0\, + I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, O => \sig_register_array[1][0]_i_4_n_0\ ); -\sig_register_array[1][10]_i_1\: unisim.vcomponents.LUT3 +\sig_register_array[1][0]_i_5\: unisim.vcomponents.LUT2 generic map( - INIT => X"40" + INIT => X"E" ) port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(2), - O => Bus_RNW_reg_reg_1(2) + I0 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, + I1 => \sig_register_array[1][0]_i_6_n_0\, + O => \sig_register_array[1][0]_i_5_n_0\ ); -\sig_register_array[1][11]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(1), - O => Bus_RNW_reg_reg_1(1) - ); -\sig_register_array[1][12]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(0), - O => Bus_RNW_reg_reg_1(0) - ); -\sig_register_array[1][1]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(11), - O => Bus_RNW_reg_reg_1(11) - ); -\sig_register_array[1][2]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(10), - O => Bus_RNW_reg_reg_1(10) - ); -\sig_register_array[1][3]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(9), - O => Bus_RNW_reg_reg_1(9) - ); -\sig_register_array[1][4]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(8), - O => Bus_RNW_reg_reg_1(8) - ); -\sig_register_array[1][5]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(7), - O => Bus_RNW_reg_reg_1(7) - ); -\sig_register_array[1][6]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(6), - O => Bus_RNW_reg_reg_1(6) - ); -\sig_register_array[1][7]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(5), - O => Bus_RNW_reg_reg_1(5) - ); -\sig_register_array[1][8]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(4), - O => Bus_RNW_reg_reg_1(4) - ); -\sig_register_array[1][9]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(3), - O => Bus_RNW_reg_reg_1(3) - ); -sig_str_rst_i_1: unisim.vcomponents.LUT6 - generic map( - INIT => X"0000000000000400" - ) - port map ( - I0 => \^gen_bkend_ce_registers[1].ce_out_i_reg[1]_0\, - I1 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_0\, - I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, - I3 => sig_str_rst_reg, - I4 => sig_str_rst_i_3_n_0, - I5 => IP2Bus_Error1_in, - O => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\ - ); -sig_str_rst_i_3: unisim.vcomponents.LUT3 +\sig_register_array[1][0]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"FE" ) port map ( I0 => \^gen_bkend_ce_registers[5].ce_out_i_reg[5]_0\, - I1 => \sig_txd_wr_data[31]_i_5_n_0\, + I1 => \sig_txd_wr_data[31]_i_4_n_0\, I2 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, - O => sig_str_rst_i_3_n_0 + O => \sig_register_array[1][0]_i_6_n_0\ ); -sig_tx_channel_reset_i_2: unisim.vcomponents.LUT6 +\sig_register_array[1][10]_i_1\: unisim.vcomponents.LUT2 generic map( - INIT => X"0000000000000400" + INIT => X"2" ) port map ( - I0 => \sig_register_array[1][0]_i_4_n_0\, - I1 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, - I2 => \^gen_bkend_ce_registers[5].ce_out_i_reg[5]_0\, - I3 => sig_str_rst_reg, - I4 => \sig_register_array_reg[0][6]\, - I5 => \sig_txd_wr_data[31]_i_5_n_0\, - O => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\ - ); -sig_txd_sb_wr_en_i_1: unisim.vcomponents.LUT3 - generic map( - INIT => X"08" - ) - port map ( - I0 => \^sig_tx_channel_reset_reg\, - I1 => sig_txd_sb_wr_en_reg, - I2 => \^gen_bkend_ce_registers[1].ce_out_i_reg[1]_0\, - O => sig_txd_sb_wr_en - ); -\sig_txd_wr_data[31]_i_2\: unisim.vcomponents.LUT5 - generic map( - INIT => X"00000010" - ) - port map ( - I0 => sig_txd_sb_wr_en_reg_0, + I0 => s_axi_wdata(2), I1 => \sig_register_array[1][0]_i_4_n_0\, - I2 => \^gen_bkend_ce_registers[5].ce_out_i_reg[5]_0\, - I3 => \sig_txd_wr_data[31]_i_5_n_0\, - I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, - O => \^sig_tx_channel_reset_reg\ + O => \s_axi_wdata[31]\(2) ); -\sig_txd_wr_data[31]_i_4\: unisim.vcomponents.LUT4 +\sig_register_array[1][11]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(1), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(1) + ); +\sig_register_array[1][12]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(0), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(0) + ); +\sig_register_array[1][1]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(11), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(11) + ); +\sig_register_array[1][2]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(10), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(10) + ); +\sig_register_array[1][3]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(9), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(9) + ); +\sig_register_array[1][4]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(8), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(8) + ); +\sig_register_array[1][5]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(7), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(7) + ); +\sig_register_array[1][6]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(6), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(6) + ); +\sig_register_array[1][7]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(5), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(5) + ); +\sig_register_array[1][8]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(4), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(4) + ); +\sig_register_array[1][9]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(3), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(3) + ); +sig_str_rst_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000000100" + ) + port map ( + I0 => sig_str_rst_reg, + I1 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, + I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, + I3 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_0\, + I4 => sig_str_rst_i_3_n_0, + I5 => IP2Bus_Error1_in, + O => \^gen_bkend_ce_registers[4].ce_out_i_reg[4]_0\ + ); +sig_str_rst_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( - I0 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, + I0 => \sig_register_array[1][0]_i_6_n_0\, I1 => \^bus_rnw_reg_reg_0\, - I2 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, + I2 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, I3 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, - O => \^gen_bkend_ce_registers[1].ce_out_i_reg[1]_0\ + O => sig_str_rst_i_3_n_0 ); -\sig_txd_wr_data[31]_i_5\: unisim.vcomponents.LUT6 +sig_tx_channel_reset_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000000010" + ) + port map ( + I0 => sig_str_rst_reg, + I1 => IP2Bus_Error1_in, + I2 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, + I3 => \^gen_bkend_ce_registers[5].ce_out_i_reg[5]_0\, + I4 => \sig_txd_wr_data[31]_i_5_n_0\, + I5 => \sig_txd_wr_data[31]_i_4_n_0\, + O => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\ + ); +\sig_txd_wr_data[31]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00000100" + ) + port map ( + I0 => \sig_txd_wr_data[31]_i_4_n_0\, + I1 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, + I2 => IP2Bus_Error_reg, + I3 => \^gen_bkend_ce_registers[5].ce_out_i_reg[5]_0\, + I4 => \sig_txd_wr_data[31]_i_5_n_0\, + O => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_1\ + ); +\sig_txd_wr_data[31]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) @@ -4407,29 +5861,30 @@ sig_txd_sb_wr_en_i_1: unisim.vcomponents.LUT3 I3 => \GEN_BKEND_CE_REGISTERS[8].ce_out_i_reg\, I4 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg\, I5 => \GEN_BKEND_CE_REGISTERS[12].ce_out_i_reg\, - O => \sig_txd_wr_data[31]_i_5_n_0\ + O => \sig_txd_wr_data[31]_i_4_n_0\ ); -sig_txd_wr_en_i_2: unisim.vcomponents.LUT5 +\sig_txd_wr_data[31]_i_5\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( - I0 => sig_str_rst_i_3_n_0, - I1 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, - I2 => sig_txd_wr_en_i_3_n_0, - I3 => sig_txd_sb_wr_en_reg_0, - I4 => \sig_register_array[1][0]_i_4_n_0\, - O => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_1\ + I0 => \^bus_rnw_reg_reg_0\, + I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, + I2 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, + I3 => \sig_register_array[1][0]_i_3_n_0\, + I4 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, + O => \sig_txd_wr_data[31]_i_5_n_0\ ); -sig_txd_wr_en_i_3: unisim.vcomponents.LUT3 +sig_txd_wr_en_i_2: unisim.vcomponents.LUT4 generic map( - INIT => X"EF" + INIT => X"FFEF" ) port map ( - I0 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I1 => \^bus_rnw_reg_reg_0\, + I0 => sig_str_rst_i_3_n_0, + I1 => IP2Bus_Error_reg, I2 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, - O => sig_txd_wr_en_i_3_n_0 + I3 => \sig_register_array[1][0]_i_3_n_0\, + O => sig_tx_channel_reset_reg ); end STRUCTURE; library IEEE; @@ -4446,7 +5901,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is full : out STD_LOGIC; full_n : out STD_LOGIC; prog_full : out STD_LOGIC; - wr_data_count : out STD_LOGIC_VECTOR ( 9 downto 0 ); + wr_data_count : out STD_LOGIC_VECTOR ( 12 downto 0 ); overflow : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; almost_full : out STD_LOGIC; @@ -4456,7 +5911,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is dout : out STD_LOGIC_VECTOR ( 52 downto 0 ); empty : out STD_LOGIC; prog_empty : out STD_LOGIC; - rd_data_count : out STD_LOGIC_VECTOR ( 9 downto 0 ); + rd_data_count : out STD_LOGIC_VECTOR ( 12 downto 0 ); underflow : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; almost_empty : out STD_LOGIC; @@ -4507,13 +5962,13 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is attribute FIFO_MEM_TYPE : integer; attribute FIFO_MEM_TYPE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 2; attribute FIFO_READ_DEPTH : integer; - attribute FIFO_READ_DEPTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 512; + attribute FIFO_READ_DEPTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 4096; attribute FIFO_READ_LATENCY : integer; attribute FIFO_READ_LATENCY of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 0; attribute FIFO_SIZE : integer; - attribute FIFO_SIZE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 27136; + attribute FIFO_SIZE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 217088; attribute FIFO_WRITE_DEPTH : integer; - attribute FIFO_WRITE_DEPTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 512; + attribute FIFO_WRITE_DEPTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 4096; attribute FULL_RESET_VALUE : integer; attribute FULL_RESET_VALUE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 1; attribute FULL_RST_VAL : string; @@ -4523,29 +5978,29 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is attribute PE_THRESH_ADJ : integer; attribute PE_THRESH_ADJ of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 3; attribute PE_THRESH_MAX : integer; - attribute PE_THRESH_MAX of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 507; + attribute PE_THRESH_MAX of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 4091; attribute PE_THRESH_MIN : integer; attribute PE_THRESH_MIN of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 5; attribute PF_THRESH_ADJ : integer; - attribute PF_THRESH_ADJ of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 505; + attribute PF_THRESH_ADJ of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 4089; attribute PF_THRESH_MAX : integer; - attribute PF_THRESH_MAX of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 507; + attribute PF_THRESH_MAX of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 4091; attribute PF_THRESH_MIN : integer; attribute PF_THRESH_MIN of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 5; attribute PROG_EMPTY_THRESH : integer; attribute PROG_EMPTY_THRESH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 5; attribute PROG_FULL_THRESH : integer; - attribute PROG_FULL_THRESH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 507; + attribute PROG_FULL_THRESH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 4091; attribute RD_DATA_COUNT_WIDTH : integer; - attribute RD_DATA_COUNT_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 10; + attribute RD_DATA_COUNT_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 13; attribute RD_DC_WIDTH_EXT : integer; - attribute RD_DC_WIDTH_EXT of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 10; + attribute RD_DC_WIDTH_EXT of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 13; attribute RD_LATENCY : integer; attribute RD_LATENCY of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 2; attribute RD_MODE : integer; attribute RD_MODE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 1; attribute RD_PNTR_WIDTH : integer; - attribute RD_PNTR_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 9; + attribute RD_PNTR_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 12; attribute READ_DATA_WIDTH : integer; attribute READ_DATA_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 53; attribute READ_MODE : integer; @@ -4567,13 +6022,13 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is attribute WRITE_DATA_WIDTH : integer; attribute WRITE_DATA_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 53; attribute WR_DATA_COUNT_WIDTH : integer; - attribute WR_DATA_COUNT_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 10; + attribute WR_DATA_COUNT_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 13; attribute WR_DC_WIDTH_EXT : integer; - attribute WR_DC_WIDTH_EXT of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 10; + attribute WR_DC_WIDTH_EXT of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 13; attribute WR_DEPTH_LOG : integer; - attribute WR_DEPTH_LOG of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 9; + attribute WR_DEPTH_LOG of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 12; attribute WR_PNTR_WIDTH : integer; - attribute WR_PNTR_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 9; + attribute WR_PNTR_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 12; attribute WR_RD_RATIO : integer; attribute WR_RD_RATIO of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 0; attribute WR_WIDTH_LOG : integer; @@ -4597,12 +6052,13 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is signal aempty_fwft_i0 : STD_LOGIC; signal \^almost_empty\ : STD_LOGIC; signal \^almost_full\ : STD_LOGIC; + signal clr_full : STD_LOGIC; signal count_value_i : STD_LOGIC_VECTOR ( 1 to 1 ); signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal data_valid_fwft1 : STD_LOGIC; - signal diff_pntr_pe : STD_LOGIC_VECTOR ( 8 downto 0 ); - signal diff_pntr_pf_q : STD_LOGIC_VECTOR ( 9 downto 1 ); - signal diff_pntr_pf_q0 : STD_LOGIC_VECTOR ( 9 downto 1 ); + signal diff_pntr_pe : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal diff_pntr_pf_q : STD_LOGIC_VECTOR ( 12 downto 1 ); + signal diff_pntr_pf_q0 : STD_LOGIC_VECTOR ( 12 downto 1 ); signal \gen_fwft.count_rst\ : STD_LOGIC; signal \gen_fwft.empty_fwft_i_reg_n_0\ : STD_LOGIC; signal \gen_fwft.gdvld_fwft.data_valid_fwft_i_1_n_0\ : STD_LOGIC; @@ -4612,6 +6068,8 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is signal \gen_fwft.rdpp1_inst_n_3\ : STD_LOGIC; signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[0]\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[10]\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[11]\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[1]\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[2]\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[3]\ : STD_LOGIC; @@ -4620,40 +6078,69 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[6]\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[7]\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[8]\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[9]\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2_n_0\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3_n_0\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2_n_0\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3_n_0\ : STD_LOGIC; - signal going_afull1 : STD_LOGIC; - signal going_empty1 : STD_LOGIC; - signal \grdc.diff_wr_rd_pntr_rdc\ : STD_LOGIC_VECTOR ( 9 downto 0 ); + signal \grdc.diff_wr_rd_pntr_rdc\ : STD_LOGIC_VECTOR ( 12 downto 0 ); signal \grdc.rd_data_count_i0\ : STD_LOGIC; signal leaving_empty0 : STD_LOGIC; signal \next_fwft_state__0\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \p_1_in__0\ : STD_LOGIC; signal \^prog_empty\ : STD_LOGIC; signal \^prog_full\ : STD_LOGIC; signal ram_empty_i : STD_LOGIC; signal ram_empty_i0 : STD_LOGIC; - signal ram_rd_en_pf : STD_LOGIC; signal ram_rd_en_pf_q : STD_LOGIC; signal ram_wr_en_pf : STD_LOGIC; signal ram_wr_en_pf_q : STD_LOGIC; - signal rd_pntr_ext : STD_LOGIC_VECTOR ( 8 downto 0 ); + signal rd_pntr_ext : STD_LOGIC_VECTOR ( 11 downto 0 ); signal rdp_inst_n_0 : STD_LOGIC; signal rdp_inst_n_1 : STD_LOGIC; + signal rdp_inst_n_15 : STD_LOGIC; + signal rdp_inst_n_16 : STD_LOGIC; + signal rdp_inst_n_17 : STD_LOGIC; + signal rdp_inst_n_19 : STD_LOGIC; signal rdp_inst_n_2 : STD_LOGIC; - signal rdp_inst_n_3 : STD_LOGIC; + signal rdp_inst_n_20 : STD_LOGIC; + signal rdp_inst_n_21 : STD_LOGIC; + signal rdp_inst_n_22 : STD_LOGIC; + signal rdp_inst_n_23 : STD_LOGIC; + signal rdp_inst_n_24 : STD_LOGIC; + signal rdp_inst_n_25 : STD_LOGIC; + signal rdp_inst_n_26 : STD_LOGIC; + signal rdp_inst_n_27 : STD_LOGIC; + signal rdp_inst_n_28 : STD_LOGIC; + signal rdp_inst_n_29 : STD_LOGIC; + signal rdp_inst_n_30 : STD_LOGIC; + signal rdp_inst_n_31 : STD_LOGIC; signal rdp_inst_n_32 : STD_LOGIC; + signal rdp_inst_n_33 : STD_LOGIC; + signal rdpp1_inst_n_0 : STD_LOGIC; + signal rdpp1_inst_n_1 : STD_LOGIC; + signal rdpp1_inst_n_10 : STD_LOGIC; + signal rdpp1_inst_n_11 : STD_LOGIC; + signal rdpp1_inst_n_2 : STD_LOGIC; + signal rdpp1_inst_n_3 : STD_LOGIC; + signal rdpp1_inst_n_4 : STD_LOGIC; + signal rdpp1_inst_n_5 : STD_LOGIC; + signal rdpp1_inst_n_6 : STD_LOGIC; + signal rdpp1_inst_n_7 : STD_LOGIC; + signal rdpp1_inst_n_8 : STD_LOGIC; + signal rdpp1_inst_n_9 : STD_LOGIC; + signal read_only : STD_LOGIC; signal read_only_q : STD_LOGIC; signal rst_d1 : STD_LOGIC; - signal rst_d1_inst_n_1 : STD_LOGIC; signal rst_d1_inst_n_2 : STD_LOGIC; - signal wr_pntr_ext : STD_LOGIC_VECTOR ( 8 downto 0 ); + signal wr_pntr_ext : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal write_only : STD_LOGIC; signal write_only_q : STD_LOGIC; - signal wrp_inst_n_21 : STD_LOGIC; + signal wrp_inst_n_1 : STD_LOGIC; signal wrpp1_inst_n_0 : STD_LOGIC; signal wrpp1_inst_n_1 : STD_LOGIC; signal wrpp1_inst_n_10 : STD_LOGIC; + signal wrpp1_inst_n_11 : STD_LOGIC; signal wrpp1_inst_n_2 : STD_LOGIC; signal wrpp1_inst_n_3 : STD_LOGIC; signal wrpp1_inst_n_4 : STD_LOGIC; @@ -4662,29 +6149,41 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is signal wrpp1_inst_n_7 : STD_LOGIC; signal wrpp1_inst_n_8 : STD_LOGIC; signal wrpp1_inst_n_9 : STD_LOGIC; + signal wrpp2_inst_n_0 : STD_LOGIC; + signal wrpp2_inst_n_1 : STD_LOGIC; + signal wrpp2_inst_n_10 : STD_LOGIC; + signal wrpp2_inst_n_11 : STD_LOGIC; + signal wrpp2_inst_n_2 : STD_LOGIC; + signal wrpp2_inst_n_3 : STD_LOGIC; + signal wrpp2_inst_n_4 : STD_LOGIC; + signal wrpp2_inst_n_5 : STD_LOGIC; + signal wrpp2_inst_n_6 : STD_LOGIC; + signal wrpp2_inst_n_7 : STD_LOGIC; + signal wrpp2_inst_n_8 : STD_LOGIC; + signal wrpp2_inst_n_9 : STD_LOGIC; signal xpm_fifo_rst_inst_n_0 : STD_LOGIC; signal xpm_fifo_rst_inst_n_1 : STD_LOGIC; - signal xpm_fifo_rst_inst_n_3 : STD_LOGIC; - signal xpm_fifo_rst_inst_n_6 : STD_LOGIC; + signal xpm_fifo_rst_inst_n_11 : STD_LOGIC; signal xpm_fifo_rst_inst_n_7 : STD_LOGIC; signal xpm_fifo_rst_inst_n_8 : STD_LOGIC; + signal xpm_fifo_rst_inst_n_9 : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_dbiterra_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_dbiterrb_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_sbiterra_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_sbiterrb_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_douta_UNCONNECTED\ : STD_LOGIC_VECTOR ( 52 downto 0 ); attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1\ : label is "soft_lutpair3"; attribute FSM_ENCODED_STATES : string; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\ : label is "invalid:00,stage1_valid:01,both_stages_valid:10,stage2_valid:11"; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_fwft.curr_fwft_state_reg[1]\ : label is "invalid:00,stage1_valid:01,both_stages_valid:10,stage2_valid:11"; - attribute SOFT_HLUTNM of \gen_fwft.empty_fwft_i_i_1\ : label is "soft_lutpair24"; - attribute SOFT_HLUTNM of \gen_fwft.gae_fwft.aempty_fwft_i_i_1\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \gen_fwft.gdvld_fwft.data_valid_fwft_i_1\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \gen_fwft.empty_fwft_i_i_1\ : label is "soft_lutpair4"; + attribute SOFT_HLUTNM of \gen_fwft.gae_fwft.aempty_fwft_i_i_1\ : label is "soft_lutpair3"; + attribute SOFT_HLUTNM of \gen_fwft.gdvld_fwft.data_valid_fwft_i_1\ : label is "soft_lutpair4"; attribute ADDR_WIDTH_A : integer; - attribute ADDR_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 9; + attribute ADDR_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 12; attribute ADDR_WIDTH_B : integer; - attribute ADDR_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 9; + attribute ADDR_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 12; attribute AUTO_SLEEP_TIME : integer; attribute AUTO_SLEEP_TIME of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute BYTE_WRITE_WIDTH_A : integer; @@ -4707,7 +6206,7 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is attribute \MEM.ADDRESS_SPACE_DATA_MSB\ : integer; attribute \MEM.ADDRESS_SPACE_DATA_MSB\ of \gen_sdpram.xpm_memory_base_inst\ : label is 52; attribute \MEM.ADDRESS_SPACE_END\ : integer; - attribute \MEM.ADDRESS_SPACE_END\ of \gen_sdpram.xpm_memory_base_inst\ : label is 511; + attribute \MEM.ADDRESS_SPACE_END\ of \gen_sdpram.xpm_memory_base_inst\ : label is 4095; attribute \MEM.CORE_MEMORY_WIDTH\ : integer; attribute \MEM.CORE_MEMORY_WIDTH\ of \gen_sdpram.xpm_memory_base_inst\ : label is 53; attribute MEMORY_INIT_FILE : string; @@ -4719,7 +6218,7 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is attribute MEMORY_PRIMITIVE : integer; attribute MEMORY_PRIMITIVE of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute MEMORY_SIZE : integer; - attribute MEMORY_SIZE of \gen_sdpram.xpm_memory_base_inst\ : label is 27136; + attribute MEMORY_SIZE of \gen_sdpram.xpm_memory_base_inst\ : label is 217088; attribute MEMORY_TYPE : integer; attribute MEMORY_TYPE of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute MESSAGE_CONTROL : integer; @@ -4733,7 +6232,7 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is attribute P_ENABLE_BYTE_WRITE_B : integer; attribute P_ENABLE_BYTE_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_MAX_DEPTH_DATA : integer; - attribute P_MAX_DEPTH_DATA of \gen_sdpram.xpm_memory_base_inst\ : label is 512; + attribute P_MAX_DEPTH_DATA of \gen_sdpram.xpm_memory_base_inst\ : label is 4096; attribute P_MEMORY_OPT : string; attribute P_MEMORY_OPT of \gen_sdpram.xpm_memory_base_inst\ : label is "yes"; attribute P_MEMORY_PRIMITIVE : string; @@ -4773,13 +6272,13 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is attribute P_WIDTH_ADDR_LSB_WRITE_B : integer; attribute P_WIDTH_ADDR_LSB_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_READ_A : integer; - attribute P_WIDTH_ADDR_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 9; + attribute P_WIDTH_ADDR_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 12; attribute P_WIDTH_ADDR_READ_B : integer; - attribute P_WIDTH_ADDR_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 9; + attribute P_WIDTH_ADDR_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 12; attribute P_WIDTH_ADDR_WRITE_A : integer; - attribute P_WIDTH_ADDR_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 9; + attribute P_WIDTH_ADDR_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 12; attribute P_WIDTH_ADDR_WRITE_B : integer; - attribute P_WIDTH_ADDR_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 9; + attribute P_WIDTH_ADDR_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 12; attribute P_WIDTH_COL_WRITE_A : integer; attribute P_WIDTH_COL_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 53; attribute P_WIDTH_COL_WRITE_B : integer; @@ -4840,23 +6339,23 @@ begin wr_rst_busy <= \\; \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"6899" - ) - port map ( - I0 => curr_fwft_state(0), - I1 => ram_empty_i, - I2 => rd_en, - I3 => curr_fwft_state(1), - O => \next_fwft_state__0\(0) - ); -\FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"7C" + INIT => X"7883" ) port map ( I0 => rd_en, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), + I3 => ram_empty_i, + O => \next_fwft_state__0\(0) + ); +\FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"7A" + ) + port map ( + I0 => curr_fwft_state(0), + I1 => rd_en, + I2 => curr_fwft_state(1), O => \next_fwft_state__0\(1) ); \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\: unisim.vcomponents.FDRE @@ -4887,12 +6386,12 @@ GND: unisim.vcomponents.GND ); \gen_fwft.empty_fwft_i_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"BB80" + INIT => X"F380" ) port map ( - I0 => curr_fwft_state(1), + I0 => rd_en, I1 => curr_fwft_state(0), - I2 => rd_en, + I2 => curr_fwft_state(1), I3 => \gen_fwft.empty_fwft_i_reg_n_0\, O => data_valid_fwft1 ); @@ -4909,14 +6408,14 @@ GND: unisim.vcomponents.GND ); \gen_fwft.gae_fwft.aempty_fwft_i_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"A888EAAA" + INIT => X"FDDD4000" ) port map ( - I0 => \^almost_empty\, + I0 => curr_fwft_state(0), I1 => ram_empty_i, - I2 => rd_en, - I3 => curr_fwft_state(1), - I4 => curr_fwft_state(0), + I2 => curr_fwft_state(1), + I3 => rd_en, + I4 => \^almost_empty\, O => aempty_fwft_i0 ); \gen_fwft.gae_fwft.aempty_fwft_i_reg\: unisim.vcomponents.FDSE @@ -4932,12 +6431,12 @@ GND: unisim.vcomponents.GND ); \gen_fwft.gdvld_fwft.data_valid_fwft_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"447F" + INIT => X"0C7F" ) port map ( - I0 => curr_fwft_state(1), + I0 => rd_en, I1 => curr_fwft_state(0), - I2 => rd_en, + I2 => curr_fwft_state(1), I3 => \gen_fwft.empty_fwft_i_reg_n_0\, O => \gen_fwft.gdvld_fwft.data_valid_fwft_i_1_n_0\ ); @@ -4959,9 +6458,9 @@ GND: unisim.vcomponents.GND S(1) => \gen_fwft.rdpp1_inst_n_1\, S(0) => \gen_fwft.rdpp1_inst_n_2\, SR(0) => \gen_fwft.count_rst\, - \count_value_i_reg[1]_0\(1 downto 0) => curr_fwft_state(1 downto 0), - \grdc.rd_data_count_i_reg[3]\(1 downto 0) => wr_pntr_ext(1 downto 0), - \grdc.rd_data_count_i_reg[3]_0\(1 downto 0) => rd_pntr_ext(1 downto 0), + \count_value_i_reg[0]_0\(1 downto 0) => curr_fwft_state(1 downto 0), + \grdc.rd_data_count_i_reg[3]\(1 downto 0) => rd_pntr_ext(1 downto 0), + \grdc.rd_data_count_i_reg[3]_0\(1 downto 0) => wr_pntr_ext(1 downto 0), ram_empty_i => ram_empty_i, rd_en => rd_en, wr_clk => wr_clk @@ -4973,7 +6472,7 @@ GND: unisim.vcomponents.GND port map ( C => wr_clk, CE => '1', - D => rdp_inst_n_2, + D => rdp_inst_n_0, Q => \^almost_full\, S => xpm_fifo_rst_inst_n_1 ); @@ -4984,7 +6483,7 @@ GND: unisim.vcomponents.GND port map ( C => wr_clk, CE => '1', - D => rdp_inst_n_32, + D => rdp_inst_n_33, Q => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0\, S => xpm_fifo_rst_inst_n_1 ); @@ -4995,7 +6494,7 @@ GND: unisim.vcomponents.GND port map ( C => wr_clk, CE => '1', - D => rdp_inst_n_0, + D => rdp_inst_n_19, Q => full_n, R => xpm_fifo_rst_inst_n_1 ); @@ -5021,6 +6520,28 @@ GND: unisim.vcomponents.GND Q => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[0]\, R => xpm_fifo_rst_inst_n_1 ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[10]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => '1', + D => diff_pntr_pe(10), + Q => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[10]\, + R => xpm_fifo_rst_inst_n_1 + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => '1', + D => diff_pntr_pe(11), + Q => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[11]\, + R => xpm_fifo_rst_inst_n_1 + ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' @@ -5109,28 +6630,41 @@ GND: unisim.vcomponents.GND Q => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[8]\, R => xpm_fifo_rst_inst_n_1 ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2\: unisim.vcomponents.LUT4 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[9]\: unisim.vcomponents.FDRE generic map( - INIT => X"FFEF" + INIT => '0' + ) + port map ( + C => wr_clk, + CE => '1', + D => diff_pntr_pe(9), + Q => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[9]\, + R => xpm_fifo_rst_inst_n_1 + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFFFFFFFFFFFBF" ) port map ( I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[2]\, - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[5]\, - I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[0]\, - I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3_n_0\, + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[0]\, + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[1]\, + I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[5]\, + I4 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[3]\, + I5 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[4]\, O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2_n_0\ ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFFFFFFFFFFFFFFD" + INIT => X"0000000000000001" ) port map ( - I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[1]\, - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[8]\, - I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[4]\, - I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[7]\, - I4 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[3]\, - I5 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[6]\, + I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[10]\, + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[9]\, + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[11]\, + I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[6]\, + I4 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[7]\, + I5 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[8]\, O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3_n_0\ ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\: unisim.vcomponents.FDRE @@ -5148,7 +6682,7 @@ GND: unisim.vcomponents.GND port map ( C => wr_clk, CE => '1', - D => xpm_fifo_rst_inst_n_7, + D => read_only, Q => read_only_q, R => xpm_fifo_rst_inst_n_1 ); @@ -5156,10 +6690,43 @@ GND: unisim.vcomponents.GND port map ( C => wr_clk, CE => '1', - D => xpm_fifo_rst_inst_n_6, + D => write_only, Q => write_only_q, R => xpm_fifo_rst_inst_n_1 ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[10]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => '1', + D => diff_pntr_pf_q0(10), + Q => diff_pntr_pf_q(10), + R => xpm_fifo_rst_inst_n_1 + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => '1', + D => diff_pntr_pf_q0(11), + Q => diff_pntr_pf_q(11), + R => xpm_fifo_rst_inst_n_1 + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => '1', + D => diff_pntr_pf_q0(12), + Q => diff_pntr_pf_q(12), + R => xpm_fifo_rst_inst_n_1 + ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' @@ -5259,28 +6826,30 @@ GND: unisim.vcomponents.GND Q => diff_pntr_pf_q(9), R => xpm_fifo_rst_inst_n_1 ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2\: unisim.vcomponents.LUT4 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0080" + INIT => X"7FFFFFFFFFFFFFFF" ) port map ( - I0 => diff_pntr_pf_q(8), - I1 => diff_pntr_pf_q(9), - I2 => diff_pntr_pf_q(1), - I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3_n_0\, + I0 => diff_pntr_pf_q(1), + I1 => diff_pntr_pf_q(4), + I2 => diff_pntr_pf_q(5), + I3 => diff_pntr_pf_q(6), + I4 => diff_pntr_pf_q(7), + I5 => diff_pntr_pf_q(8), O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2_n_0\ ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"FDFFFFFFFFFFFFFF" + INIT => X"0400000000000000" ) port map ( - I0 => diff_pntr_pf_q(7), - I1 => diff_pntr_pf_q(2), - I2 => diff_pntr_pf_q(3), - I3 => diff_pntr_pf_q(4), - I4 => diff_pntr_pf_q(5), - I5 => diff_pntr_pf_q(6), + I0 => diff_pntr_pf_q(3), + I1 => diff_pntr_pf_q(11), + I2 => diff_pntr_pf_q(2), + I3 => diff_pntr_pf_q(12), + I4 => diff_pntr_pf_q(9), + I5 => diff_pntr_pf_q(10), O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3_n_0\ ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\: unisim.vcomponents.FDSE @@ -5290,7 +6859,7 @@ GND: unisim.vcomponents.GND port map ( C => wr_clk, CE => '1', - D => rst_d1_inst_n_1, + D => rst_d1_inst_n_2, Q => \^prog_full\, S => xpm_fifo_rst_inst_n_1 ); @@ -5301,7 +6870,7 @@ GND: unisim.vcomponents.GND port map ( C => wr_clk, CE => '1', - D => ram_rd_en_pf, + D => rdp_inst_n_1, Q => ram_rd_en_pf_q, R => xpm_fifo_rst_inst_n_1 ); @@ -5318,8 +6887,8 @@ GND: unisim.vcomponents.GND ); \gen_sdpram.xpm_memory_base_inst\: entity work.design_1_axi_fifo_mm_s_0_0_xpm_memory_base port map ( - addra(8 downto 0) => wr_pntr_ext(8 downto 0), - addrb(8 downto 0) => rd_pntr_ext(8 downto 0), + addra(11 downto 0) => wr_pntr_ext(11 downto 0), + addrb(11 downto 0) => rd_pntr_ext(11 downto 0), clka => wr_clk, clkb => '0', dbiterra => \NLW_gen_sdpram.xpm_memory_base_inst_dbiterra_UNCONNECTED\, @@ -5329,7 +6898,7 @@ GND: unisim.vcomponents.GND douta(52 downto 0) => \NLW_gen_sdpram.xpm_memory_base_inst_douta_UNCONNECTED\(52 downto 0), doutb(52 downto 0) => dout(52 downto 0), ena => '0', - enb => ram_rd_en_pf, + enb => rdp_inst_n_1, injectdbiterra => '0', injectdbiterrb => '0', injectsbiterra => '0', @@ -5346,11 +6915,11 @@ GND: unisim.vcomponents.GND ); \gen_sdpram.xpm_memory_base_inst_i_3\: unisim.vcomponents.LUT3 generic map( - INIT => X"4A" + INIT => X"2C" ) port map ( - I0 => curr_fwft_state(0), - I1 => rd_en, + I0 => rd_en, + I1 => curr_fwft_state(0), I2 => curr_fwft_state(1), O => \gen_fwft.ram_regout_en\ ); @@ -5362,6 +6931,30 @@ GND: unisim.vcomponents.GND Q => rd_data_count(0), R => \grdc.rd_data_count_i0\ ); +\grdc.rd_data_count_i_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => wr_clk, + CE => '1', + D => \grdc.diff_wr_rd_pntr_rdc\(10), + Q => rd_data_count(10), + R => \grdc.rd_data_count_i0\ + ); +\grdc.rd_data_count_i_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => wr_clk, + CE => '1', + D => \grdc.diff_wr_rd_pntr_rdc\(11), + Q => rd_data_count(11), + R => \grdc.rd_data_count_i0\ + ); +\grdc.rd_data_count_i_reg[12]\: unisim.vcomponents.FDRE + port map ( + C => wr_clk, + CE => '1', + D => \grdc.diff_wr_rd_pntr_rdc\(12), + Q => rd_data_count(12), + R => \grdc.rd_data_count_i0\ + ); \grdc.rd_data_count_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => wr_clk, @@ -5442,6 +7035,30 @@ GND: unisim.vcomponents.GND Q => wr_data_count(0), R => xpm_fifo_rst_inst_n_1 ); +\gwdc.wr_data_count_i_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => wr_clk, + CE => '1', + D => \grdc.diff_wr_rd_pntr_rdc\(10), + Q => wr_data_count(10), + R => xpm_fifo_rst_inst_n_1 + ); +\gwdc.wr_data_count_i_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => wr_clk, + CE => '1', + D => \grdc.diff_wr_rd_pntr_rdc\(11), + Q => wr_data_count(11), + R => xpm_fifo_rst_inst_n_1 + ); +\gwdc.wr_data_count_i_reg[12]\: unisim.vcomponents.FDRE + port map ( + C => wr_clk, + CE => '1', + D => \grdc.diff_wr_rd_pntr_rdc\(12), + Q => wr_data_count(12), + R => xpm_fifo_rst_inst_n_1 + ); \gwdc.wr_data_count_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => wr_clk, @@ -5517,138 +7134,220 @@ GND: unisim.vcomponents.GND rdp_inst: entity work.\design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2\ port map ( CO(0) => leaving_empty0, - D(8 downto 0) => diff_pntr_pf_q0(9 downto 1), - E(0) => ram_rd_en_pf, + DI(0) => rdp_inst_n_2, \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\ => rdp_inst_n_1, - Q(9) => rdp_inst_n_3, - Q(8 downto 0) => rd_pntr_ext(8 downto 0), - S(0) => wrpp1_inst_n_10, + Q(11 downto 0) => rd_pntr_ext(11 downto 0), + S(0) => rdp_inst_n_15, almost_full => \^almost_full\, - \count_value_i_reg[0]_0\(0) => xpm_fifo_rst_inst_n_1, - \count_value_i_reg[8]_0\(8 downto 0) => diff_pntr_pe(8 downto 0), - \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg\(0) => going_afull1, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg\ => rdp_inst_n_0, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0\ => rdp_inst_n_32, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg\ => xpm_fifo_rst_inst_n_3, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0\ => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0\, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1\ => rst_d1_inst_n_2, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2\(1 downto 0) => curr_fwft_state(1 downto 0), - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(8) => wrpp1_inst_n_0, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(7) => wrpp1_inst_n_1, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(6) => wrpp1_inst_n_2, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(5) => wrpp1_inst_n_3, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(4) => wrpp1_inst_n_4, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(3) => wrpp1_inst_n_5, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(2) => wrpp1_inst_n_6, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(1) => wrpp1_inst_n_7, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(0) => wrpp1_inst_n_8, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\(0) => xpm_fifo_rst_inst_n_8, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(7 downto 0) => wr_pntr_ext(7 downto 0), - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]\(0) => wrp_inst_n_21, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\(0) => wrpp1_inst_n_9, + clr_full => clr_full, + \count_value_i_reg[0]_0\(0) => rdp_inst_n_31, + \count_value_i_reg[0]_1\(1 downto 0) => curr_fwft_state(1 downto 0), + \count_value_i_reg[0]_2\(0) => xpm_fifo_rst_inst_n_1, + \count_value_i_reg[11]_0\(0) => rdp_inst_n_17, + \count_value_i_reg[11]_1\(3) => rdp_inst_n_27, + \count_value_i_reg[11]_1\(2) => rdp_inst_n_28, + \count_value_i_reg[11]_1\(1) => rdp_inst_n_29, + \count_value_i_reg[11]_1\(0) => rdp_inst_n_30, + \count_value_i_reg[1]_0\(0) => rdp_inst_n_16, + \count_value_i_reg[1]_1\(0) => rdp_inst_n_32, + \count_value_i_reg[3]_0\(2) => rdp_inst_n_20, + \count_value_i_reg[3]_0\(1) => rdp_inst_n_21, + \count_value_i_reg[3]_0\(0) => rdp_inst_n_22, + \count_value_i_reg[7]_0\(3) => rdp_inst_n_23, + \count_value_i_reg[7]_0\(2) => rdp_inst_n_24, + \count_value_i_reg[7]_0\(1) => rdp_inst_n_25, + \count_value_i_reg[7]_0\(0) => rdp_inst_n_26, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(11) => wrpp2_inst_n_0, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(10) => wrpp2_inst_n_1, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(9) => wrpp2_inst_n_2, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(8) => wrpp2_inst_n_3, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(7) => wrpp2_inst_n_4, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(6) => wrpp2_inst_n_5, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(5) => wrpp2_inst_n_6, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(4) => wrpp2_inst_n_7, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(3) => wrpp2_inst_n_8, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(2) => wrpp2_inst_n_9, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(1) => wrpp2_inst_n_10, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(0) => wrpp2_inst_n_11, + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg\ => rdp_inst_n_19, + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0\ => rdp_inst_n_33, + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg\ => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0\, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(11) => wrpp1_inst_n_0, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(10) => wrpp1_inst_n_1, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(9) => wrpp1_inst_n_2, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(8) => wrpp1_inst_n_3, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(7) => wrpp1_inst_n_4, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(6) => wrpp1_inst_n_5, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(5) => wrpp1_inst_n_6, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(4) => wrpp1_inst_n_7, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(3) => wrpp1_inst_n_8, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(2) => wrpp1_inst_n_9, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(1) => wrpp1_inst_n_10, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(0) => wrpp1_inst_n_11, + \grdc.rd_data_count_i_reg[12]\(12) => wrp_inst_n_1, + \grdc.rd_data_count_i_reg[12]\(11 downto 0) => wr_pntr_ext(11 downto 0), + \grdc.rd_data_count_i_reg[3]\(0) => count_value_i(1), ram_empty_i => ram_empty_i, + ram_wr_en_pf => ram_wr_en_pf, rd_en => rd_en, rst => rst, - \syncstages_ff_reg[3]\ => rdp_inst_n_2, + \syncstages_ff_reg[3]\ => rdp_inst_n_0, wr_clk => wr_clk ); rdpp1_inst: entity work.\design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3\ port map ( - CO(0) => going_empty1, - E(0) => ram_rd_en_pf, - Q(8 downto 0) => wr_pntr_ext(8 downto 0), - \count_value_i_reg[0]_0\(0) => xpm_fifo_rst_inst_n_1, - \count_value_i_reg[4]_0\ => rdp_inst_n_1, + Q(11) => rdpp1_inst_n_0, + Q(10) => rdpp1_inst_n_1, + Q(9) => rdpp1_inst_n_2, + Q(8) => rdpp1_inst_n_3, + Q(7) => rdpp1_inst_n_4, + Q(6) => rdpp1_inst_n_5, + Q(5) => rdpp1_inst_n_6, + Q(4) => rdpp1_inst_n_7, + Q(3) => rdpp1_inst_n_8, + Q(2) => rdpp1_inst_n_9, + Q(1) => rdpp1_inst_n_10, + Q(0) => rdpp1_inst_n_11, + \count_value_i_reg[0]_0\ => rdp_inst_n_1, + \count_value_i_reg[0]_1\(0) => xpm_fifo_rst_inst_n_1, wr_clk => wr_clk ); rst_d1_inst: entity work.design_1_axi_fifo_mm_s_0_0_xpm_fifo_reg_bit port map ( Q(0) => xpm_fifo_rst_inst_n_1, + clr_full => clr_full, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\ => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2_n_0\, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg\ => rst_d1_inst_n_1, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg_0\ => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3_n_0\, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_wr_en_pf_q_reg\ => rst_d1_inst_n_2, prog_full => \^prog_full\, ram_rd_en_pf_q => ram_rd_en_pf_q, ram_wr_en_pf_q => ram_wr_en_pf_q, rst => rst, rst_d1 => rst_d1, - \syncstages_ff_reg[3]\ => rst_d1_inst_n_2, wr_clk => wr_clk ); wrp_inst: entity work.\design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0\ port map ( CO(0) => leaving_empty0, - D(9 downto 0) => \grdc.diff_wr_rd_pntr_rdc\(9 downto 0), + D(12 downto 0) => \grdc.diff_wr_rd_pntr_rdc\(12 downto 0), + DI(1) => rdp_inst_n_16, DI(0) => \gen_fwft.rdpp1_inst_n_3\, - E(0) => ram_wr_en_pf, - Q(8 downto 0) => wr_pntr_ext(8 downto 0), - S(1) => \gen_fwft.rdpp1_inst_n_1\, - S(0) => \gen_fwft.rdpp1_inst_n_2\, - \count_value_i_reg[8]_0\(0) => wrp_inst_n_21, - \count_value_i_reg[9]_0\(0) => xpm_fifo_rst_inst_n_1, + Q(12) => wrp_inst_n_1, + Q(11 downto 0) => wr_pntr_ext(11 downto 0), + S(0) => xpm_fifo_rst_inst_n_7, + \count_value_i_reg[10]_0\(11 downto 0) => diff_pntr_pe(11 downto 0), + \count_value_i_reg[12]_0\(0) => xpm_fifo_rst_inst_n_1, \gen_pntr_flags_cc.ram_empty_i_reg\ => rdp_inst_n_1, - \gen_pntr_flags_cc.ram_empty_i_reg_0\ => xpm_fifo_rst_inst_n_3, - \gen_pntr_flags_cc.ram_empty_i_reg_1\(0) => going_empty1, - \grdc.rd_data_count_i_reg[3]\(0) => count_value_i(1), - \grdc.rd_data_count_i_reg[9]\(9) => rdp_inst_n_3, - \grdc.rd_data_count_i_reg[9]\(8 downto 0) => rd_pntr_ext(8 downto 0), + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(11) => rdpp1_inst_n_0, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(10) => rdpp1_inst_n_1, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(9) => rdpp1_inst_n_2, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(8) => rdpp1_inst_n_3, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(7) => rdpp1_inst_n_4, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(6) => rdpp1_inst_n_5, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(5) => rdpp1_inst_n_6, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(4) => rdpp1_inst_n_7, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(3) => rdpp1_inst_n_8, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(2) => rdpp1_inst_n_9, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(1) => rdpp1_inst_n_10, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(0) => rdpp1_inst_n_11, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]\(3) => rdp_inst_n_27, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]\(2) => rdp_inst_n_28, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]\(1) => rdp_inst_n_29, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]\(0) => rdp_inst_n_30, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\(0) => \p_1_in__0\, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0\(3) => rdp_inst_n_20, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0\(2) => rdp_inst_n_21, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0\(1) => rdp_inst_n_22, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0\(0) => xpm_fifo_rst_inst_n_11, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(3) => rdp_inst_n_23, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(2) => rdp_inst_n_24, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(1) => rdp_inst_n_25, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(0) => rdp_inst_n_26, + \grdc.rd_data_count_i_reg[11]\(9 downto 0) => rd_pntr_ext(11 downto 2), + \grdc.rd_data_count_i_reg[12]\(0) => rdp_inst_n_17, + \grdc.rd_data_count_i_reg[3]\(2) => rdp_inst_n_32, + \grdc.rd_data_count_i_reg[3]\(1) => \gen_fwft.rdpp1_inst_n_1\, + \grdc.rd_data_count_i_reg[3]\(0) => \gen_fwft.rdpp1_inst_n_2\, ram_empty_i => ram_empty_i, ram_empty_i0 => ram_empty_i0, + ram_wr_en_pf => ram_wr_en_pf, wr_clk => wr_clk ); wrpp1_inst: entity work.\design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3_1\ port map ( - E(0) => ram_wr_en_pf, - Q(8) => wrpp1_inst_n_0, - Q(7) => wrpp1_inst_n_1, - Q(6) => wrpp1_inst_n_2, - Q(5) => wrpp1_inst_n_3, - Q(4) => wrpp1_inst_n_4, - Q(3) => wrpp1_inst_n_5, - Q(2) => wrpp1_inst_n_6, - Q(1) => wrpp1_inst_n_7, - Q(0) => wrpp1_inst_n_8, - S(0) => wrpp1_inst_n_10, + D(11 downto 0) => diff_pntr_pf_q0(12 downto 1), + DI(0) => rdp_inst_n_2, + Q(11) => wrpp1_inst_n_0, + Q(10) => wrpp1_inst_n_1, + Q(9) => wrpp1_inst_n_2, + Q(8) => wrpp1_inst_n_3, + Q(7) => wrpp1_inst_n_4, + Q(6) => wrpp1_inst_n_5, + Q(5) => wrpp1_inst_n_6, + Q(4) => wrpp1_inst_n_7, + Q(3) => wrpp1_inst_n_8, + Q(2) => wrpp1_inst_n_9, + Q(1) => wrpp1_inst_n_10, + Q(0) => wrpp1_inst_n_11, + S(0) => xpm_fifo_rst_inst_n_8, \count_value_i_reg[0]_0\(0) => xpm_fifo_rst_inst_n_1, - \count_value_i_reg[4]_0\ => xpm_fifo_rst_inst_n_3, - \count_value_i_reg[8]_0\(0) => wrpp1_inst_n_9, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]\ => rdp_inst_n_1, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\(2 downto 1) => rd_pntr_ext(8 downto 7), - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\(0) => rd_pntr_ext(0), + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(0) => rdp_inst_n_15, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(10 downto 0) => rd_pntr_ext(10 downto 0), + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]\(0) => rdp_inst_n_31, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_0\ => rdp_inst_n_1, + ram_wr_en_pf => ram_wr_en_pf, wr_clk => wr_clk ); wrpp2_inst: entity work.\design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized0\ port map ( - E(0) => ram_wr_en_pf, - Q(8 downto 0) => rd_pntr_ext(8 downto 0), + Q(11) => wrpp2_inst_n_0, + Q(10) => wrpp2_inst_n_1, + Q(9) => wrpp2_inst_n_2, + Q(8) => wrpp2_inst_n_3, + Q(7) => wrpp2_inst_n_4, + Q(6) => wrpp2_inst_n_5, + Q(5) => wrpp2_inst_n_6, + Q(4) => wrpp2_inst_n_7, + Q(3) => wrpp2_inst_n_8, + Q(2) => wrpp2_inst_n_9, + Q(1) => wrpp2_inst_n_10, + Q(0) => wrpp2_inst_n_11, + S(0) => xpm_fifo_rst_inst_n_9, \count_value_i_reg[0]_0\(0) => xpm_fifo_rst_inst_n_1, - \count_value_i_reg[4]_0\ => xpm_fifo_rst_inst_n_3, - \count_value_i_reg[7]_0\(0) => going_afull1, + ram_wr_en_pf => ram_wr_en_pf, wr_clk => wr_clk ); xpm_fifo_rst_inst: entity work.design_1_axi_fifo_mm_s_0_0_xpm_fifo_rst port map ( - E(0) => ram_wr_en_pf, Q(0) => xpm_fifo_rst_inst_n_1, - SR(0) => \gen_fwft.count_rst\, - \count_value_i_reg[1]\(1 downto 0) => curr_fwft_state(1 downto 0), - \gen_fwft.empty_fwft_i_reg\ => xpm_fifo_rst_inst_n_6, - \gen_fwft.empty_fwft_i_reg_0\ => xpm_fifo_rst_inst_n_7, - \gen_fwft.empty_fwft_i_reg_1\(0) => xpm_fifo_rst_inst_n_8, + S(0) => xpm_fifo_rst_inst_n_7, + SR(0) => \grdc.rd_data_count_i0\, + \count_value_i_reg[0]\(0) => xpm_fifo_rst_inst_n_8, + \count_value_i_reg[0]_0\(0) => xpm_fifo_rst_inst_n_9, + \count_value_i_reg[3]\(0) => wr_pntr_ext(0), + \count_value_i_reg[3]_0\(0) => wrpp1_inst_n_11, + \count_value_i_reg[3]_1\(0) => wrpp2_inst_n_11, + \gen_fwft.empty_fwft_i_reg\(0) => \p_1_in__0\, + \gen_fwft.empty_fwft_i_reg_0\(0) => xpm_fifo_rst_inst_n_11, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\(0) => rd_pntr_ext(0), \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\ => xpm_fifo_rst_inst_n_0, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0\ => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2_n_0\, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_1\ => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3_n_0\, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg\ => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0\, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\ => rdp_inst_n_1, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\ => \gen_fwft.empty_fwft_i_reg_n_0\, - \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\ => xpm_fifo_rst_inst_n_3, - \gen_rst_cc.fifo_wr_rst_cc_reg[2]_1\(0) => \grdc.rd_data_count_i0\, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\ => \gen_fwft.empty_fwft_i_reg_n_0\, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\ => rdp_inst_n_1, + \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\(0) => \gen_fwft.count_rst\, + \grdc.rd_data_count_i_reg[0]\(1 downto 0) => curr_fwft_state(1 downto 0), prog_empty => \^prog_empty\, ram_empty_i => ram_empty_i, + ram_wr_en_pf => ram_wr_en_pf, + read_only => read_only, read_only_q => read_only_q, rst => rst, rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, + write_only => write_only, write_only_q => write_only_q ); end STRUCTURE; @@ -5666,23 +7365,21 @@ entity design_1_axi_fifo_mm_s_0_0_slave_attachment is s_axi_rvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 0 to 0 ); - \s_axi_wdata[25]\ : out STD_LOGIC; + \s_axi_wdata[27]\ : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\ : out STD_LOGIC; - sig_tx_channel_reset_reg : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2\ : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ : out STD_LOGIC; + E : out STD_LOGIC_VECTOR ( 0 to 0 ); bus2ip_rnw_i_reg_0 : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\ : out STD_LOGIC; - sig_txd_sb_wr_en : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 6 downto 0 ); \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\ : out STD_LOGIC; + sig_tx_channel_reset_reg : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\ : out STD_LOGIC; + \s_axi_wdata[31]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); bus2ip_rnw_i_reg_1 : out STD_LOGIC; - Bus_RNW_reg_reg_0 : out STD_LOGIC_VECTOR ( 12 downto 0 ); - E : out STD_LOGIC_VECTOR ( 0 to 0 ); - s_axi_rdata : out STD_LOGIC_VECTOR ( 21 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 24 downto 0 ); sig_Bus2IP_Reset : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; cs_ce_clr : in STD_LOGIC; @@ -5693,8 +7390,8 @@ entity design_1_axi_fifo_mm_s_0_0_slave_attachment is s_axi_wvalid : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 12 downto 0 ); - \sig_register_array_reg[0][6]\ : in STD_LOGIC; - sig_txd_sb_wr_en_reg : in STD_LOGIC; + axi_str_txd_tvalid : in STD_LOGIC; + axi_str_txd_tlast : in STD_LOGIC; IP2Bus_Error1_in : in STD_LOGIC; sig_str_rst_reg : in STD_LOGIC; \sig_ip2bus_data_reg[10]\ : in STD_LOGIC; @@ -5705,12 +7402,12 @@ entity design_1_axi_fifo_mm_s_0_0_slave_attachment is \sig_ip2bus_data_reg[6]\ : in STD_LOGIC; \sig_ip2bus_data_reg[4]\ : in STD_LOGIC; \sig_ip2bus_data_reg[3]\ : in STD_LOGIC; - sig_txd_sb_wr_en_reg_0 : in STD_LOGIC; + IP2Bus_Error_reg : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \s_axi_rdata_i_reg[31]_0\ : in STD_LOGIC_VECTOR ( 21 downto 0 ) + \s_axi_rdata_i_reg[31]_0\ : in STD_LOGIC_VECTOR ( 24 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of design_1_axi_fifo_mm_s_0_0_slave_attachment : entity is "slave_attachment"; @@ -5752,10 +7449,10 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_slave_attachment is attribute FSM_ENCODED_STATES of \FSM_onehot_state_reg[2]\ : label is "sm_read:1000,sm_write:0100,sm_resp:0001,sm_idle:0010"; attribute FSM_ENCODED_STATES of \FSM_onehot_state_reg[3]\ : label is "sm_read:1000,sm_write:0100,sm_resp:0001,sm_idle:0010"; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of IP2Bus_RdAck_i_2 : label is "soft_lutpair49"; - attribute SOFT_HLUTNM of IP2Bus_WrAck_i_2 : label is "soft_lutpair49"; - attribute SOFT_HLUTNM of \bus2ip_addr_i[2]_i_1\ : label is "soft_lutpair48"; - attribute SOFT_HLUTNM of bus2ip_rnw_i_i_2 : label is "soft_lutpair48"; + attribute SOFT_HLUTNM of IP2Bus_RdAck_i_2 : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of IP2Bus_WrAck_i_2 : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \bus2ip_addr_i[2]_i_1\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of bus2ip_rnw_i_i_2 : label is "soft_lutpair28"; begin s_axi_bresp(0) <= \^s_axi_bresp\(0); s_axi_bvalid <= \^s_axi_bvalid\; @@ -5885,29 +7582,31 @@ IP2Bus_WrAck_i_2: unisim.vcomponents.LUT1 I_DECODER: entity work.design_1_axi_fifo_mm_s_0_0_address_decoder port map ( Bus_RNW_reg_reg_0 => Bus_RNW_reg_reg, - Bus_RNW_reg_reg_1(12 downto 0) => Bus_RNW_reg_reg_0(12 downto 0), D(6 downto 0) => D(6 downto 0), E(0) => E(0), \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\ => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]\, \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\ => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2\ => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_3\ => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\ => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\ => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]\, - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_1\ => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\, + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_1\ => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\, + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\, + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_1\ => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0\ => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, \GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0\(3) => \bus2ip_addr_i_reg_n_0_[5]\, \GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0\(2) => \bus2ip_addr_i_reg_n_0_[4]\, \GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0\(1) => \bus2ip_addr_i_reg_n_0_[3]\, \GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0\(0) => \bus2ip_addr_i_reg_n_0_[2]\, IP2Bus_Error1_in => IP2Bus_Error1_in, + IP2Bus_Error_reg => IP2Bus_Error_reg, Q(6 downto 0) => Q(6 downto 0), + axi_str_txd_tlast => axi_str_txd_tlast, + axi_str_txd_tvalid => axi_str_txd_tvalid, cs_ce_clr => cs_ce_clr, s_axi_aclk => s_axi_aclk, s_axi_wdata(12 downto 0) => s_axi_wdata(12 downto 0), - \s_axi_wdata[25]\ => \s_axi_wdata[25]\, + \s_axi_wdata[27]\ => \s_axi_wdata[27]\, + \s_axi_wdata[31]\(12 downto 0) => \s_axi_wdata[31]\(12 downto 0), sig_Bus2IP_CS => sig_Bus2IP_CS, sig_Bus2IP_RNW => sig_Bus2IP_RNW, \sig_ip2bus_data_reg[10]\ => \sig_ip2bus_data_reg[10]\, @@ -5917,12 +7616,8 @@ I_DECODER: entity work.design_1_axi_fifo_mm_s_0_0_address_decoder \sig_ip2bus_data_reg[7]\ => \sig_ip2bus_data_reg[7]\, \sig_ip2bus_data_reg[8]\ => \sig_ip2bus_data_reg[8]\, \sig_ip2bus_data_reg[9]\ => \sig_ip2bus_data_reg[9]\, - \sig_register_array_reg[0][6]\ => \sig_register_array_reg[0][6]\, sig_str_rst_reg => sig_str_rst_reg, sig_tx_channel_reset_reg => sig_tx_channel_reset_reg, - sig_txd_sb_wr_en => sig_txd_sb_wr_en, - sig_txd_sb_wr_en_reg => sig_txd_sb_wr_en_reg, - sig_txd_sb_wr_en_reg_0 => sig_txd_sb_wr_en_reg_0, start2 => start2 ); \bus2ip_addr_i[2]_i_1\: unisim.vcomponents.LUT4 @@ -6080,7 +7775,7 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => \^s_axi_bvalid\, R => rst ); -\s_axi_rdata_i_reg[19]\: unisim.vcomponents.FDRE +\s_axi_rdata_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) @@ -6091,6 +7786,39 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => s_axi_rdata(9), R => rst ); +\s_axi_rdata_i_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => s_axi_rresp_i, + D => \s_axi_rdata_i_reg[31]_0\(10), + Q => s_axi_rdata(10), + R => rst + ); +\s_axi_rdata_i_reg[12]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => s_axi_rresp_i, + D => \s_axi_rdata_i_reg[31]_0\(11), + Q => s_axi_rdata(11), + R => rst + ); +\s_axi_rdata_i_reg[19]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => s_axi_rresp_i, + D => \s_axi_rdata_i_reg[31]_0\(12), + Q => s_axi_rdata(12), + R => rst + ); \s_axi_rdata_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' @@ -6103,39 +7831,6 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE R => rst ); \s_axi_rdata_i_reg[20]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => s_axi_aclk, - CE => s_axi_rresp_i, - D => \s_axi_rdata_i_reg[31]_0\(10), - Q => s_axi_rdata(10), - R => rst - ); -\s_axi_rdata_i_reg[21]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => s_axi_aclk, - CE => s_axi_rresp_i, - D => \s_axi_rdata_i_reg[31]_0\(11), - Q => s_axi_rdata(11), - R => rst - ); -\s_axi_rdata_i_reg[22]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => s_axi_aclk, - CE => s_axi_rresp_i, - D => \s_axi_rdata_i_reg[31]_0\(12), - Q => s_axi_rdata(12), - R => rst - ); -\s_axi_rdata_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) @@ -6146,7 +7841,7 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => s_axi_rdata(13), R => rst ); -\s_axi_rdata_i_reg[24]\: unisim.vcomponents.FDRE +\s_axi_rdata_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) @@ -6157,7 +7852,7 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => s_axi_rdata(14), R => rst ); -\s_axi_rdata_i_reg[25]\: unisim.vcomponents.FDRE +\s_axi_rdata_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) @@ -6168,7 +7863,7 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => s_axi_rdata(15), R => rst ); -\s_axi_rdata_i_reg[26]\: unisim.vcomponents.FDRE +\s_axi_rdata_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) @@ -6179,7 +7874,7 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => s_axi_rdata(16), R => rst ); -\s_axi_rdata_i_reg[27]\: unisim.vcomponents.FDRE +\s_axi_rdata_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) @@ -6190,7 +7885,7 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => s_axi_rdata(17), R => rst ); -\s_axi_rdata_i_reg[28]\: unisim.vcomponents.FDRE +\s_axi_rdata_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) @@ -6201,7 +7896,7 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => s_axi_rdata(18), R => rst ); -\s_axi_rdata_i_reg[29]\: unisim.vcomponents.FDRE +\s_axi_rdata_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) @@ -6212,6 +7907,39 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => s_axi_rdata(19), R => rst ); +\s_axi_rdata_i_reg[27]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => s_axi_rresp_i, + D => \s_axi_rdata_i_reg[31]_0\(20), + Q => s_axi_rdata(20), + R => rst + ); +\s_axi_rdata_i_reg[28]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => s_axi_rresp_i, + D => \s_axi_rdata_i_reg[31]_0\(21), + Q => s_axi_rdata(21), + R => rst + ); +\s_axi_rdata_i_reg[29]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => s_axi_rresp_i, + D => \s_axi_rdata_i_reg[31]_0\(22), + Q => s_axi_rdata(22), + R => rst + ); \s_axi_rdata_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' @@ -6230,8 +7958,8 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => s_axi_rresp_i, - D => \s_axi_rdata_i_reg[31]_0\(20), - Q => s_axi_rdata(20), + D => \s_axi_rdata_i_reg[31]_0\(23), + Q => s_axi_rdata(23), R => rst ); \s_axi_rdata_i_reg[31]\: unisim.vcomponents.FDRE @@ -6241,8 +7969,8 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => s_axi_rresp_i, - D => \s_axi_rdata_i_reg[31]_0\(21), - Q => s_axi_rdata(21), + D => \s_axi_rdata_i_reg[31]_0\(24), + Q => s_axi_rdata(24), R => rst ); \s_axi_rdata_i_reg[3]\: unisim.vcomponents.FDRE @@ -6403,10 +8131,10 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is m_axis_tdest : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); prog_full_axis : out STD_LOGIC; - wr_data_count_axis : out STD_LOGIC_VECTOR ( 9 downto 0 ); + wr_data_count_axis : out STD_LOGIC_VECTOR ( 12 downto 0 ); almost_full_axis : out STD_LOGIC; prog_empty_axis : out STD_LOGIC; - rd_data_count_axis : out STD_LOGIC_VECTOR ( 9 downto 0 ); + rd_data_count_axis : out STD_LOGIC_VECTOR ( 12 downto 0 ); almost_empty_axis : out STD_LOGIC; injectsbiterr_axis : in STD_LOGIC; injectdbiterr_axis : in STD_LOGIC; @@ -6436,11 +8164,11 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute EN_DATA_VALID_INT : string; attribute EN_DATA_VALID_INT of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is "1'b1"; attribute FIFO_DEPTH : integer; - attribute FIFO_DEPTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 512; + attribute FIFO_DEPTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 4096; attribute FIFO_MEMORY_TYPE : string; attribute FIFO_MEMORY_TYPE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is "BRAM"; attribute LOG_DEPTH_AXIS : integer; - attribute LOG_DEPTH_AXIS of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 9; + attribute LOG_DEPTH_AXIS of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 12; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is "xpm_fifo_axis"; attribute PACKET_FIFO : string; @@ -6450,7 +8178,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute PROG_EMPTY_THRESH : integer; attribute PROG_EMPTY_THRESH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 5; attribute PROG_FULL_THRESH : integer; - attribute PROG_FULL_THRESH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 507; + attribute PROG_FULL_THRESH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 4091; attribute P_COMMON_CLOCK : integer; attribute P_COMMON_CLOCK of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 1; attribute P_ECC_MODE : integer; @@ -6460,7 +8188,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute P_PKT_MODE : integer; attribute P_PKT_MODE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 1; attribute RD_DATA_COUNT_WIDTH : integer; - attribute RD_DATA_COUNT_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 10; + attribute RD_DATA_COUNT_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 13; attribute RELATED_CLOCKS : integer; attribute RELATED_CLOCKS of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 0; attribute SIM_ASSERT_CHK : integer; @@ -6492,7 +8220,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute USE_ADV_FEATURES_INT : integer; attribute USE_ADV_FEATURES_INT of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 826617925; attribute WR_DATA_COUNT_WIDTH : integer; - attribute WR_DATA_COUNT_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 10; + attribute WR_DATA_COUNT_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 13; attribute XPM_MODULE : string; attribute XPM_MODULE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is "TRUE"; attribute dont_touch : string; @@ -6689,7 +8417,7 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute ADDER_THRESHOLD of \gaxis_pkt_fifo_cc.axis_pkt_cnt_reg[4]_i_1\ : label is 11; attribute ADDER_THRESHOLD of \gaxis_pkt_fifo_cc.axis_pkt_cnt_reg[8]_i_1\ : label is 11; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \gaxis_pkt_fifo_cc.axis_pkt_read_i_1\ : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of \gaxis_pkt_fifo_cc.axis_pkt_read_i_1\ : label is "soft_lutpair5"; attribute COMPARATOR_THRESHOLD : integer; attribute COMPARATOR_THRESHOLD of \gaxis_pkt_fifo_cc.axis_pkt_read_reg_i_15\ : label is 6; attribute COMPARATOR_THRESHOLD of \gaxis_pkt_fifo_cc.axis_pkt_read_reg_i_2\ : label is 6; @@ -6711,7 +8439,7 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute XPM_CDC : string; attribute XPM_CDC of \gaxis_rst_sync.xpm_cdc_sync_rst_inst\ : label is "SYNC_RST"; attribute XPM_MODULE of \gaxis_rst_sync.xpm_cdc_sync_rst_inst\ : label is "TRUE"; - attribute SOFT_HLUTNM of m_axis_tvalid_INST_0 : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of m_axis_tvalid_INST_0 : label is "soft_lutpair5"; attribute CASCADE_HEIGHT of xpm_fifo_base_inst : label is 0; attribute CDC_DEST_SYNC_FF : integer; attribute CDC_DEST_SYNC_FF of xpm_fifo_base_inst : label is 2; @@ -6752,13 +8480,13 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute FIFO_MEM_TYPE : integer; attribute FIFO_MEM_TYPE of xpm_fifo_base_inst : label is 2; attribute FIFO_READ_DEPTH : integer; - attribute FIFO_READ_DEPTH of xpm_fifo_base_inst : label is 512; + attribute FIFO_READ_DEPTH of xpm_fifo_base_inst : label is 4096; attribute FIFO_READ_LATENCY : integer; attribute FIFO_READ_LATENCY of xpm_fifo_base_inst : label is 0; attribute FIFO_SIZE : integer; - attribute FIFO_SIZE of xpm_fifo_base_inst : label is 27136; + attribute FIFO_SIZE of xpm_fifo_base_inst : label is 217088; attribute FIFO_WRITE_DEPTH : integer; - attribute FIFO_WRITE_DEPTH of xpm_fifo_base_inst : label is 512; + attribute FIFO_WRITE_DEPTH of xpm_fifo_base_inst : label is 4096; attribute FULL_RESET_VALUE : integer; attribute FULL_RESET_VALUE of xpm_fifo_base_inst : label is 1; attribute FULL_RST_VAL : string; @@ -6767,26 +8495,26 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute PE_THRESH_ADJ : integer; attribute PE_THRESH_ADJ of xpm_fifo_base_inst : label is 3; attribute PE_THRESH_MAX : integer; - attribute PE_THRESH_MAX of xpm_fifo_base_inst : label is 507; + attribute PE_THRESH_MAX of xpm_fifo_base_inst : label is 4091; attribute PE_THRESH_MIN : integer; attribute PE_THRESH_MIN of xpm_fifo_base_inst : label is 5; attribute PF_THRESH_ADJ : integer; - attribute PF_THRESH_ADJ of xpm_fifo_base_inst : label is 505; + attribute PF_THRESH_ADJ of xpm_fifo_base_inst : label is 4089; attribute PF_THRESH_MAX : integer; - attribute PF_THRESH_MAX of xpm_fifo_base_inst : label is 507; + attribute PF_THRESH_MAX of xpm_fifo_base_inst : label is 4091; attribute PF_THRESH_MIN : integer; attribute PF_THRESH_MIN of xpm_fifo_base_inst : label is 5; attribute PROG_EMPTY_THRESH of xpm_fifo_base_inst : label is 5; - attribute PROG_FULL_THRESH of xpm_fifo_base_inst : label is 507; - attribute RD_DATA_COUNT_WIDTH of xpm_fifo_base_inst : label is 10; + attribute PROG_FULL_THRESH of xpm_fifo_base_inst : label is 4091; + attribute RD_DATA_COUNT_WIDTH of xpm_fifo_base_inst : label is 13; attribute RD_DC_WIDTH_EXT : integer; - attribute RD_DC_WIDTH_EXT of xpm_fifo_base_inst : label is 10; + attribute RD_DC_WIDTH_EXT of xpm_fifo_base_inst : label is 13; attribute RD_LATENCY : integer; attribute RD_LATENCY of xpm_fifo_base_inst : label is 2; attribute RD_MODE : integer; attribute RD_MODE of xpm_fifo_base_inst : label is 1; attribute RD_PNTR_WIDTH : integer; - attribute RD_PNTR_WIDTH of xpm_fifo_base_inst : label is 9; + attribute RD_PNTR_WIDTH of xpm_fifo_base_inst : label is 12; attribute READ_DATA_WIDTH : integer; attribute READ_DATA_WIDTH of xpm_fifo_base_inst : label is 53; attribute READ_MODE : integer; @@ -6804,13 +8532,13 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute WIDTH_RATIO of xpm_fifo_base_inst : label is 1; attribute WRITE_DATA_WIDTH : integer; attribute WRITE_DATA_WIDTH of xpm_fifo_base_inst : label is 53; - attribute WR_DATA_COUNT_WIDTH of xpm_fifo_base_inst : label is 10; + attribute WR_DATA_COUNT_WIDTH of xpm_fifo_base_inst : label is 13; attribute WR_DC_WIDTH_EXT : integer; - attribute WR_DC_WIDTH_EXT of xpm_fifo_base_inst : label is 10; + attribute WR_DC_WIDTH_EXT of xpm_fifo_base_inst : label is 13; attribute WR_DEPTH_LOG : integer; - attribute WR_DEPTH_LOG of xpm_fifo_base_inst : label is 9; + attribute WR_DEPTH_LOG of xpm_fifo_base_inst : label is 12; attribute WR_PNTR_WIDTH : integer; - attribute WR_PNTR_WIDTH of xpm_fifo_base_inst : label is 9; + attribute WR_PNTR_WIDTH of xpm_fifo_base_inst : label is 12; attribute WR_RD_RATIO : integer; attribute WR_RD_RATIO of xpm_fifo_base_inst : label is 0; attribute WR_WIDTH_LOG : integer; @@ -8121,7 +9849,7 @@ xpm_fifo_base_inst: entity work.design_1_axi_fifo_mm_s_0_0_xpm_fifo_base prog_empty => prog_empty_axis, prog_full => prog_full_axis, rd_clk => '0', - rd_data_count(9 downto 0) => rd_data_count_axis(9 downto 0), + rd_data_count(12 downto 0) => rd_data_count_axis(12 downto 0), rd_en => axis_rd_eop1, rd_rst_busy => NLW_xpm_fifo_base_inst_rd_rst_busy_UNCONNECTED, rst => rst_axis, @@ -8130,7 +9858,7 @@ xpm_fifo_base_inst: entity work.design_1_axi_fifo_mm_s_0_0_xpm_fifo_base underflow => NLW_xpm_fifo_base_inst_underflow_UNCONNECTED, wr_ack => NLW_xpm_fifo_base_inst_wr_ack_UNCONNECTED, wr_clk => s_aclk, - wr_data_count(9 downto 0) => wr_data_count_axis(9 downto 0), + wr_data_count(12 downto 0) => wr_data_count_axis(12 downto 0), wr_en => s_axis_tvalid, wr_rst_busy => NLW_xpm_fifo_base_inst_wr_rst_busy_UNCONNECTED ); @@ -8159,23 +9887,21 @@ entity design_1_axi_fifo_mm_s_0_0_axi_lite_ipif is s_axi_rvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 0 to 0 ); - \s_axi_wdata[25]\ : out STD_LOGIC; + \s_axi_wdata[27]\ : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\ : out STD_LOGIC; - sig_tx_channel_reset_reg : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\ : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ : out STD_LOGIC; + E : out STD_LOGIC_VECTOR ( 0 to 0 ); bus2ip_rnw_i_reg : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\ : out STD_LOGIC; - sig_txd_sb_wr_en : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 6 downto 0 ); \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\ : out STD_LOGIC; + sig_tx_channel_reset_reg : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\ : out STD_LOGIC; + \s_axi_wdata[31]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); bus2ip_rnw_i_reg_0 : out STD_LOGIC; - Bus_RNW_reg_reg : out STD_LOGIC_VECTOR ( 12 downto 0 ); - E : out STD_LOGIC_VECTOR ( 0 to 0 ); - s_axi_rdata : out STD_LOGIC_VECTOR ( 21 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 24 downto 0 ); sig_Bus2IP_Reset : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; cs_ce_clr : in STD_LOGIC; @@ -8186,8 +9912,8 @@ entity design_1_axi_fifo_mm_s_0_0_axi_lite_ipif is s_axi_wvalid : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 12 downto 0 ); - \sig_register_array_reg[0][6]\ : in STD_LOGIC; - sig_txd_sb_wr_en_reg : in STD_LOGIC; + axi_str_txd_tvalid : in STD_LOGIC; + axi_str_txd_tlast : in STD_LOGIC; IP2Bus_Error1_in : in STD_LOGIC; sig_str_rst_reg : in STD_LOGIC; \sig_ip2bus_data_reg[10]\ : in STD_LOGIC; @@ -8198,12 +9924,12 @@ entity design_1_axi_fifo_mm_s_0_0_axi_lite_ipif is \sig_ip2bus_data_reg[6]\ : in STD_LOGIC; \sig_ip2bus_data_reg[4]\ : in STD_LOGIC; \sig_ip2bus_data_reg[3]\ : in STD_LOGIC; - sig_txd_sb_wr_en_reg_0 : in STD_LOGIC; + IP2Bus_Error_reg : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \s_axi_rdata_i_reg[31]\ : in STD_LOGIC_VECTOR ( 21 downto 0 ) + \s_axi_rdata_i_reg[31]\ : in STD_LOGIC_VECTOR ( 24 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of design_1_axi_fifo_mm_s_0_0_axi_lite_ipif : entity is "axi_lite_ipif"; @@ -8214,23 +9940,24 @@ begin I_SLAVE_ATTACHMENT: entity work.design_1_axi_fifo_mm_s_0_0_slave_attachment port map ( Bus_RNW_reg_reg => Bus_RNW_reg, - Bus_RNW_reg_reg_0(12 downto 0) => Bus_RNW_reg_reg(12 downto 0), D(6 downto 0) => D(6 downto 0), E(0) => E(0), \FSM_onehot_state_reg[2]_0\ => \FSM_onehot_state_reg[2]\, \FSM_onehot_state_reg[3]_0\ => \FSM_onehot_state_reg[3]\, \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]\ => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\ => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]\, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\ => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2\ => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\ => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]\ => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]\, - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\ => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\, + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\ => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\, + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\, + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\ => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, IP2Bus_Error1_in => IP2Bus_Error1_in, + IP2Bus_Error_reg => IP2Bus_Error_reg, Q(6 downto 0) => Q(6 downto 0), + axi_str_txd_tlast => axi_str_txd_tlast, + axi_str_txd_tvalid => axi_str_txd_tvalid, bus2ip_rnw_i_reg_0 => bus2ip_rnw_i_reg, bus2ip_rnw_i_reg_1 => bus2ip_rnw_i_reg_0, cs_ce_clr => cs_ce_clr, @@ -8243,13 +9970,14 @@ I_SLAVE_ATTACHMENT: entity work.design_1_axi_fifo_mm_s_0_0_slave_attachment s_axi_bready => s_axi_bready, s_axi_bresp(0) => s_axi_bresp(0), s_axi_bvalid => s_axi_bvalid, - s_axi_rdata(21 downto 0) => s_axi_rdata(21 downto 0), - \s_axi_rdata_i_reg[31]_0\(21 downto 0) => \s_axi_rdata_i_reg[31]\(21 downto 0), + s_axi_rdata(24 downto 0) => s_axi_rdata(24 downto 0), + \s_axi_rdata_i_reg[31]_0\(24 downto 0) => \s_axi_rdata_i_reg[31]\(24 downto 0), s_axi_rready => s_axi_rready, s_axi_rresp(0) => s_axi_rresp(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(12 downto 0) => s_axi_wdata(12 downto 0), - \s_axi_wdata[25]\ => \s_axi_wdata[25]\, + \s_axi_wdata[27]\ => \s_axi_wdata[27]\, + \s_axi_wdata[31]\(12 downto 0) => \s_axi_wdata[31]\(12 downto 0), s_axi_wvalid => s_axi_wvalid, sig_Bus2IP_CS => sig_Bus2IP_CS, sig_Bus2IP_Reset => sig_Bus2IP_Reset, @@ -8260,12 +9988,8 @@ I_SLAVE_ATTACHMENT: entity work.design_1_axi_fifo_mm_s_0_0_slave_attachment \sig_ip2bus_data_reg[7]\ => \sig_ip2bus_data_reg[7]\, \sig_ip2bus_data_reg[8]\ => \sig_ip2bus_data_reg[8]\, \sig_ip2bus_data_reg[9]\ => \sig_ip2bus_data_reg[9]\, - \sig_register_array_reg[0][6]\ => \sig_register_array_reg[0][6]\, sig_str_rst_reg => sig_str_rst_reg, - sig_tx_channel_reset_reg => sig_tx_channel_reset_reg, - sig_txd_sb_wr_en => sig_txd_sb_wr_en, - sig_txd_sb_wr_en_reg => sig_txd_sb_wr_en_reg, - sig_txd_sb_wr_en_reg_0 => sig_txd_sb_wr_en_reg_0 + sig_tx_channel_reset_reg => sig_tx_channel_reset_reg ); end STRUCTURE; library IEEE; @@ -8278,38 +10002,34 @@ entity design_1_axi_fifo_mm_s_0_0_axis_fg is axi_str_txd_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); axi_str_txd_tlast : out STD_LOGIC; prog_full_axis : out STD_LOGIC; - wr_data_count_axis : out STD_LOGIC_VECTOR ( 0 to 0 ); + wr_data_count_axis : out STD_LOGIC_VECTOR ( 10 downto 0 ); prog_empty_axis : out STD_LOGIC; s_aresetn : out STD_LOGIC; - \gen_wr_a.gen_word_narrow.mem_reg\ : out STD_LOGIC; sig_txd_wr_en : out STD_LOGIC; - \gwdc.wr_data_count_i_reg[9]\ : out STD_LOGIC; - D : out STD_LOGIC_VECTOR ( 7 downto 0 ); + \gwdc.wr_data_count_i_reg[12]\ : out STD_LOGIC; + S : out STD_LOGIC_VECTOR ( 0 to 0 ); + DI : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gwdc.wr_data_count_i_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gwdc.wr_data_count_i_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); + \gwdc.wr_data_count_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\ : out STD_LOGIC; \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\ : out STD_LOGIC; IP2Bus_Error_reg : out STD_LOGIC; - E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aclk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 31 downto 0 ); - \gen_wr_a.gen_word_narrow.mem_reg_0\ : in STD_LOGIC; + \gen_wr_a.gen_word_narrow.mem_reg_5\ : in STD_LOGIC; axi_str_txd_tready : in STD_LOGIC; start_wr : in STD_LOGIC; txd_wr_en : in STD_LOGIC; - \sig_register_array_reg[0][4]\ : in STD_LOGIC; - \sig_register_array_reg[0][4]_0\ : in STD_LOGIC; - s_axi_wdata : in STD_LOGIC_VECTOR ( 0 to 0 ); IP2Bus_Error_reg_0 : in STD_LOGIC; sig_txd_prog_full_d1 : in STD_LOGIC; sig_txd_prog_empty_d1 : in STD_LOGIC; - \gen_wr_a.gen_word_narrow.mem_reg_1\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \gen_wr_a.gen_word_narrow.mem_reg_3\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); p_1_in : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aresetn : in STD_LOGIC; IP2Bus_Error_reg_1 : in STD_LOGIC; Axi_Str_RxD_AReset : in STD_LOGIC; - mm2s_prmry_reset_out_n : in STD_LOGIC; - \sig_txd_wr_data_reg[0]\ : in STD_LOGIC; - \sig_txd_wr_data_reg[0]_0\ : in STD_LOGIC; - \sig_txd_wr_data_reg[0]_1\ : in STD_LOGIC + mm2s_prmry_reset_out_n : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of design_1_axi_fifo_mm_s_0_0_axis_fg : entity is "axis_fg"; @@ -8337,23 +10057,22 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axis_fg is signal COMP_FIFO_n_52 : STD_LOGIC; signal COMP_FIFO_n_53 : STD_LOGIC; signal COMP_FIFO_n_54 : STD_LOGIC; - signal \^axi_str_txd_tlast\ : STD_LOGIC; - signal \^axi_str_txd_tvalid\ : STD_LOGIC; - signal \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0\ : STD_LOGIC; - signal \^gwdc.wr_data_count_i_reg[9]\ : STD_LOGIC; + signal \^gwdc.wr_data_count_i_reg[12]\ : STD_LOGIC; signal input_tstrb : STD_LOGIC_VECTOR ( 2 downto 1 ); signal input_tvalid : STD_LOGIC; signal \^prog_empty_axis\ : STD_LOGIC; signal \^prog_full_axis\ : STD_LOGIC; signal \^s_aresetn\ : STD_LOGIC; signal s_axis_tready_i : STD_LOGIC; - signal sig_txd_occupancy : STD_LOGIC_VECTOR ( 9 downto 0 ); - signal \^wr_data_count_axis\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \sig_register_array[0][3]_i_4_n_0\ : STD_LOGIC; + signal \sig_register_array[0][3]_i_5_n_0\ : STD_LOGIC; + signal sig_txd_occupancy : STD_LOGIC_VECTOR ( 12 downto 0 ); + signal \^wr_data_count_axis\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_COMP_FIFO_almost_empty_axis_UNCONNECTED : STD_LOGIC; signal NLW_COMP_FIFO_almost_full_axis_UNCONNECTED : STD_LOGIC; signal NLW_COMP_FIFO_dbiterr_axis_UNCONNECTED : STD_LOGIC; signal NLW_COMP_FIFO_sbiterr_axis_UNCONNECTED : STD_LOGIC; - signal NLW_COMP_FIFO_rd_data_count_axis_UNCONNECTED : STD_LOGIC_VECTOR ( 9 downto 0 ); + signal NLW_COMP_FIFO_rd_data_count_axis_UNCONNECTED : STD_LOGIC_VECTOR ( 12 downto 0 ); attribute AXIS_DATA_WIDTH : integer; attribute AXIS_DATA_WIDTH of COMP_FIFO : label is 53; attribute AXIS_FINAL_DATA_WIDTH : integer; @@ -8377,11 +10096,11 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axis_fg is attribute EN_DATA_VALID_INT : string; attribute EN_DATA_VALID_INT of COMP_FIFO : label is "1'b1"; attribute FIFO_DEPTH : integer; - attribute FIFO_DEPTH of COMP_FIFO : label is 512; + attribute FIFO_DEPTH of COMP_FIFO : label is 4096; attribute FIFO_MEMORY_TYPE : string; attribute FIFO_MEMORY_TYPE of COMP_FIFO : label is "BRAM"; attribute LOG_DEPTH_AXIS : integer; - attribute LOG_DEPTH_AXIS of COMP_FIFO : label is 9; + attribute LOG_DEPTH_AXIS of COMP_FIFO : label is 12; attribute PACKET_FIFO : string; attribute PACKET_FIFO of COMP_FIFO : label is "true"; attribute PKT_SIZE_LT8 : string; @@ -8389,7 +10108,7 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axis_fg is attribute PROG_EMPTY_THRESH : integer; attribute PROG_EMPTY_THRESH of COMP_FIFO : label is 5; attribute PROG_FULL_THRESH : integer; - attribute PROG_FULL_THRESH of COMP_FIFO : label is 507; + attribute PROG_FULL_THRESH of COMP_FIFO : label is 4091; attribute P_COMMON_CLOCK : integer; attribute P_COMMON_CLOCK of COMP_FIFO : label is 1; attribute P_ECC_MODE : integer; @@ -8399,7 +10118,7 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axis_fg is attribute P_PKT_MODE : integer; attribute P_PKT_MODE of COMP_FIFO : label is 1; attribute RD_DATA_COUNT_WIDTH : integer; - attribute RD_DATA_COUNT_WIDTH of COMP_FIFO : label is 10; + attribute RD_DATA_COUNT_WIDTH of COMP_FIFO : label is 13; attribute RELATED_CLOCKS : integer; attribute RELATED_CLOCKS of COMP_FIFO : label is 0; attribute SIM_ASSERT_CHK : integer; @@ -8431,28 +10150,18 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axis_fg is attribute USE_ADV_FEATURES_INT : integer; attribute USE_ADV_FEATURES_INT of COMP_FIFO : label is 826617925; attribute WR_DATA_COUNT_WIDTH : integer; - attribute WR_DATA_COUNT_WIDTH of COMP_FIFO : label is 10; + attribute WR_DATA_COUNT_WIDTH of COMP_FIFO : label is 13; attribute XPM_MODULE : string; attribute XPM_MODULE of COMP_FIFO : label is "TRUE"; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of IP2Bus_Error_i_1 : label is "soft_lutpair26"; - attribute SOFT_HLUTNM of \gfifo_gen.gmm2s.vacancy_i[2]_i_1\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \gfifo_gen.gmm2s.vacancy_i[3]_i_1\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \gfifo_gen.gmm2s.vacancy_i[4]_i_1\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of \gfifo_gen.gmm2s.vacancy_i[5]_i_1\ : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of \gfifo_gen.gmm2s.vacancy_i[6]_i_1\ : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of \gfifo_gen.gmm2s.vacancy_i[7]_i_1\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \gfifo_gen.gmm2s.vacancy_i[8]_i_1\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \gfifo_gen.gmm2s.vacancy_i[9]_i_3\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of sig_txd_wr_en_i_1 : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of IP2Bus_Error_i_1 : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of sig_txd_wr_en_i_1 : label is "soft_lutpair6"; begin - axi_str_txd_tlast <= \^axi_str_txd_tlast\; - axi_str_txd_tvalid <= \^axi_str_txd_tvalid\; - \gwdc.wr_data_count_i_reg[9]\ <= \^gwdc.wr_data_count_i_reg[9]\; + \gwdc.wr_data_count_i_reg[12]\ <= \^gwdc.wr_data_count_i_reg[12]\; prog_empty_axis <= \^prog_empty_axis\; prog_full_axis <= \^prog_full_axis\; s_aresetn <= \^s_aresetn\; - wr_data_count_axis(0) <= \^wr_data_count_axis\(0); + wr_data_count_axis(10 downto 0) <= \^wr_data_count_axis\(10 downto 0); COMP_FIFO: entity work.design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis port map ( almost_empty_axis => NLW_COMP_FIFO_almost_empty_axis_UNCONNECTED, @@ -8474,7 +10183,7 @@ COMP_FIFO: entity work.design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis m_axis_tkeep(2) => COMP_FIFO_n_39, m_axis_tkeep(1) => COMP_FIFO_n_40, m_axis_tkeep(0) => COMP_FIFO_n_41, - m_axis_tlast => \^axi_str_txd_tlast\, + m_axis_tlast => axi_str_txd_tlast, m_axis_tready => axi_str_txd_tready, m_axis_tstrb(3) => COMP_FIFO_n_34, m_axis_tstrb(2) => COMP_FIFO_n_35, @@ -8484,17 +10193,17 @@ COMP_FIFO: entity work.design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis m_axis_tuser(2) => COMP_FIFO_n_52, m_axis_tuser(1) => COMP_FIFO_n_53, m_axis_tuser(0) => COMP_FIFO_n_54, - m_axis_tvalid => \^axi_str_txd_tvalid\, + m_axis_tvalid => axi_str_txd_tvalid, prog_empty_axis => \^prog_empty_axis\, prog_full_axis => \^prog_full_axis\, - rd_data_count_axis(9 downto 0) => NLW_COMP_FIFO_rd_data_count_axis_UNCONNECTED(9 downto 0), + rd_data_count_axis(12 downto 0) => NLW_COMP_FIFO_rd_data_count_axis_UNCONNECTED(12 downto 0), s_aclk => s_axi_aclk, s_aresetn => \^s_aresetn\, s_axis_tdata(31 downto 0) => Q(31 downto 0), s_axis_tdest(3 downto 0) => B"0000", s_axis_tid(3 downto 0) => B"0000", s_axis_tkeep(3 downto 0) => B"0000", - s_axis_tlast => \gen_wr_a.gen_word_narrow.mem_reg_0\, + s_axis_tlast => \gen_wr_a.gen_word_narrow.mem_reg_5\, s_axis_tready => s_axis_tready_i, s_axis_tstrb(3) => COMP_FIFO_i_2_n_0, s_axis_tstrb(2 downto 1) => input_tstrb(2 downto 1), @@ -8502,8 +10211,8 @@ COMP_FIFO: entity work.design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis s_axis_tuser(3 downto 0) => B"0000", s_axis_tvalid => input_tvalid, sbiterr_axis => NLW_COMP_FIFO_sbiterr_axis_UNCONNECTED, - wr_data_count_axis(9 downto 2) => sig_txd_occupancy(9 downto 2), - wr_data_count_axis(1) => \^wr_data_count_axis\(0), + wr_data_count_axis(12) => sig_txd_occupancy(12), + wr_data_count_axis(11 downto 1) => \^wr_data_count_axis\(10 downto 0), wr_data_count_axis(0) => sig_txd_occupancy(0) ); COMP_FIFO_i_1: unisim.vcomponents.LUT3 @@ -8513,7 +10222,7 @@ COMP_FIFO_i_1: unisim.vcomponents.LUT3 port map ( I0 => start_wr, I1 => txd_wr_en, - I2 => \gen_wr_a.gen_word_narrow.mem_reg_0\, + I2 => \gen_wr_a.gen_word_narrow.mem_reg_5\, O => input_tvalid ); COMP_FIFO_i_2: unisim.vcomponents.LUT3 @@ -8521,9 +10230,9 @@ COMP_FIFO_i_2: unisim.vcomponents.LUT3 INIT => X"1F" ) port map ( - I0 => \gen_wr_a.gen_word_narrow.mem_reg_1\(0), - I1 => \gen_wr_a.gen_word_narrow.mem_reg_1\(1), - I2 => \gen_wr_a.gen_word_narrow.mem_reg_0\, + I0 => \gen_wr_a.gen_word_narrow.mem_reg_3\(0), + I1 => \gen_wr_a.gen_word_narrow.mem_reg_3\(1), + I2 => \gen_wr_a.gen_word_narrow.mem_reg_5\, O => COMP_FIFO_i_2_n_0 ); COMP_FIFO_i_3: unisim.vcomponents.LUT3 @@ -8531,9 +10240,9 @@ COMP_FIFO_i_3: unisim.vcomponents.LUT3 INIT => X"9F" ) port map ( - I0 => \gen_wr_a.gen_word_narrow.mem_reg_1\(0), - I1 => \gen_wr_a.gen_word_narrow.mem_reg_1\(1), - I2 => \gen_wr_a.gen_word_narrow.mem_reg_0\, + I0 => \gen_wr_a.gen_word_narrow.mem_reg_3\(0), + I1 => \gen_wr_a.gen_word_narrow.mem_reg_3\(1), + I2 => \gen_wr_a.gen_word_narrow.mem_reg_5\, O => input_tstrb(2) ); COMP_FIFO_i_4: unisim.vcomponents.LUT3 @@ -8541,118 +10250,126 @@ COMP_FIFO_i_4: unisim.vcomponents.LUT3 INIT => X"DF" ) port map ( - I0 => \gen_wr_a.gen_word_narrow.mem_reg_1\(0), - I1 => \gen_wr_a.gen_word_narrow.mem_reg_1\(1), - I2 => \gen_wr_a.gen_word_narrow.mem_reg_0\, + I0 => \gen_wr_a.gen_word_narrow.mem_reg_3\(0), + I1 => \gen_wr_a.gen_word_narrow.mem_reg_3\(1), + I2 => \gen_wr_a.gen_word_narrow.mem_reg_5\, O => input_tstrb(1) ); IP2Bus_Error_i_1: unisim.vcomponents.LUT5 generic map( - INIT => X"00AA03AA" + INIT => X"00AA30AA" ) port map ( I0 => p_1_in(0), I1 => IP2Bus_Error_reg_0, - I2 => \^gwdc.wr_data_count_i_reg[9]\, + I2 => \^gwdc.wr_data_count_i_reg[12]\, I3 => s_axi_aresetn, I4 => IP2Bus_Error_reg_1, O => IP2Bus_Error_reg ); -\gfifo_gen.gmm2s.vacancy_i[2]_i_1\: unisim.vcomponents.LUT2 +\minusOp_carry__0_i_1\: unisim.vcomponents.LUT1 generic map( - INIT => X"9" + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(6), + O => \gwdc.wr_data_count_i_reg[7]\(3) + ); +\minusOp_carry__0_i_2\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(5), + O => \gwdc.wr_data_count_i_reg[7]\(2) + ); +\minusOp_carry__0_i_3\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(4), + O => \gwdc.wr_data_count_i_reg[7]\(1) + ); +\minusOp_carry__0_i_4\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(3), + O => \gwdc.wr_data_count_i_reg[7]\(0) + ); +\minusOp_carry__1_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(10), + O => DI(3) + ); +\minusOp_carry__1_i_2\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(9), + O => DI(2) + ); +\minusOp_carry__1_i_3\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(8), + O => DI(1) + ); +\minusOp_carry__1_i_4\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(7), + O => DI(0) + ); +\minusOp_carry__2_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => sig_txd_occupancy(12), + O => S(0) + ); +minusOp_carry_i_1: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(2), + O => \gwdc.wr_data_count_i_reg[3]\(2) + ); +minusOp_carry_i_2: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(1), + O => \gwdc.wr_data_count_i_reg[3]\(1) + ); +minusOp_carry_i_3: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" ) port map ( I0 => \^wr_data_count_axis\(0), - I1 => sig_txd_occupancy(2), - O => D(0) + O => \gwdc.wr_data_count_i_reg[3]\(0) ); -\gfifo_gen.gmm2s.vacancy_i[3]_i_1\: unisim.vcomponents.LUT3 +minusOp_carry_i_4: unisim.vcomponents.LUT1 generic map( - INIT => X"95" + INIT => X"1" ) port map ( - I0 => sig_txd_occupancy(3), - I1 => \^wr_data_count_axis\(0), - I2 => sig_txd_occupancy(2), - O => D(1) - ); -\gfifo_gen.gmm2s.vacancy_i[4]_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9555" - ) - port map ( - I0 => sig_txd_occupancy(4), - I1 => sig_txd_occupancy(3), - I2 => sig_txd_occupancy(2), - I3 => \^wr_data_count_axis\(0), - O => D(2) - ); -\gfifo_gen.gmm2s.vacancy_i[5]_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0\, - I1 => sig_txd_occupancy(5), - O => D(3) - ); -\gfifo_gen.gmm2s.vacancy_i[6]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"87" - ) - port map ( - I0 => sig_txd_occupancy(5), - I1 => \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0\, - I2 => sig_txd_occupancy(6), - O => D(4) - ); -\gfifo_gen.gmm2s.vacancy_i[7]_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"870F" - ) - port map ( - I0 => sig_txd_occupancy(5), - I1 => \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0\, - I2 => sig_txd_occupancy(7), - I3 => sig_txd_occupancy(6), - O => D(5) - ); -\gfifo_gen.gmm2s.vacancy_i[8]_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"870F0F0F" - ) - port map ( - I0 => sig_txd_occupancy(5), - I1 => \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0\, - I2 => sig_txd_occupancy(8), - I3 => sig_txd_occupancy(6), - I4 => sig_txd_occupancy(7), - O => D(6) - ); -\gfifo_gen.gmm2s.vacancy_i[9]_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"78F0F0F0F0F0F0F0" - ) - port map ( - I0 => sig_txd_occupancy(5), - I1 => \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0\, - I2 => sig_txd_occupancy(9), - I3 => sig_txd_occupancy(8), - I4 => sig_txd_occupancy(7), - I5 => sig_txd_occupancy(6), - O => D(7) - ); -\gfifo_gen.gmm2s.vacancy_i[9]_i_3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"8000" - ) - port map ( - I0 => sig_txd_occupancy(4), - I1 => sig_txd_occupancy(3), - I2 => sig_txd_occupancy(2), - I3 => \^wr_data_count_axis\(0), - O => \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0\ + I0 => sig_txd_occupancy(0), + O => \gwdc.wr_data_count_i_reg[0]\(0) ); mm2s_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT3 generic map( @@ -8675,28 +10392,38 @@ mm2s_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT3 ); \sig_register_array[0][3]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"1555555555555555" + INIT => X"ABAAAAAAAAAAAAAA" ) port map ( - I0 => sig_txd_occupancy(9), - I1 => sig_txd_occupancy(6), - I2 => sig_txd_occupancy(5), - I3 => sig_txd_occupancy(8), - I4 => sig_txd_occupancy(7), - I5 => \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0\, - O => \^gwdc.wr_data_count_i_reg[9]\ + I0 => sig_txd_occupancy(12), + I1 => \sig_register_array[0][3]_i_4_n_0\, + I2 => \sig_register_array[0][3]_i_5_n_0\, + I3 => \^wr_data_count_axis\(8), + I4 => \^wr_data_count_axis\(7), + I5 => \^wr_data_count_axis\(3), + O => \^gwdc.wr_data_count_i_reg[12]\ ); -\sig_register_array[0][4]_i_3\: unisim.vcomponents.LUT5 +\sig_register_array[0][3]_i_4\: unisim.vcomponents.LUT4 generic map( - INIT => X"F8FFF8F8" + INIT => X"7FFF" ) port map ( - I0 => \^axi_str_txd_tvalid\, - I1 => \^axi_str_txd_tlast\, - I2 => \sig_register_array_reg[0][4]\, - I3 => \sig_register_array_reg[0][4]_0\, - I4 => s_axi_wdata(0), - O => \gen_wr_a.gen_word_narrow.mem_reg\ + I0 => \^wr_data_count_axis\(1), + I1 => \^wr_data_count_axis\(4), + I2 => \^wr_data_count_axis\(6), + I3 => \^wr_data_count_axis\(10), + O => \sig_register_array[0][3]_i_4_n_0\ + ); +\sig_register_array[0][3]_i_5\: unisim.vcomponents.LUT4 + generic map( + INIT => X"7FFF" + ) + port map ( + I0 => \^wr_data_count_axis\(0), + I1 => \^wr_data_count_axis\(2), + I2 => \^wr_data_count_axis\(5), + I3 => \^wr_data_count_axis\(9), + O => \sig_register_array[0][3]_i_5_n_0\ ); \sig_register_array[0][9]_i_2\: unisim.vcomponents.LUT2 generic map( @@ -8707,25 +10434,12 @@ mm2s_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT3 I1 => sig_txd_prog_full_d1, O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\ ); -\sig_txd_wr_data[31]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"000000002222F222" - ) - port map ( - I0 => \^gwdc.wr_data_count_i_reg[9]\, - I1 => IP2Bus_Error_reg_0, - I2 => \sig_txd_wr_data_reg[0]\, - I3 => \sig_txd_wr_data_reg[0]_0\, - I4 => \sig_txd_wr_data_reg[0]_1\, - I5 => IP2Bus_Error_reg_1, - O => E(0) - ); sig_txd_wr_en_i_1: unisim.vcomponents.LUT2 generic map( - INIT => X"2" + INIT => X"1" ) port map ( - I0 => \^gwdc.wr_data_count_i_reg[9]\, + I0 => \^gwdc.wr_data_count_i_reg[12]\, I1 => IP2Bus_Error_reg_0, O => sig_txd_wr_en ); @@ -8742,22 +10456,17 @@ entity design_1_axi_fifo_mm_s_0_0_fifo is prog_full_axis : out STD_LOGIC; prog_empty_axis : out STD_LOGIC; s_aresetn : out STD_LOGIC; - \gen_wr_a.gen_word_narrow.mem_reg\ : out STD_LOGIC; - D : out STD_LOGIC_VECTOR ( 8 downto 0 ); + D : out STD_LOGIC_VECTOR ( 11 downto 0 ); sig_txd_wr_en : out STD_LOGIC; - \gwdc.wr_data_count_i_reg[9]\ : out STD_LOGIC; + \gwdc.wr_data_count_i_reg[12]\ : out STD_LOGIC; \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\ : out STD_LOGIC; \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\ : out STD_LOGIC; IP2Bus_Error_reg : out STD_LOGIC; - E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aclk : in STD_LOGIC; - \gen_wr_a.gen_word_narrow.mem_reg_0\ : in STD_LOGIC; + \gen_wr_a.gen_word_narrow.mem_reg_5\ : in STD_LOGIC; axi_str_txd_tready : in STD_LOGIC; txd_wr_en : in STD_LOGIC; - \sig_register_array_reg[0][4]\ : in STD_LOGIC; - \sig_register_array_reg[0][4]_0\ : in STD_LOGIC; - s_axi_wdata : in STD_LOGIC_VECTOR ( 0 to 0 ); - \sig_ip2bus_data_reg[22]\ : in STD_LOGIC; + \sig_ip2bus_data_reg[19]\ : in STD_LOGIC; IP2Bus_Error_reg_0 : in STD_LOGIC; sig_txd_prog_full_d1 : in STD_LOGIC; sig_txd_prog_empty_d1 : in STD_LOGIC; @@ -8766,57 +10475,98 @@ entity design_1_axi_fifo_mm_s_0_0_fifo is s_axi_aresetn : in STD_LOGIC; IP2Bus_Error_reg_1 : in STD_LOGIC; Axi_Str_RxD_AReset : in STD_LOGIC; - mm2s_prmry_reset_out_n : in STD_LOGIC; - \sig_txd_wr_data_reg[0]\ : in STD_LOGIC; - \sig_txd_wr_data_reg[0]_0\ : in STD_LOGIC; - \sig_txd_wr_data_reg[0]_1\ : in STD_LOGIC + mm2s_prmry_reset_out_n : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of design_1_axi_fifo_mm_s_0_0_fifo : entity is "fifo"; end design_1_axi_fifo_mm_s_0_0_fifo; architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_fifo is - signal data2 : STD_LOGIC_VECTOR ( 9 downto 1 ); - signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_42\ : STD_LOGIC; + signal data2 : STD_LOGIC_VECTOR ( 12 downto 1 ); + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_50\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_51\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_52\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_53\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_54\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_55\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_56\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_57\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_58\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_59\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_60\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_61\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_62\ : STD_LOGIC; signal \gfifo_gen.gmm2s.start_wr_i_1_n_0\ : STD_LOGIC; - signal minusOp : STD_LOGIC_VECTOR ( 9 downto 2 ); + signal minusOp : STD_LOGIC_VECTOR ( 12 downto 1 ); + signal \minusOp_carry__0_n_0\ : STD_LOGIC; + signal \minusOp_carry__0_n_1\ : STD_LOGIC; + signal \minusOp_carry__0_n_2\ : STD_LOGIC; + signal \minusOp_carry__0_n_3\ : STD_LOGIC; + signal \minusOp_carry__1_n_0\ : STD_LOGIC; + signal \minusOp_carry__1_n_1\ : STD_LOGIC; + signal \minusOp_carry__1_n_2\ : STD_LOGIC; + signal \minusOp_carry__1_n_3\ : STD_LOGIC; + signal minusOp_carry_n_0 : STD_LOGIC; + signal minusOp_carry_n_1 : STD_LOGIC; + signal minusOp_carry_n_2 : STD_LOGIC; + signal minusOp_carry_n_3 : STD_LOGIC; signal \^s_aresetn\ : STD_LOGIC; - signal sig_txd_occupancy : STD_LOGIC_VECTOR ( 1 to 1 ); + signal sig_txd_occupancy : STD_LOGIC_VECTOR ( 11 downto 1 ); signal sig_txd_reset0_out : STD_LOGIC; signal start_wr : STD_LOGIC; signal wr_data_int : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal NLW_minusOp_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \NLW_minusOp_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_minusOp_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + attribute ADDER_THRESHOLD : integer; + attribute ADDER_THRESHOLD of minusOp_carry : label is 35; + attribute ADDER_THRESHOLD of \minusOp_carry__0\ : label is 35; + attribute ADDER_THRESHOLD of \minusOp_carry__1\ : label is 35; + attribute ADDER_THRESHOLD of \minusOp_carry__2\ : label is 35; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \sig_ip2bus_data[23]_i_1\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[24]_i_1\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[25]_i_1\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[26]_i_1\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[27]_i_1\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[28]_i_1\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[29]_i_1\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[30]_i_1\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[19]_i_1\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[20]_i_1\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[21]_i_1\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[22]_i_1\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[23]_i_1\ : label is "soft_lutpair10"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[24]_i_1\ : label is "soft_lutpair10"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[25]_i_1\ : label is "soft_lutpair9"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[26]_i_1\ : label is "soft_lutpair9"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[27]_i_1\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[28]_i_1\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[29]_i_1\ : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[30]_i_1\ : label is "soft_lutpair7"; begin s_aresetn <= \^s_aresetn\; \gfifo_gen.COMP_AXIS_FG_FIFO\: entity work.design_1_axi_fifo_mm_s_0_0_axis_fg port map ( Axi_Str_RxD_AReset => Axi_Str_RxD_AReset, - D(7) => minusOp(9), - D(6) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_42\, - D(5 downto 0) => minusOp(7 downto 2), - E(0) => E(0), + DI(3) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_51\, + DI(2) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_52\, + DI(1) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_53\, + DI(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_54\, IP2Bus_Error_reg => IP2Bus_Error_reg, IP2Bus_Error_reg_0 => IP2Bus_Error_reg_0, IP2Bus_Error_reg_1 => IP2Bus_Error_reg_1, Q(31 downto 0) => wr_data_int(31 downto 0), + S(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_50\, axi_str_txd_tdata(31 downto 0) => axi_str_txd_tdata(31 downto 0), axi_str_txd_tlast => axi_str_txd_tlast, axi_str_txd_tready => axi_str_txd_tready, axi_str_txd_tvalid => axi_str_txd_tvalid, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\ => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\ => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\, - \gen_wr_a.gen_word_narrow.mem_reg\ => \gen_wr_a.gen_word_narrow.mem_reg\, - \gen_wr_a.gen_word_narrow.mem_reg_0\ => \gen_wr_a.gen_word_narrow.mem_reg_0\, - \gen_wr_a.gen_word_narrow.mem_reg_1\(1 downto 0) => Q(1 downto 0), - \gwdc.wr_data_count_i_reg[9]\ => \gwdc.wr_data_count_i_reg[9]\, + \gen_wr_a.gen_word_narrow.mem_reg_3\(1 downto 0) => Q(1 downto 0), + \gen_wr_a.gen_word_narrow.mem_reg_5\ => \gen_wr_a.gen_word_narrow.mem_reg_5\, + \gwdc.wr_data_count_i_reg[0]\(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_62\, + \gwdc.wr_data_count_i_reg[12]\ => \gwdc.wr_data_count_i_reg[12]\, + \gwdc.wr_data_count_i_reg[3]\(2) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_59\, + \gwdc.wr_data_count_i_reg[3]\(1) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_60\, + \gwdc.wr_data_count_i_reg[3]\(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_61\, + \gwdc.wr_data_count_i_reg[7]\(3) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_55\, + \gwdc.wr_data_count_i_reg[7]\(2) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_56\, + \gwdc.wr_data_count_i_reg[7]\(1) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_57\, + \gwdc.wr_data_count_i_reg[7]\(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_58\, mm2s_prmry_reset_out_n => mm2s_prmry_reset_out_n, p_1_in(0) => p_1_in(0), prog_empty_axis => prog_empty_axis, @@ -8824,18 +10574,12 @@ begin s_aresetn => \^s_aresetn\, s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, - s_axi_wdata(0) => s_axi_wdata(0), - \sig_register_array_reg[0][4]\ => \sig_register_array_reg[0][4]\, - \sig_register_array_reg[0][4]_0\ => \sig_register_array_reg[0][4]_0\, sig_txd_prog_empty_d1 => sig_txd_prog_empty_d1, sig_txd_prog_full_d1 => sig_txd_prog_full_d1, - \sig_txd_wr_data_reg[0]\ => \sig_txd_wr_data_reg[0]\, - \sig_txd_wr_data_reg[0]_0\ => \sig_txd_wr_data_reg[0]_0\, - \sig_txd_wr_data_reg[0]_1\ => \sig_txd_wr_data_reg[0]_1\, sig_txd_wr_en => sig_txd_wr_en, start_wr => start_wr, txd_wr_en => txd_wr_en, - wr_data_count_axis(0) => sig_txd_occupancy(1) + wr_data_count_axis(10 downto 0) => sig_txd_occupancy(11 downto 1) ); \gfifo_gen.gmm2s.start_wr_i_1\: unisim.vcomponents.LUT3 generic map( @@ -8843,7 +10587,7 @@ begin ) port map ( I0 => txd_wr_en, - I1 => \gen_wr_a.gen_word_narrow.mem_reg_0\, + I1 => \gen_wr_a.gen_word_narrow.mem_reg_5\, I2 => start_wr, O => \gfifo_gen.gmm2s.start_wr_i_1_n_0\ ); @@ -8858,7 +10602,7 @@ begin Q => start_wr, R => sig_txd_reset0_out ); -\gfifo_gen.gmm2s.vacancy_i[9]_i_1\: unisim.vcomponents.LUT1 +\gfifo_gen.gmm2s.vacancy_i[12]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) @@ -8866,6 +10610,39 @@ begin I0 => \^s_aresetn\, O => sig_txd_reset0_out ); +\gfifo_gen.gmm2s.vacancy_i_reg[10]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => '1', + D => minusOp(10), + Q => data2(10), + R => sig_txd_reset0_out + ); +\gfifo_gen.gmm2s.vacancy_i_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => '1', + D => minusOp(11), + Q => data2(11), + R => sig_txd_reset0_out + ); +\gfifo_gen.gmm2s.vacancy_i_reg[12]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => '1', + D => minusOp(12), + Q => data2(12), + R => sig_txd_reset0_out + ); \gfifo_gen.gmm2s.vacancy_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' @@ -8873,7 +10650,7 @@ begin port map ( C => s_axi_aclk, CE => '1', - D => sig_txd_occupancy(1), + D => minusOp(1), Q => data2(1), R => sig_txd_reset0_out ); @@ -8950,7 +10727,7 @@ begin port map ( C => s_axi_aclk, CE => '1', - D => \gfifo_gen.COMP_AXIS_FG_FIFO_n_42\, + D => minusOp(8), Q => data2(8), R => sig_txd_reset0_out ); @@ -9317,13 +11094,98 @@ begin Q => wr_data_int(9), R => sig_txd_reset0_out ); +minusOp_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => minusOp_carry_n_0, + CO(2) => minusOp_carry_n_1, + CO(1) => minusOp_carry_n_2, + CO(0) => minusOp_carry_n_3, + CYINIT => '0', + DI(3) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_59\, + DI(2) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_60\, + DI(1) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_61\, + DI(0) => '0', + O(3 downto 1) => minusOp(3 downto 1), + O(0) => NLW_minusOp_carry_O_UNCONNECTED(0), + S(3 downto 1) => sig_txd_occupancy(3 downto 1), + S(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_62\ + ); +\minusOp_carry__0\: unisim.vcomponents.CARRY4 + port map ( + CI => minusOp_carry_n_0, + CO(3) => \minusOp_carry__0_n_0\, + CO(2) => \minusOp_carry__0_n_1\, + CO(1) => \minusOp_carry__0_n_2\, + CO(0) => \minusOp_carry__0_n_3\, + CYINIT => '0', + DI(3) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_55\, + DI(2) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_56\, + DI(1) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_57\, + DI(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_58\, + O(3 downto 0) => minusOp(7 downto 4), + S(3 downto 0) => sig_txd_occupancy(7 downto 4) + ); +\minusOp_carry__1\: unisim.vcomponents.CARRY4 + port map ( + CI => \minusOp_carry__0_n_0\, + CO(3) => \minusOp_carry__1_n_0\, + CO(2) => \minusOp_carry__1_n_1\, + CO(1) => \minusOp_carry__1_n_2\, + CO(0) => \minusOp_carry__1_n_3\, + CYINIT => '0', + DI(3) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_51\, + DI(2) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_52\, + DI(1) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_53\, + DI(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_54\, + O(3 downto 0) => minusOp(11 downto 8), + S(3 downto 0) => sig_txd_occupancy(11 downto 8) + ); +\minusOp_carry__2\: unisim.vcomponents.CARRY4 + port map ( + CI => \minusOp_carry__1_n_0\, + CO(3 downto 0) => \NLW_minusOp_carry__2_CO_UNCONNECTED\(3 downto 0), + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3 downto 1) => \NLW_minusOp_carry__2_O_UNCONNECTED\(3 downto 1), + O(0) => minusOp(12), + S(3 downto 1) => B"000", + S(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_50\ + ); +\sig_ip2bus_data[19]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => data2(12), + I1 => \sig_ip2bus_data_reg[19]\, + O => D(11) + ); +\sig_ip2bus_data[20]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => data2(11), + I1 => \sig_ip2bus_data_reg[19]\, + O => D(10) + ); +\sig_ip2bus_data[21]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => data2(10), + I1 => \sig_ip2bus_data_reg[19]\, + O => D(9) + ); \sig_ip2bus_data[22]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => data2(9), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(8) ); \sig_ip2bus_data[23]_i_1\: unisim.vcomponents.LUT2 @@ -9332,7 +11194,7 @@ begin ) port map ( I0 => data2(8), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(7) ); \sig_ip2bus_data[24]_i_1\: unisim.vcomponents.LUT2 @@ -9341,7 +11203,7 @@ begin ) port map ( I0 => data2(7), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(6) ); \sig_ip2bus_data[25]_i_1\: unisim.vcomponents.LUT2 @@ -9350,7 +11212,7 @@ begin ) port map ( I0 => data2(6), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(5) ); \sig_ip2bus_data[26]_i_1\: unisim.vcomponents.LUT2 @@ -9359,7 +11221,7 @@ begin ) port map ( I0 => data2(5), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(4) ); \sig_ip2bus_data[27]_i_1\: unisim.vcomponents.LUT2 @@ -9368,7 +11230,7 @@ begin ) port map ( I0 => data2(4), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(3) ); \sig_ip2bus_data[28]_i_1\: unisim.vcomponents.LUT2 @@ -9377,7 +11239,7 @@ begin ) port map ( I0 => data2(3), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(2) ); \sig_ip2bus_data[29]_i_1\: unisim.vcomponents.LUT2 @@ -9386,7 +11248,7 @@ begin ) port map ( I0 => data2(2), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(1) ); \sig_ip2bus_data[30]_i_1\: unisim.vcomponents.LUT2 @@ -9395,7 +11257,7 @@ begin ) port map ( I0 => data2(1), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(0) ); end STRUCTURE; @@ -9415,43 +11277,39 @@ entity design_1_axi_fifo_mm_s_0_0_ipic2axi_s is p_1_in : out STD_LOGIC_VECTOR ( 0 to 0 ); sig_tx_channel_reset_reg_0 : out STD_LOGIC; cs_ce_clr : out STD_LOGIC; - IPIC_STATE_reg_0 : out STD_LOGIC; IP2Bus_Error1_in : out STD_LOGIC; s2mm_prmry_reset_out_n : out STD_LOGIC; s_axi_wdata_7_sp_1 : out STD_LOGIC; - s_axi_wdata_0_sp_1 : out STD_LOGIC; interrupt : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 6 downto 0 ); - \sig_register_array_reg[0][4]_0\ : out STD_LOGIC; - \sig_register_array_reg[0][3]_0\ : out STD_LOGIC; - \sig_register_array_reg[0][6]_0\ : out STD_LOGIC; \sig_register_array_reg[0][10]_0\ : out STD_LOGIC; + \sig_register_array_reg[0][3]_0\ : out STD_LOGIC; \sig_register_array_reg[0][7]_0\ : out STD_LOGIC; - \sig_register_array_reg[0][9]_0\ : out STD_LOGIC; + \sig_register_array_reg[0][4]_0\ : out STD_LOGIC; \sig_register_array_reg[0][8]_0\ : out STD_LOGIC; - \sig_ip2bus_data_reg[0]_0\ : out STD_LOGIC_VECTOR ( 21 downto 0 ); + \sig_register_array_reg[0][6]_0\ : out STD_LOGIC; + \sig_register_array_reg[0][9]_0\ : out STD_LOGIC; + \sig_ip2bus_data_reg[0]_0\ : out STD_LOGIC_VECTOR ( 24 downto 0 ); s_axi_aclk : in STD_LOGIC; axi_str_txd_tready : in STD_LOGIC; - sig_txd_sb_wr_en : in STD_LOGIC; sig_str_rst_reg_1 : in STD_LOGIC; IP2Bus_WrAck_reg_1 : in STD_LOGIC; IP2Bus_RdAck_reg_1 : in STD_LOGIC; sig_Bus2IP_CS : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; + sig_txd_sb_wr_en_reg_0 : in STD_LOGIC; \sig_register_array_reg[0][3]_1\ : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); - \sig_txd_wr_data_reg[0]_0\ : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 6 downto 0 ); + \sig_ip2bus_data_reg[19]_0\ : in STD_LOGIC; \sig_ip2bus_data_reg[12]_0\ : in STD_LOGIC; - \sig_ip2bus_data_reg[22]_0\ : in STD_LOGIC; IP2Bus_Error_reg_0 : in STD_LOGIC; sig_tx_channel_reset_reg_1 : in STD_LOGIC; - \sig_txd_wr_data_reg[0]_1\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\ : in STD_LOGIC; \sig_register_array_reg[0][4]_1\ : in STD_LOGIC; + \sig_register_array_reg[0][4]_2\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\ : in STD_LOGIC; Bus_RNW_reg : in STD_LOGIC; - \sig_register_array_reg[0][6]_1\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \sig_register_array_reg[1][0]_0\ : in STD_LOGIC_VECTOR ( 12 downto 0 ) ); @@ -9549,12 +11407,10 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_ipic2axi_s is signal \eqOp_inferred__2/i__carry_n_1\ : STD_LOGIC; signal \eqOp_inferred__2/i__carry_n_2\ : STD_LOGIC; signal \eqOp_inferred__2/i__carry_n_3\ : STD_LOGIC; - signal \gtxd.COMP_TXD_FIFO_n_37\ : STD_LOGIC; - signal \gtxd.COMP_TXD_FIFO_n_48\ : STD_LOGIC; - signal \gtxd.COMP_TXD_FIFO_n_49\ : STD_LOGIC; signal \gtxd.COMP_TXD_FIFO_n_50\ : STD_LOGIC; signal \gtxd.COMP_TXD_FIFO_n_51\ : STD_LOGIC; signal \gtxd.COMP_TXD_FIFO_n_52\ : STD_LOGIC; + signal \gtxd.COMP_TXD_FIFO_n_53\ : STD_LOGIC; signal \gtxd.sig_txd_packet_size[0]_i_1_n_0\ : STD_LOGIC; signal \gtxd.sig_txd_packet_size[0]_i_3_n_0\ : STD_LOGIC; signal \gtxd.sig_txd_packet_size_reg\ : STD_LOGIC_VECTOR ( 30 downto 0 ); @@ -9644,7 +11500,6 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_ipic2axi_s is signal interrupt_INST_0_i_1_n_0 : STD_LOGIC; signal interrupt_INST_0_i_2_n_0 : STD_LOGIC; signal \^p_1_in\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal s_axi_wdata_0_sn_1 : STD_LOGIC; signal s_axi_wdata_7_sn_1 : STD_LOGIC; signal \^sig_bus2ip_reset\ : STD_LOGIC; signal sig_ip2bus_data : STD_LOGIC_VECTOR ( 0 to 30 ); @@ -9652,6 +11507,7 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_ipic2axi_s is signal \sig_register_array[0][3]_i_1_n_0\ : STD_LOGIC; signal \sig_register_array[0][4]_i_1_n_0\ : STD_LOGIC; signal \sig_register_array[0][6]_i_1_n_0\ : STD_LOGIC; + signal \sig_register_array[0][6]_i_2_n_0\ : STD_LOGIC; signal \sig_register_array[0][7]_i_1_n_0\ : STD_LOGIC; signal \sig_register_array[0][8]_i_1_n_0\ : STD_LOGIC; signal \sig_register_array[0][9]_i_1_n_0\ : STD_LOGIC; @@ -9676,7 +11532,10 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_ipic2axi_s is signal sig_txd_prog_empty_d1 : STD_LOGIC; signal sig_txd_prog_full : STD_LOGIC; signal sig_txd_prog_full_d1 : STD_LOGIC; + signal sig_txd_sb_wr_en : STD_LOGIC; signal sig_txd_sb_wr_en_reg_n_0 : STD_LOGIC; + signal \sig_txd_wr_data[31]_i_1_n_0\ : STD_LOGIC; + signal \sig_txd_wr_data[31]_i_2_n_0\ : STD_LOGIC; signal sig_txd_wr_en : STD_LOGIC; signal txd_wr_data : STD_LOGIC_VECTOR ( 31 downto 2 ); signal txd_wr_data_0 : STD_LOGIC_VECTOR ( 1 downto 0 ); @@ -9703,19 +11562,20 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_ipic2axi_s is attribute ADDER_THRESHOLD of \R_carry__5\ : label is 35; attribute ADDER_THRESHOLD of \R_carry__6\ : label is 35; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \sig_ip2bus_data[0]_i_1\ : label is "soft_lutpair37"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[11]_i_1\ : label is "soft_lutpair35"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[12]_i_1\ : label is "soft_lutpair35"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[1]_i_1\ : label is "soft_lutpair37"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[2]_i_1\ : label is "soft_lutpair36"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[5]_i_1\ : label is "soft_lutpair36"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[0]_i_1\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[11]_i_1\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[12]_i_1\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[1]_i_1\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[2]_i_1\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[5]_i_1\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \sig_register_array[0][6]_i_2\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of sig_txd_sb_wr_en_i_1 : label is "soft_lutpair13"; begin IP2Bus_Error1_in <= \^ip2bus_error1_in\; IP2Bus_RdAck_reg_0 <= \^ip2bus_rdack_reg_0\; IP2Bus_WrAck_reg_0 <= \^ip2bus_wrack_reg_0\; Q(6 downto 0) <= \^q\(6 downto 0); p_1_in(0) <= \^p_1_in\(0); - s_axi_wdata_0_sp_1 <= s_axi_wdata_0_sn_1; s_axi_wdata_7_sp_1 <= s_axi_wdata_7_sn_1; sig_Bus2IP_Reset <= \^sig_bus2ip_reset\; \sig_register_array_reg[0][10]_0\ <= \^sig_register_array_reg[0][10]_0\; @@ -9734,7 +11594,7 @@ IP2Bus_Error_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', - D => \gtxd.COMP_TXD_FIFO_n_51\, + D => \gtxd.COMP_TXD_FIFO_n_53\, Q => \^p_1_in\(0), R => '0' ); @@ -10256,6 +12116,9 @@ R_carry_i_4: unisim.vcomponents.LUT1 \gtxd.COMP_TXD_FIFO\: entity work.design_1_axi_fifo_mm_s_0_0_fifo port map ( Axi_Str_RxD_AReset => Axi_Str_RxD_AReset, + D(11) => sig_ip2bus_data(19), + D(10) => sig_ip2bus_data(20), + D(9) => sig_ip2bus_data(21), D(8) => sig_ip2bus_data(22), D(7) => sig_ip2bus_data(23), D(6) => sig_ip2bus_data(24), @@ -10265,8 +12128,7 @@ R_carry_i_4: unisim.vcomponents.LUT1 D(2) => sig_ip2bus_data(28), D(1) => sig_ip2bus_data(29), D(0) => sig_ip2bus_data(30), - E(0) => \gtxd.COMP_TXD_FIFO_n_52\, - IP2Bus_Error_reg => \gtxd.COMP_TXD_FIFO_n_51\, + IP2Bus_Error_reg => \gtxd.COMP_TXD_FIFO_n_53\, IP2Bus_Error_reg_0 => IP2Bus_Error_reg_0, IP2Bus_Error_reg_1 => \^ip2bus_error1_in\, Q(31 downto 2) => txd_wr_data(31 downto 2), @@ -10275,11 +12137,10 @@ R_carry_i_4: unisim.vcomponents.LUT1 axi_str_txd_tlast => axi_str_txd_tlast, axi_str_txd_tready => axi_str_txd_tready, axi_str_txd_tvalid => axi_str_txd_tvalid, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\ => \gtxd.COMP_TXD_FIFO_n_50\, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\ => \gtxd.COMP_TXD_FIFO_n_49\, - \gen_wr_a.gen_word_narrow.mem_reg\ => \gtxd.COMP_TXD_FIFO_n_37\, - \gen_wr_a.gen_word_narrow.mem_reg_0\ => sig_txd_sb_wr_en_reg_n_0, - \gwdc.wr_data_count_i_reg[9]\ => \gtxd.COMP_TXD_FIFO_n_48\, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\ => \gtxd.COMP_TXD_FIFO_n_52\, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\ => \gtxd.COMP_TXD_FIFO_n_51\, + \gen_wr_a.gen_word_narrow.mem_reg_5\ => sig_txd_sb_wr_en_reg_n_0, + \gwdc.wr_data_count_i_reg[12]\ => \gtxd.COMP_TXD_FIFO_n_50\, mm2s_prmry_reset_out_n => \^sig_tx_channel_reset_reg_0\, p_1_in(0) => \^p_1_in\(0), prog_empty_axis => sig_txd_prog_empty, @@ -10287,15 +12148,9 @@ R_carry_i_4: unisim.vcomponents.LUT1 s_aresetn => \^sig_str_rst_reg_0\, s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, - s_axi_wdata(0) => s_axi_wdata(27), - \sig_ip2bus_data_reg[22]\ => \sig_ip2bus_data_reg[22]_0\, - \sig_register_array_reg[0][4]\ => sig_str_rst_reg_1, - \sig_register_array_reg[0][4]_0\ => \sig_register_array_reg[0][3]_1\, + \sig_ip2bus_data_reg[19]\ => \sig_ip2bus_data_reg[19]_0\, sig_txd_prog_empty_d1 => sig_txd_prog_empty_d1, sig_txd_prog_full_d1 => sig_txd_prog_full_d1, - \sig_txd_wr_data_reg[0]\ => \sig_txd_wr_data_reg[0]_1\, - \sig_txd_wr_data_reg[0]_0\ => s_axi_wdata_0_sn_1, - \sig_txd_wr_data_reg[0]_1\ => \sig_txd_wr_data_reg[0]_0\, sig_txd_wr_en => sig_txd_wr_en, txd_wr_en => txd_wr_en ); @@ -10712,12 +12567,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => \gtxd.sig_txd_packet_size_reg\(22), - I1 => s_axi_wdata(24), - I2 => s_axi_wdata(25), - I3 => \gtxd.sig_txd_packet_size_reg\(23), - I4 => s_axi_wdata(23), - I5 => \gtxd.sig_txd_packet_size_reg\(21), + I0 => \gtxd.sig_txd_packet_size_reg\(23), + I1 => s_axi_wdata(25), + I2 => s_axi_wdata(23), + I3 => \gtxd.sig_txd_packet_size_reg\(21), + I4 => s_axi_wdata(24), + I5 => \gtxd.sig_txd_packet_size_reg\(22), O => \i__carry__0_i_1_n_0\ ); \i__carry__0_i_1__0\: unisim.vcomponents.LUT6 @@ -10725,12 +12580,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => s_axi_wdata(23), - I1 => R(21), - I2 => s_axi_wdata(24), - I3 => R(22), - I4 => R(23), - I5 => s_axi_wdata(25), + I0 => s_axi_wdata(25), + I1 => R(23), + I2 => s_axi_wdata(23), + I3 => R(21), + I4 => R(22), + I5 => s_axi_wdata(24), O => \i__carry__0_i_1__0_n_0\ ); \i__carry__0_i_2\: unisim.vcomponents.LUT6 @@ -10738,12 +12593,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => \gtxd.sig_txd_packet_size_reg\(19), - I1 => s_axi_wdata(21), - I2 => s_axi_wdata(22), - I3 => \gtxd.sig_txd_packet_size_reg\(20), - I4 => s_axi_wdata(20), - I5 => \gtxd.sig_txd_packet_size_reg\(18), + I0 => \gtxd.sig_txd_packet_size_reg\(20), + I1 => s_axi_wdata(22), + I2 => s_axi_wdata(20), + I3 => \gtxd.sig_txd_packet_size_reg\(18), + I4 => s_axi_wdata(21), + I5 => \gtxd.sig_txd_packet_size_reg\(19), O => \i__carry__0_i_2_n_0\ ); \i__carry__0_i_2__0\: unisim.vcomponents.LUT6 @@ -10751,12 +12606,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => s_axi_wdata(20), - I1 => R(18), - I2 => s_axi_wdata(21), - I3 => R(19), - I4 => R(20), - I5 => s_axi_wdata(22), + I0 => s_axi_wdata(22), + I1 => R(20), + I2 => s_axi_wdata(20), + I3 => R(18), + I4 => R(19), + I5 => s_axi_wdata(21), O => \i__carry__0_i_2__0_n_0\ ); \i__carry__0_i_3\: unisim.vcomponents.LUT6 @@ -10777,12 +12632,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => R(16), - I1 => s_axi_wdata(18), - I2 => s_axi_wdata(19), - I3 => R(17), - I4 => s_axi_wdata(17), - I5 => R(15), + I0 => s_axi_wdata(18), + I1 => R(16), + I2 => s_axi_wdata(17), + I3 => R(15), + I4 => R(17), + I5 => s_axi_wdata(19), O => \i__carry__0_i_3__0_n_0\ ); \i__carry__0_i_4\: unisim.vcomponents.LUT6 @@ -10790,10 +12645,10 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => \gtxd.sig_txd_packet_size_reg\(14), - I1 => s_axi_wdata(16), - I2 => s_axi_wdata(15), - I3 => \gtxd.sig_txd_packet_size_reg\(13), + I0 => \gtxd.sig_txd_packet_size_reg\(13), + I1 => s_axi_wdata(15), + I2 => s_axi_wdata(16), + I3 => \gtxd.sig_txd_packet_size_reg\(14), I4 => s_axi_wdata(14), I5 => \gtxd.sig_txd_packet_size_reg\(12), O => \i__carry__0_i_4_n_0\ @@ -10803,12 +12658,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => s_axi_wdata(16), - I1 => R(14), - I2 => s_axi_wdata(14), - I3 => R(12), - I4 => R(13), - I5 => s_axi_wdata(15), + I0 => s_axi_wdata(14), + I1 => R(12), + I2 => s_axi_wdata(15), + I3 => R(13), + I4 => R(14), + I5 => s_axi_wdata(16), O => \i__carry__0_i_4__0_n_0\ ); \i__carry__1_i_1\: unisim.vcomponents.LUT1 @@ -10832,10 +12687,10 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => \gtxd.sig_txd_packet_size_reg\(29), - I1 => s_axi_wdata(31), - I2 => s_axi_wdata(30), - I3 => \gtxd.sig_txd_packet_size_reg\(28), + I0 => \gtxd.sig_txd_packet_size_reg\(28), + I1 => s_axi_wdata(30), + I2 => s_axi_wdata(31), + I3 => \gtxd.sig_txd_packet_size_reg\(29), I4 => s_axi_wdata(29), I5 => \gtxd.sig_txd_packet_size_reg\(27), O => \i__carry__1_i_2_n_0\ @@ -10845,12 +12700,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => s_axi_wdata(29), - I1 => R(27), - I2 => s_axi_wdata(31), - I3 => R(29), - I4 => R(28), - I5 => s_axi_wdata(30), + I0 => R(28), + I1 => s_axi_wdata(30), + I2 => s_axi_wdata(29), + I3 => R(27), + I4 => s_axi_wdata(31), + I5 => R(29), O => \i__carry__1_i_2__0_n_0\ ); \i__carry__1_i_3\: unisim.vcomponents.LUT6 @@ -10884,10 +12739,10 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => \gtxd.sig_txd_packet_size_reg\(10), - I1 => s_axi_wdata(12), - I2 => s_axi_wdata(13), - I3 => \gtxd.sig_txd_packet_size_reg\(11), + I0 => \gtxd.sig_txd_packet_size_reg\(11), + I1 => s_axi_wdata(13), + I2 => s_axi_wdata(12), + I3 => \gtxd.sig_txd_packet_size_reg\(10), I4 => s_axi_wdata(11), I5 => \gtxd.sig_txd_packet_size_reg\(9), O => \i__carry_i_1_n_0\ @@ -10897,12 +12752,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => R(10), - I1 => s_axi_wdata(12), - I2 => s_axi_wdata(13), - I3 => R(11), - I4 => s_axi_wdata(11), - I5 => R(9), + I0 => s_axi_wdata(13), + I1 => R(11), + I2 => s_axi_wdata(11), + I3 => R(9), + I4 => R(10), + I5 => s_axi_wdata(12), O => \i__carry_i_1__0_n_0\ ); \i__carry_i_2\: unisim.vcomponents.LUT6 @@ -10910,12 +12765,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => \gtxd.sig_txd_packet_size_reg\(8), - I1 => s_axi_wdata(10), + I0 => \gtxd.sig_txd_packet_size_reg\(7), + I1 => s_axi_wdata(9), I2 => s_axi_wdata(8), I3 => \gtxd.sig_txd_packet_size_reg\(6), - I4 => s_axi_wdata(9), - I5 => \gtxd.sig_txd_packet_size_reg\(7), + I4 => s_axi_wdata(10), + I5 => \gtxd.sig_txd_packet_size_reg\(8), O => \i__carry_i_2_n_0\ ); \i__carry_i_2__0\: unisim.vcomponents.LUT6 @@ -10923,12 +12778,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => R(8), - I1 => s_axi_wdata(10), + I0 => s_axi_wdata(9), + I1 => R(7), I2 => s_axi_wdata(8), I3 => R(6), - I4 => s_axi_wdata(9), - I5 => R(7), + I4 => R(8), + I5 => s_axi_wdata(10), O => \i__carry_i_2__0_n_0\ ); \i__carry_i_3\: unisim.vcomponents.LUT6 @@ -10936,10 +12791,10 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => \gtxd.sig_txd_packet_size_reg\(4), - I1 => s_axi_wdata(6), - I2 => s_axi_wdata(7), - I3 => \gtxd.sig_txd_packet_size_reg\(5), + I0 => \gtxd.sig_txd_packet_size_reg\(5), + I1 => s_axi_wdata(7), + I2 => s_axi_wdata(6), + I3 => \gtxd.sig_txd_packet_size_reg\(4), I4 => s_axi_wdata(5), I5 => \gtxd.sig_txd_packet_size_reg\(3), O => \i__carry_i_3_n_0\ @@ -10949,12 +12804,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => s_axi_wdata(6), - I1 => R(4), + I0 => s_axi_wdata(7), + I1 => R(5), I2 => s_axi_wdata(5), I3 => R(3), - I4 => R(5), - I5 => s_axi_wdata(7), + I4 => R(4), + I5 => s_axi_wdata(6), O => \i__carry_i_3__0_n_0\ ); \i__carry_i_4\: unisim.vcomponents.LUT6 @@ -10990,8 +12845,8 @@ interrupt_INST_0: unisim.vcomponents.LUT6 port map ( I0 => interrupt_INST_0_i_1_n_0, I1 => interrupt_INST_0_i_2_n_0, - I2 => \^q\(5), - I3 => \^sig_register_array_reg[0][4]_0\, + I2 => \^q\(0), + I3 => \^sig_register_array_reg[0][10]_0\, I4 => \^q\(6), I5 => \^sig_register_array_reg[0][3]_0\, O => interrupt @@ -11001,12 +12856,12 @@ interrupt_INST_0_i_1: unisim.vcomponents.LUT6 INIT => X"FFFFF888F888F888" ) port map ( - I0 => \^q\(3), - I1 => \^sig_register_array_reg[0][7]_0\, - I2 => \^sig_register_array_reg[0][9]_0\, - I3 => \^q\(1), - I4 => \^sig_register_array_reg[0][8]_0\, - I5 => \^q\(2), + I0 => \^q\(2), + I1 => \^sig_register_array_reg[0][8]_0\, + I2 => \^sig_register_array_reg[0][6]_0\, + I3 => \^q\(4), + I4 => \^sig_register_array_reg[0][9]_0\, + I5 => \^q\(1), O => interrupt_INST_0_i_1_n_0 ); interrupt_INST_0_i_2: unisim.vcomponents.LUT4 @@ -11014,10 +12869,10 @@ interrupt_INST_0_i_2: unisim.vcomponents.LUT4 INIT => X"F888" ) port map ( - I0 => \^q\(4), - I1 => \^sig_register_array_reg[0][6]_0\, - I2 => \^q\(0), - I3 => \^sig_register_array_reg[0][10]_0\, + I0 => \^q\(3), + I1 => \^sig_register_array_reg[0][7]_0\, + I2 => \^q\(5), + I3 => \^sig_register_array_reg[0][4]_0\, O => interrupt_INST_0_i_2_n_0 ); s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 @@ -11090,7 +12945,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => sig_ip2bus_data(0), - Q => \sig_ip2bus_data_reg[0]_0\(21), + Q => \sig_ip2bus_data_reg[0]_0\(24), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[10]\: unisim.vcomponents.FDRE @@ -11101,7 +12956,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => D(0), - Q => \sig_ip2bus_data_reg[0]_0\(11), + Q => \sig_ip2bus_data_reg[0]_0\(14), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[11]\: unisim.vcomponents.FDRE @@ -11112,7 +12967,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => sig_ip2bus_data(11), - Q => \sig_ip2bus_data_reg[0]_0\(10), + Q => \sig_ip2bus_data_reg[0]_0\(13), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[12]\: unisim.vcomponents.FDRE @@ -11123,7 +12978,18 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => sig_ip2bus_data(12), - Q => \sig_ip2bus_data_reg[0]_0\(9), + Q => \sig_ip2bus_data_reg[0]_0\(12), + R => IP2Bus_WrAck_i_1_n_0 + ); +\sig_ip2bus_data_reg[19]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => '1', + D => sig_ip2bus_data(19), + Q => \sig_ip2bus_data_reg[0]_0\(11), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[1]\: unisim.vcomponents.FDRE @@ -11134,7 +13000,29 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => sig_ip2bus_data(1), - Q => \sig_ip2bus_data_reg[0]_0\(20), + Q => \sig_ip2bus_data_reg[0]_0\(23), + R => IP2Bus_WrAck_i_1_n_0 + ); +\sig_ip2bus_data_reg[20]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => '1', + D => sig_ip2bus_data(20), + Q => \sig_ip2bus_data_reg[0]_0\(10), + R => IP2Bus_WrAck_i_1_n_0 + ); +\sig_ip2bus_data_reg[21]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => '1', + D => sig_ip2bus_data(21), + Q => \sig_ip2bus_data_reg[0]_0\(9), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[22]\: unisim.vcomponents.FDRE @@ -11233,7 +13121,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => sig_ip2bus_data(2), - Q => \sig_ip2bus_data_reg[0]_0\(19), + Q => \sig_ip2bus_data_reg[0]_0\(22), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[30]\: unisim.vcomponents.FDRE @@ -11255,7 +13143,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => D(6), - Q => \sig_ip2bus_data_reg[0]_0\(18), + Q => \sig_ip2bus_data_reg[0]_0\(21), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[4]\: unisim.vcomponents.FDRE @@ -11266,7 +13154,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => D(5), - Q => \sig_ip2bus_data_reg[0]_0\(17), + Q => \sig_ip2bus_data_reg[0]_0\(20), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[5]\: unisim.vcomponents.FDRE @@ -11277,7 +13165,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => sig_ip2bus_data(5), - Q => \sig_ip2bus_data_reg[0]_0\(16), + Q => \sig_ip2bus_data_reg[0]_0\(19), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[6]\: unisim.vcomponents.FDRE @@ -11288,7 +13176,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => D(4), - Q => \sig_ip2bus_data_reg[0]_0\(15), + Q => \sig_ip2bus_data_reg[0]_0\(18), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[7]\: unisim.vcomponents.FDRE @@ -11299,7 +13187,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => D(3), - Q => \sig_ip2bus_data_reg[0]_0\(14), + Q => \sig_ip2bus_data_reg[0]_0\(17), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[8]\: unisim.vcomponents.FDRE @@ -11310,7 +13198,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => D(2), - Q => \sig_ip2bus_data_reg[0]_0\(13), + Q => \sig_ip2bus_data_reg[0]_0\(16), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[9]\: unisim.vcomponents.FDRE @@ -11321,43 +13209,35 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => D(1), - Q => \sig_ip2bus_data_reg[0]_0\(12), + Q => \sig_ip2bus_data_reg[0]_0\(15), R => IP2Bus_WrAck_i_1_n_0 ); \sig_register_array[0][10]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"0F004F470C004C44" + INIT => X"3705330337050000" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\, - I1 => \gtxd.COMP_TXD_FIFO_n_50\, - I2 => sig_str_rst_reg_1, + I1 => sig_str_rst_reg_1, + I2 => s_axi_wdata(21), I3 => \sig_register_array_reg[0][3]_1\, - I4 => s_axi_wdata(21), + I4 => \gtxd.COMP_TXD_FIFO_n_52\, I5 => \^sig_register_array_reg[0][10]_0\, O => \sig_register_array[0][10]_i_1_n_0\ ); -\sig_register_array[0][3]_i_1\: unisim.vcomponents.LUT5 +\sig_register_array[0][3]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"0D0D000D" + INIT => X"3705330337050000" ) port map ( - I0 => s_axi_wdata(28), - I1 => \sig_register_array_reg[0][3]_1\, - I2 => sig_str_rst_reg_1, - I3 => \gtxd.COMP_TXD_FIFO_n_48\, - I4 => \^sig_register_array_reg[0][3]_0\, + I0 => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\, + I1 => sig_str_rst_reg_1, + I2 => s_axi_wdata(28), + I3 => \sig_register_array_reg[0][3]_1\, + I4 => \gtxd.COMP_TXD_FIFO_n_50\, + I5 => \^sig_register_array_reg[0][3]_0\, O => \sig_register_array[0][3]_i_1_n_0\ ); -\sig_register_array[0][3]_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => \^ip2bus_error1_in\, - I1 => \sig_txd_wr_data_reg[0]_0\, - O => IPIC_STATE_reg_0 - ); \sig_register_array[0][4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"02AAFFFF02AA0000" @@ -11367,24 +13247,37 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 I1 => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\, I2 => s_axi_wdata(27), I3 => \sig_register_array_reg[0][4]_1\, - I4 => \gtxd.COMP_TXD_FIFO_n_37\, + I4 => \sig_register_array_reg[0][4]_2\, I5 => \^sig_register_array_reg[0][4]_0\, O => \sig_register_array[0][4]_i_1_n_0\ ); -\sig_register_array[0][6]_i_1\: unisim.vcomponents.LUT4 +\sig_register_array[0][6]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"2F20" + INIT => X"222F2220" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I1 => Bus_RNW_reg, - I2 => \sig_register_array_reg[0][6]_1\, - I3 => \^sig_register_array_reg[0][6]_0\, + I2 => sig_str_rst_reg_1, + I3 => \sig_register_array[0][6]_i_2_n_0\, + I4 => \^sig_register_array_reg[0][6]_0\, O => \sig_register_array[0][6]_i_1_n_0\ ); +\sig_register_array[0][6]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"10FF1010" + ) + port map ( + I0 => \^ip2bus_error1_in\, + I1 => \sig_txd_wr_data[31]_i_2_n_0\, + I2 => sig_txd_sb_wr_en_reg_0, + I3 => \sig_register_array_reg[0][3]_1\, + I4 => s_axi_wdata(25), + O => \sig_register_array[0][6]_i_2_n_0\ + ); \sig_register_array[0][7]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"F0FFD0DD" + INIT => X"FCFFDCDD" ) port map ( I0 => \^sig_str_rst_reg_0\, @@ -11409,14 +13302,14 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 ); \sig_register_array[0][9]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"0F004F470C004C44" + INIT => X"3705330337050000" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\, - I1 => \gtxd.COMP_TXD_FIFO_n_49\, - I2 => sig_str_rst_reg_1, + I1 => sig_str_rst_reg_1, + I2 => s_axi_wdata(22), I3 => \sig_register_array_reg[0][3]_1\, - I4 => s_axi_wdata(22), + I4 => \gtxd.COMP_TXD_FIFO_n_51\, I5 => \^sig_register_array_reg[0][9]_0\, O => \sig_register_array[0][9]_i_1_n_0\ ); @@ -11582,7 +13475,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 ); sig_str_rst_i_2: unisim.vcomponents.LUT5 generic map( - INIT => X"00000008" + INIT => X"FFFFFFF7" ) port map ( I0 => s_axi_wdata(7), @@ -11635,6 +13528,15 @@ sig_tx_channel_reset_reg: unisim.vcomponents.FDRE Q => \^sig_tx_channel_reset_reg_0\, R => \^sig_bus2ip_reset\ ); +sig_txd_sb_wr_en_i_1: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => \sig_txd_wr_data[31]_i_2_n_0\, + I1 => sig_txd_sb_wr_en_reg_0, + O => sig_txd_sb_wr_en + ); sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE generic map( INIT => '0' @@ -11646,7 +13548,19 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE Q => sig_txd_sb_wr_en_reg_n_0, R => IP2Bus_WrAck_i_1_n_0 ); -\sig_txd_wr_data[31]_i_3\: unisim.vcomponents.LUT4 +\sig_txd_wr_data[31]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"0000888F" + ) + port map ( + I0 => \sig_txd_wr_data[31]_i_2_n_0\, + I1 => sig_txd_sb_wr_en_reg_0, + I2 => \gtxd.COMP_TXD_FIFO_n_50\, + I3 => IP2Bus_Error_reg_0, + I4 => \^ip2bus_error1_in\, + O => \sig_txd_wr_data[31]_i_1_n_0\ + ); +\sig_txd_wr_data[31]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FE02" ) @@ -11655,7 +13569,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE I1 => s_axi_wdata(0), I2 => s_axi_wdata(1), I3 => \eqOp_inferred__2/i__carry__1_n_1\, - O => s_axi_wdata_0_sn_1 + O => \sig_txd_wr_data[31]_i_2_n_0\ ); \sig_txd_wr_data_reg[0]\: unisim.vcomponents.FDRE generic map( @@ -11663,7 +13577,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(0), Q => txd_wr_data_0(0), R => \^sig_bus2ip_reset\ @@ -11674,7 +13588,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(10), Q => txd_wr_data(10), R => \^sig_bus2ip_reset\ @@ -11685,7 +13599,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(11), Q => txd_wr_data(11), R => \^sig_bus2ip_reset\ @@ -11696,7 +13610,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(12), Q => txd_wr_data(12), R => \^sig_bus2ip_reset\ @@ -11707,7 +13621,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(13), Q => txd_wr_data(13), R => \^sig_bus2ip_reset\ @@ -11718,7 +13632,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(14), Q => txd_wr_data(14), R => \^sig_bus2ip_reset\ @@ -11729,7 +13643,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(15), Q => txd_wr_data(15), R => \^sig_bus2ip_reset\ @@ -11740,7 +13654,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(16), Q => txd_wr_data(16), R => \^sig_bus2ip_reset\ @@ -11751,7 +13665,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(17), Q => txd_wr_data(17), R => \^sig_bus2ip_reset\ @@ -11762,7 +13676,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(18), Q => txd_wr_data(18), R => \^sig_bus2ip_reset\ @@ -11773,7 +13687,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(19), Q => txd_wr_data(19), R => \^sig_bus2ip_reset\ @@ -11784,7 +13698,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(1), Q => txd_wr_data_0(1), R => \^sig_bus2ip_reset\ @@ -11795,7 +13709,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(20), Q => txd_wr_data(20), R => \^sig_bus2ip_reset\ @@ -11806,7 +13720,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(21), Q => txd_wr_data(21), R => \^sig_bus2ip_reset\ @@ -11817,7 +13731,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(22), Q => txd_wr_data(22), R => \^sig_bus2ip_reset\ @@ -11828,7 +13742,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(23), Q => txd_wr_data(23), R => \^sig_bus2ip_reset\ @@ -11839,7 +13753,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(24), Q => txd_wr_data(24), R => \^sig_bus2ip_reset\ @@ -11850,7 +13764,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(25), Q => txd_wr_data(25), R => \^sig_bus2ip_reset\ @@ -11861,7 +13775,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(26), Q => txd_wr_data(26), R => \^sig_bus2ip_reset\ @@ -11872,7 +13786,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(27), Q => txd_wr_data(27), R => \^sig_bus2ip_reset\ @@ -11883,7 +13797,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(28), Q => txd_wr_data(28), R => \^sig_bus2ip_reset\ @@ -11894,7 +13808,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(29), Q => txd_wr_data(29), R => \^sig_bus2ip_reset\ @@ -11905,7 +13819,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(2), Q => txd_wr_data(2), R => \^sig_bus2ip_reset\ @@ -11916,7 +13830,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(30), Q => txd_wr_data(30), R => \^sig_bus2ip_reset\ @@ -11927,7 +13841,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(31), Q => txd_wr_data(31), R => \^sig_bus2ip_reset\ @@ -11938,7 +13852,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(3), Q => txd_wr_data(3), R => \^sig_bus2ip_reset\ @@ -11949,7 +13863,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(4), Q => txd_wr_data(4), R => \^sig_bus2ip_reset\ @@ -11960,7 +13874,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(5), Q => txd_wr_data(5), R => \^sig_bus2ip_reset\ @@ -11971,7 +13885,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(6), Q => txd_wr_data(6), R => \^sig_bus2ip_reset\ @@ -11982,7 +13896,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(7), Q => txd_wr_data(7), R => \^sig_bus2ip_reset\ @@ -11993,7 +13907,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(8), Q => txd_wr_data(8), R => \^sig_bus2ip_reset\ @@ -12004,7 +13918,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(9), Q => txd_wr_data(9), R => \^sig_bus2ip_reset\ @@ -12160,11 +14074,11 @@ entity design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s is attribute C_TX_CASCADE_HEIGHT : integer; attribute C_TX_CASCADE_HEIGHT of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s : entity is 0; attribute C_TX_FIFO_DEPTH : integer; - attribute C_TX_FIFO_DEPTH of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s : entity is 512; + attribute C_TX_FIFO_DEPTH of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s : entity is 4096; attribute C_TX_FIFO_PE_THRESHOLD : integer; attribute C_TX_FIFO_PE_THRESHOLD of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s : entity is 5; attribute C_TX_FIFO_PF_THRESHOLD : integer; - attribute C_TX_FIFO_PF_THRESHOLD of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s : entity is 507; + attribute C_TX_FIFO_PF_THRESHOLD of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s : entity is 4091; attribute C_USE_RX_CUT_THROUGH : integer; attribute C_USE_RX_CUT_THROUGH of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s : entity is 0; attribute C_USE_RX_DATA : integer; @@ -12183,9 +14097,9 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s is signal \\ : STD_LOGIC; signal \\ : STD_LOGIC; signal COMP_IPIC2AXI_S_n_39 : STD_LOGIC; - signal COMP_IPIC2AXI_S_n_41 : STD_LOGIC; - signal COMP_IPIC2AXI_S_n_44 : STD_LOGIC; + signal COMP_IPIC2AXI_S_n_43 : STD_LOGIC; signal COMP_IPIC2AXI_S_n_45 : STD_LOGIC; + signal COMP_IPIC2AXI_S_n_46 : STD_LOGIC; signal COMP_IPIC2AXI_S_n_47 : STD_LOGIC; signal COMP_IPIC2AXI_S_n_48 : STD_LOGIC; signal COMP_IPIC2AXI_S_n_49 : STD_LOGIC; @@ -12198,19 +14112,16 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s is signal COMP_IPIC2AXI_S_n_56 : STD_LOGIC; signal COMP_IPIC2AXI_S_n_57 : STD_LOGIC; signal COMP_IPIC2AXI_S_n_58 : STD_LOGIC; - signal COMP_IPIC2AXI_S_n_59 : STD_LOGIC; - signal COMP_IPIC2AXI_S_n_60 : STD_LOGIC; signal COMP_IPIF_n_10 : STD_LOGIC; signal COMP_IPIF_n_11 : STD_LOGIC; signal COMP_IPIF_n_12 : STD_LOGIC; signal COMP_IPIF_n_13 : STD_LOGIC; signal COMP_IPIF_n_14 : STD_LOGIC; - signal COMP_IPIF_n_16 : STD_LOGIC; + signal COMP_IPIF_n_15 : STD_LOGIC; + signal COMP_IPIF_n_23 : STD_LOGIC; signal COMP_IPIF_n_24 : STD_LOGIC; signal COMP_IPIF_n_25 : STD_LOGIC; - signal COMP_IPIF_n_26 : STD_LOGIC; - signal COMP_IPIF_n_27 : STD_LOGIC; - signal COMP_IPIF_n_41 : STD_LOGIC; + signal COMP_IPIF_n_39 : STD_LOGIC; signal COMP_IPIF_n_8 : STD_LOGIC; signal COMP_IPIF_n_9 : STD_LOGIC; signal IP2Bus_Error1_in : STD_LOGIC; @@ -12218,6 +14129,8 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s is signal \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\ : STD_LOGIC; signal \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\ : STD_LOGIC; signal \I_SLAVE_ATTACHMENT/I_DECODER/cs_ce_clr\ : STD_LOGIC; + signal \^axi_str_txd_tlast\ : STD_LOGIC; + signal \^axi_str_txd_tvalid\ : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 1 to 1 ); signal \^s_axi_arready\ : STD_LOGIC; signal \^s_axi_awready\ : STD_LOGIC; @@ -12229,7 +14142,6 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s is signal sig_ip2bus_data : STD_LOGIC_VECTOR ( 0 to 30 ); signal sig_ip2bus_data_1 : STD_LOGIC_VECTOR ( 3 to 10 ); signal \sig_register_array[1]_0\ : STD_LOGIC_VECTOR ( 0 to 12 ); - signal sig_txd_sb_wr_en : STD_LOGIC; begin axi_str_rxd_tready <= \\; axi_str_txc_tdata(31) <= \\; @@ -12298,6 +14210,7 @@ begin axi_str_txd_tkeep(2) <= \\; axi_str_txd_tkeep(1) <= \\; axi_str_txd_tkeep(0) <= \\; + axi_str_txd_tlast <= \^axi_str_txd_tlast\; axi_str_txd_tstrb(3) <= \\; axi_str_txd_tstrb(2) <= \\; axi_str_txd_tstrb(1) <= \\; @@ -12306,6 +14219,7 @@ begin axi_str_txd_tuser(2) <= \\; axi_str_txd_tuser(1) <= \\; axi_str_txd_tuser(0) <= \\; + axi_str_txd_tvalid <= \^axi_str_txd_tvalid\; mm2s_cntrl_reset_out_n <= \\; s_axi4_arready <= \\; s_axi4_awready <= \\; @@ -12368,10 +14282,7 @@ begin s_axi_rdata(15) <= \\; s_axi_rdata(14) <= \\; s_axi_rdata(13) <= \\; - s_axi_rdata(12) <= \\; - s_axi_rdata(11) <= \\; - s_axi_rdata(10) <= \\; - s_axi_rdata(9 downto 1) <= \^s_axi_rdata\(9 downto 1); + s_axi_rdata(12 downto 1) <= \^s_axi_rdata\(12 downto 1); s_axi_rdata(0) <= \\; s_axi_rresp(1) <= \^s_axi_rresp\(1); s_axi_rresp(0) <= \\; @@ -12386,27 +14297,26 @@ COMP_IPIC2AXI_S: entity work.design_1_axi_fifo_mm_s_0_0_ipic2axi_s D(2) => sig_ip2bus_data_1(8), D(1) => sig_ip2bus_data_1(9), D(0) => sig_ip2bus_data_1(10), - E(0) => COMP_IPIF_n_41, + E(0) => COMP_IPIF_n_12, \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, IP2Bus_Error1_in => IP2Bus_Error1_in, - IP2Bus_Error_reg_0 => COMP_IPIF_n_26, + IP2Bus_Error_reg_0 => COMP_IPIF_n_24, IP2Bus_RdAck_reg_0 => \^s_axi_arready\, IP2Bus_RdAck_reg_1 => COMP_IPIF_n_13, IP2Bus_WrAck_reg_0 => \^s_axi_awready\, - IP2Bus_WrAck_reg_1 => COMP_IPIF_n_27, - IPIC_STATE_reg_0 => COMP_IPIC2AXI_S_n_41, - Q(6) => COMP_IPIC2AXI_S_n_47, - Q(5) => COMP_IPIC2AXI_S_n_48, - Q(4) => COMP_IPIC2AXI_S_n_49, - Q(3) => COMP_IPIC2AXI_S_n_50, - Q(2) => COMP_IPIC2AXI_S_n_51, - Q(1) => COMP_IPIC2AXI_S_n_52, - Q(0) => COMP_IPIC2AXI_S_n_53, + IP2Bus_WrAck_reg_1 => COMP_IPIF_n_39, + Q(6) => COMP_IPIC2AXI_S_n_45, + Q(5) => COMP_IPIC2AXI_S_n_46, + Q(4) => COMP_IPIC2AXI_S_n_47, + Q(3) => COMP_IPIC2AXI_S_n_48, + Q(2) => COMP_IPIC2AXI_S_n_49, + Q(1) => COMP_IPIC2AXI_S_n_50, + Q(0) => COMP_IPIC2AXI_S_n_51, axi_str_txd_tdata(31 downto 0) => axi_str_txd_tdata(31 downto 0), - axi_str_txd_tlast => axi_str_txd_tlast, + axi_str_txd_tlast => \^axi_str_txd_tlast\, axi_str_txd_tready => axi_str_txd_tready, - axi_str_txd_tvalid => axi_str_txd_tvalid, + axi_str_txd_tvalid => \^axi_str_txd_tvalid\, cs_ce_clr => \I_SLAVE_ATTACHMENT/I_DECODER/cs_ce_clr\, interrupt => interrupt, p_1_in(0) => p_1_in(1), @@ -12414,23 +14324,25 @@ COMP_IPIC2AXI_S: entity work.design_1_axi_fifo_mm_s_0_0_ipic2axi_s s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), - s_axi_wdata_0_sp_1 => COMP_IPIC2AXI_S_n_45, - s_axi_wdata_7_sp_1 => COMP_IPIC2AXI_S_n_44, + s_axi_wdata_7_sp_1 => COMP_IPIC2AXI_S_n_43, sig_Bus2IP_CS => sig_Bus2IP_CS, sig_Bus2IP_Reset => sig_Bus2IP_Reset, - \sig_ip2bus_data_reg[0]_0\(21) => sig_ip2bus_data(0), - \sig_ip2bus_data_reg[0]_0\(20) => sig_ip2bus_data(1), - \sig_ip2bus_data_reg[0]_0\(19) => sig_ip2bus_data(2), - \sig_ip2bus_data_reg[0]_0\(18) => sig_ip2bus_data(3), - \sig_ip2bus_data_reg[0]_0\(17) => sig_ip2bus_data(4), - \sig_ip2bus_data_reg[0]_0\(16) => sig_ip2bus_data(5), - \sig_ip2bus_data_reg[0]_0\(15) => sig_ip2bus_data(6), - \sig_ip2bus_data_reg[0]_0\(14) => sig_ip2bus_data(7), - \sig_ip2bus_data_reg[0]_0\(13) => sig_ip2bus_data(8), - \sig_ip2bus_data_reg[0]_0\(12) => sig_ip2bus_data(9), - \sig_ip2bus_data_reg[0]_0\(11) => sig_ip2bus_data(10), - \sig_ip2bus_data_reg[0]_0\(10) => sig_ip2bus_data(11), - \sig_ip2bus_data_reg[0]_0\(9) => sig_ip2bus_data(12), + \sig_ip2bus_data_reg[0]_0\(24) => sig_ip2bus_data(0), + \sig_ip2bus_data_reg[0]_0\(23) => sig_ip2bus_data(1), + \sig_ip2bus_data_reg[0]_0\(22) => sig_ip2bus_data(2), + \sig_ip2bus_data_reg[0]_0\(21) => sig_ip2bus_data(3), + \sig_ip2bus_data_reg[0]_0\(20) => sig_ip2bus_data(4), + \sig_ip2bus_data_reg[0]_0\(19) => sig_ip2bus_data(5), + \sig_ip2bus_data_reg[0]_0\(18) => sig_ip2bus_data(6), + \sig_ip2bus_data_reg[0]_0\(17) => sig_ip2bus_data(7), + \sig_ip2bus_data_reg[0]_0\(16) => sig_ip2bus_data(8), + \sig_ip2bus_data_reg[0]_0\(15) => sig_ip2bus_data(9), + \sig_ip2bus_data_reg[0]_0\(14) => sig_ip2bus_data(10), + \sig_ip2bus_data_reg[0]_0\(13) => sig_ip2bus_data(11), + \sig_ip2bus_data_reg[0]_0\(12) => sig_ip2bus_data(12), + \sig_ip2bus_data_reg[0]_0\(11) => sig_ip2bus_data(19), + \sig_ip2bus_data_reg[0]_0\(10) => sig_ip2bus_data(20), + \sig_ip2bus_data_reg[0]_0\(9) => sig_ip2bus_data(21), \sig_ip2bus_data_reg[0]_0\(8) => sig_ip2bus_data(22), \sig_ip2bus_data_reg[0]_0\(7) => sig_ip2bus_data(23), \sig_ip2bus_data_reg[0]_0\(6) => sig_ip2bus_data(24), @@ -12440,18 +14352,18 @@ COMP_IPIC2AXI_S: entity work.design_1_axi_fifo_mm_s_0_0_ipic2axi_s \sig_ip2bus_data_reg[0]_0\(2) => sig_ip2bus_data(28), \sig_ip2bus_data_reg[0]_0\(1) => sig_ip2bus_data(29), \sig_ip2bus_data_reg[0]_0\(0) => sig_ip2bus_data(30), - \sig_ip2bus_data_reg[12]_0\ => COMP_IPIF_n_24, - \sig_ip2bus_data_reg[22]_0\ => COMP_IPIF_n_25, - \sig_register_array_reg[0][10]_0\ => COMP_IPIC2AXI_S_n_57, - \sig_register_array_reg[0][3]_0\ => COMP_IPIC2AXI_S_n_55, + \sig_ip2bus_data_reg[12]_0\ => COMP_IPIF_n_23, + \sig_ip2bus_data_reg[19]_0\ => COMP_IPIF_n_15, + \sig_register_array_reg[0][10]_0\ => COMP_IPIC2AXI_S_n_52, + \sig_register_array_reg[0][3]_0\ => COMP_IPIC2AXI_S_n_53, \sig_register_array_reg[0][3]_1\ => COMP_IPIF_n_10, - \sig_register_array_reg[0][4]_0\ => COMP_IPIC2AXI_S_n_54, - \sig_register_array_reg[0][4]_1\ => COMP_IPIF_n_12, - \sig_register_array_reg[0][6]_0\ => COMP_IPIC2AXI_S_n_56, - \sig_register_array_reg[0][6]_1\ => COMP_IPIF_n_8, - \sig_register_array_reg[0][7]_0\ => COMP_IPIC2AXI_S_n_58, - \sig_register_array_reg[0][8]_0\ => COMP_IPIC2AXI_S_n_60, - \sig_register_array_reg[0][9]_0\ => COMP_IPIC2AXI_S_n_59, + \sig_register_array_reg[0][4]_0\ => COMP_IPIC2AXI_S_n_55, + \sig_register_array_reg[0][4]_1\ => COMP_IPIF_n_11, + \sig_register_array_reg[0][4]_2\ => COMP_IPIF_n_8, + \sig_register_array_reg[0][6]_0\ => COMP_IPIC2AXI_S_n_57, + \sig_register_array_reg[0][7]_0\ => COMP_IPIC2AXI_S_n_54, + \sig_register_array_reg[0][8]_0\ => COMP_IPIC2AXI_S_n_56, + \sig_register_array_reg[0][9]_0\ => COMP_IPIC2AXI_S_n_58, \sig_register_array_reg[1][0]_0\(12) => \sig_register_array[1]_0\(0), \sig_register_array_reg[1][0]_0\(11) => \sig_register_array[1]_0\(1), \sig_register_array_reg[1][0]_0\(10) => \sig_register_array[1]_0\(2), @@ -12469,26 +14381,11 @@ COMP_IPIC2AXI_S: entity work.design_1_axi_fifo_mm_s_0_0_ipic2axi_s sig_str_rst_reg_1 => COMP_IPIF_n_9, sig_tx_channel_reset_reg_0 => COMP_IPIC2AXI_S_n_39, sig_tx_channel_reset_reg_1 => COMP_IPIF_n_14, - sig_txd_sb_wr_en => sig_txd_sb_wr_en, - \sig_txd_wr_data_reg[0]_0\ => COMP_IPIF_n_16, - \sig_txd_wr_data_reg[0]_1\ => COMP_IPIF_n_11 + sig_txd_sb_wr_en_reg_0 => COMP_IPIF_n_25 ); COMP_IPIF: entity work.design_1_axi_fifo_mm_s_0_0_axi_lite_ipif port map ( Bus_RNW_reg => \I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg\, - Bus_RNW_reg_reg(12) => \sig_register_array[1]_0\(0), - Bus_RNW_reg_reg(11) => \sig_register_array[1]_0\(1), - Bus_RNW_reg_reg(10) => \sig_register_array[1]_0\(2), - Bus_RNW_reg_reg(9) => \sig_register_array[1]_0\(3), - Bus_RNW_reg_reg(8) => \sig_register_array[1]_0\(4), - Bus_RNW_reg_reg(7) => \sig_register_array[1]_0\(5), - Bus_RNW_reg_reg(6) => \sig_register_array[1]_0\(6), - Bus_RNW_reg_reg(5) => \sig_register_array[1]_0\(7), - Bus_RNW_reg_reg(4) => \sig_register_array[1]_0\(8), - Bus_RNW_reg_reg(3) => \sig_register_array[1]_0\(9), - Bus_RNW_reg_reg(2) => \sig_register_array[1]_0\(10), - Bus_RNW_reg_reg(1) => \sig_register_array[1]_0\(11), - Bus_RNW_reg_reg(0) => \sig_register_array[1]_0\(12), D(6) => sig_ip2bus_data_1(3), D(5) => sig_ip2bus_data_1(4), D(4) => sig_ip2bus_data_1(6), @@ -12496,29 +14393,31 @@ COMP_IPIF: entity work.design_1_axi_fifo_mm_s_0_0_axi_lite_ipif D(2) => sig_ip2bus_data_1(8), D(1) => sig_ip2bus_data_1(9), D(0) => sig_ip2bus_data_1(10), - E(0) => COMP_IPIF_n_41, + E(0) => COMP_IPIF_n_12, \FSM_onehot_state_reg[2]\ => \^s_axi_awready\, \FSM_onehot_state_reg[3]\ => \^s_axi_arready\, \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]\ => COMP_IPIF_n_9, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\ => COMP_IPIF_n_10, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\ => COMP_IPIF_n_12, - \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\ => COMP_IPIF_n_16, - \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ => COMP_IPIF_n_24, + \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]\ => COMP_IPIF_n_10, + \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\ => COMP_IPIF_n_15, + \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ => COMP_IPIF_n_23, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\ => COMP_IPIF_n_14, - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]\ => COMP_IPIF_n_25, - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\ => COMP_IPIF_n_26, + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\ => COMP_IPIF_n_25, + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ => COMP_IPIF_n_9, + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ => COMP_IPIF_n_11, \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, IP2Bus_Error1_in => IP2Bus_Error1_in, - Q(6) => COMP_IPIC2AXI_S_n_47, - Q(5) => COMP_IPIC2AXI_S_n_48, - Q(4) => COMP_IPIC2AXI_S_n_49, - Q(3) => COMP_IPIC2AXI_S_n_50, - Q(2) => COMP_IPIC2AXI_S_n_51, - Q(1) => COMP_IPIC2AXI_S_n_52, - Q(0) => COMP_IPIC2AXI_S_n_53, + IP2Bus_Error_reg => COMP_IPIC2AXI_S_n_39, + Q(6) => COMP_IPIC2AXI_S_n_45, + Q(5) => COMP_IPIC2AXI_S_n_46, + Q(4) => COMP_IPIC2AXI_S_n_47, + Q(3) => COMP_IPIC2AXI_S_n_48, + Q(2) => COMP_IPIC2AXI_S_n_49, + Q(1) => COMP_IPIC2AXI_S_n_50, + Q(0) => COMP_IPIC2AXI_S_n_51, + axi_str_txd_tlast => \^axi_str_txd_tlast\, + axi_str_txd_tvalid => \^axi_str_txd_tvalid\, bus2ip_rnw_i_reg => COMP_IPIF_n_13, - bus2ip_rnw_i_reg_0 => COMP_IPIF_n_27, + bus2ip_rnw_i_reg_0 => COMP_IPIF_n_39, cs_ce_clr => \I_SLAVE_ATTACHMENT/I_DECODER/cs_ce_clr\, p_1_in(0) => p_1_in(1), s_axi_aclk => s_axi_aclk, @@ -12529,21 +14428,24 @@ COMP_IPIF: entity work.design_1_axi_fifo_mm_s_0_0_axi_lite_ipif s_axi_bready => s_axi_bready, s_axi_bresp(0) => \^s_axi_bresp\(1), s_axi_bvalid => s_axi_bvalid, - s_axi_rdata(21 downto 9) => \^s_axi_rdata\(31 downto 19), - s_axi_rdata(8 downto 0) => \^s_axi_rdata\(9 downto 1), - \s_axi_rdata_i_reg[31]\(21) => sig_ip2bus_data(0), - \s_axi_rdata_i_reg[31]\(20) => sig_ip2bus_data(1), - \s_axi_rdata_i_reg[31]\(19) => sig_ip2bus_data(2), - \s_axi_rdata_i_reg[31]\(18) => sig_ip2bus_data(3), - \s_axi_rdata_i_reg[31]\(17) => sig_ip2bus_data(4), - \s_axi_rdata_i_reg[31]\(16) => sig_ip2bus_data(5), - \s_axi_rdata_i_reg[31]\(15) => sig_ip2bus_data(6), - \s_axi_rdata_i_reg[31]\(14) => sig_ip2bus_data(7), - \s_axi_rdata_i_reg[31]\(13) => sig_ip2bus_data(8), - \s_axi_rdata_i_reg[31]\(12) => sig_ip2bus_data(9), - \s_axi_rdata_i_reg[31]\(11) => sig_ip2bus_data(10), - \s_axi_rdata_i_reg[31]\(10) => sig_ip2bus_data(11), - \s_axi_rdata_i_reg[31]\(9) => sig_ip2bus_data(12), + s_axi_rdata(24 downto 12) => \^s_axi_rdata\(31 downto 19), + s_axi_rdata(11 downto 0) => \^s_axi_rdata\(12 downto 1), + \s_axi_rdata_i_reg[31]\(24) => sig_ip2bus_data(0), + \s_axi_rdata_i_reg[31]\(23) => sig_ip2bus_data(1), + \s_axi_rdata_i_reg[31]\(22) => sig_ip2bus_data(2), + \s_axi_rdata_i_reg[31]\(21) => sig_ip2bus_data(3), + \s_axi_rdata_i_reg[31]\(20) => sig_ip2bus_data(4), + \s_axi_rdata_i_reg[31]\(19) => sig_ip2bus_data(5), + \s_axi_rdata_i_reg[31]\(18) => sig_ip2bus_data(6), + \s_axi_rdata_i_reg[31]\(17) => sig_ip2bus_data(7), + \s_axi_rdata_i_reg[31]\(16) => sig_ip2bus_data(8), + \s_axi_rdata_i_reg[31]\(15) => sig_ip2bus_data(9), + \s_axi_rdata_i_reg[31]\(14) => sig_ip2bus_data(10), + \s_axi_rdata_i_reg[31]\(13) => sig_ip2bus_data(11), + \s_axi_rdata_i_reg[31]\(12) => sig_ip2bus_data(12), + \s_axi_rdata_i_reg[31]\(11) => sig_ip2bus_data(19), + \s_axi_rdata_i_reg[31]\(10) => sig_ip2bus_data(20), + \s_axi_rdata_i_reg[31]\(9) => sig_ip2bus_data(21), \s_axi_rdata_i_reg[31]\(8) => sig_ip2bus_data(22), \s_axi_rdata_i_reg[31]\(7) => sig_ip2bus_data(23), \s_axi_rdata_i_reg[31]\(6) => sig_ip2bus_data(24), @@ -12557,23 +14459,32 @@ COMP_IPIF: entity work.design_1_axi_fifo_mm_s_0_0_axi_lite_ipif s_axi_rresp(0) => \^s_axi_rresp\(1), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(12 downto 0) => s_axi_wdata(31 downto 19), - \s_axi_wdata[25]\ => COMP_IPIF_n_8, + \s_axi_wdata[27]\ => COMP_IPIF_n_8, + \s_axi_wdata[31]\(12) => \sig_register_array[1]_0\(0), + \s_axi_wdata[31]\(11) => \sig_register_array[1]_0\(1), + \s_axi_wdata[31]\(10) => \sig_register_array[1]_0\(2), + \s_axi_wdata[31]\(9) => \sig_register_array[1]_0\(3), + \s_axi_wdata[31]\(8) => \sig_register_array[1]_0\(4), + \s_axi_wdata[31]\(7) => \sig_register_array[1]_0\(5), + \s_axi_wdata[31]\(6) => \sig_register_array[1]_0\(6), + \s_axi_wdata[31]\(5) => \sig_register_array[1]_0\(7), + \s_axi_wdata[31]\(4) => \sig_register_array[1]_0\(8), + \s_axi_wdata[31]\(3) => \sig_register_array[1]_0\(9), + \s_axi_wdata[31]\(2) => \sig_register_array[1]_0\(10), + \s_axi_wdata[31]\(1) => \sig_register_array[1]_0\(11), + \s_axi_wdata[31]\(0) => \sig_register_array[1]_0\(12), s_axi_wvalid => s_axi_wvalid, sig_Bus2IP_CS => sig_Bus2IP_CS, sig_Bus2IP_Reset => sig_Bus2IP_Reset, - \sig_ip2bus_data_reg[10]\ => COMP_IPIC2AXI_S_n_57, - \sig_ip2bus_data_reg[3]\ => COMP_IPIC2AXI_S_n_55, - \sig_ip2bus_data_reg[4]\ => COMP_IPIC2AXI_S_n_54, - \sig_ip2bus_data_reg[6]\ => COMP_IPIC2AXI_S_n_56, - \sig_ip2bus_data_reg[7]\ => COMP_IPIC2AXI_S_n_58, - \sig_ip2bus_data_reg[8]\ => COMP_IPIC2AXI_S_n_60, - \sig_ip2bus_data_reg[9]\ => COMP_IPIC2AXI_S_n_59, - \sig_register_array_reg[0][6]\ => COMP_IPIC2AXI_S_n_41, - sig_str_rst_reg => COMP_IPIC2AXI_S_n_44, - sig_tx_channel_reset_reg => COMP_IPIF_n_11, - sig_txd_sb_wr_en => sig_txd_sb_wr_en, - sig_txd_sb_wr_en_reg => COMP_IPIC2AXI_S_n_45, - sig_txd_sb_wr_en_reg_0 => COMP_IPIC2AXI_S_n_39 + \sig_ip2bus_data_reg[10]\ => COMP_IPIC2AXI_S_n_52, + \sig_ip2bus_data_reg[3]\ => COMP_IPIC2AXI_S_n_53, + \sig_ip2bus_data_reg[4]\ => COMP_IPIC2AXI_S_n_55, + \sig_ip2bus_data_reg[6]\ => COMP_IPIC2AXI_S_n_57, + \sig_ip2bus_data_reg[7]\ => COMP_IPIC2AXI_S_n_54, + \sig_ip2bus_data_reg[8]\ => COMP_IPIC2AXI_S_n_56, + \sig_ip2bus_data_reg[9]\ => COMP_IPIC2AXI_S_n_58, + sig_str_rst_reg => COMP_IPIC2AXI_S_n_43, + sig_tx_channel_reset_reg => COMP_IPIF_n_24 ); GND: unisim.vcomponents.GND port map ( @@ -12701,11 +14612,11 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0 is attribute C_TX_CASCADE_HEIGHT : integer; attribute C_TX_CASCADE_HEIGHT of U0 : label is 0; attribute C_TX_FIFO_DEPTH : integer; - attribute C_TX_FIFO_DEPTH of U0 : label is 512; + attribute C_TX_FIFO_DEPTH of U0 : label is 4096; attribute C_TX_FIFO_PE_THRESHOLD : integer; attribute C_TX_FIFO_PE_THRESHOLD of U0 : label is 5; attribute C_TX_FIFO_PF_THRESHOLD : integer; - attribute C_TX_FIFO_PF_THRESHOLD of U0 : label is 507; + attribute C_TX_FIFO_PF_THRESHOLD of U0 : label is 4091; attribute C_USE_RX_CUT_THROUGH : integer; attribute C_USE_RX_CUT_THROUGH of U0 : label is 0; attribute C_USE_RX_DATA : integer; diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.v b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.v index fd196f6..b211920 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.v +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.v @@ -1,10 +1,10 @@ // Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2020.1 (win64) Build 2902540 Wed May 27 19:54:49 MDT 2020 -// Date : Thu Jan 20 22:00:03 2022 +// Date : Wed May 11 18:46:03 2022 // Host : DESKTOP-J72MK93 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub -// c:/Users/Aleksa/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.v +// c:/Users/Aleksa/Documents/FPGA_Dev/Artix7_PCIe/dso_top_Rev2/dso_top_Rev2.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.v // Design : design_1_axi_fifo_mm_s_0_0 // Purpose : Stub declaration of top-level module interface // Device : xc7a35tcsg325-2 diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.vhdl b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.vhdl index 7c0dcc8..618d3d2 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.vhdl +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.vhdl @@ -1,10 +1,10 @@ -- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2020.1 (win64) Build 2902540 Wed May 27 19:54:49 MDT 2020 --- Date : Thu Jan 20 22:00:03 2022 +-- Date : Wed May 11 18:46:03 2022 -- Host : DESKTOP-J72MK93 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub --- c:/Users/Aleksa/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.vhdl +-- c:/Users/Aleksa/Documents/FPGA_Dev/Artix7_PCIe/dso_top_Rev2/dso_top_Rev2.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.vhdl -- Design : design_1_axi_fifo_mm_s_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7a35tcsg325-2 diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/sim/design_1_axi_fifo_mm_s_0_0.vhd b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/sim/design_1_axi_fifo_mm_s_0_0.vhd index 13628cf..b27c480 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/sim/design_1_axi_fifo_mm_s_0_0.vhd +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/sim/design_1_axi_fifo_mm_s_0_0.vhd @@ -253,11 +253,11 @@ BEGIN C_S_AXI_ADDR_WIDTH => 32, C_S_AXI_DATA_WIDTH => 32, C_S_AXI4_DATA_WIDTH => 32, - C_TX_FIFO_DEPTH => 512, + C_TX_FIFO_DEPTH => 4096, C_RX_FIFO_DEPTH => 512, C_TX_CASCADE_HEIGHT => 0, C_RX_CASCADE_HEIGHT => 0, - C_TX_FIFO_PF_THRESHOLD => 507, + C_TX_FIFO_PF_THRESHOLD => 4091, C_TX_FIFO_PE_THRESHOLD => 5, C_RX_FIFO_PF_THRESHOLD => 507, C_RX_FIFO_PE_THRESHOLD => 5, diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/synth/design_1_axi_fifo_mm_s_0_0.vhd b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/synth/design_1_axi_fifo_mm_s_0_0.vhd index 686781b..d67dd82 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/synth/design_1_axi_fifo_mm_s_0_0.vhd +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/synth/design_1_axi_fifo_mm_s_0_0.vhd @@ -216,8 +216,8 @@ ARCHITECTURE design_1_axi_fifo_mm_s_0_0_arch OF design_1_axi_fifo_mm_s_0_0 IS ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_axi_fifo_mm_s_0_0_arch : ARCHITECTURE IS "design_1_axi_fifo_mm_s_0_0,axi_fifo_mm_s,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; - ATTRIBUTE CORE_GENERATION_INFO OF design_1_axi_fifo_mm_s_0_0_arch: ARCHITECTURE IS "design_1_axi_fifo_mm_s_0_0,axi_fifo_mm_s,{x_ipProduct=Vivado 2020.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_fifo_mm_s,x_ipVersion=4.2,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_S_AXI_ID_WIDTH=4,C_S_AXI_ADDR_WIDTH=32,C_S_AXI_DATA_WIDTH=32,C_S_AXI4_DATA_WIDTH=32,C_TX_FIFO_DEPTH=512,C_RX_FIFO_DEPTH=512,C_TX_CASCADE_HEIGHT=0,C_RX_CASCADE_HEIGHT=0,C_TX_FIFO_PF_THRESHOLD=507,C_TX_FIFO_PE_THRESHOLD=5,C_RX_FIFO_PF_THRESHOLD=507,C_RX_FIFO_PE_THRESHOLD=5,C_US" & -"E_TX_CUT_THROUGH=0,C_DATA_INTERFACE_TYPE=0,C_BASEADDR=0x40020000,C_HIGHADDR=0x4002FFFF,C_AXI4_BASEADDR=0x80001000,C_AXI4_HIGHADDR=0x80002FFF,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TID_WIDTH=4,C_AXIS_TDEST_WIDTH=4,C_AXIS_TUSER_WIDTH=4,C_USE_RX_CUT_THROUGH=0,C_USE_TX_DATA=1,C_USE_TX_CTRL=0,C_USE_RX_DATA=0}"; + ATTRIBUTE CORE_GENERATION_INFO OF design_1_axi_fifo_mm_s_0_0_arch: ARCHITECTURE IS "design_1_axi_fifo_mm_s_0_0,axi_fifo_mm_s,{x_ipProduct=Vivado 2020.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_fifo_mm_s,x_ipVersion=4.2,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_S_AXI_ID_WIDTH=4,C_S_AXI_ADDR_WIDTH=32,C_S_AXI_DATA_WIDTH=32,C_S_AXI4_DATA_WIDTH=32,C_TX_FIFO_DEPTH=4096,C_RX_FIFO_DEPTH=512,C_TX_CASCADE_HEIGHT=0,C_RX_CASCADE_HEIGHT=0,C_TX_FIFO_PF_THRESHOLD=4091,C_TX_FIFO_PE_THRESHOLD=5,C_RX_FIFO_PF_THRESHOLD=507,C_RX_FIFO_PE_THRESHOLD=5,C_" & +"USE_TX_CUT_THROUGH=0,C_DATA_INTERFACE_TYPE=0,C_BASEADDR=0x40020000,C_HIGHADDR=0x4002FFFF,C_AXI4_BASEADDR=0x80001000,C_AXI4_HIGHADDR=0x80002FFF,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TID_WIDTH=4,C_AXIS_TDEST_WIDTH=4,C_AXIS_TUSER_WIDTH=4,C_USE_RX_CUT_THROUGH=0,C_USE_TX_DATA=1,C_USE_TX_CTRL=0,C_USE_RX_DATA=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_PARAMETER : STRING; ATTRIBUTE X_INTERFACE_INFO OF axi_str_txd_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 AXI_STR_TXD TDATA"; @@ -260,11 +260,11 @@ BEGIN C_S_AXI_ADDR_WIDTH => 32, C_S_AXI_DATA_WIDTH => 32, C_S_AXI4_DATA_WIDTH => 32, - C_TX_FIFO_DEPTH => 512, + C_TX_FIFO_DEPTH => 4096, C_RX_FIFO_DEPTH => 512, C_TX_CASCADE_HEIGHT => 0, C_RX_CASCADE_HEIGHT => 0, - C_TX_FIFO_PF_THRESHOLD => 507, + C_TX_FIFO_PF_THRESHOLD => 4091, C_TX_FIFO_PE_THRESHOLD => 5, C_RX_FIFO_PF_THRESHOLD => 507, C_RX_FIFO_PE_THRESHOLD => 5, diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/sim/design_1.v b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/sim/design_1.v index 7780bf2..bab2d24 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/sim/design_1.v +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/sim/design_1.v @@ -1,7 +1,7 @@ //Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2020.1 (win64) Build 2902540 Wed May 27 19:54:49 MDT 2020 -//Date : Sun Feb 13 11:02:18 2022 +//Date : Wed May 11 18:45:19 2022 //Host : DESKTOP-J72MK93 running 64-bit major release (build 9200) //Command : generate_target design_1.bd //Design : design_1 diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/synth/design_1.hwdef b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/synth/design_1.hwdef index ad821328cdb700acc58c75b76d2a99345e45f2d6..255e23f7bbe138f23ba337fed9e066f2089dc6a4 100644 GIT binary patch delta 57544 zcmdqIbyOT(vpyOixFsY=aCZwHJh;0%1b2662*KT50t9yr9vp(ZySwYaaEJGM&pE$q zYu$VQylYL*uCA_KyPn$Bv%2?wrX%d_b=X@}1!# zZ-H+avrS5EE~T#6@$2@1^0y}jcf4G^w7*nlbFO)I*fpTfxi$9lfS7JwJ=2$lT1t*+0<_w%_%;?ry^d@c`hd zY|pm4BzHEx%$vvX&V`FnPmufb)s37TjyFE)_pQviqh#@Do;C|W=*6Ml<2Tq7@AT|? z_qnt389=|z8w##uLXUFw9P)UFB0&Er{J6jb&(o5`e{X|HU+{e4-JrqnA_F%_4sPSb zXNYnW`+WAib3@rmHO9M&abvx#AEA~V<@Ojbv;XZ~lo@u9z$3F3;n{d)3eb3h=*w^0 z(0F9G@nj3Se^+2p_L+qmNti za~p}E%C_;ZnGfGxri!);i_sD%n@@XDKir-6b38o+E&?7-FC%F0gGx;VYROk_xU7OF z+<>rU2YacDi#W&5zRfw%QA@!2BV+680fQLP=spuoSZ>t_hy?pL4SnI%^P1R(dmU2O z#BdM#e%w2`SXR$NBOL#xRa-)i`Y&l`*0kj^S4LQJ>dHC}D1~@^`#B$e?yUOG>%Sc` zU{4HDNDG?kUd(9wEXUUT&TGTgFm-A9sS zM8upAYZTX`CXt@(I4_>f2w+D-8F)6P6C(VRRKPr~hc{^?cE}EJ^B{U@NeodrUljSH z@AQ~T`qvohe$j>AwnKh|*i=m1a%Y%H@aF_cOcnP5RU|0gzVXQ3mi&b4G*+oUs|pal zdFCcJ6A~eV9gEsFc5-TbCTnd1!LJ83>R8R;f!Og3fz zmc-elI{Rrvv!ZdqB&pIx(XKnCHqVyosTI8Ry8ygs*w)_Wi^4RwRv{3a>{h3=z-!Cg zR}V$#u@2h0X*@q9d3t(Aj=URuH~@m}DG%I(mw%G-`)zD@9X^rJNWDz znEPg8!G4)lPF`vW4jwyV@IP_vR@Np4E*8u`IZ04+l zCp9}zTk`j=b8G_{Rxa%D^felfIJvlwI0h)%3iu+8Dv2syyvPV`|25n?HDu*m&hAKG z(8AeI`c+$@?J2p|)_i+%8i%#iRe={b>S;SqK>!?t-wxgEtZi@DYH}Yn|4P1INk6z- z;#oArKx-yBDBBCt1tuNNa_l(uEsivnZ$b)cN5!8GS6=#80)C&Z@0V>6tDoJtdA5Ia zTZ(a>wd-a)_^rMK6!x<{)Xda4&$>vD?R#Cs((@JCaUPWl^yIw`)AGygI`ekuxa)a6 zWEFoW*-_y9QFz{MXhLy}p(%fDP&>eQ9N^`(6_9SR;c5mB8%UC!m*RH?+RHD*E@Yv@pWrx^VTzIegK-vJYf8 z+L$XD#MON`P!p~f^GvhZFS$t%O4g1s!_(`12<8T?aP7)Gn-95oDoeTBSHnImDL7AC zbdMl7&Y1vfQYLLP^IG-fnKv!*n$a@k36WfOSKcrXF?$PcdM;${?|+EtC!JPOPMLnJ)T9a6Q5_9mMy?~ z7)o*xm2S{bz#zG|_3r|l=348(cpEO5_XJ<6tuy=?cB1oA&}z1aLs~cVG|VzC?nVj* zW0E;IhU+G)T+UFw1?{1LMgjgU=$2FJFK4q=TS0lCC?|E|gv+cban`D`y`+3lg>+UC zuTOMVj)_kr`N~~p+Z!jX`$ay}d|`n36YUU5=AUpJo;xX{u%B;Ne=whpOCU|vQAtH4 zFa!}%3x*9EKIOy`7ud)rkke?R2#{NmCR20y*XS^G&DaTZ%S<}Y!~g=IyPd}&T?w;& zhhelM1X@ufB0wrGze}jqks5cisV7Km^nK!#iRf0%ikW;0CRXdpo?I#;tK&-LY;f4D z3200`80mu^yoPibltMWQ=E#tzUnMdoWh-G-5)L7bhp{1-PAf_!cw(Jo&g(uQ%JmbM zndkNUK7uF@leP%60H7$|`EzJhHe}jMbJR|4;xj+35&ww2Bie6B9QCC0nq6~)R~nvg zC=D3Q!s5(if17!&s`C52yZs#lLpl@%kZY{1oBVR(=!Y6yIoaB|g-6_2YxNNjs@MBaS2N}5xYJ!dr&O@i?43g`x8|l9%h9G?^BB!{~Pu~+asQSMh?0O4qs6*aa>+Y;^e!}Rrj6{4q{ufy5&Q!7#lp0oZE42 zKbJ+HG=ug8o*f47f9J=2)XTUYR-^70>)E zm)Y8cjXNJ#3u0X|Jf%RcXvr!sOj4&e*4p!2-kFWJAtsB^tqj+eKdDeZzia9)r<&rG zj1C_wW2jU+cWW9dr&3h-Z7VH%O@(keZx~ad9+U%cuPw_(vliP>Zpef z_qOE~$4|o%t%^>BKrB}18RtNZ>4zCd=|>$65yfE%B1Z;MPED&O z55CbI>z%KNYqKuAImfl{`6rkC9Pi#EH{Sdqhs{VMtoPx4J;urri8p}%}fpc&xO(Q(#}lqAB; zfBxlQY-;UYr0+D|7=HxZ^Th?4D(56stmjp&yfg@gZOL1lUiF)N`vr8No}66#N{x9%T- zl12;nbWQ!)+&g)cQ0d$1F2m6G^SbG722s$VBIQZwC__yTO!S4(?C1{0gW-Pq%heLccs zw|vEC5N71(4!J@E`DHtG_LZmG%CCaO^Er9Djs4F%B@=eo`CUFpldun<)}uXRQi*x} zm}Zr0Oj4E%*~dJKUZzM;_IFWc6v?|s1h{_NXr_e5Kz>(zdbN#y#ZV_)czuOwr3w5c|P<-vMfni#KnCHI{v$mJ~Pp( zAl0c9q{Djc{L`}`dWV?8t{P{${M%51!OKkTM;UWf{fynxIFKe1FsVqExqHHgHly6C z>rbJXA?1Jm+3PMw9qv*nuG7hwT=)-0EJ{Guk9!V$A^e=5l}b~aqx6f>ZmT_7MlS^y zVY$y&=ay`UyPZaCctKa&2hjR_^8E_76`6127dDkcOq*u*4LDNA3Ob$Z%it%M+e+>k zt_I?LygigJjsi?@02vU~mS0lvgz%rOTdx^Pzt7AEOn?otPL$bTZTIEl?NK8wYrxfx zB?scf5^muSAKd+zTYMdKtlDsUX1r|qf(=RF(Mt4AeiZw zmmSWex`X;mE^2W4y8+$(y}SLw);Rw>sRkS!dX0U>JUrp{?LvfAO`(IdK^CLwXw{=( z0z#9+KnB7M@V)hP7{BtmlX~p3V6qU?CuSjLn`*9gc|qV-*p7{LgED`bsxvQo*2J_h zAW!|`X}jG5yV6-w)xwLTK076yn|Be7yRns;cWwl`b|xZWoQ7;reFlbQZ?K~3MU}!_ ztfaPfYA7HK?V5=f&s3FfpNZG6aA80Y2#%j)PT61WoLUp8FT*iR<1SVW*-_a0h3^ ztW(m3Hm~WiflqcG@DGJ7=kyJH;s^>#_zhkbBf!Vr^*T3&-4kpcCd&0{P~#PZi=70ByiA>azQR$G`99!2*@Gv(8*ydPa?XuxLA^dr!680qJpECAh6UoHhy^ z4;DA#$Yg&lTUE_J2B{e@Zhdt9d7+Y!b-SGZ4Ly~o@zHb`Eb99;No=li=n=cFhLJVv zOZIVNJN`3!ZLWm&7~##$Rvy0e?i1Tr;B>q!yYp{eHnA7^Omwu!xO@!H@_|`Z+7Z&!kR{41z?Q*vwlDEnOAi> z_y;BvW%Gb`Yl%h#_>Y>t8S6RwdQly;@jZn;Rrr9giQwX=g^}*g@K_3h?g&*u)ZPQGNZ&v%>}c=+rJT{Uhuh&vd%?*SSN9Wa_qiqRB_)&&%>I*>uZ< zn7OK3bk4!J(eklho{uA&PqzwIlnE4z;Ow<=FuA03xpaGvOFRPJdf99yU+Bg6iR z6P<~P&M2>3iHY7K{ysE3(Razqtr)qW7tHm${99r#h@H(z+Xak@0isgMSBamCX=}SE zMGF{HI2hWecF(Tl0s-OtPP~#QIZ?Kqs#_Wi`ftgkP^`Dq7|d3|r2e(HR2W=VZm$9* zhI@Qw=<*{66z9A$HGM4xo1Hju=boBoJG+sg0I`^pD-8bZvip{rn_kO9eR20}vd2d^ zX#C&){ftOQO2L5bdgbPnbA;_Beddy|{rL3-b#`NJ=94jeC&@@^j+A_M0-Q$tO2E?; zV4ZSxoNa(dy+C@mo$Ys&XdMfj%Kt%4uvTfB4M%Qg<)}R4spK4}jd=8xN}_mHTudgOAot{q-quz-5nOwpd~tT0d|@}pl)VVJdS0uA>XD)C zhxVug3gSQqN;Q-)q}=ze!GYipA-xZ8Fy$}gZOVlnab_;$wqr91Z{|)v%tuX$lU3=j zKkOKnt({?ITocy2T-6#(5Sc~AH)YS>^holehQjpj9U&EV#cg?&2%_RD7&Aq?4eL(=i~6#71*#A3j~DKHdpC_o zOW90}XSS=lYaP-reLQTGQDb-A3+vwBzxUizZ3o?(we1{x`=387ZEZcbtgH|T__hKP z?ruySdM}p`kFIxzrr$Gi|p{s^vz7sD~0MWX>Ro^Uz*Ao_Nf3ViwQA*ijD&?k$JZYU`fF-Wc zM#Ezc*S&+4B?yMIX%R!&N<=)rFh4~y+!7ZW?(Eh?_cvZnC1DBTxVqa6@V38Rd{U#R zyQJ3aTW``his_VvAnSVn1g&CxTlPf$A-J+{F6n(ZBdx+Lu}+F5wKB&(X;lF#$N9ef zL$JfU#d~QZ3b{(|ISq`XG_b+D;gPWKL*MX8e@aGAn>X>wCrdP`IHu}ua@lvz2(06fP*i#R*=Ct;5noXro>0a8 z<9sN7JGpf4eYDP;jC!5kFCh?mXk)3ia>|^<5?Zxisq_19Sh5s8uFOf#J5`e!hCT{> z=X#=*{`YnDxSPrsmLgtw{5uuZ6KWq7m22|_cDi&3_^~>edWaA%m~aE{g-O5?Apk{B z2iE2q!iAm5y^rD33G$X*ExQB?v^ZYtpBFf$0U!YTer+B0!R}n?1^IGq9(%i^6VgI7 z=)BK{bJ@79%wX~dyJO@n`M8(9c5-l$uLR3W{B77S5Rkkra2&6LhaaCWbtCC8BVCL9 z=Eqz3Nvzfc416iXsQkB;kk7BTcKL7Z0^jDptq~-pd50lE7TY5@4{bpnhmW8o2nuE4 zd*g5ZQIHrH_Fae=)Z1LecqW2Rbfo2|#)ITjFwS3bAr?8$qN#*_f^0~{?;@cQL_FU> zPf+^_=ED=eJAgunmmng7zQv0lA>e{p1QOy~2svRa1eD?xWw@ZP2IC0{zCf!d{i8j2 zs)^5#)hKk@qr4r&m4^rbmhyE|^1+~QWpE|)@_@I9e;v9h|OJZT-NRe2= z6f6lc#eDbz*jxz_%~bP`@mX?3@w#%(z}r{^2931^6?K`A2;i<8L z2ScJY-$F2wtl|lT6LMwJZ7WXE;X1u%veBTEb7nZ>$!pnQaL2|_%*%pN%z3F;ft+eM zmYfUTVZT0BX2_K`i1w%kfg~sNw}gYhB?~2MwVdaw7EMq z;poAi=4;NC3dk*!*=g&P`PevIuq|R?m+EQj@cK9O_STv~1Q&>KWeO228hV;O)iN+< zF)nSUTx?SQZMcOr1p`U9n-A3t^cW2dRT49cU8Is$$l=#z5Jhc6l{tqsa(F=(L?DC+ zl$}Xc?r_$~TcU_3P^k;~21v135ngJ@M=Sl0%1LY)Zd@8 zF}Hd0O;d|fpozi^3k%q*sW`&!zOQv(4=IZtM`Q&mxEIcMV}tXAQ0#b)jwg_{v7+>j ztL&WxGXwJi%INV4+`nz?8=%08e**ft6@M}e5whx0Z@5Fy3KjQP2R~STLK(%jF)dAc zb7{bXyxr{j=2DaA&6iRFznT&PzsX_(zsn*5Ka3*GH>p!-f;3ZT(zFW89L$Nj;@9(J zDeB!y-;CPl4f~D3#qPE?7;<}<+=0C>xlBy}?mJO3Vydt{VY!cyQ5n>@bYB$nVH|TM zFtCc~^3>Da?4?PAr8r+iD4!c|Dq8+s0J2Fj=`jo*sU=E0FB zD#FD6E%KKznHJ3Fu%z|;fmOW!K1AgjL4?**M1;zez1YM2GH3EZDsP&>1 z(?s5KNrCN8*nfJ55&oxlt~jw09y=zVQ22j(zXeD!`AA6#_@E)9AML-_%Ew&be)TWH z(bh9HLH4dV0{1@yLBhaw$L`5osio<7C2+Lc#Sk*2@1W9wZ?gjS?F~=pO7a{nP)yp#Rro{Xc>J z4{|^@F!8m3UrYS|wn+bb=>KDlVyYd!-Uwo-kQ?EDeArAwiksoz`h-+(NQg6}CbVM9 z^B~ptuM3O?6^-INabn=VX6nD3)GI2#rrq~MZBHP5 zdZi1RNw!!>k2l9F4Y77q#^AHN^s8guhLY^`5OicmmtZgDCB{s?@Kb^9f|)$h!ivGj zLfjTZqhOmN<#ePrOjW_sj1`VDq$H1_Z-gFjr1Sf?v2Luvz`)W(L?osofik8bhw^}k z&lXHjZyd>jO;rj+ZMVlG2CF2Vuc(Yyc;ShMO5fD9BK_OMd(#l%e;}u?Aq`F&91?${ zsRtXAwxmZ0l`p_cOh;rG8(%?%M*yxO4Ni`(8VJJ=Q`X~$9=N3SEAxIEv!nXv0P;9n zO2vtw0D_!@&;8;78X~ysLZ5Ag>3C+Ek75+ec3c_{Aq6#;?*-NPF=CRVU!!{U6^XCM z-$hF2XnyYTfbdT=5R)WzDB~S6KkLfLn7T1>jsFf}Lk$ZWS2%t{{UcE3{X-OY=oZrF zc>_xGL1NY&B62!HzQdOXHg?Efp#*)0jPnYTjtI)w0~0bb^uR6cE1bmWH%jjyuHPJ> zBM%IT*YrU!H^p`jiEBVoO-Hn$sK$>=Ohtqmv!x2Ny`2Z;*lOvQ;msx}_pdtMA{uUB zQ2fnBp$jPbVP^{p#KAs36ceHU1Ub*%E!FD=id4+eFJfZj@3@yz~@hnab5`VOMuf+>S4+>rvBtEP^F z$bunNfSXvGrYn;WS9bT?>Iw>QQIVX=EuXWEZ6ogVya1sYL^rMz-C^fp-n7XxJmLJ< zS_nMazulO4@{$xMi|UEf(}+c{tng<&8mijqok2tZ3kA>)r4E^vsUx##Xmx zSIMET>*B)rKB*Vajzny8{(Uu1_YyTZEJp79oq%(xb zHp`K*bpi5uK6#YtM@}lC5YP%#^A zh03XsYnx%vG@}zE8%l&8y);Hk$SgwO@yFiEgE$#QV497@=x_i&leP<;{cA`Hx8wIP zd@jvlhL8MHLfd*|*z|xRCu7xemySK*z)qK0y$;0Y(qD@4wMpjW*bgd> zonL-dS+16pr!gpV>S<^@8uD{7ZL5!H*Q?f=KmcS`q%~TWR7DjvI|hU?CWLNzmQtd8 zR#o>^h=tn=Ze_EsR~tzx!lTl#{mICMy{(4y5s{k?R(d)X9*`lc=Z#4xzhV2SEEzHo zC}%$xCVpOQNesi@m`&z*% zrEJ<#Weg)H@t1?idCF+9ZNy)6mNx=S(pqdeMheSubOO{I@R)?cG_Ltew>Fpg2elq% zp_6q`vTzg~Xi>L&m|_%0o^hJ(z1o4cV!uRT(jugMopK)Q#iU5mtkKM^VYS$&-TB73 zu8h7FuL6@A{5wh&vdFYzP1Z?a`Yc7;s~@C{mQR7*Kl>GXm$^mf(7luqPq0#3&K6+) zUwER{wjGis+iLSjXHL0LpU-r%jH7C7zqJ{G$>wo5=Ec?G9} zBDH|T<0<)zIa@?VK9Ncm`!@k9k{e64@OIRDptVpLJ&z{Sk?RB<`cB!>>2Z(mG1>_B zg*@V0$|(1>`g8b%y^C1lWyP{dXt#35gcNi?eUw|$7Fax^0Y_ed@Jzws@#bU(fY>8X>Fxuv%@W^|73nLN}Ts zkgOljJrQ+&T#1&HaaPp8iMy+n@+C?LJi=P3Y}}{>-?8=8*47eE`*v{1zCv{Oy zhx6=DMsTOB0liMypGcjn;U@Mv!n;c7zH=TwiyF>gZs6Uvoevvq9Ge9ptXAC^^WFX4 z8^*qE_gSUmXVqw}L;*UZnL_oztisEFL4-NaTOV<-)<%Mg2A-hiE}wOn$~hcT2< zZ^Ne+U(+1AYTB$%xg9AUytP!=MypTJ#LUO_L2 zXP9qRI%;Yvgo4>Gf&`x{&>IB(R9 zu?$aB-=QTi=&`joe@2tOrC zJQY{SSl${S<~@-Y`{r3H^Ecqg zdv2-!D7*55DU+#%)EE-GT5zO6y}V$Sm{vf2pqa@)cMOc~GgelVoY@9*N@18V+TCh< z$-!P1GVkKp$3c%pDLDA&og4v_uG%-)OU;UdysDlt#<8IhJYNJ*6)|gg(<6%|NRR-y zLn~9NwQma>FzDc-pLwl)(YJ?P%(qt=-_mJUgt(r(1B1p!1;}p%Tvj?${lr72&Y)Fjj0NXb<(EY}#2t>HZv2Hz zCr?6rx>>PE`UrtlgCQ<%rI{ooEu~sHxVkGUa(D62;AKDXBSk`gK-Hg%C3EWnn#aH# z0mOhAP4nh>tsm-2Xn2Zgs~mYcPX(e2>y+SW+TvYW@YiFCt-i$foXXOt-zl^K1l=vR z_fR@Lkd4f5&5*@C8-9oK3N*ekcm$=R?n9`XfxC^>Rj6XO`jM~uinhd(zm@;WraxTJ zjxemqe9Z+_?oUkkZu-f&&cim)Y_Iut6yj|pcRGK`t%OQBgN_J@?wn6?ZQM>Dv~u9r zH~EzvMwsp^Pg0T<(UE^Huw9A-C|2&nj$JikevEoseo~RGzJO$CZirPxVYgabmwlg_U(P?v|iW1Q_2p{%t>$iEuf98nc?YWwdw1m=j;J z`OtUAZiKDzz0kqmEV{4_d3odLiK5sQUYX=G{e<%Gp4tOi2MG=VaNyaR6woe`FoP)H z#63i0ks4yxwpk+b1BkQV7b4q4E|`A?xC74#u+0U)z1?+c^fo3j-}yCi3lR|HKYth^ zI`9rzRjVqDKzJcvQ6CImt|9SU>N_N&1^1{U~qNiAqiPe`{oC`$?H4M$_ zqR8URIhpGE(xZ!JR4C{5PP&`uu(3XYfr8JhUjr;LB;qZO#3{=C`_r#F5G`(OI`=P( z_Z!*d1a)t_qFzQuI)&Xk+7`g?(@qM{PeI@2w?SFOO+5AS8+F)~2|)2OzxR^|CjTOd z6*v5^yBIz;462r?vpLSY3mDu6&tqF~OnVP*J^KvL?%$qf&M99WMr((gB@S&iKfELChbQhvQ{>KiM%0_$eP^ch6PpM?~KGR!e)_ag#WO z%|9nhGWhIsHT@{^mIgSS_ku65irq=xud?y!i~nFr9}XwItn=Es@|JnC_7v56Zs#PE z!-$_1jny*Oocb45ewUYsVYDsi_J03?n{-xHEN$+6D3db{eq_X&t`}AWf`3<_Sg+Di zO6Qfqp2<7?VwG9=HO96MSd}iyW|d<1f!UL7Y&6YBEd?&p<8|Pgp>w!vIGoYKcgpca zsJOZQbfM@%lPRkravhb7n!Ei*zFA4!Jylh5pFOg5AGh6mjn59-CyR;Dx-sicH^^k} zuw)ME7m=nC`pQMi%5b!G;*+`5jL7p$*)3yE@Z*uNjSn_sUN@iP;EhWvl7u@0uY+5}+iIKI}FDf-NYL=cIYeiX`7200GzsNd#E1V&hi?b|m34A2} z4jgIqw%Ocddnq_9zlfO01#OUP+_3f*x=NGfbp;kFWlYH$6jsjB*)ka~ZYl>Q5>$$) zv*7pIykipoy2L_`I^(07lFmY(#V+LZ%ZP}YyNF-$BM=9$x}@y;*|Jt}$$TVJ8GY{` z@iE6UGPWA`_FW6kH+h3lpINIcBxA%y* zv!5TBiAEeR^|k+^41d*D0C>^Fr@pKh98vlW^KKoeLL(m3rQ6L?LrusweiHs9TiKUS zKf^wTiJ<%*@D`lu8N^o###e$l7?`$r@y>vL7I9z$7k@gQG1`X8)Da zgV)TuGF@kf6FKt7=G#%)Qcehwq;#cFNia@7qnzn;FEcN}7^BW!M_C7-H7$YZWH;%G zAtgMKH{jmdQrt@BRP^OZD?}`C|r7XH@7~ehm<6{*Xev7ik3l$ zpUN?w&-(f|0LMzsxv`r|qGQnS*LT~z(#q;84RQ9ni|v78OnHaCvyW5LslDnT&KtIb zhJgdwryOIV#avRiz{$W6$<6PVZmiYfnR z42I8`^8`Fdv0K<}C+s;s{KNldfVGa9w<#z@cs~||MJG7PE~k{}E)*Lgb70xy)btcJ z1F!8>!R(-wTQ~r3CCN#cHMBEQ=&_(|3aE60MY{Ff8G0s#U!*2iYw}~>jm=!jYarmM z?;e#1=^T&Bg{Q33T#`0WxcR@}4?5L*-=L9yN$LdHQix>Y?>d!__?7E3L&lm75z!`2M%{q$N8J{?pE&Dt2l*w(Qu>;PB=4uoZ7>Qqiyi;h0yQN?aJElX+{Afps!<>@)WOlc+H#d7VuBps597lr2;=m0vyK=cXq8?lBh$1WSGr zd`u~E--R-AHbYP-4R^EVCwrN;3s(@*jD}}FQ_3q+$WvxzDV?aNBUyQbx4flT1J=D#~W^v5)K0veT4<$15El%K&6;GZ+hA?3o9zfI0A2J*^8LCar>!AGo z-0XG3U|)|^y#2YUz4g=Zk3Me^^0MhB3)%hJNA>2{9 zx5AT@?@|6FBh`AC5kUT+dh7Tw$F47Gp+I@2CZMQ$_6~MX2mUsFEe{*OTqo;8;>0ytVfp9T{xG6^9oNG!~tKI1rYQ;}1grXMc3ov7hZMr5`KY2pu~G1c8|%A$_4n7HMmzTRVQcCk z3c_26Rm~KCOw7X`35A5$ktdod1Th#IP#L~W`+0xXVol#2-VL~R0JS~hpt|txc!)(T z1~o=0!qA0JECQ{}mI(@V5sFJ&XLonkC6JXM%PSnExF&NOdK$*rj=C<~D?;mlYN^5V z8&$a<>0d@HyKlK;n?9;`qqNB)vw%3K-Z8a(Ib*0jPiJs+?CAV(2m(e%h$>uMum~&cI^teNI5peL znBr+_o3&A+dy0wVr33e0>R2rgDn9cc$CajOo+=_DM)gz_*tSGFsWC|7sU!bdV1+DC z&j?NYL>`s{v;i^?#wJycB`luIPlB!4#dBZDz6WW~^DUAMxiGc1HZeJ~m{7RbG9a&7 zOX5rGuma9J6I_xZCW>`THf?Xs#pR$~N^abtV)1YPwg&u}@}5Lk8VM>?lC>3)=J2ff zSug(^d?9cgQBoQGlEm#tq0cgV0oxKWaQA_NM56i-btWSse|7u*v{Uws+Gt5|PiUR| z=BllTAF`hJ);D)_<)@eHmCG&vt#gLp4Q@hm!#NORc)a|z4@qBWWuVIPduemZ;4u&eQPi+$u!IRP~2FqCBlrPdA zORb&;0CK$xn7ywfs9kx=>(qI^TH0AT>E3$0jdgunxligcL7w^6HH}lR=F_7Jla}1{ z0yR*(63LCby2i(AG)G&@A(<-Gn-Z?vef|v({8Y2xsV-tr+Z}&B)Y32*CsD)R(jGyu zXJJud=g8^Xf@BBq2d!~9hx)Rj@3PR_<``0c(T=fnaAmvf|0rX$Ao^_!Lg0fh8le1? zJ-E9Y#n0nMEfKZaV#p#8y_j*x=;Y_fKMFYK|DBNSY7m@lQXV&A$X1|HkmA@fxQv>)cSpPVD=$&*q#jz^T9-ALAEcg3toeApIh;8Z3Yn;&^u;3!ojvH-TZwr_LZ38RY zXoV5&k6AKWr6m*x%c!*IU8hh->-z&_#IhYvOVonfbD!8Xs*Anv=R7Ey7HKdk8?tmP zDq`0xrh90vDayu>=o-G;Kb`X~HVk7+PdGGakP%Rujr@`ux!ja=R^F4NY7~wgg@>Jq zU<^LwMp0pl_ckEf^R~J!NakS=LjfLOrCsMPY=V$$Kkc3%h!3HC9I4} z?T9%`eqfupt8X&pcaMV=omToOM%m=B-e8RktCYJJOPVgicDVW{1wL@rm7g-kWY_P~}wBu7jtcv?Mt-O3oEV_Q5zQ zqvj{5GhW^}w}E5vN%kd(?-Tb=rr#;?2!UiFjm{hIEQeMnMxRF__Yka4k+8g-_KZGj z!IG>@bo_@TN&_03-k3TCe8JGkkKeu$j(dOB9)YXfmh)}S;Z`<1=(O(;Qs!bwq^ZBc zDdA(>@4mN9Ei4N{YWmXw~)cP zKkQYQfK3FNQWu_>#~x2p31{~BWkbZcQavCqAPoFW;(Da4_#gQ;ci47*F;n1cafcBhuP7#*yRI5a=N397uUSg*e z+dR%*{`;Wyg~eoc%gqtUqY7ta?7Jw?8sR&?ohw_y{|~W|XIJ;m(vs6FiNFV?lf@g`oiDwzT3Kn8` zpm@HsOrCaR#Oygr*!lhPIp-zQunp&A+E|Ylv!3|vXnXh=lofgBGOU(6=gM0ZkniG@ zl=quvu&fVa)p-N|mCPPgCsjdWIQUvP=Dw!Ft>N!RzI=8C{BmUHsyyiCdK;LsGvEVI zt3(LJdu2fC>?o#N>};}A&iM=k*rs^<{_`-zU#FpR6XqLnU^05ig_3O<{}A+gRq zp~w%HsOm`8sEHiu==p!Rjtor=Gb>CPxbz&sC+dKMP$EU%r$i->?*+wUHeN}c>%2a0 zu4Ozf(6LdCF<7vJ2CDs9UiBPT6si^+X_O=6sZWjp4aV#RyM)s_mJ|B00FjbjCCYJ^ zx0q6V@eJ)YrrBYJY+FD zuI#z>LUOmaT@=qW>U)Rlb@$Im-Yx+Q;2h|+9Yq212&H=^%cI^!W3K7cphY|sTw~SxWEk8`R zml+CfLAH1zZ(^V#_l)7#W(DEcvVuR2yTh3ZZZ#!CMM{~i+$wOcLHL?7Lw=WegCi9v zrBCLLtd?G-36-WCCd7H#88`#`U}iX$=B7~ph*Okr#Cc0;5LMQziX;M}f`h2EI~U_o z6+QtxG*L-IR}TOK98wC#<~w{qhZ6z%ggH}#vr`R z&k$awX*Yz5NeiK3?sh^13~NNXaI-%U=@D{mx4nV+A3i1(e1tXIM=rnpS zXWDI?UBEq8RcDR*#T?yZfzWZD&~cjZvV?Ej#cLq_Hm0$hBthIJIL@(%J^y)M`LwQK z()XIiOiQctsV=|2%Hf?&OhZbJI~Q%0(E@~q3E^MP*Vtbh1qbHA976b)#wHv4fAEmS z;lFWl{beSMLbB0(C0`=;*Y*Pu*iw8^d`>ym=@i@XMG% z6V!wp2h(VR9*_fZ8p&_i0zN0f0^XcwQX zovBCq=S*@?9$~>pt-GYNS01Kp0Q%6+89cfoUmBZp#mE`;opC^&eSB_be2#sbb7%ap zjY_2{;r7O+UiEL2(tb0X)_d7(D+mG8Sreu_q@WbnT$Ih`m5_P;3nT2IlxXjNS(q^9SH935-hm8ySuvv*97-Qf(LhZcL=Tt5F7#ocXxMxC%@deGjreAT7BrQI!*Pi zsx7rnf4$ol;L>xiYgE3``3Hyjl?o4xTbYf>G0)O@OKd`GFpQKi23@=i1ZT2|C}2o4ifk`5Hi{Q|Bl9~L+}0H&^Q3pZ#CBf5F7V@LE}I);B@)lG~oXRg#43(+`i%g z{)y!Ko2LBNAmV?d0W+s2K&amTjac1(lk=C~AU)WrEn_xRwNjt77uA(Eqgq;kq;xYTCpT2zm7aEMmYP zeD8mO%>Ieqf{4VS2bdPnh2QV3L z1@#L2PY`Di_Bu(rhg$Vv(5Le-=fS@0?mHQB-ASiTO2O`E-r##79h?ilf;GN(EosmN zY3f8V;lu7iW#H>z`!4kGQn2F?lTcLSzX?qLRIHX*QP`mxsi<>GQ+?Mr0|1DF1Yvi- zame4he-MWM1UpV<|0Wgx2~WI^{tHd~jbZ*9z4s45@c)NNmZbj07M8XgZ=(u5^t`RR z07u1&Kc~hg-bbeWgMX|T$mQAw;gi3G`Az?USk9NP#7ObN)L8L3+V9{S{@@;wLEmY` z&uicVQow>Fk~rdDiQkMRRQA7OED@z2 zL44*P(xGC3=uDyCc;la_=b!%%l974qPZ=@(7VSds8#!|nhR7SvuzNSc`@;Z+kpKKDzm@*H<0-!togh8-Vy|CLZARTlyzW# zk(qyDnjo18dgA@5u$le?8VO=p#h^gfsJKTR{Vf_u#FxLp%0J-Icz$X;&;TMMu*d(^ zXZEI!cq>XFi0qplFc3mZ4v?Vy`%&D=`3Ir;pXkrOdvkVyinXt}IDosfytKM(Z%^E_ z|6pD}^*BeI4c*VHnI3Xj-L&r8{Nh1qV1G(PBmgQIGKN+V$Op7nv^s^X~`hl~54_yR!8E2CLRRmGlTj#3A8)_38)JyZx@;1EWx>e%8Bs>V{Jt z=1n>(_5M(4fL#biSvQo=fDE5%V!EZd54%Yc@VeqOa@PDj=y zxt`C_cW{5&V!HjyT-FFHjSU&+mL-3xjoL-s2>YSkVXw2=ePXZY;>SY= zpsuB5@x|EnUPX6l!k~a6)_v9%=R?F@_zgSpE0)2^@+8M5*)iMXO3|`VqnEF8U=Zy7 zQ*Ab2y27z|Qtle!oLn8m*}Al`$g#9h?i%cF0V?t=&n$8*&y?SUcym?(jkqs#)RCztHd!>-=T6j+z)`pDMXf z?L;`G?92FR@grmHP*c*TwBvXh+D_J`#L1@&@XCoz_d-U5{;9j~DU%oC3L%XEViN+b z56KF(ZN{g@7X5n>(Ks@FAZCsVJJen-7_)|y~-c)=O{bN{GdpS+i0Tv@Q zTo|oh>GCz=G39~}*yShxRS7D6QTPVO%|i6>=HtA~I0Wfr2BykijsafZ!_pb&38kH! z_rZebQ8}H6ww#2%QlNPWwNt17$hv+Ui` zX`@1OXeiTf5Ng?q5IpdChFtsXvuQG=YoK#-F#{GxqwA1pt}(x!%e&BFVC_IJNBM5Y zTPcOmbX?^Vj#d&wyznh**&5V*mc|j(eU^qk)1CkiAwkP+cq6>L?0lJuh`~3FV~E5w z_GFa%-Qps4n?xd%?-6o`Ct-0&Zz!`6L?NSTfx+2$D3H%!Ry!5#l3v0Sh zVb7bD+qyUjAI`C)Hr00cyfvX$fipg6+vyY4!0_$?TkhhhsrIPeQBW0Uyd7=BBuQ70 zj05PG>G%(6461pXqo&G(Mg$0i4)4=Btb;^^aoMwQHmrLX-U@H0<{q_3>k!~bip=st zf8q_AP}+gISAC1q8UcXU*tm4mgwW9%e?@)AXk3v+pnib0ytj)LLB1Q%+BX2U(b@+Z zMGRUV=&fEQjS3v@oK1SuM7TZ`XiGULILvT%<{TEx=G`4{6fMMLJ7VetikUmVHXqY! zU#F&#j$+w!3Ds7P)31UsON#p__J4rBzaSl6oIbCUAH7Nd{_IE957_zZ2S@I^YkTT? zs|~&U>323u18(j01h;}4CY|S3o9AQWhYqt%f`)o4%k6EQg{)zQGs)?0rdvBZ%P$cf zN6s4z#}U?)#`J7EOYA^RS|<5L&b>)v8lw3J=-ed28KaMoM(#J7(9$Ph6^-n8J88)+ zjWGn74jH?^LT@rOd*q>E24kT3n38_<13a>2^^dr5CBonjA*5A5b9H!yvoqv1F`?E? zIpj`!^wxIk_wQ8YSH~WP&$hg4^(X?D-uy-WUNwLt{KkGcUCsjyp3E9;&5TjKbIK_R z3&tjxKGo{cD-h&K=PO`+b6kO|wG7hA8dnBt+YySN`%GLX9I1+T<9|X8-NVh=f z5OLg+qd${R>;FHxtV`~u&l{oFX;ubu$<~y}E+Bdukc&G~Y*sJh3y3cN@ zRCa$D@_BvR@xnPbQ{oipCAMoTM;^UT;Jn}X<3)HmYT(I3ck}hZE^;r?eSPfBs!1*o zYgo{4xzi|tU}105`|+kxLauvdCKPsV5sw+nOPB1uWospBgx$p#0GIVn#{Ee-Mt83t zVx%$_XKV#84E9c!jQOpbP47mFE*Wa8`@zue^Y-* zDNXEX#t}hK0@axqL{6mcJ-*mY5*Z##CFvd`=kwzZky_5R%`4IL%K9fWQnu}>2MiaW zw%c_h)X(A8rxT-*pG>z-i?mpyiw0peJ1lKFHj+<|+OO}^>0CTCTLwwX2}?zj?d#Y|0=!mC@4I~Cw_994+ZWolE_Dqs-hMb` z*E=!9NP1)zp4tYbhiM^3X9HDiB^@wlvq|q3Baw0?O4i4W%T$Px3)Z>ktmC-(y zYRn_2xdZ{)l89sd{`5>9{|soCJ!}%TmY-aC^EFahy4n>Eo@_UDg$P!?;;*jqfKp)m zG^g^t#>{lvSFU8n%t7As7X->=q@PbL?QP^s?mXB8;jw&pdRcUWzkLN*ICvrWt-t%x zxFz-T?yu%%>lpQJm#ee@*w5R%Y-9|n9)cN&W0c>V6KQN+|HQPvUO7ZZ-=4a<0Z(`5 zq}*fmUia4z$>Xz4ZJKV$$ZfN?SHP=_2LbN=_-Zr&V4ghhP6Ox9vmKg}T|c7%l92Z1 zu7@~VudY>kEk&e}0{jF)aaNeppP4H<#GloeWcvcY>bok8jvT!umzyxo1M~F&GY#Do zV8cMQ1)^mR<28)U;%GT>K0jYh(`7J9#7|h8j&W#5#19&&1diYovZm61G0$7wajS1U8&5Q3km&{yGaJ(rQhzzD2H zeBCDtePI%>H$jeWF3y=(vk!VO~-_Xfaope z5sZ$GXtBk05NnGhwwr!g3@eKl?q?YJ9xN%nzV`s|U1!UKR#b}L*!>zT6@{F&Y9J`^ zIllh2YTy3-Ze3-60KFI1lBTWJ;T=>+QUnRt254_ z;Ad9=gm5kSeW8$CW`1Egl)#rjJU*37BnF5uu3v+V_s1Xk+CGj|i*`e4RF_HyzK@ae z*Qmee3$o3Fn4y-1nk?ZVoG-kuvl7X7yMk%qQB*0D#-|PhCpfjG!goIuSJcvipm05C zm+F#BdH&d(6H)-->gfBB`<{;O@B16T-#0kk;`Xseb&oT|NhVGlKi~GRyt!9=EH7Rh z74&=+E_>>#nYVr&t5WoQ{Uq>U$ljC89LqQVdHwn3!CXFDAo?}zeb$}e`D?*;!y)ML zl8cXSUf2S*dp5h8OrIiOwD`-d==-Spbeq5MiS(=I7o_+ApB2v(4-CD4%dSddj=S}< z$4%mnZ^X%~XNH$uuNUsO!vtgbuie#OS<{!QQKE%iFk^y zyq>t`>`xmzUUL8kGj3esii^`u!IO}6|4fyABJ9iPRKw#gqKU3WU~$#!abqpUH}%Ei z@t|+9M=rO^0&3`io7EOL6MVh~8;Kv*ZFnujXT*CA-J=NE`zh)?K$6GbO%)J_MffRn zPa+e1f))u?A6xI8=kk{UnR9{BNzg;0dn5SERU(%{=3o*NDy$|+TRGvqM@DSqo~7c> zCF0Hn;#V>edkt91o_jW92kvp5To-y#S2<4HlbfU4QpoJdWc)(_sOAl*<^wOEp${B% zHq=-1=ss|hRVIq57Wxk|(e+=b`4?DHTPw)~d2>H(lg2C5xUL(6rsM;fN#hcs5!1n5q_FpAva> zcG$Fnq8Qyx3h?p6M4Vl5(S4s1Wkz?nQF$nKpABrv{90M3l{p^2Rfa3jO-7D2JSFVO zTQQI3k?rb_$OdCIyiMM4x@=p&Effk+_Y%XMONuc|h^ z{DNH;O6iz!<|rn#ZuPaBgHVt?nnEARxjOLA8qsU+1gvV@S#QFO*a}n0+lp6_d}Bg3 z6NP$aR2PwZgaPioVulO8|B+T0wowu4zOdPNv;03EI3RcVJPX#?jMcKGFKpizu4RP8U}Rj9 z#|1bMNveDtW0gi|dK+E1vk!vh83(-@W=Mf5p}J@u8Y@}3<)VaMwNhzmx#={}K`rP& z9Y5kT918s5&z0ll&7E9xcF1=CH5{9U=%=vHy1|pk@*^BIM6YGqgFFGPkm{zX;V6!@ zS`Rrw$9xvuo$6~B=p9EXpVgu{xO7K~SUtN8I>;f=<$s+C58vYrmv3c&Cfir+?c#)V8T-&I4->V(?K)6obB-F+MAnyatXYmJF^&?|M7|kF zQD7Xo{63Hn$5_HtngkyMrxJFW5rj>vf0F*0F|8P|L+6XeW^s(3Npx2OqrAUIZoN=F zp{C|LnM*}u&^H)<*PyoC86XNM=i>(|rGWW1;Ir3des+o?cS9fYPSfXxG zr4RC*OQe~uXNvG-pK*GBE2*@ucM5wLPr3ZgTep{)g@d6l@^C|p+0=A^jgAeLD%EI) z1%3b7Stm7E*=W7A@lnXAj?lN`9#ub%I)^n12*kEGa1O*Jhz*%iGA#9x&0UYR^TC>K zf(PwPY@FAoeC~-|`;)9U&NZjA6@9dLEqKX@*gY8m`gZl4!}oOhKR=j)au@slveTV{ zc5;ka_(*`Yu~sGk5iGm{j1`S7Uz&aUbc=kQmCkrsbV7_bmnYL}%rx+@@v;sAa;=@T zSv4-VKL$hJece4Jt~yx7%>mR##fkBUjh3TrjuuST={RWKRrz-NgQEIk=6`kXbsmhk~)FS3gz~4&hV7d+{ z=cc+gDW|r~{$M3&s>QSRd-EKuy^8y=AM?i+`e7fmVfIg!&mKoTdqs3O}RPKg=3el>8v4Q@Ma?tOGQR*vn4_<#Ea3IuQLTEr2966^3qT zK)||*gXZ1(7Uf=}$v1b{$fPs6RxM7-SRjpO zvy6~K%4QQb=lfNak89bMWu9}~n&uDpkVa5xbzHQ?ByVHs=v@T+i^2P*M>>0~YRV%O zk{$@0O!OP&M#A7Sp!rAu+NeLatE{(;|i0@vae<+^k?Q;z;`k zjE`%nKj!zZUqz7na7WT5+!%4xhQ=Qq41kHs4=%n=Zj*B2Zj(y>aHnb22u!J_hfS_5 z-KODouyXI`JaZPqgs5#_;;J6*i$2b3IMQW+Dd_dRp*%71Lr-RZ(^lT3<1&-Ga24la zrnv~;b_U1lMWVkPOo|fJW?^s7u>Xjn*`?`_p4#6j{StZd@VKc@F_sWvoX_AjF$I8f zqh9kuuMOGnmJ-|M$hYL~W|c@3MQAdxv+B4)syI2X6XknSJ$h0*@gv%uTPV13wh&N3 zU7SpZ(FJEj_>Yu0I`onB#8!J3jY}rRw!?g!nCjmvqiG7xAx=*xDNV{ji;DSjQcGpv zs4n|@gU@FWPM-bd_zO$3gf4Yh$XDOlKR+UosK=U_MPyPH+dfw$98|oRQY@!Ti(^l z#=uHytFEJ_bNgVXs&z6pR8+H6nJ91E;zfICoDq?}2T5H&;GTMs zF-pe(8$#Y}O0e)1+7y$NfpJ<#$Wo(h4}M9c-4DA?sQ%{RSkqRfbQgD(7hIiXJ(x2o+si=wUYeo!iX1+F=``{VU#&sT4Dmzc2 zFf)!wAv!+doYIO?~{ds%vl@XU%;X9)}Q^bDG)|r7)~3 z?latGr9yA9QWakynlO8tlzdpAGuDprzUSSZ*ABqXSba+G04>vy;gK#MEd29! z1m4;J)D~+hs4bSBKic9vq<=WUN-c@AeUX#uT6PVCg>lP;u{F=!OVjar!y9OGxC}GW zK7wdD7Qj;{Gp^GTv2~!roH&{3eCI;Up;A}c2tMlq=9Wf3I>M3>IKnm{{!yl}(3F9If`?h!I%x5z& zS>A-eBcwggg9n-eUw>E8F0`)H@I9Wwqg$tG?R-6d}f%e@e@6kEckvgXu zcsUa%!ZSJa(#djgq7)QI-?!CJQxhqBeeClCapYND-_V*SF*&v&qe2yZ3mF%zm_h@} zU7N9wd+FJY(>v*lg`Gag9i+Ra>hEbrMzn22Wa+g_WY<;@6l$$}$q8|$*tT6i1LOsK ztkQ@L(YIs1me?Axw^FfLG~nKtIky)U4(_=sCk={bNteyA6NisKG-@+OyT8x(lGE<+ z?qkJWejH=DPqSco2vwJjzsa07O?s#p#BP;3eB8OIdl;=vN9NQv55qBExzStw|+T(K56gTQv`2qGQZyy zOm%p=S;C&1b|F*emBI zSU^o_&?)ckN=_{{-}UIz6*{KiA9~I1q{8LGbn>1AI7Sv-`a^^KR&~RS^^E zFU^5}x#HVIpTFuT-_24ZWvjR})$ZoqgH?c)SL2qHk0XXLDN1ybge%?~L)5s(sS3eUc{#U}c=B|R? z42XDKeOUgKsvm6YQ{%bl{k+r?Y1;i$(fz!F)m{3lFW#R#+%8WZUi1&JS8|oln>&fe zpmxz)>zG}^BZZYUCk4NdT6=OnvNX5(EUoxFHqHw)-?u+NUK??6=eo6t>exz-xpf~T zLLd7XM`kJaQOkG%Dy8vkeAZ;#v=KN+*wal?Q@5Bq&6V%STeRuT2j5p%ow8MS5oPzr z9Xuqc%#^uK8s$3;*T0aeud2PCV=PEtaRr@}mR-SR)iZ7OJq}NkVIjmFvRD*Pk9>6C zdXi0fcGMfyk3zLf6;*ej!2fCD8vFKGGrCH6qUyGqTk9i+H~u3Y_&bmdV5Lx zbhoi2-*3d5dXjl`Y4pX;^+OI=|KL&u^oNX(3s86;kFpE_85L>SNi^AVnYH@ytdoe7 zsLduB8XxuPa&<>9b$j+wSo?Bjp-vJSsx;0JQo&5b92?9Kb?xT`8$-zao~TWK8aAaJ z$DGKITB-|_fYI(OU5e#OH?0o+RjTb(G@8SvF?)t(2@NF}D-*!~ zIp4V@kinlsk@n7{q`5N>9YO``%eDIW-l43~z#+U4g6P={w$`$t;Y6XzIL@K$G6Ra& z8!I1b91qaP$0v;OC+JN1<&B3jqd}*Khl8t64eo%h-aQ;E)xs|k9FIhjsP-`wNj)nl zaa|j{A1tsRI&uXz!bLHj-Ru0mLYjhw$-=~LiGSw$1g?}E8$5}PCW-%{R`;4erv##+?h8c#%mPht?u-IAa)Ae-hXlO@-RpBJtI@ zDXo#Fv)R6~zNS^UI$uOjA=ln^sI9^;Gq@SKw7uo8%GQ{|*|Y2Lj+mB#C#>vx?H@ef zmlivBI7_u|%MQCx*o|QfYA@4<-_8^_%BgO+fFfi1HI*&fgbk5(f1fXNfa4oJwaS9Jl`qU>v_2dOQUMV+6%&jk@BK7LAL$kn zbJP1FNg0OioejbyHtmox^OGF;rK9;@#^%97WplvTdUL~Q-3wpdu6bis@&$vx36csl zOon}9QYIKOF=>w{sUU7`WHe5@`-?5c{c4vl`@t78WFaY2c>7CDk*1ZBP@=^y7qestur~Y$S9BiYc<($;NaEtbA{YT37rD%K z3M7V)Odl&8_kshvFXoi(%)g;Dg%7`l;o=ycXF@8x5;q=b;9f|| zBK}h4y*N9gR58J*Rcw;)uLVjsmjuYfB`lDQCrLPd?n_zo^g}%m3@Ywwkw&Jx^{_{c zmxE}a2^?Xm4XC55$T@S6ct6jUHh_goz;F9KYjRIKKbok6%Ey0z>7arJAW!8SS{#A{ zE6_k%EV*`s=_i0cK;J=4bsfQEwLrT{;!GTP`mmyQV`@?p)1t9r54AbCPMWx2RDrd6 zS*S1*#TYAh87w;KXfWv)B-w;50pBTA%ES>MEsjJ74+6(CfQk>8T- z*t3IPF{rSD#jf&96mS0-U+Cj0dBT_z9*)JzCwB$40ljGFEcEMG4~0lja=Z?reLhN# zgeD?wK2QePvka`b1|n`g%7d+HNuqJ>DcFw(qi^HSLb*(S%*K6B-KO3=&v2B5gHDY7 zg-ZH%z8$8G!k-`u-9PtTxr{D1U$NTYIydTo9c{=iTbs+fy(lDD1?S(>PI8$M-hJ8W z^aVAPoo5^tjOtNV64fkX*6k>ou>33oMpcd?HU4u1C3t;Od`1WD(R~Atj*3dN|O6Pjg;)3Wk8K*NNq9FeH#>!WiCd`+-1X?j}Pl{!mDgwM)=aZ z!Ck0>H6A7fnj~Fdn9YHUJn{@^O&7~ThYsp-vZk69;LAd9a1`ht(ZuT-q_fBR&QXDB z2gjpEXS-10VXi*M zdSLc4J9c2jrNn!DMgSb!@rFTDhw&o*5PvZej{N3SEea+U? z`1i?K`~YsscOt1a3i;aQQ9x;YQ*Y|3(-1v1ftrMhWGI5^C22t#gd<4NSem)SSQdxY zxeFx}z%)Y-jHuQPO=oJVs_P+0=k{XO#4P4D7||pma95o+-dera31*}vW7qgr0Lw=y zHV@JlW4Wv;VRR1#XxS}pLb}*p=NhrBsc$Nv3b}1=LZ-xB=WaFltSK;64~0+x6}YbW zrpR}|4En#&36k~?Ga*4!62iar0yIl7YiFu>3WddNQA8ZZiebd-Rz6pI;`{Jo!O9lu zB>Q~DR0&Bz_y}8f0)m8BK-o3dR zkFgI(;~pcs+|j9gyUY#t^kN7YS?E+_PEg}kM!g6W8+*8V5Ks#HFymoD2kXX0y-Srp z&X2wiF6K!bXa_N|R0nWLRLzQ@v4Zp=ZC9L%9~h1h(&$wGfV*4!S)?dxpbQ6O(BH7+ ze7Neu;8G7!H%#-qq8|qMihnm_5X}@I76OJpq#I3_h0f06t^hx%6V0? z0cNLy>od!2hD5yvp(>?A7^{au3u18KkkkQ8tiP?nXSH}Cr17wBr5N?X$~Tm(pHwJ9 zcE#Q`RT5-fri?q`QI&Ui#xhk5uW?83uR4jm8G4O(3}dGFgg3tb?JfR?FNIg+5NNq# zuzX{T4p51^BeBvQiU(XxPNWlEAfr!J4SV4)o*2lI zBgmSv+&(sE%A(6sEd!}TsOj-tUBe$98Ya1@OjuR!SxYRp)`4r3w!6z~G|78i|b{V=Im8 zaUzXb)Ib`y;usTxz$Zk@*sHiX5Et3u#>ADV(Df48htIw`X+*4W^l?0tL^w6- z%Vk|A3^`>@x{s+6{cSdUl<_nHT=9&49B`@(<5pmUPRQ}A@oc_~k}K2$>)giCYyT-o zmA?NYNFgvF#g6+yj1rd-Z_5ZX(2r}@Xk!z`4Ze`-s-Cut$D94;kL_H2;LAECW@^vKIhq0i*rSRgp zb)IqB!dDDQKF%-a>!cw4*>Q$KWS_DSEN)yTa!V()NkEzQxmWCuc%=6q1CmGayO7<_ z`~k&Z`v1F#^gDy@ z{>@T!3obIaW2EeH-+8fsR%Q8#P3hm<>p<3?)aOJR*RVM}!!r7Jq;a76=&nF8{#pFT zI=3=y1W1^Z7#0r&n8jwkU1(6Z{KuVqjV>~XOF%_DP%+*#m=EkcJ~x;T4*K6&h}!?c zLVk-erIAtT55vJj4rBH^p0j}3?s4+Xxy(TEy8uPzI*^33{R{tLf@ z49i#i4Yc;&1eyAf6W+UV`VElKcmE%A`Q~K(pCb1#ZSp@wZf=Y(-&iUCSjPdSd=^OL zak^0djZ+^lZ5vF711&)Q^ynam{@d^H7RY6xf9H5Ve!txs-_Z7)uIxH-@)ZeLfRl%_ zQZYoa-eG=Oad`Tb+xs>f;OzzkZtw$txY+!{BXC~t%0_~&GzM%EGOzIholw99yDZt9 za7TBq&Ck_n)>?g1GjCh-ZGW{{8JMYbT8TootI!w3|9daN|Z_f=dZdYV~T zvzZ}49I72XssNa6!&1$2B&#Lw6ia8!U8g(SFJiF|vI*|bGjY#yvml8dJH8D(?XL;F z+^@Cs-#O0-^jg)vIAvDOr1!k#rxqmSNXx(3)tK2|CL%&uOg>&O7QM$md$p#~SIovL z7p$M{A2jJ)`C_bPJ^#Gn;Z%Yd!F^Xdf9R_Fu57j;Z4G!H6>j4tU~iRA$p*{KQx2Rc z7NuTHTYUGVuf&4`_Qr$u2o15(Bc*#AVTQ=e#R+T)HQzjxF7fw zKlQ;a%gVhfdc}q{$U;$eSwDrmPP^@}c6Qwbsx|I`j{K-}QRIrqOF;4D-W%Z0F1}fk zyU>H3LXUy)OTF|bp7tzf#j!&wzx z@wC*Dr8}`kV{hwrEsWRWsUt3$WZi4OF`>OlAOslG5y`%F{wb2}<~H`QiSSK2-~;=; zPir=rB+SYb({Mdy;kZb*@B5~h_HqMaUigO>YO4&e&Sav%CH?E!%()1!P!x11?X}y< z4g!7v6h$dH*R82lJDdF#U0QZCJQ`PQrjoET zZ-M~>wZu*D+$5hY_$%}BYlH-#OF`(MX`-TQBN@Vmz9&WDe( zcu(nJse6cIP!Q^WBy*6rnqHz=2$Bb=%^nh8y*W%OhKP*%@(gJ=Zr(&R0 zsk977ij;99#*Xzqb9 zLXMSk`)g~S&e!-^W5{L0ki@56B>d_kU(W-3C&t@NL|*2qkz%oIM5y7z z&1b{jq+9DAznd;HJsJo1l5eP-RJBv-eQE6qQCkc@UX4Tr4OdwaMJu<#XC8xEP;zU~ zNZq0qfU;T-__$#A6(c(^Ny*==-tKq8&$k`8k>s|@D3;Kmdf~gzck5rT!cQ7&6hiIG z^sabzg}n3W8!e_;TWJM7eBfQy1YC}n^Al@Q3Ha!g9}Q1UZBe5Ooc`MVMu&J1NP3&6 zw%kSqiHkI{+g+@_Au>Ngz7ptG*jwE`@&bA5(fj(%Lam{I4$4>3tl%KsM51|`a4ba4 z+;e27UY-MN<%}V{`_?0R3Q=hnBbbEzotc@@cs6H!|B-LzGQVKA3&8dC`RHh8gTj~q1)br)ryTG(dE~t}hpL5~Gb^j9Z zPm2P?`aV2QAqJ!e4!!*;XVNB3{MMWj9bn!h^ZULp&UZXs8KZ_kz~J?;EmATu@sId@ z#es3mrjPQa(PaMspY{hH~rVY}z<)A6eRjdK2ti>x>N0`K^PXkf*(;xl}( z07@6QivW$?P1R$EeK{|lXT3cVosK!;QITf{*>08(T~5{u(BaFnUfxRpIRq4WdJd&KJ#iPr{$n(+qN!= z3U^mloTxg5axd}uW z!BZQ(SK(&!eA7z!Rq~A49s`cJGeEuqlSZ%sbG7GtG%`=euxtIqy|eoZzO9a?VaS2v z1G>hp910@!_h0}Qr`?avyRrpw2{EAKFoLA!;bLA@Pfj8v$09O7<#n+Q#rBN`BM0Z1 zv@Q(Yj}{mtZ)z;?)kg5S4Qwrvl85%L5^W;27dI3VFr|wkuI|3mz(%2n@QCdB&$%_B z?ceCVE6^aIM)mGC$RL6m4$7|tGhn{uXjy<^-#xuaj%ol<1Uu`;hn9Ng9Y3d)pV;~A znYphoa*G}opI%ebygr->5$5+OY}U`EG!o~2tbg98_6-hq0IMqpcG?opoidm6K5juM zP*2P_Dgi(7uZ@s77mRxN!;Iiu#!MEiN`6W@Z*~QN*9OY*Fcf`a26C8<{J6roJdIf5 zc-j0WG2H<){oAoiC&pI~(~2r1-2~|BT4UQ9h`cGA7a8zSD=~03{Esp5C|%cdvo#{s zxoV7D2omD92ch<>NRol9fh8s-taC3;Kna|Q5a=yf4`70w~Zv%XfKrhrHemo^rq^;$YHjyjlSfg*RoJdYlXQO6iJj zOO_=`>$ghVym`zEdopuX4+X=B65?gc5E*)B*G3Usk33~7K!ewLjS@r=JC0fQ;yngK zN?V>6EvejN!jEB!4PJ)+rQEljpAH|>@bpKQZI?upP*n_?c~NErY+uojGI>RHykb3( zH$MT&Sdb8*hcyrlt5}d2rE5$?Zc}-FZ({M1ayZWN{78{;e+)0Tz%ZV<8?!+KuJ5D2 z`|%yES0(68^<2Q4DU7&}wC%;D0v{=OLN%a6s`ZyOqsCqr6+Y}WH(P_3(RP2j!rBa~ z>VR78>~nTkWG^F*>dx60)npZY7#AU9WKhrmCg_k1R}T&jj0rmW>~jxYb6q*1a)Yr? z%Y$llUHM#6=@xE&yldYaY}3O0`MV;y6x??)Dn!$tMry8Zv$TyJ^K8+TuRTpg_Zsoos!Fn#uqCoDjmBGc!p>*INzXvb=$+6h3tFg2^2% z?&0wkbN@88PX)J@x$$PjgKuQ|cz5Ov@JhKB1E2WXzN*QNCrGH%hl{+xla9Czi-ep* zFV95goooGy^rS{1Lg#^Ei!n(uYzR~s-W4LrPo}0u?{@5rHViDK`wzquxEd74*oG)= zk~(R<`Nlg>Da9bgikZ3qVJs$2uSn?(|5O(KsOA_rNX)x^laGLO4P zednS@i^WuFfby%b{<)R75B|{v^jrfwr+;X+~y`*waH-7p(G?OuQ5zK2-fHxA> z;}Ug9pu`?Co}wT5ZcFxzxe;so6*qgEj;?NO%&SCv-|w!HLB+26xmE}uKM-AL3`1s+ z4mqM4q*Q!9_EaKgq-}cY+IOS|95%b29q0A8Pu6fNh9h`wpaN>!y_;OjgBXzad-PVD z9U;_d-gcJvX@+c@5NbS|dp#&%z_x{KUF`5K`KQ08RIR1OH9MPEilAz1`Cu?<>(W6? zm7`MeblbwZZW*RgQT_q~&SVgtcaoYZ-T36_oSBZ65yUcYPSZy(lHE=X3=DjS7%e-} zz0;qc?KuFqu9S+^(WJBNSN?l)wxM)gqx5^{y8CG2Y~Nq?3&0YfdFv=Ys z|Nb8B5|XslTk%aw(UjOhmB|*R!@F-j+~ew@9rVHS2r(B#Z?TvL8`xyt9Gu^Sl~`8p z7Z#k#Nq*pP$sy%7jX|Y^Ht1{KGpk`~tkLi{FN?rLL`@is&`(sSo`UeJ&Bg8rOr(!R zRFPsR88Pmf3YG-;bP$`VEZ#>sHIe=t^v%DgWvc4-^R?d=_%M=^XQvrxfGt%j3{`P# zWK~zhl22FmP%?%QO6vXas%|gtiCTTD_`$MmZ&K^2L4cy8z|Td^6WDB{kjXi3{;D^n z2wS`*7tmp%m&xdiZFZF3fAkKS8j3Z6h8SWj8;`~GvfSsr<_3j%QV!wmtMq6gxQEK% zD3aT1btgMerE`!M4!PCvg?~QBeVgnXoUhq@_%=h?oX`^ed^{}NtOgq0T5@WI&P850 z?ACM2@6&GIe}f6?$g!S}pwUpOyD<&!M$CiY74kbe2`ht=AW=P`d@M^54qu4J44=(G z`||M!Ki69P%irgkRYCA#xCV!-GlNb7{y2+s677pp3PSmQ)4U0hQS`608a0X=fs}mbG1| z1!Z(x0nol>>zUTR@{MKUgd0xpIvN9itwUK1sUz#DFRb5*l7C%leH+HuQ3+@{%j#9m zD0}*Z9Am{qer;re5gkA+YdQj%n;OnX?I2@8)t6&w$$i@u*x;Xss-NDfl zqAxeb!J6<*n6xE7H~CKva=oG}s4F?H`QFSYN+nm_8%{$HnlVoDswr$&)*tRh{&-1Re_ObT&?LUp`?z5`vxc*$#1?+gy8yWXi zaVC2>qaY`{pXGg?wPof;h2guxuV3S<(n9vOMj+01QIWu2wPnL-V|kuRoemLtV28s< z6pr&@r8tR@8P;^a31T1*--}nJ4ef1}SE*?rj{1smRLQ~*gm1ga-H|hKMFD6O;Iv%Z z4Apd8Gn*HNJ-@U4756?piy5HFTMPBzP(f8U8Ul`854F_YUjM^fNJ?+dRqPF9~+sdEJf%?gWyqU$TWU}m*wE83u`&o6L(y?Wx1B1%a@4DLb zAxHyAm5Rc&y1TWF*FfWyzce8K#I6=8Ox62%yJ9#N0Ly4N)Fbl&2(iDjXHL}F8)-x7 z0N+i1DGPdWQ2n0OW1i^i*O`})`*%*n0uSj&-&W#! z9r|n-p!ZwUXrg>G#9S{Zh5q{__c0xU6Dp`oVKG<{XweU!=kNCsV>bpJ+DTl@gd=-@&0kfw{ zRss8-DN`Bb*#v)q@Ra|!hx2?xpO%zRo6hojt1Eb6RQ!u0FtXvru9#dxh+FZOFw#r? zG+4lQcA*C3bz;Gx4K6n5wr5#eXU~Ue~`X}o8NIgTYyQc3tD`lu{&u409ro~&-3yr~N)XAN334b}* z&&+RB=0D#y%+C%^VXOdDIz+H&;q{q;1{my>U*$g_3El)~m$(rujgY=Z)XEpOQGJS* z*O^vWO4(Ksb&uhEIqm3qTIbS(?^6k$SoS`#RnGx_PhcKLYM*U~rW{EQ?etxREU!WB zZM=pIXQ%3-UAO%fE=e7K>bg*@{Y{FPkt9j)~z5MXC6mms*%J@USKwEzu<26c;qIsML^y{*H^~_3& zlRE-WCJ7`u&i%ng`xNn4C5}2}AddRmit7|j2gG&ryxP-sDvY{_lBF{p02y!}HCu&Q zQYK+mCw63!38N+wTq@%hq*i7vnDeD4ci00MnDJ2iCDQ{642`-avqPt(4{ZOzg@=`rpGTd=mioCU8&4OR!|M_Z^D3^A3Q z+F()#?(CLA$Ggq4?E|ZFoWxh3A6Ho?f|62i?RGr@Bb|qGo@OmwEiH!6kVFpR8wv}c zQHC_h12v?jzVAC1hb6LF4#?}-^WhUxsnFKeggg4MezFCIPxL~s^TZ>sk{)*UE}J^- zU$@r~H07b%O{-uHa7N(6qTKh2)9v?)q0f6$QUMPJJ@`w1SP~@9j;cE{dp~TmDO%-s z9Er35)P%pOKe-*U1`;A~R7fwJ_R!%0wx+Im2t5dWBm>J-3yNbnwy$iR7!z_`m(yVov?1P*Znd`y}MJ`tDcMPN1QyEyRKM#KkxE`M7k=K5YZO^m-((W zl7v7y`T_fI4ek+Lie#+o)Xawq(OY?^Vsm(z-KUlO=i+WgnQ1 zc)IcH>Y$w1f-3%_@A}P~+FV_^nntj?zwdl26n zF9W)gbI2edI>JCZezGC!r09u0-}!eXrx4qkcTIb_Lm*D+HoN+`IF=0qcGXN~c_1lq z^bO2~LM*Heok}*BAsFQ}XLL~OQVKeoTrHn=C&*2SXgaJE8bVdmf-pCWVJzKPJy$w- zZJT>h7A*n){8ajO#sQsCO!gXt zN%(X(Ng561oNCz49(mRR&`AY=16}J5BxwysV{I;KIR@2X_`O;bO=A>p5tWvO6Spbs@ z!O@eFdXxkW4vk}qU$zq2q5&@dgehR|Hal3Jf$*BH@U3YEFtw;(?^X9Ib@p6x<=jF9 zwLBE-nsGSm)(FSqrYKsY)QT$nrv#d9fOlE*EGLm#--K_lMj8R*BdoX5o= ze(i8V@%p1~NkTMz?8r+t5RK!T=gE`(mL8A$Wo%^BHK1WoP{R~cWln%3sa+c>$4E6X zor<7L5+G-#WC#RFsl8sJ3lHX4N+n@q|elH8QH)VkEQjDNM)Gvoc&-@#e7} z7#P5u;5|O3?7wS=ECuU?ib-=v6l`muW=FOv+c&DoPLTEcvz{5B;w^FKP5a$edb1`t zre#b6=m}x4nHp<$$KhL@i^H8tgye8v8B|MF&U5-EjKM02QVrMf?2defv->d7Q#vT2Dk*8IL0gXQvy;#WDQN_bXauBx`1Y&8DNZ?%rqlkqrIvL% zmnD25&2^|3MikiDS`L!Z7oEh=K;f>+pD|tqgiQ8FcO#ZgU-Qsi+Ks$Gw~rQ0p!TzA zsPr>mNy~5z^GidIv{F*s=_ zJBB>n92VU$@2yV1Jh|KL{-Pd{WiqJ)s;mCU2WMb8=Dwufz0O@B><&Zg3I?$)i*Jy; z;66X!Y&kEZRWXnjGTOKI;;m|6OyE*OOqHBX9Or48oGn*)tFLzrtFCk9RW3$UCh3gq zL@}sMMkGecW{##lN516mIhz1%o`4@W&6xT z6m?0|A4o(c;iMabW094d<;J+(O=iQ~jvN8uqCSkM#cXi^DK)J@eVCpuFB*ixCcXH2 zY((30kL8g4@e@Q~6KMr~2#|7x<`?gD9;lc^ODAY>yM>AschnN0J9X@C(2Dq@)Rhrk z)@+W}siSm40tr$y-EkI$u$XPIcVV4Av^TWb{W*UVU1Jm&0S{L>5-XoECnF6@%T*Do zV9~O_2;%lL?sYs2DD0s;vxhHTk?XWa&A^~PrQFv>`J?{POU0DG450tO7u#P_N#xgljH2@F8Fg4x@RSd4d9$SKVhg+X2qG`gPM5 ze>j9byKCpO*h%sz5N^h~`Q*TfM3s5mvS4B(@hNml@3W0L*bYOi1M%#&ODd#eQ5nuMOWSWe zo||U?vOaF4z2YV7OJdi;Vvl`lJfH@0{w0D37RpSN^^119yG_YHX&Cc%jpk2pm}TJ{ zn24~h7loX*s9EoE&893=&iiY~FHUcu5w_;ET-0iLU4X&%>LuNE!B=zcDv8AfF5>hCVWz|Bz>Td!b79=r;=?Buwz9EbX=7c1*Yt8%TfemcjrGFxUr zz8SNj$Xk=A#@3l4Ay2hP)Sk?$l2}!>YY{;C+;=2w?A z+EBN+CV+m*4c`in&D3>D6t=6vr@NKO0j9M_Y>$PKhm}3t?cs@y*LF(m+P>|V4+l15 zL&Kp&2L?JBb;Dn~i?+0KNBFl91ieqlQA}Vmkalo>9brA`=p(*g|t@%aX&i*u<03Dqj zjnGO)+4j8mD>>AQU!LVhYnAq zBEUFF{&>G*JR6+=R6~L+=^YYVik4C_u@tA=_NObhl$VeNXI^4x?w_hHcqK^WMZJ zeK1^7sslH;H3AJX;bLtvmKd!eQS|xYfe#Z_Xw|Yl)j>UKL^4&jh*bkhon>fN7GJ_K zlpx3s387@o^^qy8V8%-K@^g&ZGGGC3o!orYyFIz9Gij&49X0Jz>?dLW1? zb!do~)94nng~D^`!Nro-ac{0QMj?4}PH0I+m=frmm)X&jK;c*DkFr+<50LHB`gMFh zhSu4UhgdZ(sS~8nMO60~ajz6cyJ!v*I%9Lgtf79P z*$#KN)u%l=rQ*Lycbx@F1DJ^z&5w&Kx-b?eP6I`I)|98rm^q)?D{E{!gAku-gOu$X zNDR0sPp$k}0}(p*6~H4VVRYCpMsmB_Be6BrJE6zY3%45vv_k_ji9U95Qv|o_0`wBnapsp!aOE4Jm3W(!BoNH_a4XUv* zh)tQ0ijkgHZ*f*O!*qdGdOnBdF_94SZ5LMhs@3F=fJ+x1QuMy@ z6T<)|;pEEV*mr=y(P;G!m2v@%3FymIBplx3qo2Tr*%qOgc68AbWFQJBw$6@9Jv2&g zAzFX=BbVj|jE&cH2aq=I(^oIP?C+tqYsE`@4D-j!<$Tn>8Q7!XP}+^KGll58tuwJh z*ug|ZjEit;$G`Lzn%t!0%#xZQ{Hx**#6^?Z^{5dIl4usCbk|j@Ffe*nu8Ys0 z=~@}}Fk?6N7?6{)Wx?vi3ZntF{PiGxM7`S+O9J~VJTguExS=Uk?GP^=#cU=pNH<+5 zDShS(kqc?ezD;pjbDrqf}F@hBB69P zizQrUVhUD-kc^zjW$h-na95FEI>+gZGBPbxp-7NJE(*St z1cgi#G{t#W>fp4D)jySVAJ`(@%N}w!C5^dr>x7AUc=e#o^1%A{&o5JAmdC3SDeo>I z#4KKeLqJ4nL?~)aR{HR~AplFa&4+31$Mwn7q*I7_`mEed<`sQvGZDT?i?Cs+xykQ8 zUb=Kdxv5GhOCp?^!;+z^Ts*sQ^U}y=c#5Y^)&UA+__S!*1$fovI9=wwEcQ$dL{}A9 zxtA4lw@pD_v=!BDDV60Ln5(I4J$K;h-Ar{k0f1edmSSU`7`ORKonxJr=_dOuBfZ|6 zDxG8Pmg!&aP6~MURX&qVSIh(L0lPZSsWzMYV&~a6RX+VqSJ3~Vh1hH+eADi#e8!uu zsQ*JtwAoZ`cH4x-z3`mv5a~yt=i|PZ?N1o^--Fm|alpDcM~a8i?p1`sADE{+zTr3o zb7alzM_?uTT>A2|X<&R8AxgYiYDltb%!7vZ5B=iNf-PYT$M)>XVZ}zU=h(3Zs<=Z8 zw8)O~jFImrADt@HrsUXKLlI34b(=uk=B9##*5(hA(zGu0ZJ|Gh@+<4z_U+3roO<|F zrx-MYZ~&hk((}d`-vzZr!ZAUEhMfE;0hLHo{DEIcGD98?d#L=%(tUuT>Ung5ylmVo z(s+U_(%A?CQpJZ<_jY@^p{T<4gohoxjBx})@@}k5jZr_Mcq=_dj@V-Xr7WAR@-{>; z)+rqmp9pK+s5vjSd*>jRH4R3t8cTh9&lnoLdw}}2$@;;e_;LMM<_TWl^p?_G=bslm zwf3W5?_{myEZm)eetIY80Js9_r1~g-R0) z$bjzt!1d`{!M%MXw-}#{YsUrr>I6D`_2*iSg&MkO&$CDiVY4o0M17};o{7_T-4 z=5o_?&OY+wNG%3tlC*}D3zPz$Y}G)+VlPx(K?sRIB<2a}-$;Wxy$>6IajlPv@8;s% zpCqlbgIhT+iHQ=X9_2_(SiL`!YE&i_68{b{D-s+>O{$t3#sGRCrH{uWi1Xl271@zN#l9 z-C$cN30pDn2{`>tr#sw$h~&_wn zMUzhs-kQR@2ga)w=d%IZc8`epub;bv7lR3Upc~~u#-LAewws|{1n#S|I`S^{rjUTj zBkDhjP^}A*A@M=q()}7tr)?nUtVjQ$uqf)WJv31^E@wLr)S4z!108}uh8WNecEO&z z2bAhs23hmR->qd?R09v_18oTufcoRw7<|W0uLijgJr|`nJ0ud+5T*PdtVK0YL_H@U zrkT?~=yDHcAWyG88!#)NACapGN=`s><4eIB%|YXx-Y1cu0V$w-Ou~;05I`<%`lM2z zKqRXc0n+2%@TIokZhC$HFyquX8zX8Y@rKYO^&&bCm0CWan274xB25Vjs zN8Su#N2{n@)QCHp*A5nYGE)&T0o`IpuNDGJJsH;`kAe0+7#F^wF+zafKn7e7<(}zP z2Cy+g;P$T%kaG-02?Kus@Axp6dSimd9Ss?ZzJSK<4M`o!F+$Wy>%>i*d0S{Ev0~x= z?gVG4Io;kkzq z^dF6MV*Lv(WC*mU4`-YJL9`atCqae?v=H_COtljWt(Op}8#B1vqYh-g6U!Fr94YBv z$^TnXa6;*r!SK6?LXnuHNvvI1gA{hcWBPC$Bwnz_0B>d$zevnrkQds2P%+4K;tq%8 zFeU_dr@j2mc*lvQ2ff*KK5};l*^^JtQMOLdY8-2J+T#sq@@39uQizAAbU`C?)&+EN zHg_sibL}MumyJRO&x(Qv_A~=mK?$ds^BIFJLW9sE(R*#A>VgoDHTzQf8bpL)hF~0B zOEdv};VzXs6FXXYM4`suo-Eq-l#b#PVyfoc;?JaYHJY9l+(Y|RkhF>_1#Ro@re)1~ z*xhIrtkgYSr*2uCuF2qr;xkLQrtM;^a}ZmY6KYQ;CW2eENEp7DM;d`~*nB~+z+-P* zn=FF1QdOyyzv#db-KCl9@sRlkMB3VR!awsV$3MWj?P_LU*>2gN0$P;-4 zbUcgRB(}e%VnuFv*Zlm3=uDxS9Cs6C@`r3a3LlL!^XFoX$0LMPboIrf+q`w!xd3#H z{1&P2j*@E{o^$-7Sw+b!fWR^K0UZ;&09F0k z786^PdHli!k~u}${m8pYc2CJApg`Jsbj%}=?3}&754Dq#v;V^N{YgAm{Ha-MH})?n z5cIm=jVJq$9Haa%ANM}2+fwG4*)YK1ijK_-} znQvqSc_&ryfAI-NPqg;W-ZyE=zIfsKf7wG4mmM8{^Ee;FCWOo|{Q?^qu>xE9&>b03 znRfBT1OAu_|1YUf@d+gXcNfQi|3jjG1+xA}OV@H=t^50ir^_BY7h~5_#9G_p_09gW zU^BN)U|dJB|96eN933m^#hmF)`mcuB#_(a>^SpWK^pFSrqpkm5OCPR$gx(;fbE=iZ!8yz&X^`#`mtuRRG1$^TEkDE77?q=yPuX7xKrv*Ea(b*ZE%c~l0p0CMPzJSHbSrE))Q3D}X&b93KbR z&K3@y2^5>}#$<%n>`n{3(bCiPY{;KEFvacEcor~@7-le>X?&32Z4R)*Q&Z&g3jb?E zs79(QB%xZJ4{eK zml|Ro=AqGp4o83`>{UKQXOdJJ7NHq|n-oFOu3l8~-@v&qW0~HPt~X#P%JnJmF@wY2 z4r(@^=CIFhKSq<5pjdGfMb_IU(u~)umQ&5B^9AeJC^Uvs4mJP~6@OY_#~^7Ut)*11 z5CTsyu~oZ!Fy|zDpFZDUAqteVw$EV9;DY|L*T#4-6Azxw$*C92E}2=TO*>R*dUIyS z6?DK@J9B#rw>lhpanjoo4T)@7+qul^IR2O`;!@}HYYOBHF-PaGcvZ zJ2*DoMc2T;>7$boC=GDtB}#xsx7_(RV-R5TJ+u4AJ0wJujA6Z;67DfCj6E+IBdn0$ z(Fu2gTN-&nDs%xua`loC}ZEtUg!hZh&Z`^7*@Q$gR9mpc7K&kz`C4N4dE- zei^>usBw%fJ1{g6CgSMz+-2LsQC1|V`m z$+{xpcJcA7a#0CRCOBhX4&scAkhyUFG|JEyJ3Rwb$EJU~icq|;&#s;%#`9$HifjDp z^Ri;qn7QZm?8GG~rGVRdQPb@R@`K;P##5wF%_XY(8X7^uJm1I49@Uj6w(BGiL|G*L zugM4^PC!`J@>Zs+M_!lIcutxOk3>T2)tu}^ zfu#_j5RSJ%{Bp8w>+itNp-m7713C)%tgG}2PX@NMi!H08NDmvRg(7@c6iSMS z){qUh#HTcggd*0I4W?X0Dg5JH8fnS9zMpl;`~O4xXIe=o{lE78*OqXf)|*T*Gaf+0 zZ^EuKx`hEywCVqQpx~5nfXLlQsSEKOUl60|hU#%)vaQd4CK*|*JW6}RrP{re>`kj2 zF1`koi>og2&34Is)bugQQ_|n6ggXFBIBYzxV;{F! zx1M3^@NZPr;%S2Tc%y=ZY`i`CaN0eajqOu4IS4lE!909i4u}Hy&4_bG+JB*~+1ajBLWCE(qD4^vJ#HFd3u)T*tfw-KYeUZHx!`IqVT$b}f zufSue#$X}DvtZC%SjrGwrfbWow%9(x4v|ot1!EU1tjab7MV*r2TwWF!a5Z}3whI$` z<5Rq3r2~A>`{~z>Xc0YgOpAE#aiu(_@lIE)@W(VDO8TtPz8O@-1(C zCRY(+Hoh(;Di1sOhg6PP*Xc)zFA5$<+NjRvAn<=>cTIjJ$p&NxSnRzB zZg?c$->H`(UmHmLePhNyNr17Z0DP0~t%+`;wHees>M*eu#3=8L-7oOW*zi8( z@$zDWAj%;1%M$byA-tnHAT%eaM#zcV)aDXAoaL$INJ+RTLY3u}%J>5qVX_C!X`{q2 zauKjYR|r)pfGnzV>N1Dt$uyJX10gKUq4Nn$d`zf=k84Aq8Pn(l2U|ak3WeLuC9Pvt zb_5lvC9a(<+J;_|i7~2i0OBu4nK1;T0Iaz&7?ye?d3nE&i5v+!bjsDT+w7@?qw)x% z!nq3D2Dv@8V+=ADWA5uG&E%Kts-%d6RU)inIvmXXyU?PXl zDzunhgQQ0R-Mr@LR@PF$0iO?(#`$AQp%(p=yzZe?aoBMxehZwh|I_5W=wUUo5#GL( z*J5YFyP>^+bBbe5*0AQ!It=6}K@y-NPPIkNViq0edK@!8Xv?%9A-z)HnNPF<6z!>4 z?Q~0Q?PEk{5qC|D!$(8?_IXupjX<^`BJM$g0=eAX3>mmWV<2( z<*CYsD+TOe3Q|V931U>^sCI=uUzu;(^;;{k-nC=fCT{&p0pF(D# z+8vg2?kP2?gq;fF_v>#?=AVm+_DJVHzrf&@{zd#K6Oy&PI~Uo^NNYCI@sX{|zl4#IF1N zGbne+;T&j~h=0J>(m|IkSu|hK!6MT)r++y)ryjp$oFAQ3?wY|xOP<`YCSbfiHdr{b zk!r{YS=#+YvK-VJTT%U8gJT$%XT2k0atc|+v9UrQJ7OA+{Dl4}bUv|_C0hJr6ckS1 zxh;xGJ~5Y*d6WS`Z5uEZY3i|mFHFD1dHPr333|JtH)&++KbMIpu=|e176?R~GxZaC zy*S(T|hl;Z&&aeejO448T-UhmP z6dG;PftN+;^ef?Z+ad&(VHOtOe(QJ7zhjE?YW){$7=6<1&Y^moSmwJ?z5` z0v2Du$|@SC0GAmbH#+A1;!)Z zWrH2{vxS9!;yQITHhirk^!YJj2+TK!zx^bprtNpgf$sUs?{^s=`Xmk5!^A>PbSZo+ z5`QXayYK;Wiv>@9c~9w&2=yvV)0zWEzbLVFyp;qlPI8JKw(pdYw|FU_KLq3tzrOYc zcgC_;JNdBMzLuue;etZ^EcjUfNx{}88mtZ)4R27>Tz|gh_4V8F>wdHoX`$vjjxZh3 z89X3Wj!dXwu5%ps*zXs*E=td1n1rb94{<_>Dp@K3m2A+T|AZ6Hmo^C7tWpv~kcmAX z;qZWv!Vs!NIpoi5Y|0ieH2(zCoC-nWX%^Jw>@KyVI&W-GDX7rBJbfRHJ_-K3@8f@i z?xu|<+EE?6==9jMJO(uva2!(1%0=t`LaOs^VCKNpgI+jnpy0@D>nfFMYMogxFoGc5 z2Uu7bNUA@R>S<&^Bf=7nw?Nzs%kky}gUb$tU$6Y?cC#F>!T;9&&3>@Lb^WHT>yGSm z;HnF8mD8hJseh0LIcOPtoe}1(=Quhz7(-(S`A)G?B2sS40LuDt9>I$NT}U0%l;}?X zR298tn;AxierGMxa0PzjPsaKrqt4VbfPv^GqUW*^^jTh}F>K^YWB<#{FNM{Qz#R&z zUH1t%xY|{tk7n!_6*q`2`;0(FH4CCv?m%HA%;hY3+CN`6aEU476}bbfH${7JotrG6 z=wk-Lf19y?`pSbt>zL=ZLmL41*g=q(VI(EX2%-KVbB1Y!Dz^t_-|0gxpf zPCb8iK~HrCLJ>u-gIbjn@t8LCYZwcgLW)!eZ0#Mh3O`_En5>gnvp)>_W>i#ad`BxD zrMgA#ISg#BTz!tXIn?PcB}MwzJ8%!(j5K}EER)Ll0?vEB!>}Yjz1+*K zS%j9^9a%s|!W@4?1Am0-S%60a3~=s-E8dIoT(w0=;`D){xc7B`t_H1vD=U!%ZD@t% z?Lhi~3xQ&XS(bc_GyR+|GrpIaW|~zsR!|_}XeR-VOHC-v-`i%PtYc?J+8Fqff&GY; zhV8YR8TSe_)FlQh3~d$>Ib7wqaE3y*>Z6t_#mlm95H$`UPghz(XwkU@AUSu7#88s) ziF!eJB~>^wT1Ev36&BP4aVv-;byq=J)ghp{(PKD4ordQU|M^?)!i?t@@I?Ynu-H@e zSB=t{>ngyeZ0QZq@jW{>+#eW><7K?bh zNf)*o2TIUn)}e*@tF;CIiKCWv#5e;ED~_w&P93r5c0YYPBEF!g)cD}yajh4yRCdS} zprlBt%4BCgny9z0Ni*}#t$h9h1Bb6{z^PR>aik_Rf5tYTqVDv?``^)x|hT0?K-a|$t?0m3wy=Ia#;n~5;-uSqem^#_=|QzC43{< zeXOVOL3w+3b(!b$xqI@=CxTaFitNjCv;7LbTZCaiMyq!Q;@6egxll+!)$Wa)7&nr! z{BG3-Sr5?I3gU|Yxo{yB>Cdu2GJUz1e z6RAJ3NV56-lVZ7mzo$yw1LR*+<4lP5rgi3@s&10~(>=Xi&~5`D?fL3SMEXnekwzuA zZHJ%r%9g)+nB*g|6>2Tr$XS0HJWcIvgD-@B%-aTe6@UheZ!9SVHF&>p@C{(S-fE6IX_(E~vMK>?K~Rs7(FHg;HL0s?YR za9{8S@mOxSTOfi5+?WFk0RC(ZbLoeSZ!dn>9_tLgONSD65N2Rv(O=`&h|HY4<_}E7 zdsWxGklwixIH>J)?O^LmJmidY80f+h`eOR}6D{y(|4Q-Xb!6$TyWt1vrqo^sL}ndk zeY^eO0^LL_lh9pc2in{XBcJQ zKVVIJ4LrG8UBgH_XS;jeL2$EWJ6E~=wY;07vPO$scn9GXn3AVSNcMyXrW{Y{oF3O0 zn*8*6j%G4bs2_MegS_z+vlh^`heP>02Htm%F5&&e(`1Vhj;$rLeqFz+ILUEs2zT#& zrG&m3Uz(Kxq7Z#=+Zj<2Pbxmtw>jqrWKTU~{rFPB)Tt6|3IrS(Z?xWRUxsLTyF=Bs zlIEeedeV6Eq^dbOqpmQM0m(Q1Ecd!fuz=$|+=K{!vsGHz{XGzey@KF;5}MoVW14mx z@kkMN-x)$e$sHGS{|<}&4baZ^s%o5~1OkI;hpkw^?cVAlb1U9sGs90WRX!CJm%M{6 z5r5s7$U~b!*eK7L`rT;3HP2Z3_4G;nA_wkrcD>aC;2zvZ?~Zxt=N5x;&s(%7mBa%b z``9&HFb6I#_Peb-l_Lf$D>B^0S<+>v(xb=GoL-qTg z=|+`z5>CR`!GG-i;w@#3f5qW-yN7?8n8!8G0V)w(L-o{srKj=5jc2aryi?=wKlLKN zxL4ExEbVll<0C)pcz0*pm!71&UfO-zp5T(5QHZ~i3wac8UPw;5u3bDmgqvF%w-3yZ zx?(?=Ojk%DFz4D#-`jRf*9(+>!%Qa#XyK0qhc2G~Omz`W`-hPYHq3t`TyeBZvKgp?N#^qf>V9 zRpY)&(8!-vk0I2f#@kMN9cv>e&v73Y%_k{kf*(Hz88==Cp6YVg&3z13^I2*DaRhcd zJN%xN!f2?acx4sd6C8xw-Uc3kfM|tk<68`YCh_B-Q*-?JcGO&i&)x6RLu4Y%T7b?F z%ZHNJd2SH)HqEd1o+Z?$er;(we%NjXOv+(z67hf0vU(ejyuLm|3M_@4~=||uBwpae&F-Ovx`_IsfN?6=| zH(M1IPKO|~#+ z=6B5MR$TEoPtqWbB~$&5Q2lVRt$#{cHIf|HhQTbVCJpa0NT^JriLQ z#e+2x738l)`^K#=ZAS?XV_mio_C$n6z~|D$PY?x!b(6QmY06L%ST2tq@_FPL?oQ|gjDBvFkB*E!hBm2_Vp`0)daVu4T!^m33k$|+=)9b47sxqM8 znjz^HxeLgf=PdH0@J(NN)ImC-YV@p&gv=m})=u@m+DhsPFtp}O59L&ov<+1Lf zomu=M*$+6|gpDu<>axn%dY*n5@prTodrYKi>-WZ?M7*V!G$o_~E^_z9UAbOMcjRpX z1dR!F6AmDPlLsgN=ugjw>SSxfoErx{GK3U_-esKqR_A=1jGeB9WEWi)3E5nKmoC9w z)GGyoyi-g1yhebuhXi%t)%8Izf$Kwlce+wa5{2h^;<<) zKoQ zcFSO&=9)v|uk4;}>wHn0=+aP}w>BZR(k?^~L9l55VRN9(S+OA}IC2nj^~mm2>cgg7k* zd(9Ry-)Jifzf+GlW9!dU)XtHbFXJptY>DmITxwuYf9pt`06~ZEpg1Ff&{NI9uXOd~CW8u2 zFMIBY(jKXay&^|z;TATRsUWHz(GEOQBY;Re+V3@FM= zSwS(YyIIB@L95BGbN`pD{)g0@aKNDwa_&qZ^!jDVv1O- zQ%?9+r>~jWenplD4#jKij|`F_v;01fn@Xaf0A>l!HCfi8j)|tRDCR7KxzZ(>$Tj%& z#~68St9*1uTRqMnayY6N?=390;3ReC#i}$8wnptvw+1}3m1JR*a5)XRP?_}-$7x2u zLA>Xzz4a3UQ!7aUp4AaBgSg^KRM%eB>`_hbb{ZPXa^?$5kgFljpoh#dnwfK&S?B(p zfGy^Iq5yil}^xtgj%>Hnie}flS}8 z!XNz5m;;jnDed>B0z2Ea&!+ZYycYs#=^ssh+0wonQ__S_gLg%|22$ELK+-2=;Z7@J zvwkW_q^Mylnq$GQg#3CgqB(607-EHsu zATF{Lm!cL4NhA@IqE0BiK!u>m#3OWBPGG;}1n)tlJXT6TxZnW!3zT4g$pcKB5O~1@ zR^sT4kdSr7DU{PU#+}GQLL!6wFX;btckl}6Dv|2{0sY1X5tE@7IhafNrv0Z4nFApF z4>b5UD3>yZpC@gsO7_nX6&wz~moINxYV$}&2?m1RUt6X%hzi=g`c z7S3U+e3K*%q^Rx03H+#wZd|!65`#RbNSwcK_pcxc_E&^-u#(gqveZRT-#cZf`S7O; z5|NRWBa!k{p^;T0k%)_+ka?2+?Y?ITtyggnSbqQPN^rVl2Sk1yx@}muEVIPiI3uRW z$3T)f{q&D&x@}Fi0~90cYut?c@71r%JX4jB*Iw^& zap1mdbCCC0yBH^_?4k&ISLZcy>7-eoGY^7rCTB$OGYE&?$hNP=hta(PGU5WSdv=M| zU$xk!pBQvz;XCsjB!!bi+~^qQ1qYzb{^@NBLU5^N`UZ7ZnnH%TUur!;mFwVq>^IkF z64zvsXFBce|5Mg=M#I5%YoZg;MeiZHK@cI@7+v%hy<`x*moTr0=yk$`F?!GF22rC% z7=%%xm*_Qm=gRwiKki-k{CM_$_Bm&*^Y1z9?6vn1P|BODXsG7#oBBB=JFc`|mS|qo z{*2Mn{VibZYUfj^lat~P39ft-)y){L3P-ms?8AeM1D4vn z&-h`p^4q9Uj@BXHhDUfX?>DneC`2Q}4SiV;Zpl`MeTQnZyQuZ}mO!lUNFWt#^I38* zQg{2-`Jy+dk3v>K6oMe{Tgg%iVqROciD{LBTr!9|T68`(+SmGG1sM;V+W}D#=28&{ zunrt9f!(F_Fb9YeG>VzaX<#SZ)~hr0>3ij^a_3oYy_hAq!P-(LyB#m3gRmT1MDQ7F zUqvFdj^>XkHw3)WD7D!~fUjA3h{&EUuDHm>BG<-Ox9$a3Fr%>fekI#39JW%PfT4q| ziE-hfgpv_s1!1I2IrB$%DHA&6v89^69qxXQhxVN)W3dy60}jcBe@}X?#8j$)S;hlP zwOj*2?3;f#ryGtKzdtmgIpNY#1u*2c$AokRPnWVc1Fpdq<4vggppwcYv=@Uruw`z; z{IooDz3G-uV^lmKzs@%ru!IB!6+n1HX4>QjT5Kz4n*Mp1UnE=Xnr{J`?(VGyq-j6l zEVtIw{w2ZrtGuw8|EZ*C=KszRjz?p|+ES`mqlh#DN_IKf8a| zkO-Uq{^|v_5xN=nhF@3EN^~+(7Ri+^Bs-VcX55#V97pPdhcQ(iRR^kfbkmH)QQ5E` zBY?iGnkGd1_!s%_!%TS6K0_+gY+OoI8Fu=3m<7@ul!0gB!^gLrZ7Wh6<>(uU% z%^t@43zZ~%dF&YmAlO@u(4rO7{{TR!cfx<1#;ie;q8d65kToMd5PPg@kR$RS<6{uI zMaqVI=d8R{bOaA=poi{;M-I0K=q`&|rZo(%mIkaUWS^Ma5T*ZQQ2i;5;50n^Xz$o@ z1Bra+sNis`9(`)8E}r%JFBVAMPHIMf>|jrEMRQs28qT;56}9n4(o;SS4!k{CsRiEz znw1AN>xzekibGE52zm#JjziSAuSQeeXvSXPVep|N$oyHp>!^G>%zgvC+4MYVA~g7T3}4)u~>*vfSFIr~Zo&~j4VJsRjy^}RI{xkXuDzwMx_XyzE!yEXK3%-??q z^DA(xTtHpAdye+`3)6Fw=qgS#4Dq%miV^whZQfVNTB3Tr z-)27g1J(JC^(osuGurzpG2}=bEaM(a656FV)NmNGnR_69Q}YAHV0L5GT00novRu}R zuag-`?LPbgi*5}m85Zko_o$qdO)qWR z*;R5?K0VF-*f;-jjC^V)@&n<*y%TsG;m+#Jf4D(%&y2c9CW|42^+B!M6RQ`~5`^%` z=UyJQxLKb@y|_ZIqy^*A+-A-ndnFY$L2qrW9hFb@L|AD_tcWMb*#(`H>F5+c)Nuh- zHU4Yl3+~yy%H2=h$L=Mxl^Wfftu&x6BzQn-_C77zqFbU-F`15^3d}dlZK@U}BJ_dK zl;l75w_;RMDwO4%lNh;Ham?m{lmjxir2E z+TlqJJrw_31}|ekoeKz6x%UKMsePr;sB;-epb&p@+VjLz5U^Wa@q;Hjuzs zXUzzPM#mt>A^(m)r$l}LRQb8GWTE^7zTSR`AlCzbZ}&-Dr#p zz_$0Yh<}DL90&fSDxeLYW7#iT4OP}v$k#3LP~M~pmPjb_`QCNecy$W|=h-P0j_aKB z39{FhR9j4H*r_NkTH>nwVsKP6q({{4gIY|dfpzqnX#y7IREjuvmgB5OGsO=$4d3aW zYt3dcOy1ebN;C_LuZL-XVOapNxai8?2c(%!AiL#x> zrQo{v#4B|GQ+I0Wc+U)lC3OUl~A<-F*ZLF(gB-6o-iwy;*&PII!Jh zzM^bhLS%GynL-HZIKLDHQSyf05cKDiXdkdX93G*(jARsPPWjUB;&SOo%L1x3ID#+i zF6m{%a6y;JE~#)U|OSw_|JSWt&Xuz4$9{~Wu@>R-%Sdy`UV`FnKP03iBg9Tu``fg zL1-7lyf#(ww%kkAZ*`V8{BvuctPDRb0z>E;)gNy=cp!StCHv&#t#QK%@If_7Z9$7XJ2vL_HUO}gLeC4v@z-P^l@hV~SoId@GHSdU0 zZVxjBx5wQ-a~P8O&ePpp1!|_*Mm&jB6J}{WMBu4h_Fl0a)lC!+r|0>(2UU|U07X+H z%%*N_isdC%93Nz@pi_=~AQPrqYfQb^0TaT^nh>LqS3!}l4}uy3D_&`9%r0vuTMpXh z&2%N`D6KzIU!g5ZGA(mZv+#X!qum<*TsY5vv5-+g0O#?!=Z@#0z3A4PkF}EY?`lXS zyGkaO+va}}a#n2L&AM1#h+SBR$QPdqJIgX1rI|2pyzp_Za)}R5qTKfp1U*Js!7Z57 zez`$T-@XCVcbd9KBLzd4LqGmLo_v|8sksK;lJuy`U3f{EyVt+~-?OIpr8Td#!Xcy; z&0NcV_xu2parcRi+nh4mW*Tw#0`QJv7=2V6kz^Sk0Vw{$uh_2os+f_)fHv`UUA9J% zSfwv!xBR7;j%~xR^agTn?AE;xzYsTr%gn`#|B$FreaLL#J^OT0|`fTz0uA8B(_5fW!^bw+D;+865wKFR7b6`;7BE z2{#mnDcS^PUa9l`ie#2B`S^9KW$`?uv&{!*WDn(G?JCkU;z|NILIsH#-(YrdTmMguUI≶WhC?h zRs8rk>($WW&|@Xj1@tot;3RRPoSFeNm9V=%*CfM%N?*o9r1{U+wfL5v5Ny3z9uTY_ z8`};tbUhZP7M)R#v zg_?44Eh|60)IVxg)Sod(2U4_f!-O&{K3Ly>0oAZ&r7cN4D_HPC=C`DEZ0Yp0Juk+r zgu5dnXLln(Qo1&AlGlsJ=IJzuH}w(;=zm*lsqQ#Zm%uQ!i0EVBn|MuM4BX>BjwEgQ zheB^Kc$6NYK*zW=_#wK4lS7e_k5Y46zl>s5!-SBZLfu6#@i!_Ee?dUGb#D~S$87_V zS78qd4nK|Okv!tnuF}zZns})~5C+bUD3^X|G0nD!%Ky}r+l3EARS#vT*i2Q#f*JhZ z5u&4azV%?oi4CP+!(SZDymm9&nS=Al1e;Juhfm%2yP(;poQMiYiTj#HP&12{ZE>MF zqT;rxQ8C&Ba1+&UuPOqe+q}C7p07eGLe5ws>(B^x@V;r`(JN{qjmXZ;2cfSvkDd9- zqkiNlTM7N7f{7~D7`N<9L+=v%D^zq^#=A-*zWsjfL^kc{Lrmj@7sPIoz#ePiVibs8Nhoz%|{QwJ#8Vl<`sa%*jF19%7-<2lik1#|gHHi_Iba9v2%+2unm1pd9c_7~rn04Ybivwow+-Q&9AFRt+$I Y73AbDVeBWR$yGw8g5Zv7%xI=IlnBh+H zJnuQ@t6TT0d#dPKyZ^nqd#%+|Gn?7Jxe7z<3`4|FmP0_qefI3xi)ZRu3N;uX;V@uU zot~)3Y+&-)vjdO=oFKq_^WDTuc|?uZ)lBv6wVYO%e2FFVOMaOIb%^!ehTjw<=m<@O zg7)V#cf{85wYTi9nkst3aKR7o@EanJz|-E7?^BkreaE%mx(#{dnO(H|jp6ah6<1@D zyXJhOVYK_0W>II`;(?$*rG3@h`l%gvKYyb;fBVzpaMqesk0Fqhl~`sm75B1HC*Sm6E`Dx!L?fgI z-QBJ^)bp$n(zM+s&8OXE3S4@~&D1#p?{$3n)($W~=0&RrWa?u*?e|!CwuyD-LiHQz z!1qmyYn7e7m#rsTV(A5weFH0>xH2TgInq**iY4=ffs@F>?Jfo|A9)1V`FL5HtGrmC z8QGb@<2e>+^bTJTKJK-vwhYl3txX^u)&4wTqQOY<96<%nocSEFqoFVPyFE_R`5WK`%$=E9fMtJggC z!ISPl*ovcz?D=_|lW70u{KHXe;2oHyW9@)hl6-8Rl_5-i0Ux6LVg)^M`T%O5=OLgY zac)t#iR@ze!hC>Gu;G2g4?TNs%(OldW;ZU-$5Kxew4yS?O6qTQ7{)FWVG~aF!l$gc zruC_^;`DMo2S&zYYxH!C`qBcHtr^q&i+v@Tw1V9BNzgc0z2V~Qh)9Co zn4gI zpuS#Z>_jU*L+{~7O_!F|dP06adOd%4-DBq6?iq6XInwBQwm0c&W&-Rwy_gm(Y{xol zCCO}$T2{`UT4!T_Is8`hNZx+yYh9jQ(PJC*sHqToXw=@(?iay2zg{WapX6Szx+q}x zt}hbKPT)7>9IWeejRioVL46O~k5^)V0LVq4KPjRy$MkBxQq~4YdS7e7YVg$5VYNQ$ zqctt>UqX&fQsMMmX=)a|A-@&*sx7yl`{WFK7?!wfi#)e#+&ig}h1Z-vAEgK^Ph5h^ z$FuiKhkqqrC1;w3_dGg4#v|*8!}7|6n4DyaP7oxCma{sUd=oM1X%9-5Q!{}1v(48) zYpcAbdT%gVQrpkaN_rDbef8}&veem+yy>j-NdQ5A-Ct+@DSIiRb^9}mX%YMh*pdc+ zmNZO6e&{?U%lAc+(9}obuIleagl6FD)jt+5pp}e%!Z;}nPtBN=dH9(WcHvgn0S=s( zfoY&WT5Gxh50Hzo_LvR*L00hAi&KKTeQqUAsEF8m5bMdqW5o~OONJyd&Yeku>+{%pg5wk9<<4}g~Lo_pzq7=B0cJyi`v=gkXt zt59C^T9QTZ!1!h-sjec|a$ zI>UU ztLo;EmNW=ldtS>$Pff3R4g0;8&DM8iTR|Z2j7C~iAi&_Yf6YX8_w#7yG;#P-;?-?7S)1!_@mu)KJ4|G zu(F1adAq4b*ut~5#@0j5trxxz*Hh7%U=Q_o%z@?)qCddWFtTRMW5iuFh&+o+hjqcr=3wydI zcdpQG;LT~6zELp}&YdDKgYq*Xr`}%Aa5*84 zI;eTqGvFvz5V@l5AII>`__(xYI|}SOHH3fYv}rTVF*)5tIYDN{_4bgvSMc#il|(Uz zD&fmGjLU!)rJEbAz0WbENz1Ll!Q)5Fq@|O{?+cKPFY;w>*;m>C+;?pD4V25~o&6g= z2)0!P(LX(xK6my;_ujb3f8R2YK)pv=@(T?cOliX z<2c{9uuSX3v6it)z@*x@aoKON<6)Lf-9)jR3P5w!wOsKHG|-E%%XoPSYj9Sw$k- z@pX%Dwd>8QKo82p7~pR`>wd$YhH)ofAfCFP8C;nj$|3}~CYX=1ep>9WwCS8S)=aT` zAZ;7so_PD|dZQxhLJx$j=UR8ixdr=sN*`6 zn7;T5CGGL<zlCNF@wseV6Ezjm|qT_?g%g4`al?9+T=I!eqm@NkZfH1khcdyA<&35AqXq&^yPIv3 zM{Io&G*WshvlHjI%)Ja zM5{OZKOXGUVm1|FxFq=~LmxPDcDzq znPdqoQeMw8JKuGV!m8#dhy$7=>xG|k(jf4K%2tLDta z*9O)x(|ESX(-hJut88p4e?wJjT+h%=zwJegkOHA-GjWxNBUZyvb%vXo7j&u>r!w5g z#AMB7O0u3({iBCvn`3)Ji95mRo#0ZAU&k%qacm|=<8pS6RR|>C%xN7y7 zH)rj|_{b+eqKA`%@0}n!EQJ8(?nWA)hh6qX*4_DD!mr;dkwMgCL*HI+d{WE#6{3Sz zY^m4rU_)A5^Xphh6UD-9Mpk*>uN{A;o@E{AAeu>b;PbCQ)__y4dciq5?CoEL!bzJ> z_FE-npwM731b?-Ii*g)(W)mPN=`?^M0u@s0<921^MQhL~O{@|kxcn^@FvrzrE zY`i?%Vo54juTB>2usEOyz;pEpwcPi&>$5=7b^^jg;K!SLHXV;gs5U@Y zg|7nK4RV=v7QR26w={D64764r95w=xRIvS4ey!AaH)=6l1M39(!gM$) zL(qVkg2F1S*bSR0a#QZ$u=p)#kp(t}@l?A|Kv(V2Xw2dFiT#mfYl}fdgy_GeF9XFN;UDNN0QyevD==bJm<{#5M zbK(LD(1XmrP6vS(g<=CE+Gsvc)JIjQ20%2&Ro~@k0KT57RdT213lhmXGvkt1pwjxT-TTsT-mu%6_fa_=UAHARJ!-zgHn^@h=AA=3`m89nJ z<{5{7R~4HN9P_?bhuthB<-;W}7uNh3;fh2HiG52rV(7RPkTKz`Uz#l$$)@1@89B25Q~u1X*T^_SA5uFMLYVB-a9D*XZJTP zMnL?FAjg4Kj(tSl)s)unw%zEKMY?c$xr8w+3YDYf?RTDaM{@$q;i zj40h~yVXw7XcU|*j`)2xI&`q$-?w>{lzFrpzGx*WYmpGUU3LnWa%rNu^i+z&K{I>5 zc(5a?fD9&x!l-K@yCQfgvg&forhNO*@d(sU9ye?S2lM6KgBIUqXisI$H=%{Qa~3SZ zRS}~<-gt1`HC(g4N!NM0$W~G_K3az!z10_)Z6>!h5GS`dY?x8#^ow1_vUM6jL9uIz zvjCq+9IYEo`6DP zEj^+W@)<%C`(Lzj<7J~g*YnFB!cb4wy28dKaQh9>b?_{eIi!v+Fl~ybl(=n%TZlg6 zu^yZkgl)>X##oOk#>@t7de%DO!8oMo?KTxU8x%2DQAt<_sOZI*PL3YcLZ4TZmqqMV z{&v^i{DMS@G1Ad9w4q54GqQiM<6aaG?vAy_&f^yT!$Y?g_yh4od zz-}Mi=L0kPOeAM#dPg^$ZI*JUy!UV)pNm4*=(szsE~xm!f<~WnBba>2Y+4btsOfV2 z5|l)2*fiL0x;`SzaukH-n7+SxqqyYld#PZHWH`f9$UOFZxxh5Dx7&cHrCY)kRskvzi^v zI(5&pR&R8WUW=?!TisM~<`#=QJQUl(==jrZHg`v}Qr9F`w6hScIGl3CcU5otlBeT( zX|BC0SS;f|6{^fFrhp1i_j6f$E2qFWWN$H4e8ZP&| z;nuuM=+ zQK9ZOO}kvFY=ztSe!}m~=|ZL+09ENuW*Cxn#(aUQi8yOk=(&|Bw5n_4{E@Zv3UqB^ zk3rCP0I`EMrwbHWcNB{=@FrFO-}jY-#RZRVB!+iT*nWBJnK|dZYIpw-OnH@31*h?z z*)my$I1rE{qw`w|URzt-@6o;(zH^5R-!)z(DP0Tm3wo7_S79SxiElCj#d)2EeGC3M zI40rFUQZvMP=0Ru_=gp37qxt(^o}_6==U%wl7h@WAhv8>z5jenz~jeDdUV@LL)R{4 zyZZQ6=c>PX%jBj=L9phFxY%dW!TXk}lIkmuOhI?4&Tw|GD=Mgu4d(8_(;j(s;kI;EPsz0Ealw&YEMH+2Fw0?fgAeO%M~N!9<1HfrE+ip@1+dB0`2ckAbv(7WU)>OZ9}H`Or%mXg92d{4 zyyaAhP2GzF)Iy!rUo~_aWsczCa$ciXsz<8p%&#SUrOFaH!CiUT zm5JBhBMupPng+;!84~w>#NmHTd`B0obDNf2zf?lIX{y9nUnq)!G3lW1t4#Ko&lw5% zl4fHYTFRMwgj<-OY#B~O1dp_LW2)A~lwC$viZP+#K8pnOH%LusmG)TG78y$YrrP0JUeCsWv>#|FRwpks!dM52ny7wxZYIvUpRSgTeQE-{C&k5%=g$&X`7~ zigw=O)k!M!6V~W#Sio=|302Nu)Qm;5z<8qcqIzx0>aXk(iqPiztm4lCnbW**j5}jU z(b!?MIg=-Vwq)R?MBxfOVF|4Z*VtzrViS5R$gip;tEK!_=7?8w!B~EtaZo3Sz-G+R zw}Y^`GIfh5%4;`YYuQU8%VkP@hX$6(0#W|(6`?44thg#-pD!v{Gx#(8*Kh-K&V8t&*37o!~syA3P7L&( zh#Q_i_islNgeA*~NOOLh3i?6F4Zk)4x+mm@(}lTkDhAxWSr5N3+;|Sv^bmzIwf%TP zWgP&5lE8*BPeUCPPk06~f0bVcn5|Itji7V7LBJFiQ{1B_EEuV4vAFxJdQFZerZ(y) zBSTQEGzs}2@C)Xa@`U}A`-p7t3Q!lO9{TT)??I5eE(Gt|4UicfttpHhQ@=L#^Vi*2NN{~P0aIGGGAguh(f86y78so z#BPgBz%MDqAHcm3&wBo+wy+}SX1=gQIFA+pG!DnAMURl~w z$kC>dWur|=!)BeZ4qZJ%%vHgC_Qe8M1U3UJL=p~>3g#(|DFVVICr7AXrw6?!=SG-< z=7GjZdEg&nL2YC_2pLL=pj{Gf_=7gk7%3lI5~z%X2Z3+=EzFlwLWX+k#lV#awzQ-u zw)Fq7AyT5b$feI;2`VH0)1JXWHZ&0!;4P`cu0$GOSy2fpGh<0m4GoFsfhtJ25Ew1u z{%nI#k}U(GAmt$rfQ9}~ivMOD3+oD?QVEKnfx<}05l~Z2K;rGfDUYR)WDON?EN+1vhk+FgDwz<`&`C;+L>Hst}uixpn!tu_<&5 zHevScP%^gc!SoS-fR<>$pZ4+{Lh8s5*vKtREkD9+xMYS2_2~4%smI4d)kD#={CQyA z0w0Y!1_Vnx$Ild_5F_;b=H}2Z9PZ!V$LxNmMVeZ{=@UsM?tU%p%>5eX^!!pvHIdCY zWLJ$Pj#Ml@S1;}ObuQx0V*0|pOTAuZbRoHpVj<20FmMzuS3gdWB%jyOB!2DVIEZ){ zE@!4>sK@3C-i-5{hK;0V^6(qOItNn({SgHGD&QsKzVHkx!4sOxJl2sHX{;l~sjMT? zu(qGVIuZhFBi}mSvEzZeM+ElK*g@HJZ&ToWjoRpQ1SvSv?sT>!P)=^E%WHBGANT=C zuZ)0Ugjx9HAt>WCmD^pb8X>bGNnn8z)9q(q`(~HHc+RPcZkiKESm=d&U3#O z@+5K^#7`jhSAV-Ut=IYqyCq&NDjDZ|%iKj^&)=!ZYx>i6s-h1@@V2RXa^0zsMY9*#cx@maix!84O6a(~r6%wPP#l=LfM zw2n8C^}lQU@AvaNBQ_@|#>BmaZ%2e9S${I+DAX;AHp~Vhm9duPuo7nn&rb9p?W=HJ z6~lo`iNK|B;1(iq3mmwR2wVsU{!Rq`PA1E%N%-mrY2T0Y${C@aHkE}qn{(1-_E|+} zp~9bj$IOTKz%?lS1Tz>-3Ya51Jt3v|qKieCMG(?~3$+wAkrYuh=@U~lk(7d2Sw#~U zSYKUK(S+lJq6w~;eEfcJI=#Bdv_Xj~hiCW)39geOBrBDefkNgQGeOm(P$WV)l^7L- z|D#o_pyf(gs9*`g|J$f?-+3YCD#rq(lF<_|``1v!DtMpZd8up7axmA6;F`>c;EG58 zx3!rG*vHoSEB%qhqeJK;$iBcr37&jY{~sf5GA-BkXKji+q+E*sVL#>Ji^I11EB%qZ z#Kn!SUI5}bRQwTSITHUGQL403gzkOpkbnrH5ZHGAZ6kHC1V4oTmHx>4*w{gnZ?J;G zj^BBG#sAkl54BKxMO{K+XLhmdi@?>IL&(>5rT%C5|fz%YhN7?fH`v<3EPF zw&alWPfi2HU+6>rhg~Rs{wK#j(mxGbdHP{Qp1oznKI7N9tn- zUtu{tC*;I}ZTH`nxPbze<6r5IJo$#|Z;p72umUop{%c+>x;%ULzm6UFPw*iFh1h6$ zQepHziy3mUyz)anM5I!o=D>u7;-HB)v;S!Ov41p*T;Yo)V+mN*0{3IB{;ZHIRVo2H zI#i~>@@NYrlsX|*@xS%{&oTUelwUj=fSo}tY*LIhEEn;=OTZ4~pHu(OE8tK6|L^IS zqyKMCzqSYXKTp4!?SEat{}d2*`rSkS%hM;z0siyCr=&`&f8q7@NDDRVY^kbKUT!^I zd|AuXBrPp&-xGwKA4G{MjXH)9SLP{Fs=WhO(iDN5&xl19V=!OqAOBmPg4>BcCk4Mb zRt#mhejtp*37wtti%Bz{NTM#>e@tsaLQM65tn@Q&6ch?3N|XUP*yTZVC*re#NRm{Q zzq8qCd#J9*Gh!4jN&Med!4F(8{>Ru<)m~uZYu~@1U?PVz*?9x!3A^NW^0zH>gp0>JBm8IEf2%hH3l>%^ zkc>7lC`>`RvL-0tV_?74-UJpuwJ+i(VUBW_ zTD4B=MhK-(8csW;YhBrT#Csxj?89qYEujiT=a1?J+MHo9~C zpiIwBZgC2r!rVb5vL+oHyn}Q=0XJW~KD5+QCTY3o7J~_-JTB_c1A2(n7B?G&eeMF0GK<4G|Pf^ z&i>a=%_7D@mfzpdAr3x2`Z`tWNo+G)p3-vOtph^4?DEQKvRXsZ<+LuWOrJP_4a(!q zeS^h~I2pv~sAnIDBKBc6fjmGu@LEiT=zGq`QP^H|7Irx-S_HTsQE^d>KBA@;zrie) z{B|K?6o+1fk|7&FNdWM5DPl^{A>tsuVa|9CpGf(EZb8rEn$7d8I=|*%UxI}-LqGNT z2S?1a;p?Qs^JIJ1SelN8e+L{4xH#Jvk!grmqox4`4abKQe6q)cz2>nua6=BfIovC zF`Ar9kF=mYvqiP8{WeUcfcpEo#j%rZ*p=pi0?brAphwcBG05gph7 z#q$%?nlmd&aqD9ceUhcC8I*dy)o|2}gEm8vp7Q3)XtuzH%V!-3D@i;BC^TaS4ej{Z z!<3i&_QO;~K^Xwya^<$1TJwu^S%hPd)(o+AVy9A~*DsuXYI%EZAu^i1K%?oX?D7)H z1HE7&)^7P>TV~n(a_m)sEy5DItRtz-%^pHTn2}eU_S#m(2kyMhNq??9)fJLrpdwZ! zgewh!@;iJ3(Kipl3BJo$#wlx4T0%RjV@1z7^Yl37XjlQlfbBIL+0Rp_z|N1a`r~*K z?|-1-X1u!~ps$!NfIP(8;nuW6xJp#DjF3IDjFH6Kojv1tWgG7elc-Nl8VO^%KeMc) zzxs8p`aoj&WV5CcS8opsTD_urRIc>dWvG!?DU2ujabls|1PUdi@{af=nBnzW;UK;T z_S9=JOBG0~6F!>+;+gK~9AEXg%;j_*8b*98V=s+w++mR;$plA!e8pqt*j7h!E4*3X z>j6IZ2o9UBvIjbv+FCu`eIJ?@{j?43)>H<;qob`50`L1nTJKjqGx|MR8#r1f9IQGL zBR=nSN0I7k1W!aU_{H`85ME00Z`O5cYk76#tpRHmS{6p9JgE1L3nKlF$$g5~tYb+k z=hmMhzu}`}^<(wFe4gqajIWZFt{|nH>%m4`xaT>Q#T{`Ddm@#U&YH-?Kcpap_*sDX z@$k9G3!NW+;anKtz`A;V+oh}d#VH+&%ZKoNgbap@0KK=G;W*(I(~%&F`gCtfe1njk zdp&@3B&-P1``K-SH-7q3rku*ut)u(CWWxfS<7x~y7uzqY1nxZO>)Wyb8@bLc9j9-y z;=E?v|EVp;GfCOt!IO3+b?|A~*?D(cd-qtoyg}0|ZLz2c6ONj?V+K<4Ku#d20K03cvuB7j*wJ3#;T_RczYEVP zJgU+T)t{$>bh9*XlF5cVD#%TJn&qb>i!S5xa?0SMt~1i$dLVfY|{yvf9O=B2RRO^o;U``Wo|S7@b0yY=mIXq83#B9LHr zMV1ldDpi%Ix@6kfSsY@}Zn@Cy7le?$tbdBH-t?oHefzUkQ{H?{@GtQr-U=RQ6tV$b zOYTFZsyJOo?&WR@!yW+n8Z%#i+q$^-VDmnsWyTSGkBcBW``RrUB zM!}Hq%~GbWx-7z1@KAQK?jOEp6CS7Rfuc#)So+Xb`id6xHq$d!*)o(p>FBG_Q zv7Sribw7?i=VSTU>SvbCRAZG%II=Dz%s!!;I0aRAicHX^7V0$rVXOB~u)}xvf?|GK zMNOT*A2T;Pd%ThA_C5W~Jp9ZC3Rp5A6{Rw(vdiDU{sS19=_iIv}q&9y~;dG2Kx=9Xq zCfkd;H7B=@AFkB^;L`>)wmxk2M-x~s&Ytef9~lZ6uAdsL>I)qktWQ5d_I4b{X0iZb zDCC{c-R0~#WWJr-yK}-28Zh?cWU{U7;=7-a;W?<7=ppA&|3CcZq3vtpuvmpkGWKea-e+aPBJ&Gk=6q zv6pn`7`NZr!~Hh{IGy8&>+ zb*kDU@q3@#WfhBm%!TpA^7EJ?j^r@k_Y`95K+=kQqYwsJ`e&=Eyu0u z=il8}t7-k{c&ypUC`X*Yi=m+5IL3;02k1~)CiqRJ5FJe+L?x9%S*o4l)TNFTaQfys zUlCXY6ghq|4(?xnezWg-9;V(75K_G7m{e=}S+m3FC@mxmjkI5v?G%fjN0F-G8z$FI zkv?tPEdAOgEW_iHgFSeydJF}GGd?uEM7xUy?&&M=<@5<~MaW@GAb!n@58a8j5lVK) zJ7(zlm6iV>dXZCY^X^RN4g$3Jyi*tzIGcd)_0^Sy)DA*u$kZ(HDc+z@tNr|1CJ?m) zvQeb2s#*|@#S(}_4#<~qWJp=z_>n;um)lw7C>m3cpTQ6&Cj()7F9LkF$SraR-GUSW zI$FUCWujLzCDBGfzomY+>XatNMS+ap0w$(Z&6GiDRHQ56s*4Z`B9&ymf>%brmEvCJ zAbyB`8XfHt^XY6~gkqPS6y2RZ7_aOeWu`Rq*MW>02w3Aw7KHpBJ@JGODXsaDO>bjJ zIbP8%*PYFC-wq<^v;b+s`DfLs-_5-G^-?TucbBT96pxYa*(!pFyCt>9FxKaGx2hM~ zZNWBHrtjzzql`rtvAzXlcutk@>|yNg*)u|N@Az)QYd6ja+T$CmaGcCTPLvo{Yo(&5 znLJ@4e=VmuOIi+>TEFFc9at zuef%y>z8l6Eu~gUdst-_$qgsSVMtbb)armoY8NZVS~aX8(rqn+9j}dENwSlua74@K z%#3TnMO7R&dHX@Bd`#ISq-;@M!FHNaLbMc3k91WtsO$%fztdbZ7-;A%KxYy(D5>1$8Cs@LjEeid zBdt^VP|Cr*qeWu<^+vW=NYOy`cg6tz2`vyhi+OtPQP0y>m7iT^aVJemd~b}6E}Fro*G=tzn5sQzavTu zJnG}(Y?Qzjj!QHMn!$V@rJp_m4ma}Q%hX>O17L{N!VI5$L9pafk37E69rU+{`*eu# zyFzWm4eQ^_Uz1yr09JBCjwG64rB=$*%C4ez+_!0Cc?Z96NVx@hRBf|m%z1Q3&TtLv zD}k(|9pU|3$IC7YoV4(&nrf}I)CUu%?U^uh9*}#O_TkFr@RNcdMgfbH7f68qAec*S zn=IR<>z$0AGQ^JdTv|0@70iF&rJcmZcp2CHdUfiOPBBcrj2BGH|UT82HobHT4e?sDSkAF75U zC?RAaXSB7^tY_exoFr(PN_0a<1f8;`OeAa3i~~m%JVQCxV}AM?R4rrbr==kswhAm- z!rr7L45+_vvp8hE@vKZ77j_oX94-t0ky*B5%D(p$IeQ`TMcF&QUP0F00KbV1ts%jd zbNaZd5cgF@gx{1VhyDEe=Kbo;Ii=^+_mz8Ht^FLKpA7ufKx7;pO*G~y5o&Q zjQ5)P7ST_fU2A=96TLO|1_JgDx4Zd2!QLCnKv`v=hw<6LtHGtcLlYfI0-<^9Ol_w! z*%0ZP4oEX!^EO}qFiFy0W)mN8R8gHM2^}#>v8)XK#>r6BImH$|{~TPbrvac$^SppZ zv=^IDzGpSb|i8paz9drXgg(Eb}gpDWNQo8me>F*ea1Pa@++ zb1lbK2GK=z(NZC&?UH_N9%$?)C)wsFFYj~aAdMJ5pt=~`&i2*V5?`f3lU_0O(0iZJ zmB4u8yaz8Eoe;xL}@K!S$eymVeOU73bF zP4vO_&dDc6j@>*@^`?<0)4hQe)QA& zGORUzFLYv` zDe`Izadq^P*UX(!3m}(d%2zx3t^T`I+-izwOj9ymbpc)A+PWBF9#;aK_a2P1hLv(- z$ox)v_Ls(K{71R8p+7Ef3qTS8=_s)U6fFT-6hv;{%RWAwsKpR}P-ec!p~C zQ$6I3N%-q})=G$08HIM9AH287=QX2&Ms$o}Tm)cZ)MFdVgrCgNmV3^ntZ&PybbP%L zGy1&eT3F4dXC=*@dnGup2$~qVKHEH7HtO4Fe_d+)?c{RH_z_~{Y>L6nrHg^Xn7{RK z^q`R5sNHEY07Ta3{w6sv$tHVgXJ-?x)CoMyVddOGo?(|-kigB=BQ`~7sH}^XztE#x zsz;R0lW6Q>m&6;u%fqO%?6P?;O%6i>qbHU7*)z?57TYzsM=)w>L~!i}spiFZvrD>0 zcdoBbm)XV5l#Lq8%b4XgG~_R6J#7YQ?2<0v8z;nW07qp3FB+Xw=f0h|GSVqHM}03L z)~`SKqCZWc&CR*N)p#_%&UL;6zBnTeS)Zd?MvoHRqa94dpH#3e^J8!%G(Y}%RJLyE zbZ=Edb|bq$+e)I}0rqpg47Sw=1qH+4#BJbOA(8cH*k!2v?Bnno$FAri(+^jj%Y+R! z^RLO>00#BZx3|S#5v4wBs5SqxM;6xy_qv@D^#o$GK|oT8tNtc*UKpB|q2hXd4&=Z5G?*0S z>eR*Z=3-Uc@`Y+SpI8IMn-p%|N^gfc{;&nE7tWLpso-9N8S<5KYYra`PZhmRc?N#$W8v>BR~<`Jf5#NM(kKQ~Z$xse;h2!uKn zcY*d-+9&TgtEa3#3Z^A$J=H9(XukBLv3{Pi)osZg zgIq4}te*62fp22n5Ucj7TqmhBklYfvwQCu`@~i@Mhd%KKr>RkWx9*tH3k^dR3T%aJ z@6EQ<-#;$&33u9jAH&05xcZ$BajLYvpa$Y>MyptH`gwIy57Jg5*DE)dv!c%%G4ylS z#{z@U<%o)3Gmhb#=%kZJZoYq>pyf5W0PYSsgk!9e=`*iwZq6HjNr5_*ck7lsGp>-v zzH0hXxvRf9YKFH(VIgsV&mC2VWQa~3H9mHfTK&q+TjI)-U!unK6wj0l>-xZ`r3Oe5zf&aW&L1LtWj$6{eIqgqV|&=#R@7hzb-X2#}`hG zASMES3SZEgf9*V*s*JZT356JS&q_Vq~}B@9GpZ zUSte)GU9m$7!i#7abxKvYR5!O^WjUQyIj+jDHUp2#WzC;5>>QLw&pB!LnIkDosWX> zKuvW(igoKpexSi2#^vw}Mf9hGUEf`e%{T7vW1YX~y(bQ$JXY;KT`OL_EgLu73Lb5g z%^2A>fB-kGrmdykDV7H&+!N|bW(N$!E#v|aaat?u(Ovr+?yJEG6yGk13~_o=(Y6A# zU}fE#D7RC4I})i+Xqwf(()bC&CmF*IqM$pa!lY4!mWx2;%PhCsaDpZdax^FZKh!N( za)JBB^z+$fHaX;P4|u6lu_byX89mBdj-mv8fNQI~S~Rp@&)7KEnh&b3#fTe-qS;pr zQaTNg4c_|ldK{@!M`U^*-v4)?9#kjNXmkV^n$Xplr#R9A-xO$e@iSF!an z65`qgmr4X-UuyGVer;yyqDa-5-QeU>VB@>b z!}8~c=ub(K3Tk?VwI|_vztDAkx?2<_k4P|yf9L5yrle@uF%v2qr(V1JUW@(n?c4!b z0yJnc_3AK?Bz&tqJ*{s*?0MvtZDiUvsw9J|vS0L_O3t8oA>2gk zP-COL!pWj0rX^KB!eiRWer6`=A>hn=g__#%e*DWW-?mnHtHn^`sfm_eD*_(%uxBp? zKUZoxu4}vF$xM^9Y}Vk&RquJ&4;ht5TK&Y_#~1tg#o-(`+}w31^!CU6%PM=Sw|x%F zY03lX4??oK5DwY7BQVGeTm2XvGI;U(abN%XT;>%TUgEV*=?w1oiq3N`v2Mw@(LO+xoxeiV z`q)DIvu=vl{^Q&@V+w*k9>5rM(s=B}mNwTE-+dm?SEAm)m=_X2ANl!E(iU}ozl2oH z`4VgOS$uis(kf}l8MGw7 zsc}vyCZ9JBYZ;D9W`WiY6wG?y1oc@CxEvm7AVq#ALz#0Z=Ynt7BEEC2m3cL!f~Bx9i-+lEHQlMr%>@bUy;a zXI#<&Eok*ub}bmi=aBlmgu7=hmuX`;;@+2orlqSrHh*5#>j?IoO+1AbHSA34C!_3tm{@;Ol! z4M4{4BW?hiGOzHWsFSGW*4OBezR%BtW^U2 zkX9V_A*m2b$g7rl#etJqyycZ!NXxuG`fj%OkS`0`RG;sT4vJc)(fA$l=2EtK1&-Lh zB3H9DABKZDGrlCscg5oJ!9+I#8M|!78-JKEm9ha~SeE&4qRn#ZDZv;zewx^AStn1< zP~2pMYzc|z^xR&H;IM^5W;;LsWCrb*fj(XN@Bo+UL*r4yidi2Sjns-l8BUqP&Xrtd z&q&KAWY=b$E6vH^hIFUn`=lfKSN<;k@jVY4h&N_jACo7l4&Ol4WQR$?kfu2*%??!?{1 z47z(m2~EIy(g^|H{OnBFxD7gQG$a6+H_hu(UZcZ{{TDJxa0jC(@2h^RKA~M0E)r~a zcEc=8!4!ZP&1W&Ty@OKUR~@5mDaFCSN@{4apETPO=q79z2&&sB!h-|c$K_6T&#te80#?TmW&(0B~u%=Jf~!wbUt>>!56BShybdX{r|RD(E;L^>S)wn*)RTS&)~s5 zRY_{(Ma45? zz=w?V?0D9|=Na}dD$Ip}B%5tYV$YwF9iQJA*2UT{3^eLdo4#j%Meo}z4TBrIoos83 zLsa7}r^jX?u#dMY@U*B2&QaGZ7pN6A_SSGMs|hC)j{D zOa$hxgO~0TVTuk?Jh_6Ko2k~06evRlQlZ*Wx)}e3qmc(jHA3IPsK%9_BB}g6Fse}( z2f^|b#h79g;}7~s9079*xPQYSm-1fxlHo6Psq&Y)47jk_Y+(^(h)Nv3oV*ImkYAtq z13C`q{v_r4_3GrZmu9*cU}aGegdvV!U{vGcAH*@Eqse6Ls+R^-NH;c?4C}O9^}gTg zRgCYrNk0EvB(3B<_^9=jvnWgUj;fsZLF?Tc%Z|B-%=_+$=8nyC{L@FY51n5bJ{Td=VdR7#rM}0LW>8Lo}d+FHem8I zwvt(oOID%Ke0BZRHMiUw{4~^l5#x$;B4ZT^<$tSt^b{8$Q6V-_K zG+C5>ULSC8DHCn9HDD9tC9e!)618fMRVb0rq*!gVdAw?Rx+mdWVZ>r>t%J+2$e_Hy z_ar2yuQ8>9zQ6Sz@yPkQwP@Uj1{9F>XSQ?*5T&#Fn3WZf`wQ$NQ_SDP4Ve2qb4n!l zuN}e^IoL+d7JxB~@c$2cZyi;~vn_rGcL^SX6C}91yF0<%A-HSf5Znpw5S-vnfZ*=# z?(Q}x-z&en*1PY`n>+u^AG6je`t&|^`gGT>y?4>|som~iF`Pc{q(1Qbo=K2OKGWPs zu}pULf$!$UzcPi1cRP_kHkrK^uN-zGTu7O}6m0wmDGp?7+ws&u@?IEU@3u65>4h)R zqx$GIt$(A+daVj^?F_J9J40N{vex>X!KuQuGkOpBL-C<4sJQ%vB>sogrSgo`A0qJ&`1yzO{Kxjc z1wa3V`vh`9;OEZu|C>wCn1cI%#@+oZb_Y}+ywm?rPd*nlR+bb?EE+>GR8d)k_*&w9 z5Du#-h7d>qy7n9pm-v@#ob-=OKm^#X>k9+1sxT8Eq!EO@ibSh~10daDeu35?oIpe~ z_0IppmTLYJe*JHt*FO{}=qMV5#B+c8!e2)S{s*)94}SB{{{MpA1pd;#`iDP2>VK+z z1C#%_0f~TE*`UP#So=aEY!>gYVds8g@U1y30PLy`8?g`zPI|O5lUJq-#vh4j5#0rp z>w{E`8YggKBV>Yw@=(W^gm zzxzWH{(+fhDh`lQVp?Ev0f3FS} z52$@a`Trrs|4%}SZh+YxJ#d%;td2c}zkbpb4PQ-oeRBiNSl~wQmdaoCqh+MiEB8O? z0O9K7EN6Q)J%g`ml|`>D>^N^8&7rRz1hOz$phY7nQMc3l+-)1?_N~l|jL>t*Yp*}2 zw4(STlKQv@I*#gxVe(|3uMSOR%f%?BE6K$s{QfPdINgM}A%_ylxB9d7LlNplMSKdE ze0D8Vb6ybe`W2MNsZZ-X`YC)O>~XaS%O_&<;<(Gj>FNB(Yg=w!Flik|MP;z&>Ix`f z)a#Ob?}Z@BMD$T<#;W1;kQtN>cL{AE$nzZm#R_dP8-to@-r2dC7ow!uo^)+y7kP9f^^ z!_LNIR=!^jUfz7>DD$8;NO*fv z#az#EtG3=NuRe}tbCF|ReewZ|qtrBLjr{)0ZB$_gyqaftvY{u}8l_{7wlaMBTQlJK z<65TIyp-tqwF-{Io2tIZv4$!6;3p-x^uX)Wqt=K}4>u(1$`q&g61s<3{Zy%XHz?If zDL+;B_!4*O=|OAW30#{n3Z+=vEyBbV1og1O>V&cU&PQw71hX)NTSAoRrLj)Dy#CB# z#z9TWJ`9N6CERFFgWVRwu;YQ}+%mvnW*1=Yb=N^;#;bH z)nvLk8X;yHWSjyoFOp?Jzs;wj3tF@1@UuSYfX>X__(f^FbWHGSvWhpw%)c!1_*l?fHwLk`cmzhRS$~70;-Bnvr9=@K>Wza$}LLWQ1Zein`dmQ$R?muXAnWV zNxeAd_3Y{8?kc?$5nVea;hE+Ep>g(*0*!z^`DoN}-`{H8u%dQ`d_b2K!rr{Rxm3Tr zS?U_>W;WLSF~jD_ddcQ!=1I6cZEqvSrs1-_PRspZ%c@NnV*veINHwMuDF2AmQhi$N z&1=k=pa-Nx!^fDVq>nK-$nSwOZjbxCDcL^jl|rK>C$K(r69kzcsidw)n>Oo~+wy3n zhngSac90quUw9GA8myCBZwO-Poq2iCjB~Vh-oH6lm~c^@lG$jTlFdGRapaLawNUO_ zu34qziBit4^T4aT3EFhS)uU9-@lqVmu^yuB*3=7ih1W7mx3N)chb0CE#OAWdnfzfv zD;>TAO?V>FNCQ)iq-D5M@eOoOjPjs~a&g)4WW=D~nDx`AkHNR-H|@TUjlXP36Do3o zavZh_FvIdsax>!aB$MeB$`N-Up914q^s2ezcJ?PB!0JVghusy1!6uR^THO6pW!D@&2-WlBEKGsIyiL3ATHWVFXZiwkdYn|cfX?r*tddDQ#0xu; z%S0yyd@< zE_urf^26qiB>Hv?F2%pYC(8H%ja))DKa{*tW254-^312FFmLBu!lwUDU z-=PfDM4*t==h~L6D8R#VTVAO>auDZXDd~a(O@%y)HutJV)DxjS{r02UM>&W1s-JJ^BPvY1g_ZmTSZi2#FkJx)d=86o!^mN{9 z7ucdz)i%>~;KqDTD7EvC3At$svnkaeMV=I-DNjP|`-iVwuhZiVHLpy3oO(2B2Sg15 z5oQ5;ZSO+)=znGNb4YtmVes$w=$T2=BY==t9bOA!*{I-e;X9W0hVQL$ovTBW9O-{| zA^haY$}@ETApaEJbKK=fS+o}qsKX3Cz|Va}2Hilf|0(+bQ-$1`0`XEv97g`K=47;G z&+<~mB|XAM{Q*f77uS=1-*gh%$SKW&Ctdb2&#Cc5#;AgFL|aL-(t6gcl)16Od${2B zQq+=`^TPzgm?V)LUpdo??SAtt9YNBmZX{Oe{T5~9dR)=xd-4}|Q#4gZSn(0EQ!5lfw@%n|EBPJ6qg7``r|cD;lF&*G6`>SNabJ^47&t=VU> z;CsOek=oLMrd@;KVM7b;*A`6WHXUof3I&-yEq>RdQjDpaE?{^>A?N#}^_Or0mCuFl zS=0VGLX7xl9Lv0R)TZuQ%aIkZtHxu^qEFOab4?$PECZOmv_#Lk$`hlk1&VZBXOFzw zdwuAaH4o-}9TqF>S{Cpv>vcDrYHH%Tk9Z@W7mr?STwq97;wt9n?bHHOYNA!saBnMb z3VQ>0v1CTaS^(U!7F_kmTlb&+b}VZ;#eUS5mD`X1-QG$2%zLCec|OwMhM#8(7geJhe!P^=+*_ zK^|L22Ku&@$D-D*%u4)b^X`?w(I(?LrKF^}MhD-Wl>oraY$HEGK9|P^`n9m;UM!ct z51`9xc5v^gWi=cJ?LpWcw1iJOtgUN#R8+C%cD8~l&|>2@wz?>J3^Sf5z0lc4s$VrK zvfq8*s@7Y^o!EDNm4+Scg(NPfxM~p&`WVWaplh&cGa#Q|x** zmehte!>U837O}CExp>hz#|E{C6Bmz`ALID1w_%KD=kC5IiG%c`2PLs??hGj^C)G3; z1v7h-?@LlGJcf`t=`s9xlB0guhO+UJ3;SyW1*E$LuZ}07p8Q8ZKe@E>>WocwzomHU zcw!n2ZOM8jyT)tfnz4HYeva1XCAvHMu%VaVp0vqN*abU2LgOw?pmZ;%6p()eK?NS* zW;QXO>~5hYL7x=s`3%bA;0?*mksdjoNIcf&=-g>@=O&Tw0(h8?K}EvocI5;pK$ev_ z6~Nkm9{}}tPb+aZ*S}=y z1$&fP0=~nq9F*S(%0Shq@JK9~>`f0Z^9c+ZBcmgo48r!DYl)9dlb$%WB6l!ZeoqgmT0 z)f8EDhiwaw3-NmiVc0=_cgSZ`I;%!Fgoh~cse2&$OLN?m#A0~cOQ9THUguY?V|qTu zi$&wsh)Lz*sg`>{kAKo{9xEzUp>(eXLDgqbE@Xou+q7V{o>5$URt#_b9K8ySCSqk>B_uHGrdS~ z8QN0{v-84%g|i6p2ao*NM+-w2DJe*HvprIINvMn#x*N2)IAzC{$UVPpgJP=>pBv^uQk26(LN zv4?<@9kEnTj%59eZQs^Hkh2duI9rv+2oG+p9}bk}$nF(lbtIa35zPmQf9e8e!j)|!H^>*d(I1_tjF7A-DGUYHDSw>JC<;*{nG9u7i+tH%2w z*e=OFy7!9_{EV%f@1H}=|7L~fm!*>*O_c{MJ)K3jfn8?Zs>wH`y&b;56kon|nU=nC zxA7gk^gZkYtcZG}B9F%CeRoSoX{_Flp!8)jJOjYyo8-{PIX%wT>y6V}I-mLRxzS4f zP286WkJ)j}FvYM2Hwzx2K2=$z;dcHmihGKy%C?8KIh2pjcK0tA(W~pxL$>#o5Bz|S zd+U=4^4*(_g@bQgnQCP#_f_$#^mUH((e=gl$1-l46rw9;&oGXm{{sb%)R!Yn1H*xMqXDN|N4iP6EyKno> z+$6L;Pb|`JH$1t_woWbZBM?ncIHJ#aRYa6ZBim^Kqc_CrnB^GkTGL2FLF_zY610m&awPC7BgmDwaww6DBdnm#S+)zg~AaKb_KFI}{!Z zjNgh0tnKQ*%bH#LwE0NFlBfRIfEatg?ROG1e_An>G<%ezE-{uh-Jo6R)rctgVxfDd zm6opnoE50U-{^iTP&f-xhc~G#P=epaEGu?FZI78K03#^5!7h4=Q}OZoX07C8V!I`{OM`jlb20xCNdhPI%HrGoyA%hhs zMhgd4u1p5(?@&fE)9AwsPYE^WbESR*1}yzgo39&>x0jZV3k+YbcI<*-?#uSh2rE+8 zK4b$bBcg;KhYU`lKOP?%Zt%=vpw98H|9VH&mS;~OGfKvqJ2_;Flq5dIX+mNyW9^hl zQ9S=F{tTk#fi)%k3>D2S&8hX15YG7c1$pFPZ3#&)M`Lr_ z086%-G?w{Wq+t)9TX@WYnGnFi<3Mf5h`n%=pv^)!GkxP?026)vqW>*t(ydW&0YucF zS2CV7J!cZ~U#}>RB+yIOo~G@xKA)Y$t6Mv-HCO(O?r}St%ILx}MaZpAig=R5OKPrg z?`moSCq7B71+ll(#KS6%4x`6l(D*7_l8kkq2!+eM%w)U@_}A1pPhIA$0eQLsp3%lhR03JhzA+O&7o`>Df+L%sMdhQH&Th`|d`p`%irlOGzU%}?dFE=S(CIZz1Ec0M zm=Y%w1)dS9Imbr@(>E-&Jz3lNGZ4jhIz0?JN_l2#S z1DkyUL36RXKhdR+kTO1wcG|R*1vR@L)8&fN!DNLeOk0sXnnZ1KB(ERS3Am!1UZ-H) z$ix`O({KR9{Lm0%L%MCnNyoh__^s~b{{7>8^^ z4~^yodWyx7LXzNQ&hd+gKeCC18~z}Z1Xn^Ex#V~b4N|4B2DENSm5HzIyQIu7Y1rHF zQYeuH2*1*DG%-XgnIpLnbVI42CrV{{h&*D8aYQN^F$4C=3Jqggy8snWlpyQmBz6Vr zrHCaj%qaWh%B~3w*0rSo%qZj^Kkb~TB7=;UH%b=|fB;*EUrFvTO~+lQkUCzuR`x_a zLI|wQyDZXE8c~qC9H`mUZN<;68`{49!f*Jrwmcy*{?zN`xF|=Xv+RVz2HvUxbSz(@ zpND4r2jwSuCq_nIwgAFN&ndT#tvZuALX`d8OAC+Y%8ZQXle0+ffbad2q?`m=O7BvQ zkWcP@zN1=BSmiMQ4}3vz7VEAF+Km+0IJ}=_JE&E^SbQ+0Ia5!IiuU5G<(N*2(ji#9 zO~8N~Yqdj({T@%$)Bps)h~Lb#w@#7XLed{X z^D4o1=r%v+ZlJLR<)Eqlj;YwJgFb3t$Bgkb2nLUr)PdG*Z7Tngaiy>p63e3rr@cXt zV%j6Yq2AD2p#R3bg+?P=u=8WO!p?=#K=0{4WVF zJxJ03VFHqj{7nMI3X;spn1Uq30K;FEMw-RfPDs#I<4&^R4jR0Zzx&!@hyB`}{Z?Om z15@S5p?8MMaK*%)&52-Xl$coukKM#NS55uH%6->8@}t{iL8`O!mBUc2PNj!eg~$*X zr)$0`-1n{Hj!DT#CTuPZaGz4%Im%+iT{JT3U$%97ha{|n+S*P%8)+| zSjS8zvxj8@XO0=1msUCZrUQHa!~l5$&X!}cGq;xdF?}h&_IT?pl;BPgLh1&K;AbQQ zpH_jKg)BM+^V<*vjMSF}@E}v5_K%20!Tb~kfnlAZ=G71<%e13l(ej4{djelT#jjg( zbZ%AT_Nh8<_PU8MAcIXijWio4N=|-;yrt^%2LeS;yB=Svr?IS#PPB$uOs*ZMCGJcqK@b?yJ;>>I{Rwn;Vp6DnO|E|$JA$|lt^r)#*Lce z9O|p?*|OSIM&v8LRZD29)K^*3!PBZ+hj?2=HMpg+G=x{n00fsZN_7>F3SO%XCm!y< znJrEkO>QnR$MT!*GE6R6$MPRS6N@BYMlG3!yk@r%bnvaV{(C&sjUZ+%=>^{y%2 zuV~eP9)&c`4)}PUDN5-EcK*4n;wFG}>$QlDFNMN0k>6M9S4s}Dn(+|7tV3Kqs|A$#g1 zg71Ov;s()5ju`EiKJFeXoNr!p19E&s0*ml7Zv?jhxk}rylR#Tuw}0ci&?pydyc~MC zd{@H4vFo)IzOjEyFMI2}wMNa|A5F&r0CS)uKdr4PG3_C>_wAQAqem%M#Dn)DdNUia zu4W_Z>kU5IZ}A>q0li71ZV$&7R-c!u1zlSLLtIGS-zWeNCF$J4@2lgMFsmiet3rVP zVEt~XbR;=JTRaSD_>N*vWmT@&5j1xpz4dz6@`-+LOXBXK?KOH;zdjUeqHiKj?n05} z`)Tq+aJU2?+r zcyp#a>KvG+f7%!Po zyHOaqUB+X`p*_7uBD<#ceXHL8`?hJ__ky&llD4Tb;FZfOPNL*QSxn<-Q8FNMly>Yf zT*h1Zs!!RRVqycMxu|58+HJHeBKeqpE?S*MqH-ubW0twQ?c%)B{&mP6$(0j#yxO;9 zP3lW_&vEX%#Y#e*pj@3ZJ%lPO%I4oBYo#8QpQTmGd*5N=Pjro+tiRcw9W71`#yX$v zsoh`n08MF8oi0o(+zWf1I7`R90uWL0O&Tb@92>PrEbH^U9j^)baY^}PNna9wnPu^= zV6Wi!*(RzymXxTKvS2(Me0`@=%276WIH&tWg@f;choX|>$CM0V9oU^Z$p^;WVcIFe zTzr_FYCemHbSbK5-`;(A@+kKCElEM6!`a300AQ>37m`4KLO3QY7{YggLv+{d#1`(k z!OS3vsn##6^bph20~glSduNE_-_ZW+@bxi_F43b`UGLDvZyrM8NV>BhRQ8Xgz5GBm zEf2Bg)S#p@r{>g(qDy<0HV4(d3r-Ybb@i7b+HX4tb8Jd6Q!Z*wF(gUi93kDjSHlBx z_xs|Z@@a_>B(#M>Khcx?{)X<`9Kn*%9>cMSbAla=9FP9Z*k-V zEQ8!~n`B`4Z-Sk_m0%{DF`T!7Uj!QnH0C2AUuHD+z@wXfq0^niIZIB>tW6qsLP87 z(tSeP&I%rN_g$JGN|*5W?O$qBdj)KdcC^aAXzrW8yzt9K$;*?30RdwwOD{4Bu3RBr zpSM*T~6rQ}R4i6}bE ztF9rG1);Dv+?{q#t&z8edAXs%iZGexNK=BR9)HNBcY@d(-DqPeIrfAG0?yy*vl4sl z)}O3+nQ*wu<2wipB-D=-i_8Y7C*KV?qQu61qX%Y7j1ISH?d|*m{EQNX+3_Hg`l2kE z5rP|4RiG`}Ed{u^3l?#=A4`6K9{J-@x>ujr`QRs&Uhap(vM3u^y;zDZ$;gbQ`f>e@ zgyw@&ywn?5;MhCxMgj2I^+UJT6s#=q0`NMe9@mL^0c>efNFAC;bf=#zn&UlaDT3HC zpI`tThFC(UPLZ&2C}oYVJQ;Kan%waKn7Bp8>alp#dB;#Rco9vqUItq+Kb8o)0#2sl zJ4yV#+Yg_axIAIwk$?Khi7QQUvW@Ra_;B^1S^UQ9cMSh-O!7nZgK^R~%mfnys33ru zX`paIq`rTB-vIqKL5v>P`+8sW06`>J(M%N}9%xlu{qhBtsLo+ttUOtmV^>`viy^wd z)~Mn;XMA=;Yq7{K;ZqpT*Ei!-cD%TxtGL26 z7E2`*_<(C>g$i$9M#La()yILn&(7hTGA2JgkCo(F9TUU%7-A$_d-MC-A4S~5u7BUX_qC{ z6`rX*31gH-{zLR$f|e4;kBIyz)DQi;qVu$H+vn-9h)^1;i-Q}S1yaak-vn&5IC+W| z${_?@oh0mXYd~s-OW7k zT`rT*jcIIMrQ_m$|HAl1P&nl|Az0a6E;27fc3eSXMtR_Kc{D*ArMF){!{IxsPZ@hG z)+X*dO4Q+dB5gFFg9!45?6J7*ijV*X0Gu3_W*BGUK2Om^f2Isx=9Kx)iK+f4tg+8C z)oyYkAeAj>7P@y6TLivMt$eyn=b*&PR2FW!-MC2qkqBCQ-9C9QYieJET5nKpt~{P& zXfS1((d{_-g0v>s1#R_cEYL3X}#&+M$qR`$26-+!0M@nT%Gl(dSHMSsTfX zY^FFaA!DL6Y~q@%wae4~&Gj4<3ANlmb`cLo`1r&tIi1=mo$ zM=XQp@O>sap#<{;lb6Pa;-B#3vg`3d;S7M#b*$3;3`nnO|C3%b|BYVZHt=isXwuo> z|L7Lf(t__ovL@}Qcnj>WtKdAPo?+#W^2F(-^oW=uxJuzA_c&#>G>Cnt2SKev53-2A z%yA!BbHwc#P7x^@juhL~wwx->K7<W{ zY?ytXE?}R@>?Q|6YD)QDd~BVB!A?rtO%4)G2?Yg$bk~M8$_!g>6^m7Aj4EE%9~-zV z#~tE`Cc|KI7WvZii{lEpUjMK=R#v57I~tV&eZ_NHe3$djcWylb-+$sloCiH5&=}Ou zVmlo*C1yPW;l#Z)l;k;HJpzdh%EdFjkylDUd>Z2wari?VarhtMt29``}-QLHY`3H|#l+2;GQeTtz?p1mp98Xav^dsIDCsNX&BQDVx z?dIj5gDML{Oi}eZT2jXU(eYR@NXL@zA*Z9yYxz8`bFZODx_?0Yh;hoCs!hZMmnf&n zM85$UWdPI-9(?b53i`KK-Xg<^{&cD9GtNk{TjS01bTMjM_J9jeqbbpXto@T!Uvjz0 zACD{6$g(2qu&6|*JbIVTkqH+b(e0S~W%e!|dI(=hk^Ubl&a`tIMF*+4YnxO42M-0u z3r6NuV0?504_HI|a0CzV?Vmn%Y8vC=)=zOzBl$<-|JtcK9L{zTbSn3jYEd`j+bMjI z#3yEo83{j6M+2GkdmQ-clG?;>N2x@RAMjhQ2Wg|m9-3r+KW1ITtWJ#{jY(jvxSzpr zWf7fg3=WJkYcG&84P>hk1CCtgBx48q-*}1w$S%{7llmRG)Tzb}d_mq4q7WqbKIq7$ zPc`Nq7ti5G0%U$VPlx`v?-&6x7pgG}U!I20A;-*fcR3^^nNpmw;v5+tsqW(Igx-m6 za#2);|Aja8X9RiE+^cjkQb#I~x6g~^P2m7J)WM|@eI5;-B9);{&i4b07baFIyGS8G z{(CxE*Z6+N&1^e2Ii#J%kiHMOU$Dk3s-DSf+~i=F8lA1=IeGRzXYKKrT&5SPWL_oo zI%Zz}!_4+TEv5eO9@IoC?7x47HfcqI=5pg3L?cx?U<{0Pq3k2HD}z3ndeDAi9Sc|R zNW%6j!>jCNTIPl;xJn0kS(bn6X1T_`A?=ilV~u^o{lHTcWLz!|ifmjDN3ng5nGWu9 z@y@;+pjZdz3He__q6F(-A<@{*KGeAWkNP6Zn(IQhYcb8Ni2Ngw;e<5mL54Hzm}weu z#wmZmLjjrX6|Wt{2w32b9dtsZ$wm}he}cTl%Y`J^OJ)xkblmOFU!`zPUor!A#MFP| zBHah~I9XX3$_;snf|(vZBNa_5fPan}9QaB52aW;i>E(*J5WnNxoZXVeil zQd=dH83t{D7Q$Gb9C^&5_OH>(zlnQ$(<|GuP@vY>O8Mg##r~$NWnvSbF*t*d$$MU7 z8=Pxb?xS}ynOD4tdtUNepxtKWua%h$gMTK@b*Fb*w&gqW};v0igWDD67!HJJY@uL8) zy#Mc8^nuTBk7ZsU%LwF=l-%VYwwpw?LFb*dH$DEVHtZrDcW}FiC0$D10+08re=w7q z-2WL$l>Pgm#6LSfKnfbR_=z|Sy<3R?*6oN}-nxR=8{gw7RR?lhv1Ryr^+^{02sZA@ ze%+3nZsasfpoY~1*+{2DNWy@l(0uO>CqKvup?GCpLB#Jp3xIaVLA#)5n*0j=AoY8K zxe*qz>=_m`>MHqYsQ-JpycaZxA6Uv2D_PSBU|_2B=Mbxy6GCHd!-`AY6Q$%G3K#ei zrDpWuG$U{lbh%r@+@K$XPdSPmb7sK`co5XPgk@K8k|(=Ym|mu|Yn^^g6~{2M_^1 z66>5upuso}$n;e*r{1~SyieYH{`KEpl_4EG|68`uhP~W~xN3CacAElqmC|fyMi>MBFDROo@Z<=Vb z@aFPL^&UF)(X4nK+h}=vUIKf)`niU~QlXVMyPl2k_Tt20j--`$TsDW39)NoPAexsl zWX@N~9$usbHg_^~+&YJElD8^*MFqrP%6_C;PqZWsLbRsKObUN+Y9DCcDk@g=;MW;z zAF0tKr#Uy^)fp)~I;N!7`$#*lzVtFN=d=3YV>N!NR|g#_R}2Q}FmKDcbndx$yWVgM ztyi;at`KEyY8GiAJ%rRvNY$~`l-}+nMz<=wZTCl?4f~?p(A>|TApv5$@-Q%$ zV=#LsokiWZ#B$*`Sw~;%R`Ce-B_9r|Ch+R`8>;2bZqN1S+rOgl;}5R+zNsl6cs$ms zzofMB$zfgHm?Cy74V_|-r3sg-4|hh7=r$~YE4Ee4K%UtmFRpC-n6U18Muf$T#Esy? zms*%zhTb^ypX`Kv*RN&Z+6cJ1ja%sW)^4SqKE1pgat59Z13slfv0ZI zG;Z#2^n0{H1-Y{}{QOX;^uRX=o92_-N1OC%GvBjx+)} zky2xulj65k3wnxSU8-eWk7ALt+GKj=Sp}(i-A78Yv)b^|1CQpP9v`l0!70jnPYTjB z-8|$Ix3veVC0tvw$2j05aHIO8w$4DZaAH0(H>ii0hR z2=$eF{qtE+#^|79Zp|O{zWsc6MrA#L@c!wjJtlM2)3x&rhaX-oBu;qxR%!gjS#3c6 zxavaJxm0cH;w7sUnR@?~t8AOXW!{bTW=h#L&9jNncK#b+Z^B1rsE4I6={ob4e%f7M z$zIx03-wAOdR+dbyfrUr!?M}VE+Dw&Jw^dQyP@Vj8YD~%Zvtd>2wrjNy zwW%RzWrogFev8}1_I*?3YVQ5@PozTPQ>7YIp!(HPtzU;`$k6C2OhP*T;@I zl_e_P8FJC%%BLrpx^kBoOJ%0pxwp2$#V;)qbvZFFDf;xq^_bi_df zHC1agQR14O$Z2T{C7-ujfS_gdTf6+7PXDTE2LjxTs)vy3xA~=CL(r!sZ@MwaHB_t; zY9zO_PrQ%^)HZyoV0W`KI@`)^FKK}8$wQ;i#le)OiC$(VxqQmGdl4Ussf7X|MiX|y zA+Rd?x!+Eo4~DNC4SUd({DPpBn#^a%@zil=WA;Uuj$><@#zCtMfV1Uu^BsdvIh4J zB7-n@Ft16o-;&_lReP%P+IWimEnnseA~3R-yIaIyf1E}5i*P!YiKJ`uh5aE>odr>e%>v&yXnt z_|fa?0>v**+ZFGxPDtt6O!G=hLQ*Pe345ch-jHjfaqB9zHnqlA-De4Ljmv!w0X>Fm zzkAFdSBe%jt}AEGS}POdY0g@|&bJh|*&&=+(jC4qP_>`$GFUl(G|QN6Iv6v(0bv{} zPAfRCgJ3A!ZLx}M=_4Jyz0<6=!Fj87_cV=RKc^{n=OWt`Nu;RRJTuac=)6ppURVu# zYW})Es<|@sUVFF4_J@%R1~wh=F`(wwd>mD;*0g(9^{CloJ@4u$bk@}9yE87SeZy?F z^wz$>2Bn?I%U>|7cp=2-yfCkoM`RrxV+D~?*hzh> zPYQSpSp5Ox**F3H@l+C0`G+IclALf5U+%{@T8vP9<4JqQ$nXbiZs;CfXhHg{XPq@cTk$&g(%Q+D@#h#8C11Xa& zAHF#d?wy<#9O!-pgRi>u4!{1{@`uUA@M%w+ z=>M&FL`^PV8YA9R#v@Qrb4G48DjIgY&ZhEv4 zE7e=YL&g*J>;UuzzWi!WiSH^%(jtR-zU0Ew3a=vAm4q+9QeN!4R=>3|lK_uaf9!T> zC=TW2))#+ALY3Vh!{{s00hPtgyvJ_A8g_Fe&8#J1SZhA~ z*$vXJ@H9Db#dl{IFz2IN1{F5^4bb;b{9qy4Kc4qq+Dj(x55}oR-Nt7ZzEaBDxxKTt z@c*!Zp!(#xUPSfYa3DZGF9uoT)$pZ@-q}2Ebl8jMjMcV6baxd|By+p!iYv&NI}jH; zr5hY}6&T0H;IxZTSPA@8#d#@Xjre>jXfzg*-Rum{`PAC^b`-tWv&$b9H4Tu?eyF7r zF`uz<5zqK~-Qy&H;Fqt0$r%ftJF60kg^Gy+4HRn@VpofhM``=%Q>ovydfUeijJ}ia ztnAkO>1%Q0pG}u>GWSBMJCE&%+Bm(*UM;{V4c!q&wWC9#3 z9bnrx?qg3tcL}wplbe3V$GY{VjaQG$pRwTxS-1~t!tmbMA<)x(`0fuo{i9Uxt4_X; zobR*xhkgazv-Iz%`Egy^pZdXhdUi;yUa_#pk~A^oU|dwg8tsCh>AlDAVj48*)-e>5 z!q2;m$-d47khp6!?Yzk*OFwvid^<@VIt8d)VaD`zbeA=lQ6qMCTFRNJo!lh|cOQEb zNq;DPIM<D#0TXAV}Nn&Ccs!*AZEEd#@x0E07AqQhEX?Q2p;tLNS2<)yNZ;1RI0 zy>twEJo-b+Q;+eBO?}|o^VtAJj^gSv_|kAu{0Q)Tf1Q^(^Sbpqb-6TgFb0HlytTaC z@zb6WUfQj1aifPE+-}p=?Lh4Cvk=dSZZ)qAoGnDCr7awVXje->yH%31m`K)vBk1^G zvBiF;N1fR51pmd7L9XPANy_gI0SBB8xmO4sTQhz&^i8?CZC$ zF+vW4Cdf}2Y&@G2mcK+fneEeCF#Y6iKaS}6*+W5JJ5t|$FzUsyZo$277LWpvnDnjb z9ZLz4=?c0?{OP#WIN@b60$Oie1S=7!7Zz_2_J5T}_2;hR7~}W=gC?;E)-A}nhC?t; z?;8@V9Z3tnH5>L%v1N(6*(%B8dz~hY<^Q@Yc|p_AkCmWsf^{$?u!l{P9FVK~HDdUH zqJ8W@XreeH?p!EnCFn-Tbc_6ZTosJ<+(>q~qLZfG_fjnt^leeV9?2nhS zacO+=xhc1wQNmWGA*wVv9)RuvWqbH?PCfL6##ONgdedegQ%0e&K29G1>likkiNjWv zAv){(Q?G>`PK@#xN_9wA<)?pK9R)L_4Jz3@7`U;kyb3D=e2N4Af?5xv(sX8-LMwEl zexT7BtSYtPKkCvslFjE-F{_k?DgL8UY?6Lpm$ABR#|YNdJJ0;tz@4}S+hq&15~7N- z@m}DI#wji>y_TX0OF|$olK6Tsfj7@^L-}GK6}^sP!IV^2CKrCAO8=qLxavw`5!Lo_ z!5jCvGSZpKl~uJW?ICIw#6cZ?J~BIpa)rlw$s}?gAxX~8EWU)-fpt%=)~01$)Z`KO z*9PCO`E@~pI6_ehZ6G>eR$oD@6kv!LwSkY|hn4zh35&nDbh!VhpoV zS^n{UMHJPh-VHs_N;veMZ3ek`!?Z_h)y#W5fU;$#%rE2qfBh{(Q>M*Nc$G2)4?HgbC%E02*%qvyrd!KBCI(iHN| zW}Mo1zY3AFM-bD3`wKPcQmf$_9q?m}K`}c`SO35wSifGTc^RB=Azta=$GrYHiDeGQRs0<2Tj4( zSFi1*7qYR#P6}P^5j*jhN2m*&?eO0cZN6~(-L-d-n`@XXcV*laxHq{8I3^)-tNGQQ4yvhN9=#us z@z1P7;ORDkjhpUfPY2)&NBJoA{tlLUbF1aUta1B9;-KuV^_!qQ)@);#W^3)U?D~$w zz~~{C{-*`m{ea#v!4Wv^SDBk)2`lrbTbbLRv(lFXHIW`@53}B1&O6xmUp^C23L~i? zKk!fAwzu*QMQe_NxJX8e-NsH@7nsU^K5A*)FsK6HVM}^=EaA76V})K| zba3x6rxgp+&AR8R?fIC?952V`Vt)((JYJWse{N2ed(`oKENgsxDU8=k5!~+tX8WQK z>t*}GHZrcSKklEX5C3W&;Q6|}|FN_tef{oMdhqkQe9q#sz@35Ac^9*6&cc0%PZaAy zy?E|=mo12~Qy~i=2MZPVWB~2!!bO)m>=AkseCn(z;75fZ{m)8Z(EzrnC0x_XN-Jn< zI~`ccn)n@zZnX=~F&qL3r%*C9JaIar?$}^bG;iBr#2P8Vztr>RxC)AVGa6>wOTUFT zL=8zwydrOenr;K0>55<}*0x&ZvW7drzBiRBas;L(TrO>~ zD^tj;OS}oMfc(nkOgeyjuPZTlP%q@Vo__jOI_V$)8+grMrIhuVy-d@v7}Am=SlHvc z&1JUTW??nc6TOI+Idor>ihMPdrg>FQ(achPIz>lOU+O()b3&Fpf;m620qmqG--L4- zjmei$z79CBolX8TOYepF7Do@GNOK{n1?1Gt9^737*D1PtT8rrt+t0}fdH-1n6qyUz zAh>g?Yp<5JSP;Kh)TsX{6rFa)6-dUJz~0_fq*s8=29Zj4Lp$_Hy7+Ov1AGo%%`muC zxYKc=CLvuq3M<-MyekZvmsqp4Z~I#}-p6fR*cK4q&4mbVZoM?1CNJDNBDt?nhNd6% zH(zf~3Se%$P{Rd1Uo+cPWTm#k=H8TCRR8nU%Tn76 zGa69zVIJ`nq6Z8+;K*G$CU#d|5gIi0?4PWfyyIS}xjdUYN;j?yC1_AaT(!R8_vpI1 zp;vF3pA#OydW(43CwbuF7XT9Sk@Dbf&=LtV5Mbi3Q@c#V{^~uQ8uv#Pr?fqTuss>x zwiR12vg8$QSiOs(DO3Rb_EER7obj=aryj6ulnIfdRkh`RI(iLPT&wv~Jw{OwMjdh? zhuco=4o%4bzM&`kwW&vUnL~kl)8ceuY5t^zIyDE8Y|YEgfzc&9sm48u-Z8-bzgnRo>2~jc1ahwQ;uzRHlO6pb+p_qFU9AD4?pm4(`iYmRb!8 zJil5go%!JY7*>Ol4grp=*9aAw0sL<{Sk@N z)YFig45(_EiiU_%-P3GIu{F^GTL6qUfYB`V4VH;Vs~+K$+hRwqDlaD+EBd;jzkB1^ zTrf2?44p6bo`4U)Xl=tlA#huD4|2Bphk|C(&d!|8c%0ZCNcw{sv({vX^LWy2adl?u zaWs~Hwx~4pW1wfJ1mt!oOun645#SdsS8=W$X^YhY9~=cNJMRZ>1ZMEzQ3DpeoNK9f zKs?|ZAPc`-=lqvyLQ}85UNF81d*s%ROCK`rf9Q-Hc!0*-T8ch>mWyp5&l}!JnHZPZ z@Rmgj!k1gmaavQK&Kla`3|P)wChJ+;%@6Ae*D?a7*$}F60vCUB&RoATgA(&7gGO>+ zG_t!J^m=Zlhjri`R2B-?BLej3i9i)sWS!FKGDp$ckJ(iP$}@V}Ha86F!L#FNUAbEQ zt@GTk6Q<#zJQvFGyMKSEms?C!&r*Sm4(!oZA_$y^3NhgPaQCenCs9i~Z^A7Xp>May z{&?i+oH7&yp#^e3!pR1k()ny2#7lbM&J$ycalm_d5q4cU?M+QeMg|yC+~!mfz}t?> zf)wZDad4ziZ80t&6OG=wies+0;Oi&Z>7bDJ?LSdmpOgJvaF!c=C0PPdl3nZ=ub)d-iSg6#*)(EiuN$8VwhFPZCtyue8XlDXRC`J%} zMIDQ9g?qW>u0<1n`TNRpCxJ<=TJWisQoaq~;&GZuohs=?Jbo)U=Hm?PhFd;1B4iOd z8~QfVaS95W`7O3qVv_^|vjC#GPp!A!?Yu;kxF$oXaHZ$w?Q)~-x8c!@AjPB{j++-_ zfuxIJKRM0`?gKwU4rvZBm7jn7AfgPEuyz@#kQ~y`!Z>AMMDPIQ;KT@tAjqMT{*-;B znAa~g;fmk}eg;M;saGO5Pd4&#nC$gEm|;xf3m=%v1KSYcv(eW~g5v{N`~vUv3GyKm`W;#+{WIyM2#X_S-Q%uaZ#|QKg*tm*Lv{%+-(+0# zF_#=b+Hs|WD_%0f+7O4!D1}&~!NQ*X=zPWT33jk%yYu6mm4Xjw&bJ(51tV@gwTM-# z53jGw!?7ou)H35p-533QIXvo&V2Un!rYz6;`Nm-XUUvtmUwwaF2j zocha_E!o^TX>1Sl$Xar%h;hPumOAEUyk~!8Tgqd7uL|_CHT$t5G2B5=6||1ND>eK< z{5_ET9`KS^1^u(jQXn=5IS?jA@PmZfCoQVv{)Rbk7IHF73csf{j7AhIgU3%y>Gm00 za-`1;KuQ*y9iPPGE{5WDz72))r(@N+(uwC3jg3fp@q9hA?;vh4*Uf<_%&K|M&h=~= zq5^-NnpA3367OR&{aU3z+A+UUQy%z0VT#kUa6PqQc{W& zFvu$jJ=9DQ?h}Pcn|(wae#r^fo||)vOv8V6y>w?l)dls?;-Osr5s24XwnR_V&!YU( zmoMB-ciUC#sU3I)%>xmnYEqK{VUR2=uFiG?Gm0c6(DjNXGh&xm=kdkRJY9>Qj>AZA z-6F`Uu5M%zG~<9$qd1Om9fXb_qrW2*P=QD=7Do%>w^QkYOlpft8quivsP(ORgmOVQNZBi&_T)EB#q32v`C|97Oy(==< zuHKJ{o{9^r^*vEj!gC}Z(*gZQpr$nLKwMZVl{qXG@%;dLA$}@nd@`C-ri6wNwMG%TjQW4A$pf-8@#+kJY{$Lv3AMsTk{dz$^wY3Zj(S6 z^OD#@k9)9i8y{{5b^st7^@a2C&iVr03?A{~4wZP~4*FvG|BAk42NenET@ z=Nv)ZwqH_}2_zLUsv;V^Wnnd~N)gTGeP-S{49xqSnLByF<&R~#wU$RP-DN&OmnXl; z8o7#N?V-#6W`I{L$TBaUQej)Ur1-(p_T1VGp4#c7qtwa)!T}n^T?ZP^q{Y5u9q_#$ zm!__&c1nUE#PT-c$mbjJh>p1)aA^{L(c4irdfP1sohM|*n|5N0=;Uc|*YYQLgXK(1 zu3Y4oyO~X)v%q|~-EJ?v@+iEc<)Y8}S zGw=_0$jz8834n&x%1uUYoWmzY8inqNBau{nC>MB$z)ia5p2yIsWLkzQKLoqA{6qB8 zr=PUnPY(gw`~`M+y0Y-KQ1gh>WqKsH>?!5-HH?&Z620uq=C<-PhMaTQd)W2Q%8}X(!5q#5DoPI_4m)dlCrMCWZci znDYI)cizBOX(yvF264TyH$bQNIKJ84R{eLMJEXulM-ijgs7U}u+%ELmJl>bwCAy}^jR%V6C z_XcnZh~ONn7Vp#vwJ4RlVk5f~9eODc3G8qzhY=z;ft%^Ifq{iGaOJ-HUNBJcUVcdk)LFN@DoH8d}=$(b##Q8alA<OFy{7vp0;E2`>)}VNu%~ewrR#ntoKzZ zvqs*{O8+K#e)dc3$-6J`9px5M-hxRAqImPkN{zVbUuDjfz8{CO zfrgrs6R?_i5BotXRnTe11FMw~{Fcii8ikZu?emY2;#%FlbONn8Qa&D`)eWqESwI12 zn(>sWFWjHBLcG@E;-eM~pkA@W0q=TE9=={}>Z}%_ngps4-BZQ@ntLScKzq)KqViBC zJRi9|%PV;~LD0;@X?mu<#kmEz$-Q=;q(lGKc9#R#-m^3d-53Tp4;}BAh$XOq$`=QS zHl)kC-4{$?|ACh!~NRx<GqU{#bZ4cyaZ%$N;2@Czty$*45TKSLTY*kt0>h(pOU6+I9T&zH!B$HP5LI*8Y zMW8AJJsln1@XZN9$aKiB&eLCoVRg}c7|^(3+S=tuWO%vt-i^Wqzb;K9$pDXkvv+!a z6A;gsg2Xm2Va0z85&WQa&@3S^E#WGNrd}U~EgB;n1u}+!Mm0kZsEC znW@JWo`Q#*2u5%atqCR#%m`j?^N=Oiinp#EhJ4|KDhH+KB(DYxeh~mHNPWOq)SHb` z=JEUSPG%SyOmdX)p-;A3TL|6D1YlDr4lp2fWc?}$*RD@f!iL*uR4HDwAC&fwg$(u0 z5Ne&G@)+e7MB)xOk@WZkS#VQ!3fT?cKaw8^<;FwLsbY%;ejs`4ym_{3i#f_THnt{5 zQn#g9X+&*%N1Bf=hbREHRLeT`z?@EeHzAteO?=dQn8NN7lQ{#cyx&<&2e z4$~yY;^Y8R+H<9%$exc?YtuWsmL_c3cR31FO=8gZ_P3LbJC3?0hK*>i*mTM*H~`OA z`#oPqc!pxLP|ahpJhMFXmk-)6a~_p(TCSYRfePxQ=_E>k7XsS;ELhpR_amU&fB5q1 zmV{@MMug9H@r(lB*_A`*;OLh&NmQx?mf*J0tHvb*VRuUuRgBD8j?xhD&E*6mEZmnC z_|IVr4rAtjz zZogu=QF;nM4_!36Gd_{iR7`F+4*O>~iRnHmLRx$ue)Wp??K3Sl^>vj<7!a~`XS}#i zWc?tLZB-^>yDUf*QIefpD^P4~TQj9w@lwK{oR=e8QrP%XH-6FCGjR9g^%rV+5n0+y z_ltSf6o|LJ?a_@u$s$j2JJT2v*0~_lfFozSp1i)qQXtOxE2#EuCsaR!DXW|o$Ki7B%_NoiVY@jI)fxp2W^ag-z^rB;`(Z{uZ zxlD8zt8R354~~B(Gt}G$hkt;ket3;ZtZn1=($&R=LPy{R^YeKFcQ6d`hz0Q2xgLtylbw)m5J9 zF6$2kZla^On(vGlgkogl{m%iwn_-r{zNI}7#!cRNSFHx|fGNb8F|zx%0@2SB*hW#- z1>railnb!qC-;-kp@T4I;oyl`6#oIC&TsC)n9VnjtQjDaE$UC(l&i3=y1`>Q2DxsT zRnp)c1diI8v0@V7%%?*7|&ns9?K(d z=|cDv9eXuQmsXRQa%lmDsqo!W#CVVcDz&YHB!o@Uf! zd3q{p=2)j^kB8`;W1o}CNqUnf!5ldQ16Qi-p~w-G^J7TF;lbch$t;`QIzEoLwpr1mD46yy*$91cuqo{u$%G!q)8m34`NgSR(0I-$)!QKPgsoXI!KL#tU4 z)>5jm^@#U~&L4*U=0@&YC99>sQ8J9a7L=@|zvg7%c7P{!*#f1HjPJ0mf5;JwW?yLgucQ&u)u9iW|LzzNbA?OS^>4#!0`WUx|f6I!YkM zqve;O%J)j>rRIYFWJ}i8UqWy2SXXUSnQG5ZT3>$H4?q=#04;a^gdbI-yQB7U_)ED; zT;<(OhMFiEoexywTU)lQB2a;`K1U?$R0V#Xk zfBeQWcZK^Oc0Isd7%n30yKk!M{GZp9@|3j2z?t@1s@6?c@%R&f%F8iimtIICK~1Ri zC>=oQLaui1hZDM^8{mlJ zHNGiZc*K%4z2&{B6mYYKvXr?~Ep3=S7Jwr;U*$ z`!g3D=v1eoyWa4I2?bn27a+sTM00IQYd{`DVO0a0l*x=<2(5p|OMW}2+eE`i_S{kq z1eA*OczRRtnLHhHdV7U4|(%13~P+Eg5EJLAt2@`(Zn|GS@K=zx9n? zS5DQ`FcG4ALd8>-(3ue60W?phUk!{7*wfT9-qwKMx(l>jT!NpL>xoGNWOwEn zO;`z(4D?8j3h{c#4 zDM;%ELBitzD@aB4xJ1mU8`WR*?Us-c$bkW(RuVMsd_bQh0n+ck&HTr)2C#)L9D9&U zn3Qz{W+2EjX!nz$!UGmP1mQ$vPslYS;Xq{k=x<#(!^FniZ@-C(?Kpsm4lo9Y8(`4E zj_)FvG4`T1A^p1udjk^xvH}8%^kj&X!3s3;ZJXEwC`~s?C1-aa7w8k{x&uq4BN9FC za6n&JBedjSLH>7bfM(mVtf2rvO6^#snI{8;>=v+6kA^kKBU(svk@2U>?O15tdcc5h ztBr&!0kjOJbsC@0Zj*O3Yl;Yx#Ea!CyO8h{RkbpDsn=q~?!jK*OUds_$f`D;N1%Ii4#9N7 zWuwC=QL6dna}U7qWg3C&K}^AbQvuLux)Wy{x&3K0$zs90 zb-r* zPyZw)7S!yDHwG=?LC3i4!2=Kzy8u!5El3={B9E6$+_C^$dM6}Zc;^`!vgBR4aj20z zl(+ZJ6tkb0a`C1;_=b_Ut@&;$w|dBb^Y`31;PkNZFWuB8-Qf|418u$I4Gk%FM0V&M z_)aXM-*Nx=7|B4Kx~W|iaP`Kso<0A!DYNet{<1V9HxAc;$^BR}Z#>$KSAS4?T5MxiWn0V>fo%uT5K;nOb|LL;j~)|0U~vCycZ3 z6)3Xh`$p@4f1f(mNM^_bKo$;I1FU@M7fh&*{>l0C{76A3=2U0g*G09)v3Fu-D*Z!2 zggIlwtiD~%z8x#G8Czf1`tO1bKQg6inYcbY@%R5z9zP_ZDVDB-H3hcUV9~~+j}pgd5|q> zgUFoE;L5y;?Q#?-jsBsm;53iD^IKraYr|tZg4UTV`3ZuR58?mHCq7|;?=_D{ z6{U!}MR?&jpGmR6nSThSAB7V{`-aC>>~XH>R1haFiw-dCG)D}{Kfe=QY-`496eBO9 zVVI$JHA@c1SV#iM+=U8_IuP@XzzQ_|bCP=o_(IHPTx;n%GQ$>CD8zTs!VNGnb7M9b z?ML@fwF*>|2JOcA8p`qEYsYArW%e;>LWA4*wc^;1mz9m5noTk5M)21whec8P&B;oC zyOs#(39z`0C7ipnW-2!x0MTUwRo(~)CEt%BcYhsBN+POph$5P{zeK`%ZzQ6Wr-;r3&J}eC1qvWz0fSRswI7AD#B+m!^Ib#gSL?#42_%}%a~CF@47jlze1AQ#pvxIf z$cgqq7HX(rRTR)^&wVY!oig|L4oGGY$P8QR13Y53M8@Kn{cyo>hY!!OYRHl(y%LG= z)?#lF2XCW9EHuYvlp{?;Gb=RPM>7DYDTJcIq7l{TF*UpJH>;^1B#b1O$!>5rgmK*s zr_ui3=P9z?Aa|ou}b>{gdO4r?@=uMK<(~HIea@2t5-KD7Q&vL!rGok z;!j^)|4&Z^5!##!@fBA&s1|Nrq-DDhH+!mk3{rtqY z@t0YmS73RvnYYdKQyJlnA5JH9AuEo4d-79#!}aiXOZ82ncZLj)>|nS27gFqRxz1_~ zr)K(iGw5A90oXon`%`$%nw2|a&_X?^$~0pm#`*-20J>;yX+Pe+xyGqnTX>J}3)2V; zq055hk^bna2M%3zhP%{inni{}GDYpv=RS1G9=(7D%p6=d`$bZ%n6^%Glsr~X|KS0hcmDEW#rj)yWv}@C=G0NQCST?x(o2{vlek?09O`oN%m?kj9KEngE@uSgt zWl!mkjbC2gaYGz<9U9krjxTogtpV)h_gp%`MZD6*eY%`%Qscrh(2t7Gk(WzC?9u6|W_sty`C{^8yagd+Uj=rL4IymQ6C-Fd z;Ilu|lAfp zsah@JCZ#i4JhQiY_BO5s*bJ@*t9Mt?&#kT}x80e4gMeE+Fz|&mYY+@wiyT_QSnNGK z$LHej$nPy&WtStX!&{-bs-)G#t{8qwc>K|{3N9WpyM@*iic~-Su-U~yj+7(bL1G#m zCpP5&OcVRH+y|?p^5knifRv5+K!@wIR#J?WvP^y>O^g@${c(^2A zY!U@eBXS?GtI8@l_Fg`)9B&UF(F-@O_kGm#=%Be=j08DE{!DLf5lwY0V?obgeeF1i z5-8vSa!+ojB-vm1w8}CW$@T#uR=Q5Z0-f}C`;y+;T1Q=`7oW#g{9W_&cJQko<%_v9 zBl3r_S5ZSO^S0CC4s@gMC))y_FS#o(4~EmP7exy;z8}sSbNKT+Jsw`yCpA7{2y?IU z66KNJ?=?Ov_`Y28U)AfSzV*Bl*&Ovl=f3cO@WIe?N~@fW?uDj|nLla_+O_}UBU(z8 z4SlxUaX?;N+Rq=e_sG(W^70^c_=Q;9q@+SBZGxlwu>mE~sPHnlBB2yu!-;h*S-`E5 zFp7hwzqTa1cs3R7F^9v5Dg3bO5V|x%D2?ruX^^Tig_tpA@Fn$r`NP8AZcmdbUwfX`3ZQ$vgK(8x!=$K}x$1$4g>GtRa$X^bl z0P0Lf%QX(-92(+YwmF@8(yKP#YfVQ!oO$2arwCa+1ISBWh-@CfaMR4yT5B60v5R2q zrz}`VXOBEAa}0LuPVORjCqY`88~_--s6#CkQ|k`89hVN7!S=p69a&wzWskn=FB1qv zSlhLmmrd3m&AAiRMN!PYcO=HLYLO3`q@D)+iesAW{U>$xIvN=PpU%fOPv<6&)!HEU zU_7WpUn`yUnXw#u#oz^VWcjXkpT?_q1tpDK3i}HgYO>VRwnFFoC%*8Ri-2cQIKjf~ zP((x)_GDw$&c8b#&rp1>7bEyZQ9%r629GsJZZLjJ^L=GlW2YGRqP|*_7~U4MV@QuJ zfZrQ-oqa=kPFRkDmLj+kZk+2b;I!{(-rx~qL|Rr1oJqA^Y@smJvY81Z;>df5_WGZN zVQYNg$^{T+M56i+;aCY$Ie^H+?m&;KbrvY8x|LHzsGncUr^_05-Hrv(MjCN!*#gTo z1Cp!M(rn@i|wfB$=UmZ_$_k?y22FjDCLG);F|^l zXXDB+<63)khk2iEAuv%TTv_+dqytXs3&tFA?u_0kSB0Cv*2SQ)W&n{$y=_dJ)-xzd zt~|X;$`cJ@zp>3AAYD|MOVBc)e%cu#{xLUeEa~eY_fo42ifnK(OgJYZ>xdRDD9c*u zua`JcBKsvG<%t_9p?ZShPz2$fiYUoz)=j-g!b13v^TY5fF)Lte2F;kS-GDeK}b`x3FH-M^E zgQfeqFG<5{(}MEiQpV`T7ON+8=W({(q7c9LIS76n&yE>pV4(*;CH*4PbAz=?Y z{YP#MN2Syg`RF0PTHfX`dEH7|T}0PV)##%}x@=GZ2XnYW15M=f+D+MgLGEvn2v3p> z37t0P2*7UTs60=)YElIUbEHxuO&c1y^vQ}WOC;KZm|A@6Y_uVP>z?ZI-~8VKlI|q9 zlqPNLLfsj8D*^x*cM?Nrz$va{&%yrjal0bTqN3of*4a&m!harf^X ze2Q3sF4g;iMpR5Lk5bY?4U;y6Sb60#R}-`+N@Vs@_Y`Zp>RQQL#X6l(yTpwg(q6O! z@sY^@Qs)c_Ux*-zY-+qk`K{}`lyo3!ZTK(`b9%Ai6v z8Fq2>y*)zR6b}oQe@=MRvSD(=L!GRfwr;t_dH zj-1?Qa}dnyr@+GlW-Ug)kG6|W&R^!u>6uMgW80XxfP9^YQ3+>LN2M9Z5_Nx+v|o&2*dcoom%f0DtoG4i{5fc6d} zKLZ_&rT9X_Y{}Y~)%0FuL#{7!q+Y~m2%(lGhNmour{@>h?*;!7X?Y0-YwHl;y3I(} zldch!%hkuve>#P00_UaQ&RDF>&*NKWAprRBv|%^4DRk`Q%~=1=0a>yAdI$Q<1>7%l z>{qjB9A8UH8_hS{64@_gcn8N-T23 zyuzI?;yjza2h8>%Xg6>5$OQocaCOvWg4OR2&0AUEhc7Vg#HL;Xm#1r)a4O4%L}Qzr zg2dnVsc?v1%P<%dABft(Vidp=#p7lCGx7uHFOI(Tvu8uH&eP;wY|vef_+fCG?>AR) z$vch)sNa|vI6eAMb(8bTs$x6gxsrnw$1tDzmC;;BNbncR{=W%>$pEn|c+EaOXeL6U zR*t1&? z&shB2Z(Mb)1ShSlG5~jtXN68SKMvE?7d%=G96s+Z+xtpkW#0?R&Y~a!0%yrv@@nA+)OKoY37atZwy+`PDoR58KV-DP9Km@(Kpj6)ruh&by1xvGqJk~( zK`?D?Z3M^Y>X+|#gM%4$FLFh_<169z22{RqECJp12+|6O2LLoJh4v!!L4d7u?;#=> zhahy5mZ`qq0K#6=M0aW#%eCtooOdY~XwL*RTtIWprM2eHW2jkfyNWLOk8FPibhGV? z6zmd-Png%H34whpYKdiL&;{9Nm}eUnB&zz7p!(_U@aoImVY;lLeqZ$VYm)bYV)JND zPw64yb6N94cz_6y;yk{DGWZNpIeI4wEHO8dBltZAe-3nB(=y&1hGO|2io-Q+laAeE zI`*LoWi$Y8qlaH0;=>2K^-q^8{wlA?gR&}>`$V5Hsu2DvpU4BDa+L#M?XQD9Ztl~m zdS%r_;JPaRL}X6(phy|0ZH99$8vXa#I1b$nj)UAe6ab310_k((fV3HhqhPY#he>RGfBTDTII<#MZrU*LvSIW=u%5eW>{6}@o zZGem>kgb>6BrMn-3=tvSUvw1ep+e^m&9KZsqHs813} z&4(_*St>)M^+&8|Dn3t*V))7Y3aD2=WMmBn7=H}%xQ^9IFL59ma2Ry#4$b^Yd=QNE z1bz6))M9;55zeHS;^H+yI|pjg@kBvsuAjBw7mX7r{leHb^(-X0bFlY3C8L1YwQv6E zeli9nkh8XDin<%|D^^ocde#l1F?y@lbf&8)Pb*qF1Tvm2mrzb z@o#bQUEd%LlnVoLkPrFL+aL5d;))?20DF>1wzHfvZWPx~@JrbeT#RY-hBC05GFv4$ z?&vAHtJG*AtM_0FrE&WJ(Q=yKg70;95MT6Z^!ur%sN*E6EaM8AwE>E`Ra8-G!6U#w zDq;In8l-ql(TmM@zqseTy&*AN)Mtm$Gksc6Wm%+m?&Rx$zs zfh79Pd4jk+*1yjY!UOEJ%y({<`|lJ z6hG{VGzPnY9Li-Qe@R#{HR11_;~?y8Yr`TiZX*?C5CjXT&;ddT9FBpNpARm!@5W~N zzCf_AG4T=Tvv!~$SD)PU^!uE`1GDy03(eY=!?n4Zgx9yhj815Yi4pc^;8G`1)N?MB z){Y8|KF5E`{F&N@K6T)6kyAIv#(X?SIO>}?f%g&pEnS#+WGS8%edSTYNuGH@sORuC z*4tkDST{ca+X>*j9P0;trQTY2ioUm}{Bg8A6ey`5%-q6$3i3*sw#}s;BY!tM|qDuq7Acb8>)#9M#8onMQhV7lh(wMo7Y z^bbz<-Ow1ad)Du(%N6%0An~RJ)qLmKy`A^N4=l)`q8LEWK}nN~;JDJ)oiU>U>m9N@RLYXT}G z_#QLY)|PKqFSs7?rJ3vO*eG-hd9`4lVZj29)l+j!s&_<;)B! zLLyyOyaq6J%U+r8c8^T2yrleXbg!nTi2TGiW9*xuKIdl9E1S5DCF3*&;<(>bt5^VA zm?20qiHoY;%He_5)x{-Z+{}n7?PhOzPKfC{UTs&yH%I; z!tk@J+mhd1@F^{r%OIwDK4jWRjhdkYXfyHSG9rVKnd9nqDR&8 zXwLXia)YF2@7x#Di%{G@B){jwovR`9U?1wrv6OvCYIU_r3~ukkv}koRh-iwEVy;+2 zxHAzK&6Npn?YGpOl|1@ly_c0|Q&i~zI2=`cNwtS2_wNw|E?tq(#8?2#=3j_slEWT0 zNn1v9$?@@v16rNtgVMqpdUA~`Ax=%ou2Ospm;5R36F4sg7GpY*<;sp6=T^ZD7p~zl zzhwW3!#rGisa6Dm!w|+>ys~y7{fB1!3~=13^o0CVTN7ai=UzxGGhL3k&`Q`oGrNWF%2uLsgfc8r7~QBA>P`y%NEu_~AP)`@#38M)(4C^av(+qG3x&ia^@ z?casmhoKms*7uWdvR#Wzg}BsS{OJhHRu*7E3na(KK$Qc+^{4>aMXsvJ#|p7Km-5ZO zjLO-cz@n!+;3(Mr!oDtQbmWbCA{C)@bY8|f<5z>iXJ{=&(+-*H3w;+rzYlgpT%>!) z(o#$dJWEt^v^e$-T9KIJJc+~E5#Uj+%Dn8(PJnAL>in!3(V+~tE*8bIm9vJ75*g?0 zJR?d`pobS>V@1Bt!69wdiuF5ah4C^-A2M-a*)7n-rZ}Ghabw)7WKO(ph2O~=;Y6JcuxdOTXUyP5o^*gnNM zhe|@z8h`IIG%m(aF}e(ygS=*K$Z`=n#vb9pS~;)?I0e$kS?O4PQO^A3u@O@_@*Y9S z)<|RZp0_Lx^t$WQVO$vP+qukk*<_&5>?elbVY_XD4xlGT<;C`VJ~-VaMpoURI!+6^ z0Cd!bDBS=Cy98$iEkVYxNvs}(ducRhj;A~hjI7lJw)cvwKuO$weaX4*;tW`oD(}(m0JOA+8OOwb1&%8nw14<8@W1H%LU2g znm4_RF2Vx^Oaq+&nm#o`6f0A%!rMWNB3#U`LeL2*L-*e6pRf_#R94Q}*cgP(^?abT zZRc~|I?!Q9=_LZ~ieSOF*+-i78dgiLE+!Mk>^eSvvhMr}`1wHt*wG6umqTYi5~<#p zGvEP+vz;TEOWn?uKS!QgaNXlsK7&kQ>|8Hfz31y&DLofY7~B)34Y+v6M~>vB78325 z#?PHfx#bVRKjFRP4-dQgE)z>f^xotX|7qBnSH2p)`kA=v^1jqp075V^Z~AA!RJojB zAznzIJjYs3^^cGDa|9g`TP196chDUk0OQ08vm{dtm5REAwmWjw6LsxaQkQzS5h9vH zJ#B^Mpq|>$VR|+A`f+P2TPX_;=Zp^1FJ>JqTq7=N4%ew#$<9#t*#;+#W!-QwZc15a zd7I#Q+r(Ip1lo9t6W`zP9%wORYN#+~22aFl0T(e9 z6E*C(Bwv_3Tm9e9Jb8au7*j7&&0bpx(yQ8`eiaCoISzN?ITD8AjS|Tp#F;q`JN?`b z#!^~I6yBey9?sW`7w;mD^%cePajt|8Abm7j^%4{5M-&Q@BNI7R`LC|Cr&LI4CL&HL z2#QKHEKbQ!;=AK{RZ3jDgoRY){KH#I#lCH$NMk#bl-#*^GsUS$i1WUqE=Kux@W{l@)ZaP$CzbzyMtdjr_=#{A z9Es(Z&H%KJv9rbn+e#C>odbOO3_L`MT_hh&t34|N@3Hf{=Pu?gC|KhlhCq+z{9=hZ z^i#@D7_7}28z~9&B>lT*t*Um+rGI(A0#=XHPTy~;u-RS8;T09Nd~ zn~R1ePb*X(vz?uOPDty{MC|_M|Esg>jE3{u-g?xC-hK#!L@zOj8a2Y`C59k+^xlUz z64CoaFB3#>F-Q<4YV;UoL zc79+VDEuX%uF4-u)hpmC{3-K=g1v!Dy9YQ&GJ60RvU@ALUA5*=hxYUrneOqdlVysX z0J+D%>IT(3(x^|FV0iQdwJ=5aa|OJj;HWN6MbHv~b}dm4$;WuGlZ*Ts!Oh;4^9G-R zjpNDmr(X`fNDWxB|5P~*WyBO6@`+5ka%0Vk(U;Q)iN8}oAusH&WVuoX6{3%1N4k3G zL%o3`@U!vONYU!WT+`00%EQ)K+*KWwJM?>s8LK^C7QNn(xQVF?ACTb)|V9esaCZBLpX_)*Yl7s*V^W)%0i_Q z)5M*#mNK1XkAz50j6cDngIGE_!UbQd@aJ*Djcx6_V^oF?_D@o$X?~q(!XDXw zX%`{5N5T=2YHd_WPU(rI0EjRKtYViqBROTa)<<^FrqgpfJyzstX__tHqCD)XFP=7Y zAKB(EqSq%#eOCQ>Q*R&={on<9*4U6_aIsheVs&Om8 z=<8?9|8URtLkQ4TP2bksHb44gaYZ0Ae_87(hN2N=)0H3C)alkA0!GQ+PZ#nYEM?jw zMTMRF8?&s%+{IW%Wru3IlTYaF&Mq@ru5HAir4&KrigIWLsk~|~MfTWag13$@ooqC& zg3?-iAe!Wv&f-U?LWHwYG<$HcbzhmpO19iByI#Y`Q9kj zW0cZ1^hld?_?2M45+$R`cAmSANi!*yWDez zUGwmN_#}bQ-2r z4L0@6sV9MEA{v#4GcWe*h*zvB`n|p}1ZN!7rKz%oIW;$e{6jBmr-69UL>M+r9C_<^ z0oTQ8mFztY-*R$AS0^nY>P?+kw7n)pZrty}_y`Q>G#{<4$Xunc}HL{bcl6sKyDC zqI=|_>>!;S3AY^34@&8tjAq4YrCi8AiY(w*DJmCMUNfM}=@PSbR2YR*Xu0LX%5l_4 zp~vZhT3WW6-Oob5f+a(WgJZJCe!sm#k`@9s8TJX6rOgQ6_puW+kAz zKaIz2>5FkPQcx4S#-wC4a#K=rshH!ai}(NGwjs{KaT{(6=Q~&*zDeA$|7#qXrmfxf zGUJVdEmJ*Jtv*lxP_HzD2fUg`jhRU$vYLndFM4yS4QRpLu^=u}Uua9ROy7-Ruqi7I zjUX9K|EpVE{|<2Al#47n{k)~RH`gl|&VZFAq4t5sW^TYuu<|5pNZQ$iL%1o2T0{+bEtk>7!dbqZ)j%|NlJeaYYdfb@51qgtdt|JjMa`aqr4)c%&B68WEiD|0~W zPnkG)FrM%hm5EF<{rN{S`~`_!H)AvYlCG%4r2H2^AN_Sg$zoooN8%E5;Qf;xPR0}?dj7=Uwf|q^$^P|$cUi-5icAP5C}1Nka`W_)}}dov+$le`+_94fZsZ`^AHX#A`oT^GmoHUcs9GfL4H?Y9ErMS z&^LbLQ2co0@zgH*Z1m9jQkS%%Va2oc^8wu}XeECykJZ5Gt2Q&}Mgv{i(%u^E=|iV_ zy-mBDIkwKT0EUqc8@ObSJIi^Wu{E-=-PyExx$_E`@qMWOVnm(*qL+dp2OUva-M-aA zc8P0Pmvo``AMb|_0s_{2MMC9^$v^lrwwn#bG= zZUph&qreB`O|5p$l|(Vn_3?u!h?Bwf`bD^Gy}4QR?TDPm7cmg|xAhlJ8eb=_ZO&3} z%a~gNUctgtV9Q+=@<>`?u;XWYy;w=qn`Sn6sk$Z7;BKEFn?w6SNYZ|<)JYVQFG3qR z@*{t=BY7aps>F4rfiWWMr{OM48ynqKUXhaJeqSMM&OzP@V-XzldiPJbK6THjpDco%z2)R8$v@hy zzzD0m-CTQhG3>muUT)o~FSn9fxzql&hl;JD?enl`<=ajT6T=cr_A%`XU%7(BHdcZB z&J7vEB6w$d2dk3IvCryA_vU*Vqg5oppOu5GCPnC;sz-(QuMgIf^9XIIJ?`vl>0%Fm|qeqfZJ( zH^|E9;&2|FPmmp3Jm{5nPkU_g66yrbzGmVqZV2CairfsHI3K643(Wm>Cg>PzvCxDP z)Q0$le$97YmsgCH@1&O)E)@bQsyxb;DgwoRsUO{Jo8pXd_)egdq@Y^>378-8I_7(+ z7x2T8dD?tohKk&_sbKuo(@1DB6_85XuB?QdapI2K;ddaPj?;)fQmG?k} zi)5R(p>}H5*`JP3&YzAdiyplew7HTKxzGUtEx`(rAf!h*7YescbomIN$KKv zd!SYzvJhLEy3^0yDGspfs91ib;PGg5_1v$&JvGt#%&GuuUHE*koSsAYD8=^pUO`B!GjiGX_KBWe3=|H@t$6+}`m0&b7HuUN15Yb(yRW7xtHfemxoQb$i+I ztgU#WgG6cOf+XmK|MeRwWh*RI%D{}la+aCODb@Ez(J~_Q3+fT~-Y8rz`Zp%~1n-G0 z9`)%DX|M3Rj_k$398lqdP=xBarZ;M}ESMTe?d$L1JqbJjm{1>U7E9duwmXt5VkYL7 zykrfjuI0#^w|Ql5>JUjwvy$@Iwm&TIaM$}amMQsdZA_z&L#%!L@$ZcMQuvIt%ha1@ zMZj_=Y{V|Xv&PVUtxSR20L4X`W^p4I(uMck<@yG}kN1VC&ohhg<906X=D{CsUo_uS zG)ZinOU)M>igBmCH_CIVkCyh;Awo5E>!tEk4r&qEuIdYoB4hbEWU?$zo*Zf{E_dKn z^wmjc5a8PZ9i0_~a9Xf6`_fs^yjGPR^UOs`NdJ*c^_^IZ{Qj=HBm-%0tGB{)_bs-U zpXE^;;!-X9I_LtvXZOFg+Iw{(Z_Z#SI;V$3t*L%22T_8w zWcnhxb8;(-ZZ;x5nQKhO>A-Vm#M86I8ArASds{Z@>y(axQnkiy+Ge2TM-pr190NW~ zuz=Nj>cEwjwNJW8k~cj2>=hVndz{avH~{bmZhyp{JRMqK@i{Iqk-f%n*lg&_Sbo~& z&$rSkVGw6&T>n9!;LQ)>3GExMRXDva-wGk>tKiTTCgNDq3LV!dHY(Q?9H;2=xhS4D z3YBFS8akmSCcMrGxMY8LXS`LBif;w=31&Q#r}RmkiTa)9jot3Yc`4794K6Ow)@S!L z#$+${Kwqq3SS2x3ZIj6KtopA}sVRj6#*Ngp> uSxj8hN7}|%LlSHg;v{|_dSCgWw~w;FsELW6ySbUZnTwQuu&uFy!v6uiK&t`( diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/synth/design_1.v b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/synth/design_1.v index 7780bf2..bab2d24 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/synth/design_1.v +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/synth/design_1.v @@ -1,7 +1,7 @@ //Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2020.1 (win64) Build 2902540 Wed May 27 19:54:49 MDT 2020 -//Date : Sun Feb 13 11:02:18 2022 +//Date : Wed May 11 18:45:19 2022 //Host : DESKTOP-J72MK93 running 64-bit major release (build 9200) //Command : generate_target design_1.bd //Design : design_1 diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui index b5df135..0c07c19 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui @@ -1,81 +1,72 @@ { "ActiveEmotionalView":"Default View", - "Default View_ScaleFactor":"0.507657", - "Default View_TopLeft":"37,-985", - "ExpandedHierarchyInLayout":"", + "Default View_ScaleFactor":"1.0", + "Default View_TopLeft":"105,144", + "ExpandedHierarchyInLayout":"/AXI_LITE_IO", "guistr":"# # String gsaved with Nlview 7.0r6 2020-01-29 bk=1.5227 VDI=41 GEI=36 GUI=JA:9.0 non-TLS # -string -flagsOSRD preplace port pcie -pg 1 -lvl 0 -x 0 -y 670 -defaultsOSRD -preplace port pcie_mgt -pg 1 -lvl 3 -x 1640 -y 680 -defaultsOSRD +preplace port pcie_mgt -pg 1 -lvl 3 -x 1420 -y 680 -defaultsOSRD preplace port S_AXIS_S2MM_CMD -pg 1 -lvl 0 -x 0 -y 430 -defaultsOSRD -preplace port AXI_STR_TXD_0 -pg 1 -lvl 3 -x 1640 -y 590 -defaultsOSRD +preplace port AXI_STR_TXD_0 -pg 1 -lvl 3 -x 1420 -y 590 -defaultsOSRD preplace port S_AXIS_S2MM -pg 1 -lvl 0 -x 0 -y 450 -defaultsOSRD -preplace port DDR3 -pg 1 -lvl 3 -x 1640 -y 260 -defaultsOSRD -preplace port M00_AXI_0 -pg 1 -lvl 3 -x 1640 -y 280 -defaultsOSRD +preplace port DDR3 -pg 1 -lvl 3 -x 1420 -y 260 -defaultsOSRD +preplace port M00_AXI_0 -pg 1 -lvl 3 -x 1420 -y 280 -defaultsOSRD preplace port S_AXI_0 -pg 1 -lvl 0 -x 0 -y 270 -defaultsOSRD preplace port pcie_perstn -pg 1 -lvl 0 -x 0 -y 690 -defaultsOSRD -preplace port s2mm_err -pg 1 -lvl 3 -x 1640 -y 470 -defaultsOSRD -preplace port s2mm_wr_xfer_cmplt -pg 1 -lvl 3 -x 1640 -y 490 -defaultsOSRD -preplace port axi_aresetn -pg 1 -lvl 3 -x 1640 -y 510 -defaultsOSRD +preplace port s2mm_err -pg 1 -lvl 3 -x 1420 -y 470 -defaultsOSRD +preplace port s2mm_wr_xfer_cmplt -pg 1 -lvl 3 -x 1420 -y 490 -defaultsOSRD +preplace port axi_aresetn -pg 1 -lvl 3 -x 1420 -y 510 -defaultsOSRD preplace port S01_ARESETN -pg 1 -lvl 0 -x 0 -y 490 -defaultsOSRD preplace port s2mm_halt -pg 1 -lvl 0 -x 0 -y 510 -defaultsOSRD -preplace port axi_aclk -pg 1 -lvl 3 -x 1640 -y 450 -defaultsOSRD -preplace port ui_clk_0 -pg 1 -lvl 3 -x 1640 -y 300 -defaultsOSRD -preplace port ui_clk_sync_rst_0 -pg 1 -lvl 3 -x 1640 -y 320 -defaultsOSRD +preplace port axi_aclk -pg 1 -lvl 3 -x 1420 -y 450 -defaultsOSRD +preplace port ui_clk_0 -pg 1 -lvl 3 -x 1420 -y 300 -defaultsOSRD +preplace port ui_clk_sync_rst_0 -pg 1 -lvl 3 -x 1420 -y 320 -defaultsOSRD preplace portBus gpio2_io_i -pg 1 -lvl 0 -x 0 -y 580 -defaultsOSRD -preplace portBus gpio_io_o_0 -pg 1 -lvl 3 -x 1640 -y 610 -defaultsOSRD -preplace portBus init_calib_complete_0 -pg 1 -lvl 3 -x 1640 -y 380 -defaultsOSRD +preplace portBus gpio_io_o_0 -pg 1 -lvl 3 -x 1420 -y 610 -defaultsOSRD +preplace portBus init_calib_complete_0 -pg 1 -lvl 3 -x 1420 -y 380 -defaultsOSRD preplace inst Memory -pg 1 -lvl 2 -x 650 -y 100 -defaultsOSRD preplace inst Datamover -pg 1 -lvl 1 -x 190 -y 470 -defaultsOSRD -preplace inst AXI_LITE_IO -pg 1 -lvl 2 -x 650 -y 600 -defaultsOSRD +preplace inst AXI_LITE_IO -pg 1 -lvl 2 -x 650 -y 604 -defaultsOSRD preplace inst PCIe -pg 1 -lvl 1 -x 190 -y 680 -defaultsOSRD -preplace inst Memory|axi_crossbar_0 -pg 1 -lvl 4 -x 1320 -y 120 -defaultsOSRD -preplace inst Memory|clk_wiz_0 -pg 1 -lvl 2 -x 820 -y 200 -defaultsOSRD -preplace inst Memory|xlconstant_0 -pg 1 -lvl 1 -x 640 -y 190 -defaultsOSRD -preplace inst Memory|xlconstant_1 -pg 1 -lvl 2 -x 820 -y 330 -defaultsOSRD -preplace inst Memory|mig_7series_0 -pg 1 -lvl 3 -x 1050 -y 300 -defaultsOSRD -preplace inst Memory|util_ds_buf_0 -pg 1 -lvl 4 -x 1320 -y 380 -defaultsOSRD +preplace inst AXI_LITE_IO|axi_crossbar_0 -pg 1 -lvl 1 -x 710 -y 624 -defaultsOSRD +preplace inst AXI_LITE_IO|axi_fifo_mm_s_0 -pg 1 -lvl 2 -x 1030 -y 824 -defaultsOSRD +preplace inst AXI_LITE_IO|axi_gpio_0 -pg 1 -lvl 2 -x 1030 -y 654 -defaultsOSRD preplace netloc sys_rst_n_0_1 1 0 1 NJ 690 -preplace netloc xdma_0_axi_aclk 1 0 3 20 560 380 460 1620J +preplace netloc xdma_0_axi_aclk 1 0 3 20 560 380 450 NJ preplace netloc Datamover_s2mm_err_0 1 1 2 NJ 470 NJ preplace netloc Datamover_s2mm_wr_xfer_cmplt_0 1 1 2 NJ 490 NJ preplace netloc gpio2_io_i_0_1 1 0 2 NJ 580 370J -preplace netloc PCIe_axi_aresetn 1 1 2 400 510 NJ +preplace netloc PCIe_axi_aresetn 1 1 2 390 502 1390J preplace netloc S01_ARESETN_0_1 1 0 1 NJ 490 preplace netloc s2mm_halt_0_1 1 0 1 NJ 510 -preplace netloc AXI_LITE_IO_gpio_io_o_0 1 2 1 NJ 610 -preplace netloc Memory_ui_clk_0 1 2 1 NJ 300 -preplace netloc Memory_ui_clk_sync_rst_0 1 2 1 NJ 320 -preplace netloc Memory_init_calib_complete_0 1 2 1 NJ 380 -preplace netloc S_AXI_0_1 1 0 2 NJ 270 NJ -preplace netloc Memory_DDR3_0 1 2 1 NJ 260 -preplace netloc PCIe_M_AXI_LITE 1 1 1 390 570n -preplace netloc xdma_0_M_AXI 1 1 1 360 90n -preplace netloc Datamover_M_AXI_S2MM 1 1 1 370 110n -preplace netloc S_AXIS_S2MM_CMD_0_1 1 0 1 NJ 430 -preplace netloc Memory_M00_AXI_0 1 2 1 NJ 280 +preplace netloc AXI_LITE_IO_gpio_io_o_0 1 2 1 1400J 610n +preplace netloc Memory_ui_clk_0 1 2 1 1380J 100n +preplace netloc Memory_ui_clk_sync_rst_0 1 2 1 1370J 120n +preplace netloc Memory_init_calib_complete_0 1 2 1 1360J 140n preplace netloc CLK_IN_D_0_1 1 0 1 NJ 670 -preplace netloc xdma_0_pcie_mgt 1 1 2 NJ 680 NJ +preplace netloc Memory_M00_AXI_0 1 2 1 1390J 80n +preplace netloc xdma_0_pcie_mgt 1 1 2 400J 512 1370J +preplace netloc xdma_0_M_AXI 1 1 1 360 60n preplace netloc S_AXIS_S2MM_0_1 1 0 1 NJ 450 -preplace netloc AXI_LITE_IO_AXI_STR_TXD_0 1 2 1 NJ 590 -preplace netloc Memory|xlconstant_0_dout 1 1 1 NJ 190 -preplace netloc Memory|S00_ARESETN_1 1 0 4 550J 100 NJ 100 NJ 100 1180 -preplace netloc Memory|xdma_0_axi_aclk 1 0 4 560J 130 720 130 NJ 130 N -preplace netloc Memory|clk_wiz_0_clk_out1 1 2 1 910 190n -preplace netloc Memory|xlconstant_1_dout 1 2 1 920 290n -preplace netloc Memory|mig_7series_0_ui_clk 1 3 2 NJ 300 NJ -preplace netloc Memory|mig_7series_0_ui_clk_sync_rst 1 3 2 NJ 280 1460J -preplace netloc Memory|mig_7series_0_init_calib_complete 1 3 1 1180 340n -preplace netloc Memory|util_ds_buf_0_BUFG_O 1 4 1 NJ 380 -preplace netloc Memory|Conn3 1 0 3 NJ 270 NJ 270 NJ -preplace netloc Memory|S00_AXI_1 1 0 4 NJ 90 NJ 90 NJ 90 N -preplace netloc Memory|S01_AXI_1 1 0 4 NJ 110 NJ 110 NJ 110 N -preplace netloc Memory|Conn2 1 4 1 1470 120n -preplace netloc Memory|Conn1 1 3 2 NJ 260 NJ -levelinfo -pg 1 0 190 650 1640 -levelinfo -hier Memory * 640 820 1050 1320 * -pagesize -pg 1 -db -bbox -sgen -190 0 1860 770 -pagesize -hier Memory -db -bbox -sgen 520 30 1500 440 +preplace netloc S_AXIS_S2MM_CMD_0_1 1 0 1 NJ 430 +preplace netloc AXI_LITE_IO_AXI_STR_TXD_0 1 2 1 1390J 590n +preplace netloc PCIe_M_AXI_LITE 1 1 1 410 604n +preplace netloc Datamover_M_AXI_S2MM 1 1 1 370 80n +preplace netloc Memory_DDR3_0 1 2 1 1400J 60n +preplace netloc S_AXI_0_1 1 0 2 20J 100 NJ +preplace netloc AXI_LITE_IO|gpio2_io_i_1 1 0 3 NJ 744 NJ 744 1190 +preplace netloc AXI_LITE_IO|axi_aclk_1 1 0 2 560 754 860 +preplace netloc AXI_LITE_IO|axi_resetn_1 1 0 2 570 764 870 +preplace netloc AXI_LITE_IO|axi_gpio_0_gpio_io_o 1 2 1 1200 644n +preplace netloc AXI_LITE_IO|axi_crossbar_0_M00_AXI 1 1 1 850 614n +preplace netloc AXI_LITE_IO|axi_crossbar_0_M01_AXI 1 1 1 N 634 +preplace netloc AXI_LITE_IO|Conn1 1 2 1 N 804 +preplace netloc AXI_LITE_IO|S00_AXI_1 1 0 1 N 604 +levelinfo -pg 1 0 190 650 1420 +levelinfo -hier AXI_LITE_IO * 710 1030 * +pagesize -pg 1 -db -bbox -sgen -190 0 1640 940 +pagesize -hier AXI_LITE_IO -db -bbox -sgen 530 544 1230 904 " } { diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ui/bd_77ae6ffa.ui b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ui/bd_77ae6ffa.ui index 22ed281..6f00c3f 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ui/bd_77ae6ffa.ui +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ui/bd_77ae6ffa.ui @@ -7,7 +7,7 @@ "Color Coded_TopLeft":"-540,-2", "Default View_Layers":"/AXI_LITE_IO/axi_aclk_1:true|/AXI_LITE_IO/axi_resetn_1:true|", "Default View_ScaleFactor":"1.0", - "Default View_TopLeft":"-586,-82", + "Default View_TopLeft":"-432,-352", "Display-PortTypeClock":"true", "Display-PortTypeOthers":"true", "Display-PortTypeReset":"true", @@ -30,19 +30,19 @@ preplace port axi_aclk -pg 1 -lvl 0 -x 0 -y 210 -defaultsOSRD preplace port axi_resetn -pg 1 -lvl 0 -x 0 -y 230 -defaultsOSRD preplace portBus gpio2_io_i -pg 1 -lvl 0 -x 0 -y 320 -defaultsOSRD preplace portBus gpio_io_o_0 -pg 1 -lvl 3 -x 680 -y 220 -defaultsOSRD -preplace inst axi_gpio_0 -pg 1 -lvl 2 -x 500 -y 230 -defaultsOSRD -preplace inst axi_fifo_mm_s_0 -pg 1 -lvl 2 -x 500 -y 80 -defaultsOSRD preplace inst axi_crossbar_0 -pg 1 -lvl 1 -x 170 -y 210 -defaultsOSRD +preplace inst axi_fifo_mm_s_0 -pg 1 -lvl 2 -x 500 -y 80 -defaultsOSRD +preplace inst axi_gpio_0 -pg 1 -lvl 2 -x 500 -y 230 -defaultsOSRD preplace netloc gpio2_io_i_1 1 0 3 NJ 320 NJ 320 660 -preplace netloc axi_aclk_1 1 0 2 30 130 320 -preplace netloc axi_resetn_1 1 0 2 20 120 330 +preplace netloc axi_aclk_1 1 0 2 30 130 310 +preplace netloc axi_resetn_1 1 0 2 20 120 320 preplace netloc axi_gpio_0_gpio_io_o 1 2 1 NJ 220 +preplace netloc axi_crossbar_0_M00_AXI 1 1 1 300 60n +preplace netloc axi_crossbar_0_M01_AXI 1 1 1 330 210n preplace netloc Conn1 1 2 1 NJ 60 -preplace netloc axi_crossbar_0_M01_AXI 1 1 1 340 210n preplace netloc S00_AXI_1 1 0 1 NJ 190 -preplace netloc axi_crossbar_0_M00_AXI 1 1 1 310 60n levelinfo -pg 1 0 170 500 680 -pagesize -pg 1 -db -bbox -sgen -150 0 850 520 +pagesize -pg 1 -db -bbox -sgen -170 0 860 520 " } 0 diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.xpr b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.xpr index 838fa9f..661c985 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.xpr +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.xpr @@ -3,10 +3,10 @@ - + -

^5j8uY;~)0q!-%FES#ikB|o1a zeDW}C2@S(s=r+Js=1I#~V#wc-z_g8JhwzJ7>c5%ss=K#0E!D7(+?~|;XGWsV{$1OW~bz_%V`c z*;)Xv?6ZTpZn-McMsfz^hx1jMBDRE_P>1ESN|fVkc&Cm13e`EPEY=YZ`>z4MDuKO8XZ1Vpi-sa;$KN<>FLVV9{&|fxsxk z*>$u&yfm6{^Npo~xuVumtoe5ST=@M~X`8BE?Ow=@(akx^KCmrk%E)FL+!%z;#$VOgb z{vl19iKiV|@-d9i4)?j(_J*p^kPM?-V%SMxz6LDz6)s1JwvrPnt5Ed+0n1JhP}1WV zDX<{b5D=<(ozs9*Sz1v-RSZM5MO8^};PsP4Wl@U7yyx>t@y`eLu`_E9NkJEE2-4?6 z0%&^`6T6aQs-VEa+1Bu#h8$C2A3ZRtuws^zuL`@d#`ofeCoKWX!WP;(|5>INgMRzE zlo1b(`Lh6BNDGQOLn)EMF?LLe3c^vK$e4Z)duQD1;_%!xWTOLfxRY8qpMUHM#dOR) zkyxcR{5!oiz)60L5nsg*IK$eO93YN(5d)`cM(Kv2K_L^JR;9?QmSZw^kdfb^qkU7$ z;;6V*&4bhtj#0k?rUB~sLL)-6py@O}rPh+$5P%j_=9b-Yhvnsi)}6*HOzK4wLGG_} z9#gEQ$od5$vVm5hqI8kA3`Z^OPPZDz^h(@uOfOv;tj}o7jul-tv7^2`rLDb41J)g0-MHCnN~TcEwadF0zSYd z5SXB65JWZo@Y3RGZmM9#lBbkxgP@g>*01yf9ZfX*vUF9+zUwW7EXq0!S1S-@Pt10f zPwq8V@1}x?J1Zg}ptjmRE1ow4GJ&+f3E=O&XnP4(X+2nSR}W$DBFCWVH5#FN7p?SJ zMks3`NqrqPDTF?OO^9(MX+{!-e1$@;_Xx2@@qAWk3%MFcpwMY-AGbTbBtJ$l`wVCY zawRc<2^=M+c`VXHXv`=#AyQ?e-Gd- ztyklHx!$F!X`Z_IjA_LXXl%gzsV=M69b7!l2R*-uug$r@d=ZluW07GL1+b!d2el%K z+MtWrKwi-7BgT2Hr5K;`B)Lr8iabCkQX(_#TiS$-t>dc{empZlI6)5?(~(eFG@_#x zdb6-@eR~YFJj}47IIklC;(bA)RnYYH84=SXa$00~HejJri1^Wi8_*T?l2NFmU?ZLg zB?$F&nF;foLtDFkEltKQ)V7sdwM4^#VoDXp^-lJ`nvbvD+jt zMmOy0)4|&pM~Mz>qu?sGGik<@vxU5V-z(4C^f}pep>Us!c zC%G`l`2dZ8N2igA)$Op+5mU376pAW~Le0$SFb4ig+#U%Yaq(g-IE1^MI+f+SjG;Vo3Lfji1+$ujrl zX;)X1LzkPNKy^YLMV+9ZSZz0ODw)U-;pIxWJy3yy86bd6Z^+gJCcdumq9URd#k zx|j{JJoyWypgdonV&JMsq0L4)jDm#^0EWeu>@AYNKCY4f(1{C=KamH!7-W}AQD+bY zscaezI_B3rI?}a?NBqa^lD3fDX=@8YR z15WTXyCN-ACR^f>ldsf?3mbJ(a=`hkUb4~o-H$KgDcAJ-!``qfw~$dpg&f{(C4B0O zfh%-{Jxt4IkIB=QoSqeTi;LIMdkUR0^>|LGXL0Im9`RvkH>KRArWVD~I?y#NZlBB; zdrg;|W_c>n^$h|$C7Lc|q{a|6HSM2wW^Ol*`xk_69c`NS+9_`fbA4w_h+K$`T??i& zsE;<2G%7P};-Dbcn!dh0HXDA!xzMc^a}RdH0Aovga48meR8`b15f3ow9`6hmHeIOJpAe>H=gjRpP&AVSAOp!pL+HB z9sm5~>IeSqp6m40lGf*)S1vN=pK?<4)Z`b=B)#$&#? z?a=%F;I)fRduZ|p4{ZA6EBm*9_A@URTQ;1#ee2ehoeORbZs@v|x!+0n(?g5idcpl` zqD+RGWrL5lZ@q0OcWzh9<}eCV*j09&nu(XhtziUP%9|_mqyn`>WZ}6cXE&X4kXMD7O>-Mc@tbbdy z;9Kwf*~|4mI{bs>cfK}#=>^@viW9r0w;oGLFWT=)6y!C6>oVxAd zr>ZaQI`rzy4~u_xeR=wOlh+;Iy0bEM+hpnUrK!o=A1sCcQhA^{1{kOmU zv*+*LRsZa^N4|UV1z$hq#)npRZQeO}eOM~1PS(8q+OK}#zrMKXzE5m=-I%mH>U32cJ=0W zfBM>w-!t?0Pp;|tADiBK{E5$XozhvpZ}Vi!lHlfG;qnSxdhpPi%MaY2?mhI4Oxw5a zKR=%SOhy*))aUj?rP7A#!;|HoPPR_E7dXk%zU|Wc`!;=c>&HhIZMb0Njkm7JZr%B8 znE{TKbnm73y_1RkRYylEB`4&@6MVg%PlI18Gx6~W9kzV! z?ftn7J8nhRw+$@g6dV=C$V{@&TrK4kmMxRL*$4P6U<>*gbznT7!(5>tz_8o7GjGWUGARIIjFf1@;BL3)*kAO2CU zR@-G>loy|5+b~I*c9sbPkNu==pub)mU3lNJWw~+AR`cjIV`8F{{rmUro54zQRwsvN zX4==TT6NAPs)S;Z?X@E^k{i`suzOV}d-wZqWzIBog31z^^{-#Q^h*nWD=Ag4N9yEo zDvg|!c5P!X^uDG}$lF6ruLJ2(bduE7W4#{Lx8l4ce8kmPr@epiyN>z0bb8&!{{GS2 z)t|t6kg}9}-5U(-l>;Z7u=ulJv_%%`^$&V4y(Db<#G_vA=%8?%h5Gni@bSb#(Hf zO`5~#D8?8g^$|TE2Cc2%zkgXh;R7mPU$tFS%DwgV>sLH_-z(}*pm}~{(hLqCJdB*Y zPJB`H3vVAFvWL9qVBqlR_<_^sAI;ThM*0=4*MrZiz5lb1{@O2g@BZ5JoclHYhnw0* zlJjJW-_g~5UN8g167*e<`s5=~gb;kX=MbQC5B?b~-b2VC_hK&3iPQAHcqnv1{CqUH$7j zI+pb(e2mN_ZJDvl*KgUf{`%sFcKjsCMXyN{O~xx^bMUZ^UC^#QkkF)>I%$kuHIL38 z&Y+Vw8oQ!TC+Uv3`m*aIH{Sn_pLFb*ysI6{<@f$3mW8xS9lIp+uKthsv1_Eh@_wgD z=jr6n5#7|(frDwDezzCf^Sg^_cwy|?XWqW{aAj}y8P&<*!ylPs;No9(!T~`2seN4A z^9Rm5&mTlZrXMaH&g?F>4UXh=?9yWP@>c4MtxXh5IaL2IIJ7QwvHPs z&e-dE`0PUFDWdcIB3Ad^>Naffu=P{=_$j4VJn1W>AJTM5KHeSbEyhE{v$e$E<1cBZ zPwW}vp+Si)@k2a(7*;xdM}Vd?4O>GZC?`3m5r%XPkkvC7as6403Ed*j=4+k>>C@_C zI$wvu3Lj?C4__B~-YZ(y&Rw|FsrwH!ZKEt~Et}g8y1d+ya8IP^d4$1N`qhn)SyK0= zY&Mjq%h|WI-903EwkXKTIrqUb;oMN!yG?vE%+Tn|iOwo_-Or=Q)TsIAv@8-A*JssyUrX=+A#CKhr-+Xb3Rkx;tZppRz z%C+Fb%PPrGLcH4Wt!t(y4#=^r_wmTLG34ac&-NEJdNkbUN+EmWvx8T5FJuY6oYrNw^T5n#nMV^cP3>?qz&w4D$1PQnHTn^SLq# zbYP1gotoaQeq9N4@L-d5m81$CZQ+7?J(nqtRth=#`OE>ONkG?CI%CXK7$9a&*AFH1 zAD*{9}$(a?aP@ z(O-Y{Rl__VVMC4OPk&BQ_2&mt9qA5fKQPkPA?xR;)tA`#^grQk>JIG1*8PbmbY@Vy zA=W&5`#B>GGkR=?)KyGkQPl6<1GPMqU({8Ku50)ey^I#TYjm`IWZANOUUj0&4j`DX z6Fp;1Az7=aZ$Bv)yemiFYv^Pk(aFBT{-F(WKF?OMQH`GioiCa?nL#IYhEdf? zS80ys&3%hU#Ok`WNwIgm$`^&SA86<#br(8;lh?z6g03A7&eO?{-b5$I)_?wLoo`6g z(FqsCkVTaHI?{WPSbd;FzYQ=S5^>GxMC6GlR3*$8iB3?8>Lk%dQzx7iyjLmml0TrD zVirXox&|EeGWwerb9@J3*|LEFRjL0FLR8MCFctlHNZc zfzD?8#`h2W^hLhAF{-wdx)z%cx~}rGIQ@i`GT#Fj-ISh{@qEfR@-N0VcfL;Q>ys{h zUcKJX$uXO0EtLCusE@a|r!xnsv&vBWNmC~s@G=K=-%nI0`mF&>993Ydll{9Bo$S|d zXv_!A4{1KZS;`pJCH=&A6L{6W(T`4aR8Vc`%2cnatwbjexB)Ezov`prbmC?71pFEV_tt2{j?dSau!$L=Dykp?*&ogq5a*|?h z{OGYO(FtoA|HCtK$%|T*Ssn6;3fdO^F@Ek6q}by}n7uF4c*CxTEN0lqn)lAX9Af?!pU(b?2vKHrNDjL#N^9If$7-wggYi zg`4Ax{Fi*Lps?KXrlOV>=V=V>+LLgRhO`HLdY`Y@%2 zD=p*ltQ}&Hr&7F$!MFT*xMmnP`tyXo<*~+jixRFG)c9w^+*z8Qa~D~Q1T7CW>=z#j zPhx2hbbUGrM>~@A(RD}KHAes3h<}TB1wL|GE_RQ~Nzip{Bi*r|uPSGHUSc6}ca1s4 z5GDb5qah8C@peV&Y=t$Ch6&9-XR#UoI(&mpb5VLO{I7IJ(h;_rUladkuI8f9jQ@Ot z57uliCo!y^yeF_tw`>*Rq-+gt(+)BGy~q+2wO?GP?yiPC;_oH&sSC@sgvUm@r_1&! zrQ2Gz|ALzuZrCfvxYUV_7De3Pw@j!y0r}93fmNBRmD!X^3@^;7DQ2I;+I7eOiV^s(jCa ziVJ* zY9C7C60GsJ_lhwI+Fvy*=Y7UsxxkM?miEiu3VytI^RCK9LEVPmHtOBr2!XJv!bw%b z{ESt%A!uFZBw%G~uG}Ok*D{eIJC<>*ZVJJp2Lh?=eUH|(k&&p}3td|j77X91_NDL+ zah4;vR)3OGcQR>5OLie?PL>}KH7Y=yb_t_2euuf%SJQBpi`I?$6=wYjZWiCG9C?W* z0`b=q9?&GSki^Uey+?7JBat8pXr&c=Lue#uI0>3-pgs=?wVQ`gbU2%f^Hhz?ylk47 zX6>6{b&X!2)e6r~;wZ*gI1E(OLCgjkMd8hMg~NuYJ0$Sit)&O7NiqUUKyq#j1BeeY zZjweOJngYO8|n?SMmt_)o)IM7U_3&1OC006QwDgO?RWTR#CoQ*S*C-cszUm7KH@n< zOq`COvHS`t48JB=YBH=Cs1=UwOc1V(3&Y|(D1m-l1!U(=s+ki zdGJkg;>l)WUin5Gu_dM8VT(Gkvrar#gPILFTJY!$qIYUcu;+eR=vVT2QL^h)J|E^3+&=* z{&5?G&auZBI?Kr+PBTFSZAv6*Qb7g86e+DgXsr-O4b=A1#bxJdIgH45=(LXfV`y82 z??Oyj^uW&f$G|d>a;yT0D$WoeXDd^YiyZjjHW54IT1#p6$`xAqQba9cW3>FKl%pX# z{U;9ch{s)12*w3L5v{Ptu$B}XSekgjrpc-7CSZT!Dy0vk3ayB)U5K%y)Fu}`qe@by zl!(0;y0gd1EfzMWhWOn4*yf=)7*wu8E1YyjMJTdogWZg*As&;k$Z02;oz@N6L6fzc zqam?VT_Cf8N5wXZ18XNtFvb>T>9IpYu*i!YZ(c{S&RQ}zp|~mQSFM(vf7WRx z!vd$;2nSV)fj*d|M&xWG5`<(ybXLY(vCtVg)GgE#Mg67G{GqkY_OFU4lM&rcvkb%z zYXuzjP3jI#cb1SjxoEa*2WMX)lxMTf$|5WF=CB6IMkQDwOp71mqUO*^7C3BUkKAw- zk-{m0VrRU|3_-9fWCoSWVs_g|s0sMdeKoCu@z>^s6s8qb~kqQJ6q-AcLD#*Q?MZy8I+nuyApr*&MZCfsZZ1&exmovH9;?y}}jBm$3G z^h_MUs#KZ~XmSO%xWJyprv*f~fb-Pz=}FxOnhhoz=@*>PQe_LmT5!t;NT}fWX`5>R zYK2nUr}c3ik|ShjDWnfqyN+;7^FeG8BNgr~RSg9(Uxa7ygmx-)or=*p??UMff}K|c z-8v7(G#eY7kJj0BBsnuxiCSHU6`8Q1k(I<<9@reaB*mV|F25el*9J!HVsVXiPJ>aN zd4%@NVU^EBc-0HTDYgaC2C{Oesxz>>);ESbQzJD!bdv#9XrO$gKF^*nGpu#4>V?BS zvqm|?&c_DA?x!(!B}*?g*YUW;!gE+=%Hd$QvDmVXF!ahXZC@z?5DEuYsjq-2ysQfZ zLb^gAPVurikrt8g9;UkzESv!agJ4NFq%=eyyNz)~$utsh5~-9U^=Et%2jxjVXNSmS zkRRaqk<1v}a5EQr2B|b%OSg@1bI26-x}8QQVAbiNMq>~!#NHvZ0G}NZg5~^SG!A&N5F*3m#=Tq{T3+ zIRXp$B|xS=wGZ`H)X{msm#;PU(DEVG904Z=dL4=;lwAm%gy>DVRo;o}l(5g>QBV@Y zxD!>V2^O2_HjydjO-okb6RC=^+Wbt&#mO#415@JkuM*MLg;5|mF>Jco5~J);ZZyV@ z(Qq|$(^Bi`=`gY6Q*d&O6E(p}Sa!%?+7^*g5r)CNWMeC1g}@lL;yshK`K~3?Jn9-Q zmCumx6@7iL=pICh7O@vn_x74!K@I%d$ib}Dw@+1>h>WvYvDrdJW6qTF0~2a}=)1zO zKY9M-UNsCb>%#j3c*#UIQwatU=ipgWawZXsYQ;DzsM4U4z*Kss>}ngfvemwz zcQ>a7PHRDhT{dcw8f1P!B4Sjo3VPM&xiQZkIr!%>7gZ&cuZF#Yf=QEe;#T%DcpQ^U zS39aY)CZ5plleGpI%o>!afX;JE{AbODf>I6yHC#q6|-hCcC>Ojs=){;&OA;99=B;1 z3PN>^k9}JAFf4H)dlc%jnMl;72~mOr7t&hs6Qakk{8C9$wrqCTOOi_%wh-sRm9Z9^ zlE=y4l5q;WPEI&n8;~PtT8*%2+R6}v7~^7yEvl2Jm8>K(EE!$3Ih{I0KzO`!n1{U} ze6GOpuROtQ-m!oqI*3-D>8cYnR|2pMrtF+CF=v)c2%V|VXBLiy&cvqNN4$GXw0&f? z2BcxDg!nNJ9WZFcEE3{}nJEZo zolS{jyppytsc9w*I5nBF%Dr#kl&De;y6DeF{RxaQDnd@IB|VK==V1k#@WIy>!ZuwC zmH3;aa1}-a42>dTr-eHr@wK7`4BM4(we97dENh%JwX<>h7`c31XyxhL5Svf64M|vG zwv9}vjM-eP4E;Wj!*StK8t zRyyoCVy*3|$|N>SCd^!yVSF<#6`$lnD!^qb)M`}pris*@+Lo?^BR9q5fA0hX&ivt{vNjpduqmuEOSkFEf*W{kHr@sW?NS;!A% zm#R+v`W20k4D;BQ_}p^YiepHEv87*+V2KP^`D^Fv%m!oKcPF`V=bCi}YnwbdxF{Yp zOr?wtzrNappLJg+D@#f-XOlG>xb@Cqp=1|^mLpV3+B-r^!9#dh%wsK9fnUfxr`ZCY zn415V(fK#e)Y2J_X{S0>;?qprN6W@K!1A3I#zW}BZg+VcGO7-fkX97;f-PK69#fXH zVT7(OVR1E-+P0^tzseQKO|UgOV$3ea-7eJ^*juBlwOi=;y<@U~yh2|Ko#d@=0;z0Y zsPA-W$yz(T#KqQtVb5ysZ-Sy5@y@%_x}h1@a04<)^5A(&;Z&A+S(tqt8d7>&$9E|1kRV-F_8fetc+I2yTa&8kATZ}3PkxN%@JI4Hp3|FwvvNw}A4@p(%>o5kxRBX?-ff68(eHFrTB0agz)))` ziuM~4VOp8CZaukEY^xt&4MiJ?^c*i4s;0zrC>qYvE!gI{c%jJ>KSe!5+Kt}8Nze!1 zJz>P-z2PX1-=F2FQ8vo*jSm|vHWFGYq{h`}jtwV*k?xc}T^?lEiP1$t_zID#C$Qih zIX1v>0})WoNXs9CjjrEmMk!B6fPn~>;BAc2oFu*%D~Y2RDx+&25AjmI=F;L=i#x)h zwLv%(=Hm`Szsj1oS<1@GV4p6EygrQ}p_q()jyj+>APCHRY15e6@_dCR3Y`<%jGZO& zo)D!&tA5K5Mk6U|n*jVZV2;!l3aCsy6qN;9(=Lr@NpL<)IobD#JdDf7thko~mIm@= zt*g>&jx;aD<7F>s5&6=Mm|cRU#A_WhsU%+M7{KLh&Vdx;?aj43&TZ`dVzwf>y?$51 zBDn#S_eGgV_|ovPzpmFeg08qTM?En;UdhavJBOLbiE(j3**2CWxBwPEcp`j>0LWY? z3Wpp@hS8wH)R-4}H%kW=Lkv@~Y$gE|dUZ%0m*6ZUc*R(Lg!CSxK|RlvAx#nKB>}3c z=aM2qh9c1^tV=9^h)jNm9}F>>s!_f_qmY!n@Ej#)3F^6yav~#s<7~muyB9Gl^F+tf zH_seY6)A*fjh5igB=qST`8M;%4lGI6z%O>rpU0TQyJwPIUZ0+|@XO6OTsP0}6`5rX zgZZ-z1;tR~LOP7$s!RM?aEXu3ugIWFGRe7&$bi-aP6flnz%&)Sn{|d7ZX5`gTF#r0-`bI<=Slllt` zqC(R(il$8%_C3Fa>e_v?bE|yYH)%)QyI2jcbTr~52vs7uQsG>hXl?V*3NP3bXT8p<}y)EnQL9TQ^NDm z#a7+m3y+bRh=%@^l^_#sWT8xe_cbRawP4|oPU+2OHscy@V?-9QYg$@5-qUtY=DB-w z@wp3nUE3Kh?zJqXDweT}CzW0{l9tJ?dxGle%w%0oGUXszdYvGR)g{LVbIjXr2wOJy zh1qxuN7oxvKvT>Gd=PEoEsQ1z!xj1vdMJ|*yOKO!9Sfs#OVr=r<N+h1P#+9_Mj zx|8pB_kHOLnJ?{=yFT{O`%b^~jFU63J@VEyU;g127C!&l2U=dbZ}nvT(cfKJ-?lp3 z{>TS2|JPTy+^}NX2U~VOJ0aV@#5s!JZaw3*Pkr{K`Y-TvR!3;K#1u!BVTtfptSO@IEP>LcwfciuK>GuLlPZ+oKjg_|et z+xCrZzna+j_xH6A4K0kWg9T#5k==s||_US+R;>`N~Vs$)&IU#cLnhwCSBU-gw3j zGG{!~c1>8h=FFaRH>AU`3|(;j%$;4Izi8(f)9da$v9cj^{j%-b{&HmXv&DDccK2;( zT=4MQZrJ>_fB8gb{;cgcetc_hr~9jS-O%>YAouLZAFu72ET7B)G*|rU-A~`WW@7T; z&pdwr49p>g&ps2J*^%k*57(tr z?UGA(v}JOIw)4*G$fSXO33jZ)>YQA4)AWdDpPZxEP6#W%8PK@>BYcbSJnSIZpPJ6E zRWc4fOXt)rw51Ad1#jc%Bhq=O`bXMxX}>96Nj!9<=FS^wVD>knUgu;+^}Lbw*fQqx zBOAj73Bkz5qY2p^-~P^bUVP8U=^P<>Ku>;?(>={d@yd}gI4-pJv48hsd}_#ZF_R60 z75jzL{v5b^<}hcX5Y>M1^5rL-Fw%d)1?)MmUwNh9)va4rkN)%fm&ri!dTl^^`~J2C zf1^4%^S^LT8heq?xde-X8EIe7F6pITTKukcU+dU2KF$vAy{tF?e#_tO$&8E~yD@#R zy`J0M!O0%u=wweOb&xX!+t2;Us*w#pC41@vd%$=`&n;@N&u}Up`^VYNeDGlZ$nozv za2}>i=;UyIEeZqLlXK__1N#aCUMB~H3z5T%YLoYTqPz4LW)V5WGbs7}Utz@kDwj}6v)RVSbPq~?K6 z)Ile8!DO)Be({43s!r~`SNE!4%t<%8WB3jJ-b5$tk{@{2i-!-7kN@3|_wPTze((=% z!la;n0QSikG}!v{GhaQFU!(ed$t1NA+` zbM|KYZ@sdrz7g4w1Ax_dy?)82`gs`D_1F6k53Kd=qu!pJ z*El|~Z+u`}^;u7Jf(2|lM_7%cam}v@`}ZX}Z-$u--t_(?(a8v>OQMq(fBItMDb!rj zhEH|!m9J<&*?R{%Iluv$zMmZE=UAuTm(T6pBcD{A9QYhpdcZuLC~x)AYX9CDn(jRZ z9(?e7-<#Qc@4Z)EIWwa=f%KQ?gh9;9L0K6Y=@>ZmP&!>GY`ITQ5*!&>^B%RhJny{z z`m$w)3VTcTV~*9i#2-lV3ZWLMQ3{ZJF`HfV6iI&l$D#h4-zR zS@3z)36k_W$&Kn6IZd6k_j{elIUj0ACprS82i6|&eDo*hnvS=n#@nzzrsH^>qz7~e z5z0DBC$Ag3GOuVpZv;)9WX1;uMqb>|7_;VVS(<6)bkcw2e4Su1B_qFI|J>e6`zN)3 zG;~6;#@Lm#7q62My3jobmM>SGTyO!WJBp57GpfPY|Gh~+p$D_>twnNd!@VJ#(wiXg zdBqPl>>0UdBHR=4c;x|@;pU&Gd4wA-_f)gzG%8-hwh^zcjuctV&-i>F*K`T~Xu2cu z&3xuu3iog%9nI|c+4;Xol9VCb7^t0Mybd=eZb)68`mV!XQQaXPJn|DcKb!5O>Ye9m z*hJi6`Z`J>iC@Bkoad_&732XPhjd^E>Fp0YFPALmHHIta>=^UBKa!O^0Mj`fdjEAf z=>55mic`S#{4nLKeWcF!*-#@c6PM+YT-U5?T`>uBRv>un5W9UirS^?AJyY=NB^iqrJGn2x6PJjUj^kmTdfb;PAdB>4H~Fe;tm zeLPnWjqp_|=g*I%PG4(AN#k6^rmJ;ewXr0N-6Aw$78^?hfQ-5CBn+KCwV=_sJ2d_e z`C=^Yi63a3%Xp2{P8?n!E3c4e)a|_{aaXeS>iwR+TzU7&QFPli;*PLqyaM~i;YjJd z>+Kvh48BWUbj;X;4mhWAbrels)!;*MM+3v(?AVqXzxof4j{naYZd>>|zVfPM9iLf8 z)!Wyrd+NJJM))W}J(nvKu$lVWm-0M?lNw_s53-(m^g9gez^NB$xCsYj(`zD!>ics) zZN=Ozv!{@y<4gM{%>UH(N2d#ne;QENv(JD#(63qou^wrE;L&9EtLHR42d^JL=i-j^ z{pd&7f;C{@_$M|s{oeK5v-JN@2P^#iHJ`19N)nxHN_2AQ#Y876`4l#seAQgroUc`q zR%1?`pABcj>8;$MdZFW|tp`#sr*j>NPOwz;HgZbb)Cl&BstxdSI@yCx)Ibh>vj&|H zO`ZI5aZ=VSkEw!v@BCc;^ZHYN@MgRf#^3Rt_E8qYBl&@7f$F5cKj|leHLUiNWL$Xn zQ}!eV$^LLc#&oA$d{470Z+uXjwIluXOn*WUbBs6-BX5;7RG+t-Mqv%4L&^O#)mLJ6bzFEDdbr~3E2#7jQZtV7dnmc7atEortc&G0HS z=J9iMN%$=dd^4Y>J4a`Hx;dF>P+lj)W;sndPsQr)mq7@D_KDDn33u}11W`KUvBE}w zR?W6bGaEC7OwC>)SG2Hn035#48C~2n)KxtM!uCm_|TlLs#yE#2ztl{iq$;x{EYwUwFzOm*P4Hnl7|$ zr8!K)H;)VoMcS#?5owhh;OXbGF-^Q5NhD!%7Kg_ZxCTb&qBjE_i4?LPpc&XnpQd2 zS{QKntiNjHJZM(ifKxvMyN^x$z4#4sH`-J^e)eMVmGuH7Ow*&10LRXW3fu`pkAaiW zq5<}i(=R&A&Ai|p<2|#gGaK@;Ry_|#57GdNf$3pGw3rFXC6jKK<+)N#ZgG+uQ$eSoMm$??hfsZ#z+rXkt_T)5vy9MM{bOs8_Ns4rXO|% zX*0SgW7|cJm%q;O@(|tNgn6=JJoO`i3Ij0ydh{g#(Wp@iQbB0C(_F&7&c5Jw8e^YD zIIVI_)lsf9E^w}8mzdJSrSHctkkC|a6sP^sqG2A#aSBr~?Rp;H#crQIGHQ$R`o6u~ z=cXd})!uNIi{y@qk*nOzq%tzadx&g}oyavJ;M7fcC6m#V$`1tX)JfzplhhcFPxE-T ziV+g@-l!hfrcah6lY0`*Qi9LM({m|1S|c0j0!dc_O{DoUL3U`7R3O5{DQLB1NQBN2 z-S{fyDOa1iBY4KOWy?eji*r*G;tZ$OwM~uHNatvvQ*rR?!R>BCjnFjD51o^#K^IIp zasO}+J3h;hIb`V~37nLjL#&YTMAnf)dw^Q#xonL(^B0?RUw|^$r$IMhmm9kt!yprB z-z62#N2@Sr$|f7SOdREIs9r9aKR_u)9iu*)AAy)Is8$?G&#q;SodXgx-<^at zgsC*K3>TU{p~RdI2A#2{>Z+k7KMrlQs&|0L#FnR)ntQ~4s)(=E@_aG?Yh-ws=~kjD zlj2k~CQ(#o&}fm#$YtZ+NHuBWI%tHn8NvlANug zNjB+eH!@0Xpo}c}D6fnq2p-k(j+l`}t|$q?si=zl&~gi8G#DFNzKx!hTS5vA3N|}f zqC#1=H&vi6N_XpKsiKV{U-!$3^=iryqt#wAXh?&XUyH5cDU0QLAy(*IxT-Um*yqB`_H_xA1KPVd0n8G6@Qc42GU z5qlS+0}BZ%q}9FXMo0>eL*?Ipw@{+?O#crN${s(YKIndRX?W)V#S4I%s)jF|UB zKSm4=NRT{<>?R2DmxqEsKPJ)lQOvqA8g+i(Q}=ey>@FxtGbL-EkQ>RXyQ&qR_ z?W$Ykw4Rcwf`+3$IMJ$Vq({`+FhNHHeMtdwnlQvEj51_svE-Um&%r0CNuGSLVlM*B z*lT!^F;O6;BP2f>g?%K>c1YC>a%L^EXF_A6@OEr#wSll#$LSW4K8ZR?6ZzYeps)}H zhAN_06kmX+73g9nZI82T(_+)Aj6ZM!l;c&oIH&5M?*K;pFe1i*18co2(s4}{9uzp?;nBx}OO@5Z+O9*np6UQ+3E9vTLkR|7(T>__uJkX(fj)E#jzR~brpjTsqIzg6 zjsW@8$@q4<>D14HBTBNfVk~z`QO$EcVZ(%;iB3-x`uiHVs%P~DMS!hp<#uf ztzt4P4cN6%dMJJ+^PN5ykx~-OMnoLsp(=M=W`+Y`VkRw78e=giB)33cWGQUFV>P^-;h-FAYldhL{m|68(f9Jvk^a zEJI+yX^KAU%M=0SZLoo^{qHyZ#(0dlL!BQ@FbagKv=C2@x>R#JLV;oo&6zldQUv0h zbjmKvExz06@N%5+tr1J-tvl$Q9w|w2fzhvNC{F~PfuG)VF_%t{qc-drg!K+Y@t82y z=`SCRF@?BkIJ8gdJUhsB2o)LWcdir_3`@PKd1#JcxC%^vG7`7QPLZQ5$JgSBF~5q~ zO|(8V4D>c{HpTIAj8c^mtF{dI$e0dAiEWzzr6i8YgbDIwtRqkz2^Fk&lfclOR4=@= z{8d#UfAK;_gEl-BEu-)`e(`s1RI7*#WPpYCm>OmHSVnoE#|%84kYPhlAch*0WOQpk zC}^QHlaplh6Pn%1lMGuoMTh~vK;lWsGYeQH4@+_iH_^#Wlx*QC@#Qe!=56%`o&tP4 zQ2a6_1VQ}>Gs4nHDLp4^T^R6+F&rzQ^<45#@v#b+16EU~yUeNG7#*w6bLC#S7 zOB%afXr7X%<Cj%=4gIT)YBbnAc3MD9)Q3|lus z8G5M}6^w-HsLfIzk1(4lL!-eINQ@1HKFPaj27>vMv!EXvQ3dxx6ad?>Cd2eq4Tpas zZC(&DcNODwF6%mb2;;MHNdhAakDK^8_zW`> zW?K#WH%IRn<0(cyU66+8Fu2}G-CSbgQ6@V5Ui*w&6z8jJ40TMyAjSC`5(ou)p78;* zAXWp~Qbfjdn$3Su(Yw!nU{gBkX`Nc!`c&LJfqWc|8~j-=u^M_47Lv$Rr6P6`9f1OL zZ1}lD-!aQr`kGUmRk5tq6dJ29cByO|_ynd%n(o17e%hGzc9#MswRX5@v*>}IlK|am z^@<{MCgs;s`i{6LNK3 z`YUaWp;l3TNWxDa2Dn8)$yL!Y-@y)ueCA9-kNJ5z(VwYW(ktalpI!#87hZ-=ZP!h) zSs<;rk0`C>F9RPSj_-qt_S7jIQvi&TK~_wRxt1~Prtar^o%AriH52o8*7=lnJ^BgH zKoJ9SkP1!TqF0-7db9QdZ5BhyLg$Q*MgIYG?Dp#xok>HQe=-sJi57qp=x3R9pXtvZ z3O6cGW5hVmTpL9i!tVzBAmNjt^FB266PJqAB}-EdblGOo1)Bv@eiYh;qPV%yJGF^2 zT8(L1k#$-N@*|QipFV!T1#mJCWo?{1pVOV2q+z~ z6x1N&)RgfThnaj)>t3ZK@B?PY3m1I1GMpsR-+3VQpZ#gaI!qYidtK zWHiFS%W%r`O6cj-<*;>CbNZ)opl4aH)pYTe^0Ph_o=d6$19ll*jh3#3E)|?+`Wddt zY^x?Co=T{J?ynnF}F%{n*7=m@d zU5Z>9cYNI6M=cuUuWGHQ!{sfcMSbxv(d!8$ zrH~l~I>7o&mZ}m;Aq(t3Vv}Uc5r@qfpCPJxT8{Pb{!eqfU_Ao8ZS(K2#w=y(3v?U2 zwI)?-%m9d}A3JLn!#@uv98n#KsKmJH1{81QIUa{fi zOaJbd7JsF^YbBumitMCr$GM)Kc_YUT~+6ZORSCH=fo~Z zSxuMnQ=1Gy49OWMzs>%La+U6*2vL*uXM8~00sk*~SLNj)CQJLI*7)Ow5s7KiBVpyA z!is&@Iai%?_K}PGR$XY{d-IVv32MGLz*f`mIp?Xh^ZV313|f^+ zN*7@hxV!OjTVbnn#RkRq7K>|tve<-flEX18$_=yp0>-X2zo$0g>e=L%Pp}IS<2t&s zQe3cT&}*?rH>tL>_VUZoVM?d>6Q%Reqf;C6%y{(KDcu5jGCEN^t4*|MEIW1bvGy}3 zTrSFQ?HN|;QSpqE(^7w0KhbXVv*3Um;xh7k?@c4>-+S+$-rJ2YBk{p5KBa>!%Xc8% zFCwn%L(}VX8E9NF`@{Zp&v=S|3*B<=pZi?V7kE&i%ZYsWU|q9p_OWmL?ce_GV~;)d z%wtb}`zs=Z9uxV;?|kF2rwKjzhgI6|H)Ea z7QdmG$G-T*C%@RO%YOvj%dKKz(?GzjTMB1cy$2-)i%Y0*91q>}$b;fl&9HgcW{emF|8f!+{O=*HI^uj!I>&pGLyhtj26@^f1Y z6^6thx+e3tWd5~T-fPyJ6%SG7Q0Cv5`MWZIllm03E3I?i?FFqW=aUppom9SWO8H5n zaJtoam+!*`%bX>Mf=pM}WaT}a()W#K@kW;angZ;2jXb3EL9ogcCSwA{hch|$+}){y zSUQ*FZUa4Vm(w{3cq8rQ@w=Sq(u4N-y8hIDd^(yd?(tE6`p&$|H}$ogI`b3OZCGE9 z(_9LzDdNsK(n-~FQvc{Io>DD$hhKNMse=ekySrR>ylHsC`_gzk&D(GBwX90!o7C^& zyXjhIAS~al?}Q}x=XaM4{M_Ri-;bv8Wqiw3$878^cb5f!`x(9RR1OI*N#n6e^KXZr zi{V$fyIe)LVSIP_)c;PtFK2QwOA|n+(BZLSak&hOR~JlVjPT1(l}XFRV$ZNv2)j`9 zk0l(YBDUV-N%#@@Mm6M*xE#$Fy*h!VrLu;9LBLyj7 za8p>od&DZv5yG!J^#GcLDhTt(Y{APqE@mrZ)fD zu%a2!e+6}i;VYF7KcesiLR>4@r+GtF+$4l7D`KpROfiX!eigxxNCg;mm~vTyM*ql1 zm^q#SrxQ-KvV`|fgG2UU6y?4&tf?18N~p`yEus8S#;wPq#?KT_@uuG z@#|YgVSQd5*oV`XY{-tmxDP0&3Rfs4=nGI7uQ{C>0y;VMUg~=U@p6iD2EPkgj&3x~ z--{U^vfa36&MWH7S9JLHWsxpjB(59m`m+RVH*!EJ8QS!cHDFZb9)i^CW_KD*K=yYN zP=o5SWV?!^cu%F2%RpsNs4eU8ywUPFvcm>07PYF+bB)%__)sG+*Qf#WE+f_-rHvNi zv~WjLits(?5B`iAyR#^LNx{MOK~R3amNOI`#lgK^lp8eeH+7Rxi;946gAdYDi0GKv9P9;mx4sk7x?|qYeE` zBU(x&#j1PI%L}tieWW`jQfS9c7{{8E1xNR1Xf$-8<2+P*A*ylyAr__FW- zfeP!R6(aAZjze4o)zu1}bYiH3MB3R?R-R68@M;U=|6^mq7GbpE7*VK?O6=Jp*of5H zN|wk;T^y+5JG?)EGvh;WB%^J%QMH~@PyxVW46UCWuqd5-T{$BGsAxVY9W zN66zbuVuho|2c%1T@af*-hf{qfkkE1#96<%!Hr;j>Y%b>Zr0$n7+j44P2QZ>!eVt9|#zM6BT^NnlB6NVLKd z=Z;J2m9W!@?HxhVF$jX~oiT0>z9p(xVr^Y5Y)CV3XwvC$;Ixvgv4RB>2@LP3=tq2g zjVw_XDY^j5m@yhwJX+5?>P3+ZDxILy$M}K*3@y)$jGjh@TK_3FDCJ{|$wu$YOCQs! zpc0g$dyuuRrR3L_!ZFj9qG@Pb=F_@HPeB<;0@G<2zRPZ4=^FZ@@Q{R-UnS}`iP{Ad zof3=xO(Ap$CS0lBwLy)k@LAjlg1O)ag~+Dt8s@R z!FfI%@|MDT4EWsIXf0mIHANhmjVcFDRkeVYV9*7NmQ0nX+J^3@Xt`0A10Oo~1iU#+1<=Z|q_Nu+#v#&L*1@>Qams@8 z`UT}HN-U=(b7Kh>B&=D+DWoXEen5F_wGwi>b?C&yEXmlM?@e=%2}G=9lyRp?T8d** z-J-%;bsdZK8|4Ifd5%+zw%k-H2WK`_g?(Xwq-d`xMvRjVKbwr@q8%*>Lw>|E#X9do zY&!|-H%bXZN-!$Lfv{2=SINtRY#87c-~aWbM75H`DA^&d(?n;r4FrZFXDKW0OXAg_ zNkEz0LX=X0TKPY*+(4jnN!Tb+KA9amYBGOYi1 z&_&URE~sovd{LR@_EwsF^{2wRIn}%4Piqm6L16kMQo~4JGZstBAlT1k;$l!dvPMnE zM_e_O=c3Vp8hFlg_#C6KRDZpcoTp31I5Fq^<4-=MY{H-}^m^Ntjx zJ~xTxVMP7%LG_j)#HK|_iyK8iYs%ju`AG~8@U-LbhW%8ACL*(jN>;&W zoAGHRGxoqsS?Kz5pW=OgXVr9K#{0Q{f{Y4r5jQj@6Ol;?h`tJ60}*3a*r*c>5@SU= zP(}gKD5)}X1>^A0`)WyvL#NWCFO85dF+79Up|)YmE(EXQa4;*cicS?1QC<|e=n$iK z3~OU(5WwOjus7|0_K80(=bew8|#!9ES!#P7`UCzawF-qll9b8nTH*M@9 zL)t@tP{cVWP)jph>KnQiJMsjPQ?IypN^>?fOb$(R{5xEC-LQit;U>i^G?~tJAPw0F zMf8?t=yVnEwHh;bfLU-Q`a0hyYJ{?bmuH>uCt_D5_l(J<11kt8P>~T{6i?%J=4z@hLT`|AEXhG_w>U8Ft#$ zuW9ueZjfdryJ(Uq@@L){HqgjISfVv-39OSHRc^4{WOQ7rGk2weZPPa4nCe|Dms$dW z96eeaK9@cdD5X_7gF23fsOPTxB=z;QlTD_%P0g=)Su|kOezCK!8apAOLF@_XhJ8sij>GkzWlXM!L0MVe9XO$(A|SmI}|VPv6XBsOiXcsws-L)Y*eSiOV&y`A{~Ud6Yf z=rtzVNzDz^4XSZFkAcZ(dqZhfj+-$uTG94N?IeGDct{)$IP*C^1k5^kL6Ejc3Q9gFl3}{()!{%T@X&5URfA7e_!7cG-S*T z(4@319Y`OL%dtGsI0+`L3&TUEhB08X`Xe$^Wo~`k+k>;Gik6mCk$Q+!*Kv;zqT?Dv zVR8nRZwwhLRU=3_N*BXYGRZYQbVm>jck(6>cVi&M+VJ>M=!wTrDGmkIYiRnH$ZCdr zOG&|_(Qu23u_+>%T*X_3%85qon(HdE@>n|($6InOXG+r88WhKP`DIR*{1lbd##Yr5 zM;GK2lrzcFK4}&QNHBcS`XcFTG~_vAzU!${frb%m#<#ao6dzQGSI;3iJo;{@5ulZW zAl^epr|LJ>A*=Ki>+E(xO6Xy>i)}+??VL>fo8*sN*kV>0X?acOgoySl>2uD)g#U1M z6&V$WUKtEZk#C(KeGb*upiEj^E>cY+@mXDirF!u8}(rpDSR zq{X^NE%}u>WjGP5A|qWm+g~v>8|D`^3G>z*4my-WX-KEw(*!tXl_SVlzPz9lZgcqL zWT*@T#`X|f$uFE47@{0Iln5pr(U0{pUa_Ku8)sa+&g51{hXms~jSgp-*iSm2uKViX zAB+ZWxPyrWrlc%`4q*eWi|4SEl?I=`(}M)51?|qs!LVkdX3&adfbQv?I+=(=E9DAR zvoPhA(G-+AVkZ~ZOP3H{=pWTzcN8LQNR?@@nioCWpdU$Te)Z!lX!z&oL(ZxA5z2s1 zvBd_~v&PVh&>iUCqwZk|9#-?&Rhq|0o$t0%erzqPdL8<4pfL#M_25uQqY$yB0c>=J znBBA2MyI1ni5z#9stc0*C3P2xO&Bi5FYh-TRLJbe2;7TNU7AtEOBPOJyu|X>%LorZ zMayXKj0?HF8Co<0O=28HnqXn z7H9*cS`ta&a%jtc8zg;2mC`M_hy99gbg0UK)Lm^<3F-Q|%Mze~(3WT8+_;wIVzd{A zTadP@qZOhC75k>jY(P;wlrW`Ds(5gdp^K|Qbu@`uQXy`6A<>{woE;{%6S@4z#~H1s z7zy*qqe%lrV_SVcB!+sUUHsk9M8`4$&%w;F&H-^MIOH!49K+Ns8!r;xHq z^8k(h0p8;9(uy1voS?R&AuCr8wMxXpDy^qTamZ{mezah1Tn1Lzc zsFFKFxkiAF&k7M zrYkoE5zKI)gU&&)?j2fb4;EK1z@uiVi0Z%ul{~k48IJ5^qKcx};HLD;ki^1bV9`&A zfPqYs$`~GPpfLs2)iKSZ3-J;6ururWdNk}TZ;Z~C@xbU{Ho``uU@HMj8yLc$Q4|yL4_TUq$q)LI8 z&Olbxd|Yu1QtJ31r4g{)D~uyo5csu}o+wNA%u+;TeKx*d&iN@cp98?c0DUskDui;6 zCY~Ng_i(2hIxLPq>KoPpIaa;jSwFBkhnNRlPWuLh%?92~0)dY=;0Z)7s}gu`EAJYF z1Gt^27F@=c3?ZF;3WI77(|;pGxI8#q=MIozSv;lEOey=+DxMF$!t>I0s?vHWtE){S zixW(xCGIrMGcZ2g857I=439UUvzOd#h;+P8Bo2N#Wc)-=&H&UkTt)urq?u8*;0z)f z<_^h3F8N%MkAv1+ zl-wvE?Q?oOkc$I3qj*w*ZZ|u7y0}I;SF6`#Zn88bW<`PY9O{o6j61cHU(3N9*N3|q z8&_?mm&b28y%{8Y)}|vSZ-d5y zW@8A>;GU?(s3y`LbcT^92pW$Dp*5(z6-UEzU6*djKm3=X4w<;Ko97hU3Zsi+o~00G zMn=Pao~oRb?y$6@w=m;~8*ar>rcyYK&Cc&Cn2@858ykW~7!Nz``$ozxQf2Xy>4vZa zGm$zMsIa)AQ02o5LvnMCyv~zg17b7pD~7(& zB*hJ?n%y;AQsCH0yH)eB3mal@FBgJ4!xv=tdd|ejZwk(&LLwDbW(G#{MRLR#U);kt%z_tJNo+0-o-%P@MDz28`TIv~Ho>f)s(25WtY7 zF@t1^CO2Oga6nC>24WV~rX7;ZWM3)15#qIR@Rh3{_}%Y*=II}QsW6k@v;N$t-hJ{L z-+0!s7kuRt?<(wStUvdxAHM(APo8!4yRLlxU4_P;>z>*E<6FOUU3~l%|8UjSU(a3n z!LR=K!qKg-_`w^me*feDe8KPcf8ZNZM6TX|JkX1n^vrU{rf&};mWIm%dR@_ z{SO}_yY{Sq=9-6}o7uIgeAZJRoO{opdGqLXJ8%2+`VF70-`iMl*sSSPZiR1M^FYBo zde70~`bqKd)^mL=9?6=|Jx8S*JFcC( zwD7&(}`@|t&FdC7B8#Dh$@+k#W=mVcQYVKp3k8OPOA0K(_jt8!PqWN1hTXx*>aO2Kz&g`DMr_VLM zMfsgCHRcM1M|Z5R?Vg*Tal3=3_H1~rz~MOJI?q|xncQ*L%xypUgJU@pX8xL=eCVIP zy?Eb=D`s}>=|3xX;U_+mP>b?f6Dh)Jinn{{K2~}+y2I@?q65? zz_q1`!3Wk|dDZ&&ed3szT@4rhfUEf>WeC`jf{LpQ?zPkDFp)V`l{&47jiN4;~ zVn+pImy^Kl=qp|n7gxo@Lo2^I^WVW6opv+A9%yXYDhN~4)u z`_>Ep@gF~R{ttHjKmT*_Z(jSlFQ0Jh4G(pXndAKZ4^RbM^pZGZoR@YGUi zjI6HnyK z6b{nh9nAeNyr9cilOqNuI1S~LUyzVn;WuM1gTMtBY;B^Q`vy6lW}>$DV4K|kU_Q^88hgD> z2FaBDd7+7JoL+-4)0FQq{u~u5ym4`x+GKM^w#@t4Hrb0!Xj47=@6B({4Q?EGwpVth zD|CCQ+Jpl~_WN71$sKoa0)~A1+s{5bzlcpja<-@k2e>$Y_V8UL z)9=>s>G8pR6QlupjtZZmM(Ax58tc+6`GaqlMM_`dbWmvTJ}j+g1yz^PK&&G56%5 z|0$o>u}cOyGiATA>*Jqh>{@)~Z*F=kC(lfeZ_aJ**0(FggZZKR?=KB*Bn6usJa!FE z&og#$deB5Rc5#jhjcAensWy3$u`BuJ&$3BwAH8|`*tM^=cY1MRscx!Abi-*Szwy&; za_+f$vd)8?pESMr;rSN;waN7Khd=zpMzx8aEbt71 znY6E13*T;hOS>_iV&QgXVY}!Vo(c z$3}fOcjZ}DDIc7ekm;cZ)APm@vEdB^1M`cu7a6|~%=vGQ>$tH5-M+ts`5B=@pJ1d< zd1QIlOmhlUI{Ek+c@Ip^=119BvpJW0miy4sc*OWun_%vf!9;#s^`q%;@NS0BZJVFx zM$oI*3ujGBe(#AK55}1ri;Memx$yCt7`dtPnx;`HXt>3L&{eD~E86R&X&uSGW^n?WFc10{!vQwg`{c7aJMg-Luile3GxzDTo!B?A8Qp5i z8@%PRgeTP|T8|7C&zfG`xA#PSSXgEg5>uKUU6;P=kB@KUj?a@r2Jz~lXJz{T5B+4F z_LEd+=;UIEH=NNGjU#aKiN1~D5Ph=DX%l$u4nk^Zr)!w^y=bE%Jlm3 zOFi;0diw9$WPSph{A>D2*CrFGP4+%H#A9u*pXl^&^Y{x(HrY3UO?*Gu&n8b+=jZhd zziu4{vB|#Ni7)JL6H-;)Wn))?m(u}Lr@{r+zu3#-Nr8Qf;MKnd`lbDgUAk`iak?ZL zx^dC`OLXj8^zmQue3KskZhphBK4jE)o~h52N#n=ZmiKv6xICShht;9~wWu2vpI>9< zoN~e92hm>@CcQtD?GyC-7!hqxnjU6;-=C*(_A6Gj?C7}E@5|zS&$ACvS7BvwdAPSP z@=TRI`h=Fle#Ad4oKC@k1b#ncApgDa77ISPCgtDvhZ$XWKc(Nd$O?+fvh-2)gP?eA z8jnWr?ntb^?%drmRK)L3^zpl#+0TbAOXo#BbW7={W^~>3)W6F?w2Th^KHe?oE@z!m zwx-l|t~GUP8xP;KecWn(AudjRE)Pe$x=-lFT{jRH{^4$;Nx>Ap=6L7bMrF&Le|JY0 zEU|A<@sdl^B|ML~BcASml;;0V_e18u*HgMq-LVp7Ad8;{9}0laJ&K?Zvo#14?pVQbl)QBTAru#`yW#|Qo1uJpY-tbG~KmR`8Yo>;!TB>M&cqeuNtj}WcELFW87X%Jq<#BaG>*eiY>rtv)#oEY*9 zD3Ap-B!(VEL{Y?z`kEN=LxAB2sK{S}tME!jJewS1eZ=~$e#RtPbfkpDCxY7|*Fo9Tu zZ#At4X3W|&Qq*6%X@VD1yLvBuDPRbiF0S0&a5~`v!2LbX}+%BYA4Ome` zah^*36pVUK9RvW5VaJn^F`TPP%C$m#c);*S?Z#w2uODGwu|iAVSyQ^L+8x~Y*a zuInAygl8N~j5o4FcG!puwQc3d%d$kzK;3k?h_)f90h%5yqk-!n4DeHMczShRAAB+A zkXJ6lT1sT(Mq| z&2+KlW;p3Z`XhN5gt(aXUMXq2kGK%wijo1iaX`f>j}t$ZtIV*5E*{~%86VbQp$Q**>trBxPu1-$}US(k9fmt;ZjAtX*lzJaxDMxKN~-Y_w9Q@U)F) zhzQ9ra#82HhC!Q_FG0=508zK>s~x_P#j`C&hS?5FPwF)1kVHFW^VY^<0`_?*i#mfy z)ktKNo$I%}id8anJGzC2;$UUiP!L2E0$vwk#z}!!=)p+bbkI!(Sge1teuou?5i9hU zqI4QH8cHe?H>z3MxDA79ISzwU<0h51iM>--2bV`?8L^^DU-e9?G3={TIh%#iqQyy# z)vu;QXEGopxfz-YolM8cg0PK<#nOqB^O{-{Aof$qXf>^fHkg**qH8#9E#8zY^M~#T zC6)l0ocf{9tf=LXO1~UKpZFW22x{!X(6A^}MfGZ9;8ZnLWOS0wqd?{9tSwf&>I6Lw zXg^k@NvbOl5URz$LY2y(-DpTFg?23r0)~PBhJj6qbX=w`0+U&67LqhcX_JQXZ8a~= zNm_jhrad;POsN`M9{Yy^dTmHnYFwpRzz9KNTGbr|RlxM`}*73r$L9Z^WlsMZ)iX4S=>ZVT2}=PIOy zm1YoGXG2I>zPwyVcs7m|eyBjJLE``#qgL&wG+m8pVF z7D5}5LEK?2+qR^}Zb0}ICf01q3s4=p$j7v>kUvl+O8|2q)HRWf3^!tIU6-YUEjeQs zmo+cV!BKmsi76ki8XnNW`>v!m9agi%ayE;xb72%>WHYcpj!D=d53M0sU^#t*-tvg) z17%w`;Cktd$c%o$%V$`jofQ1Nw!#>uR-!7K%lMGPdAc+qMx26JQv5#8ct+e7P%psIR#tJCaZzc5E z=qI*IvcFGp9{N7Ngb%exwUmEEPg&3et0R>tah;2SI@ukzXvbBS$5Rlsa)@{m11=vf z2)Ju7D&<+ju4z{DaPQE$7KBZUDPsv*cM19*sRra&x;S9e5aLzDaUBTo6{L$5tBh5o8Ftlaw9Xz}$@@?E&d; zN~wAntfgfn;rGDDXT|WBedhrkC@^Wn)yrrMhh~_#lKLJpIt?4G&d4)eG@T=q4lyTT z*re?_<3dMkQ4Bq10a-1{LWin5__(4yts20&`G{0nEfc$WYR}A8JHQkg52GeIT7j*C z+j5ez%IF;^L@a@(veK6wNalzups7%3n*`{vs40pR-Ex?-sWm_brO~^8N26pRgRd+< zbQ6NU%FsB)CSYuo#F1jQkT5nZZnAQu&GUJ<2&pHN5j$C|28XhHBw~!IfT7yPqATVDq_KAvC0lEtj2xeu!MgDnRA$Q9Kct^Cw1pAk8Jb)) z;G(vKrq6UF?7PLb>MQi;eYuquLczIqJR3A6bUWDW&Z9=ycG3)}VHmD1IqiQY6^Uu< z{}`Q_HT`Jgo1IEuy&iUE(1pl5o31c9-kf=yNZD?;Q?4mr>x{dy8Fv@W+|-=99?p@B zw1qRHjJZRG+Y6^tSL!2mxx>{F(-vUFsJqexO;rF8S{#~-ZYF<(qX(tsB*_W0_s3Dr zQ~*U>VM~@0P0^-h6g?o2QG^#8{s(|1&NcF%*^d;tU^G~0qgrm9+^S~9*9HpD^W*Zo zE(wWDQ|4%r+KmvQ;JBgoI7t&g@Q6w<^qKZ) z2-&A2Ua$}tB%${u9reSYXeodhn~cF^$POHPI&z}yk5>_4HaJywH#@pnmYH2qhJvYF zKyGT{&>js^*q+p^6fdF1^a|~z4Y+Ad4}wsvzgsLNxGeZLv`Sxz01Toq-9Z*M;Yy#5 z6Zgm@qEhMtB6)BUrS$R9G4Ryu| z{?OoCh*>5c-UM;n?jUkN|3TrFMA$c!MmjaIyMv1eo9!+L#vbN=v;-wN8lphuQr5E4 zH6&Rpr&iAEx24FPBD8NaEA%l~nuPYj;}V0`74VPBmc}dbO!4oKg8h$Gsk%Q1>*M9R zLlM;3va>m%rOD8tL?WS>Fq)L45t|TE3xOF6SJ;t+sUNMBbh>j2gzKT69;(BR8q7lw zgv*7`u*CbqBq_fdG3(|IOe*nMqi(PS!-0X1&NCbWHEkGe6}v<7&dcv)id~P+Ax*GJTo~8}mT@ov zrJijX+_r9)pm|4ZbmB&mLX+8x`|BOuY9i5ivCYGVjEx^#k>guK30cv-t873fZq(cT z=9}S1r1++1+%rskJbl5@|MM_@!fG`XLp}UOBuPl3mC)*!Dlh3*nU+Aq%j3!&NRH7P*s&2h zI6l&NUIMx_w^*KQ9T+u*)kZA5-@-P4RYyMR^eNVe(FmH4+!WqaBvaNY4WpHMF-Yxu zG_E2o#e!^DVv^Jdro2!vc_XQVVAi5#+4M~js-StQNaUy{@!>+x1c>SV---TPBEk!) zXdt{mZ)qcCDMg3KP5LVpW5^2gYsQc!L0x3@bL-)5>XI)duQSx0fy!E`+6MOmlxXrN zsa|R(4*q(!uV|AXsh3r%_AqEhn|SrnaW1S&++QLd__t{lOHhFxh`2ajuD}Fo+ft5z zT51_YmO;%eA&@JD=n+T(7a9sS#$$OW00#I0a|T5Du7j|3pEuJh@(wf9>A=gZmMSlDD3vG-cuOKU|g$7s2m*Q z8gC-lndu2g*MUx8TS*_8U~!UFL$i=m}y*hxaKbRbnzpt{_kS^`%P zxyLQV)0Rej?J))E+s!NPs2ztFFfoa$F=ccTUfC6L^3kC-YLTj0%9?iVR1BAvtfi-y zPCxYSR$WSOXqwB?@ngPQVkC?yuO!AI7ytu*>HIeZeb%8)Swo6b zla^_C++`9o?BWOt{+;K&-tvRx%k-2*?z? zr2dYb#tok8&M-PiU{}WG1l}d@Rty)7YG!F4q&8UF(pq3Dg~X<^g*8f!^uDC6N`d|r zMU>0vvXq~On+f8jNQ@WQsmOB{XQTXfIk`YaZFx#A)pfv4=1Aj5)r$SKPC@2)Vhl!k zD5=>#W7dqXWPF!}hLhU*?NMRo&af7Z>Yy!~m@yXKb=cbTnQ#?~x>++Q%S^%HBaaj| zakx-%19!ob^Ac(Xo&aW@jPGJcV4oZ%6%o6OFRsx>u*qCO@-AqxQEkpTQ z9q~R1%<#Z4-?f@NX)KOMUQe0SWVjj67XRm%To>0xCT~JF++I*rBrX2Q>Y~zN(#K8L z7FtIzy+69ZR%5=Zpu^8Xuwh(Q4Hb$^4vMl}Zy8 z!%0i3E;0SlD$3@5t`=i@*RYc&$P*MouPqnv3!u$;g ziq8e+o-*>Gks*-UR9v5HHVRH|D$uPCV~$(EN@;s#UgflxC$j;+We{k#JIsY^Ifuw3 zpg})%Qr;N^=y5q@(cHZ&H_mS@bfFm|;V2JPE-cmL z*z$&%u<+@=0c?eA+r6P_UYnZmrm)E2R|2_Fj?sOTebo2P(=aIyg-*+Nae=q$*dH>Y zB47E9`CWf~^`Tc^HSxp2_3yv>pHIAW=EhB<&-~@Y2Onb3+m-M8-iiYI5YPRq-}uT; z`mcQdIi+{L^}XxP|IA%8&pkim_S}2zA+P=2`?p{5nS0-R=ZcxeCbua!arL*?{osdJ zpZU--~Hk3J7?xL-Ft5Hq5D6!bJM3UJm!sWJgR@w#O5&PhMhwtH*SwV{-OTl z##M9c#?Cx_)7D)xGY{-A=j>k5nwybp8^K&5o;ySZ?Sh-x(s$2p6q*7CX^OYX&>e5c zz2@n|UO%+%KfUkuSB+eG(!^886x`?UdBu*Mw_g2)*ZkAH@$-ekm!39BvpGvgi4JkC zzP=qz3ATUro3EL>`8CO(eDB*gJhY=c^2vw3IWzY=ja@(5dF%JS_Nw2y?A}kVp4qn} zj(_jEorULb*m>i1jh*xNHRre7`(K`Zdi(q{?vJi|=+oha58XWAcDjdmbe?f@8~UI6 zkU4WxGuqzgw!3II4Yv-*0!1F5#b8^qM*Szl& z7v6T|Bd`6yw$EO^a{arm{_(yquvhfnXP*Abtv^|J{^Acl@Fj7(Hr-R3e#_!dj=b_u zkNKr9>^f>)?LYPZ(U*1>IPUb2FFk$Z5x1WI(BS(oe8X$jo&Uzcw_od$K^}qJt{H5? zVa@A4a@#+?=ZgN*cFnXO*wx>+XXo79OmIje*i{JCCOsSQYud_ld*4F9E_$Q(Hp&0; zir_U*zis1r=ltnEt4*$$cxpwV^PzjsdHVNn+qZc2svms$xk6#nP376A+Ouwk9|5J+ zx1-hMN$tWeGL`>bi1q z<<5_6JNKP?E-UVwxq5o=kI(<#|KrXNmj1H&(I36>Bc%&J`}D8P?VLIBnf}l3nfuy` zv2*&Xdz#H_`kD>bn!8AzI%oZx_Y}W1^Sx)vPwzhJwg-Ov*1<2IuNHBQu(|ezk34iv z+wP{DfM8gg$mdTy@kDmLMMrZz>eorKR#Ml*P7CW`({+=qdL4R@eO>qGo;>o%p@HC( zF5NVH3v1)0e7D`!a>?Ol>ybxpWS3e&rZ@NUvv!xo)YI{XSHBt+pE4lZ_FKqXU=1lN zt_xlIq1=!@SN;p2|MT$$6YgntG};L!3rC5 z-rFbp*b^pu_sU-N*~z8xX&KC&eD$K_S%=L&9}q9d6VoqjoR=pyD%pIJ3=W=k7E74C zj*jfEF-?7s%a=TV4KMp+7bUWctIqt|*L>tdKCJXZL;Ln&6LySV|FOd6Lq6ZjtNYXz z$2a@960+xUaJp2gvJ>Rlf$RRFOUK^1!shX9J)3OKtzGx3|3>{$!hSZHp1>yai>u$D zHo5LE2AquwF%_9s%CWP7Ek_rCG7SlrEe-wZzc z&j;9~BONxD&M(1}VnnieMaedi#X%r9=7 z*f!tmC(l0l?8~%CJG05*kEAwPeB!8gJn_UJyF9Y8*^_w|WiHv|J3r~#M0`J4?Ac^d z`^h4gw@GkR{sM0kp)s*Fbw8W1#qO(L)e=r*w=qM?i`pdHGm=WubJ<0SJQMr8^>Ff0 zul;y64ot9j_k|N*c=p+qzw+RNCw#A~Yrl1n9lJf9GIp^~I32qtUiq6{x{ZUS&9#YA z&nC6KyZ>s#d$X}?xlQH=yiLw{H{*c3@;46}yXIv-n+!eq?8_XxGLy*Rk9Nnd18tI@ zIO_!(Z*T1S>W>ewNpI}x+9Vyjf}_}Eq+^%bgrRJ|u?yVp-Lj}-S7wv6f2dZw+Lvs9 zLN}iIwY}P9$6wMW#_vOXB$C5_ecpXc-h z$MH0uz9iTDX*#n;hhWiUJGMNioyuOnPw8I2E%+SHe(J@N9B(2SPWK8z*#o72F<3dz zyUvqhUJY&Gja;GSed6J%-FA02w@kj-7|Gc(awh(; z)P`?C7VsmL$V5?6i))d2mD1l5nSoQ;hxjMD=aPMlw-nevsj_Qb)GxFOr-J_z*sbcu zyKZdzrTd}!u zC;jXdP4`J|kv4JmJCYwg6fYUyab`J^D#!J)U$Jy*!rs0^;$w8L-xV6atlc;XrG=zl zu%89Gw(lW#_;PvK^kA4;QF`(2KFZ6!(71vV%T`^%UQwUERrwr! zGf!+hv!=7iUiJP10#6*tpdd#+G5>0|-LK(W1k(=|78iAoO$zr9@ri_&1&94=j@jb$ z^rPROo*!B>z44rL*zd;umv2jCuyK&jM(Fs_gKg}s6JcZF=5LqS`OO9*?t+vrgDkuMiaGw;eEw{P3PM!vT|+SQ60ns|0#a3k@9!OQYy zW=*;;Yy2gtU#_ln-vkCY!s`-2dR3mnrMO+9xqW*Cy|H;+%7s z4((@?>A}4R*o3({uy5~PY(m?}Z9G|LCf`}iU$ERJ!ST9JZ86(V*Od$(sZ*Pj_!bBA zt_=3>+s2m`7TMRObrX4Z;@Js4ztBtX(&2mDo0&B+CweiPEhGJR3?9jZMrdQNW{cUH zQi0u+g^hfnr7J;xsJHd3i+Txv_-#3!adNrnSEeuBw25YVj(*04_Sw6)Yd^{5j$CTc zbO2?R&*f^qpX8@6(0+3DV(w)B<(6(q8%6uc!JxSGzCE4WyTmq^ukPPZ28MomKanBr zCkONY55jCr`^m=XSFf3#Ub9VYvKgCf?uwItaLvnXKP#Sp5+m&0o7yDnC)h;isVQhb z*}HeSP0~%N`MrCw32h^_$p&_R9$=Fk{p3YJ4TVjnr%T(gNzZC~^VJD7ywpzyh9+no zFTx<}Cr|J!_Vel|=EaKfFFIZ<;TMfNcx?Gam-v4oe|;x#FxZ1p{~nm+d;cD#{JWIV z@{_NsRGC><#rDdyeon?O48p5({k1{F4otP=QDQBz#_G#I+aYFr9)}-^^BktYz)vE` z6v3~=o@TiN8t}`v?8xKZhsb8jFg`a5y>{YQp#vSMc1%8Rfm&8 z6R-CpwI$-XQm~d^Q~)YeA-6|bjXNI%FAEDk2!@DPAaTpGC6D%D4Ow-BpTV+FPHdua zru?i=O(9$=z|+1mGeVY}GSL+PCdI%b$kGhBkg!#r9!%z^v7hZ8Kz=}Uzx=u9mZD}U z_>!S)YHQQ#rDQhs##LpiS#5CpPk~KV6?{Nly%dahQ6F#uS0Z%{di+uuM&p4`5R>pc z-IsHFezCL zl&9xQJaHb6vrJ0;$tMK~Jh@?7#$>@qja-?KrMa-e3rA}q_; z4TcllP|r_!rmpE>yE;tqHpP3DX)wilnygYO1^9G4OX<}`*fbk24~TYiE)n!XMV9OL zBKrrtqV`8&R$bwz;6`y!!YC^QOj9vL_{~%G;>3t?bf5-`KF;_z5$#Z=B202%aDAg7 zpc#75@G(bRFG>nKsgIlD+%o!9LgUlaN#idS?cp_WDDRrOKrw+h(~Wvx&8-;c_Ty?) zE^rDam0c;5oFlS*kYb2bDD7v?bAXBx|`eo*TvgLf4Iz)+!Kt!y@bNED)F$AAO zn`2{q_1PS4BIz3|OT_YxB5TZa@NsgB?yI3J?V!f6*oVQ2lWn+%AeC-)q@}=u*Qd%; zI`Ob^ish~pPnD3w8jS+f%CN@}=~!I>E*soT`f5o#tYTA2%1~;dhCNso^f>3;(LlX* z>2szw-i)7SUx}j&JrOk@Xm+KIER#mga3LJCT~c*rgHb(sc^DHId6n|B!q#S9J<{x0 z=^a%GG4)C69Noc64{j)95OKT305-Y9N=f)utsioR6i))|OC~C=G({ce{eBW$&1jNg zgY{LG6sW3C*CrvuC0$Yx#H`x$!cE;dY4xUJtf4le4j#mPM#$@g42S^VBOA^JQ$l+u zOA1c;*kOA56ze#|X(51>=8%Natf#XwhX^)RkWUUk6IVz#cV=PDXyO0U!6>h=nXZ@& z9V-UwY|fF;Pzn(06|zdzhlnt)X@cqpBrTN4s0948^|ccY>!vPBHGJ<6`Qb8@B&G}{ zjkF6RoO3BbTD_o)%zP~{EmnQ)HRN*|R;-o=3b5)BG}-LS1dh1n0ur}LyQRp2Iy5BA z1Xe9+IRHdaS-BWQk&2O|nTbdquX?_ zU>Sbs`7RT(rhBDS_Yf$WP}|olt5iCer71Lpbm_4bou8)QyG>WMWm`vLot+^p`;f&E z_-JyCM#UIB4YAR^OAtjd0H{e+G6x7qixNJHWLKcIP?rh|I9wgO~ z06_o;DTya(*cj{6pf;Y;h$U?KOTlEmN|617>0;A7x?0@?*kv% zQQi60y}FWHZ82)e@_0P{Go`i|YX(Dv$L0~@jY@Je2#4kMn34ZI!pL6mLdXVtLl}|} zT;0c*thFeDE&BEXW2DhfLOZg8{#M%)jg=yI}*9n19I<^uE8U zds{7y{D*wlw`7+ibyb~Hr%s)!Q&qR_y;XH7uM`MlcpRF7K0aKub$v^S4cz#nq5{fU z;GJAbp^nvSE1s{dxiX7vYwp3z*q69r-eJGesZ(L8vO)DB^AWtu?CZHmsFf#Wn!rOH9W0WowQArg~U!hkhDsrwY83LydH_R z4Dj*yG(dquQyV!PIydr|vq~#QW2-S8r6TD1#VTt{xq0K2*MvyiOZ%ZL;nLFc1}Y@* zLw^aPrWoL?J=IJc`mZofu~xom%vhD8TgJ0~$576Alx&q~)(@Tp4;Mv{4MqbSt8pht zCzu{WQ}vSoi7m9Mn1K=(JY&%_A1Q{z0z6wEU$87m9%ntWJw#jj#Vt2zdAPT>oqVLk z4qAT`X;6@iD(TBDTkz|N9T+ZcB@1iM&BjX$tg4?=1(93D9&!touj#1q8aqY>OsNe{ z&`+EwbUceU+J7Gut6&P5W?)f{A}L5xi>EODG6V{!+O%M+dZ{_-=LuSB!Io?p`5{g4 z=?1jXq*S)Ofu)~aq}vq9?rhL#CHw* zY0IOZOTeqf}e{aI}&Vq3p&NNI@~mFXY;;oFx_W+e4ZuaGke7DaHzXESecd zD9i=$QVs3O)+TCPj;mbVQ4^fnz#M@##xZS}PIXgD)Pe;ydE%PF*L5XU9j#0VQF0PF zp{cRIRaKyt;X<6wwPOvj3zw%Nao`Rtg>F&^sEL^8OfbqNAbH*30)jg*9#x<$K{2%; zG3PQM3*qM!$i0fAVmh(|y{;rW5W_hQ`gA%3X)2{yB0C@rV-5;Tt&~D;8YlrejZ}$> zt8nO-cV8D66dPHi@5X5hci)7_^ z3o``*#z|pAD7Dm=7^;$zTG#{Kjw4}Q-C4B^kA(}(baz2GR3)LGz>if8OmG24p~T`$ z7)!V}7;eIFp){xeN$QAzS}y!i&}8}S&h&Y&i1-V|1s$Kx7<^K$!V5Y+XLkm^m*jqa z=4YDAPnne{AN*GIgC5}BKJiv~m|PN|8(5sA@2f0(m)-|Y+NLu-D?`TaygEN)^I&;O zah0KPrY3Q*Y*|l%=l;!-CkW|uR;L3=vg=PBW|os=>Becv$v#=;vX1}N@-$VDAm`$K z#_8-Gr%mfD&%Pu-1N8p<4A6fY<@Mz_XNpF-qdEPBsc=5>8R_O=pfirkbB z{XI7DnGfVW@B>~wv}9T{uWc*-10IC`XW=6#obkEZkMf)zW*E-qtSLkJ9uGgKI})Q& zz{wY%`0&HyS%p)$=i!#y9`VIFjSJHZ#AEj?%#oOLNW3;+dag#dHNGl3M>FZw4K5C6csYi;mLlM{NJNU7!{fFmk(yah|mbSk!#afhQ56o(%v z!Jh(8bT|_GO!Enr<}-?moFR}?#I_P5MJWUklk0>AI6h4tQ91Jsd8qJ$W(D#L{&XIn z>&%WI5wJ$bH8voWWGpWa@pEuY1bU2BkXl(*GK3N{2i@@tkmN~Z0c#}y5tav$AvP2~ zpISm-N-bAWdif-kYC$c{n+@%X+m{f@0|Rt>D#*rSOh`)5^CyV7FUWzGJJ3QNievJc zvlf_`)a{Hfga|1hKGjU!rq4%;@HIPRh?Y!A2^=9@I3Xrx2`Oy`x|bV1)gI8`Xnkpi z4~oL`RLpXfkk$p(E?UIpM!wKRbo03kUO|hi=K-ap1Z1${stW@a*HM&ZdJVK%Pc z6cS@&N~BG|!sD}2LIT}sL0<;x>$pRZA7h+CtGFU5mTs*uk^|&kL{_` zVj_e>RhM;=hU_?Cjdg{|O_fk$W|+K(_MF0E*?FJZFv^Ad0?`2O62yWq1n?lkauLS* z!ubV|&(CY<2_LDBaZgn+3s*>c@+qE_FozqFqDXnZitt1kNd&BN@d^RbvcUmYZSFx} zN<4QJ8*l+~P0grPx*(5m>`xlBBV*>bz?D@mFq{k@G|bD>4J*Ywi0ji0G==^r4; zyBOVcR0~C{yj)UB4epNlocxr|-|)#Wx&9|X`6S> z@qUfNTF|z}^Q6?pVx`8Zcu2~Dc)&ZkuFsL0r&DNsKcAsj4OR5|M7PC@;+T;mpi`GJ zqi6iG3Yzf~QtQ5GL zx@^)5$P2C=XjQ4+l^NSgy|byc-kE)s#FeUg-!rVoEsbn$W{Sst&U0j$cQeCj6)Z)% z)%ZDO`)xAK^Cfc3(4cR~;x^_(B{h2;yrG$8DH19?nzCq0ZL^sgK7psX==zh_$uQ4z zQq~%M2!)0dXQqq=1vpP7bq0cyWzuou+!Y+h;A$X{xCgNZ9Q%Az5|wT?uQgNCGkUnh zdpy(Fm{zR;+z^%-_f2JCL(??VqN`CP&NbXFSOxG(Xwqvvk05SHGEE%nPMd?Rj51&6jc=2}w+>XFQ^d zaTMoBi&`_jW=&>{gA`a)kt%{|ZwNQ1q4ruB+EWtEU%g@CK#Qb{;hN0TiV{m}B94Ff zaENix35kRnIF%}Umj;^hcVT8^Ghpca=l=PFwIL)x?lWfEFJR_r9Q-#zI4=PQI zHqA(&hNOpG-V^2z+6K{iMk%fU-1}NulV(U%Eu{>uF$);R@C-De9i(buHamdy1*Cyf z#VR0mHD^fQat>y2%fnIY=?Xff)+EDv--^;&ZG_bmy|1sNZCI%RnDowp`as533m@ST zj~dER(%SssmWEh7No(Nrgy2H!2IRvV3Y93{$4HMDRijfPXPZ%&^{3|b<{T@M!!Q*!GSx57{*-cxU#3XIavo1JRxm&~&L|I~ zV-*9a67uXEF_}^_!V!#Xfe29EED75C^Gc~%?}K=(og)m6f^7o zfCOo&nppzAgk&{T@r0VOEwq7y=EX!MWI`YCM0f1Ixnd>A4EQM+QP3KPtY(^~=PjhA zCNzdrad0S`$=c+?{{R!90Z0P}GhNI=j^ygiA#Sxx9-hlTYKHJM#L}w9lX!^m*bbo3S532M?a5z&sJnSGU(A;;09}#!WnTqMRy#6__eR9*B zomQn)#T$>NY1K88llADwSNptgdo#8`GqJ|taiwTB_voz-Wo$MVthw7a4MU=5J;Hut zk>k}*7R*>$r8U#@=!Uc_zd*0DCMzvGtmaG$H{qY>{q(4mq8Q6B5OGsuRqt9$MqY-C zkJ3p>hZ4lu@$MoW&YD#IPMHuC9IOe&HC{2JD=neeYCV8~dG;3yD z;>V5ZJ;hKP9L4nH$z?o93Uy%&hfk^yf;JM#9GIX-5hW7fo%IX&mWTEJ#a5K2#vDttD4&m|;brvnjl3_N6SLtOb#;bnP{9FL)ABR#dDf88#k2L9oKkGNUG3u6cofc^V)T^CYKjV2nk*d9?)(n@2+w)XB&kLG+ zk)KUh%q{4dY6$cEQHHxK9*u_631dSemOg^KUfLS{1n2tTopEtLd7}kasTn9!cFOqm zp8SxdHi#ys>M`IH^Am6M9*`2?q?E~wneqNCDUJD2Gw@tv9=1Mxh&E#SzpC!0t1@Fs z?YuEFHB2g|OpZwEOBdD;GgjFFYEidsuP`I_&=@UaGc~+mjAwuwgNs58DR)yPN9KGk z6iP1CAzmu4AFxT)kEKJSskx7uNW#I73>~HZo?8-X#_X}a(k!RxSBx=pOz$@HZrYc> zl6Y^dQxILHdD6Ee&#{mnCIDxsQ?Zkbk3Uv#>n&f&7PnL zoz&8FP5)KS27)QgWz-whM~>95F%EH*!&~xGh+2ryj^0S%GJcVM@=oX9XKYhzj*L@u zU$f69MyuO;sq}%@Qh4}Mr~BcMU)9(Jnt&@$_ssM-^H6$G?~JXOxyopN+GY^BzSWbp zvaw`gfpYbvdwXtau=1FUL-?<~^?n;&k%=pkaE2DmR7&nq!b@Gi}iy&I3^d9JaUv7~q0 zJ#kL5?LU2Bh*8gDs-fhiCynwR!KCyPBUwqpgS3&Mi_FWJgze?l@uY##7AJoV^;F2L z;zrM^V4fkHrHO9Z>g28g-clbS$jG5=8Ae$@L$z_sigu>SF^0_EN_FY_6p+FmqBh(m zmCZEoL;5NMmfCfp76aZJ?)?~n@2nDoR-Vx5+u;MGs^%`hKeVo7V~f}ioynPCdniX+ct zxRg9x>7Sqy*vDdO7TT1R{DF!cGiCwp7Rh~Y7#3e|e1F?9AXKtfP+tNDIH_hXE)6qL zSmb){NrDvS_{RZi)htxYClo4NH5UmFz0{m+eYH2UG%;N{&-I}wl!R=NG?Mh|p3kYW zXADbg3h>^W_PJToN@)g;3O!`WeAa>*W=#nP>O@vrFTyA>9i3|6TH+`z|32u*ph-p8 zaqlYArqY0wjs#G3G6dsbI;D9f`VgfU0H2}Jh3>Jt(jREt7KbH&d{-FG7A;&P*aeYlOT5> zV}7VQ zG-e!+iFO+2n3>S<$ZeeaNbdZmx%D4#d>5iN!|Vv*Q{yDx$Otw=8g)w*fdAh5K$dVA zc1c4dIcYK%0<4rJYbF%|Wu(i2?;rAzDxE?HdZ@bE6enw%R>C(LO!>D|8kfzP(f*k^ z-n)n(JmpE?%$6yp9hloBTnSS1kR_JaEewk5(8$}dffEP% zr%W&8Ezg}X#-`RK7BSMxPLU+tzs2|$6CVa3`S)?Hbf)Zv#_8j!D9d08dh#Vl%kk@W zjQEj1Pu*4X;E15_C1VU(tPH7wL*bV&E;E*snJrzMSo{Kd2B@a@gHc&^UDC&r6EGX1 z6O+yna1Eb%KV$w?at>#r>h>ae;ez;SpB6s{KZdTzNT>K5f#i`XKe(L1EDFo-KyDlG zFtKEvMIXEcaHSxf{wXKw7$0WioWW@cOHhzi4`RgxKeWqq+MS>tT+ZYTKpdkRrxQjr zcVVs5<*BNSjI6F9^m^kRp~TNCbE{dpH+3+kI#UrR!bQN z3H~kvEXSMDrV_@Qet50;OGCsRLS$Z09-5>XXL)j_r;dmwO#vwEJqmDkvPf!XfDhD= zcc>G%I-0;vcs|Vtgdi9SO&rDN-vss1lo>O(mZkWIFy$b znzpi1WC~X_iVb*E-WTnHxD`+iM|D^akzd`zPx5-sBj2Xv7I4W8;_4yelN^N18{@dT zXv$LSM-iF}eG|?A)nsIQPxwSz%Z%?#%zCqM`i?MbNDp%!8)szt&msV+Oi(;{i1Vba zz-vZc1uj3*qf8o0I9pQ~U7aOxjS&<@j>(u)UW}6Tm%wXreay$yPbR-t#j?d%MZ!F? zG06KOXn+a>5|S(28h7%EF+O-_mVKZHxze{a?^!NbUS}r0F;eP%vqr_N)Hi)P&8%*X zIZ#f|%SO%A&kfmNqLC93Grs5IsYaI6dA73nSNTjoCR&0rB;%e z`2pSKQ>+|%`HF!C!JVqI@$u5e^DwavnI3ql*?8AOHX#>o4siPW{rrXaGBoY2(#QS$ zblE&y>YqQjzm&{$>|9z`=80VYf*Uhj?0eg$)#J@wpYo+_e7IWm=|Cl!LW0|HI(Jyc z-&g~GL z9!gtAg#(^S**Ze&-IAmhsSR^N)1J6cxm-`|IV=0}=U-kb)r_zEq}iXGNF&>#@v@PW zd(ED{7cC%_3#6GkVB9S!!-JztFm`S-S0}@|oX!(GM=TZ1DTv zx~hEN=S#O<_~iq?_M4YK@0!^IoN@T+Etfuc-KO{7dHM6}uetx{_8(|$`NE}dI(X)Z zJ0^F$^Q&LqcMzL=;ms%BciQ7`yL@TOmv+AU^1r_P*T+A7)BZ>A-SLi3oOoTb<@;NH z>fgWdrag~to!#@uDR2M1>Lo9H>%qTnKYCzm?a@!a@tr&0QJQ^l|CfI4HM4JN5AFHR zP48a!+4@Jf9(eP<{?Fa8uK$*GBkSWDf9Y(tzVp_rcVx3e`*t2HkMG>FrF7cI|9IU; zALkmf;i3KqKJn-0{ErJoBnO^eZ$^AF|{vVhB!-M_jm2WzadyMt_HofGHH{JV3 zrTzn-_+a@zJl-*M`;j~Dy8D6OUij4J^u6Et`}1#ayyH*rK62M%ceS&vpMT%s#dn-} z=53eOvij6}-}|u-eC(48_qN~p`|oRgq>=1@_xu~v_b(=mPqCxTWQ~Kb{Iw4^o93hY zuRHL(=J)Qs_Z{43dMtV0{_=-YQ#sIcQ|qk0!;jL8=qIv8*21dvgm>Ptr|*MbNcO#F z>tFT#?(O}h_usd#bn8unU;5JvesKD_|N95Oa#8u%r%Shf;p2CH{5{v6z2)MAKbL;% zlF>^aedC=U-+$Rj{ewH-Xbyh%(4H^+`ke<(sXwp(^WS3Z;@s7}*KPXvrpqrLf5EHY z^Rs7M{tuVG`iXCvJ9gZge16y98xLOl8^8MYFTBp&wxitp*q`0;_OFd!a`~wv|2%#2 zR$H6D^i4N@>$Z07(L0a)(iJD1Y0bXx+vZ5AK!J# z?V*z&zU{~dzyEjle)@0D%(kBU%O9M-^p48SzcEs-EN-~tXaB05-h1yIcW(Xet2RBl z+MNTpz4zYd$0-n5lUDOoL-mnbGqc9DRUNR@8n?J^wc>^X;N{6yXvOi!i5lX-NltsCS4XZU#B!rph5suQJu%fgv+Mp0#Z{+XO`-9M1g>Z&*6&{iZMX zPV_JmhUnjs!nJ{y)h6n%;(}%dhm@~Du4dc{4G8a@=t>jlgI93C=hcIQJ>TcdyKS>q z^n8D4h@*9MkkF7Mqm70aQ*KRsvNm74z5Y8@+Q{IsH02CI!BL&YqXSp~%60YC=bk%w zY!+3ozFMSZC|6^+HXxrgTo@IIXZ4&P&QILNkw-e{W%lf)Z7(~DO*lU^{+)f8gA=zA zm;Z5u3+i#cUyj$GT+=4U9*|9r^>EHjw8;`S+110@fJ;lcO*ly?+V*IamD}XV&?8cB zaCUdJ$&tySqnzerYD?Hec|};r{lCN}#_Zbl?TMaUh@%WAy_-?~7%yLH6T8Bho!g%1 zk^h8jqAD{_3<&@BL`<_R6PpZ1n=Ex~a`n~Qj?!?j$&z{1;O^WeNS}WKdxxqWy!6uJ zZNhP@ho8nK;XE;2Ue{r3a2oF4d1ciA|O!Rp;2`*sdozLo+|)XK5CjU~RK>G`C62 z{pE1cQ6 z?TJU^OKxtHaF*w{CzsQRpLTG?wqx6_zTkqM?=SuR1sABFeB&FrO}-Iqvb38#_$1Qk z#3w)3U-T0*_~kF>XCk2qXT}~z33Hh9Szq_MKlziv-olV_^_Lt+FHA`wK+eC!HmdG=1mG%9}tY_b$> zVmda-$1ZHb*maEa`GA{7mkc&B-!>0`4IbOKZ?#QAS34TE3 z+~9FGsQf~&&iRvJ*S;CixtE;jdFz$=8Jxv=l)}097`d|R>`pyr?#6o*uUGK+|Dv^b zSZ9Npi9WO8!y(-Z!&#y2##@D7`>7a@f5nD3Z@%@~hjp%{G2!e^o_z{Gp|pN|<(j@3 z$yJDhvC9ijT_)xQC1YPnt?l>eny)wrOU-AT%3q5nN zMV<%6vpabZ`mixyOaFz@nVnxdld`{9d~Y?28_zj`Ge9r=>=h>Y^OXFk%SH2M^Oe(Q zGBfsOH~;G3Unu`;n~j-&bxaq|4BcM2PKtab9X+GG``MnOS)_Vuzj~M}lTKY8WbER2C7lM&IKa_-ll8&LN#RWZ?(_p%z5RU zI-En#lgz|`-txrMLVcpSt)^F$gU5>a)&4Bw4g+#$Of-z);@S6Qxy$3Pu&0IMdXd{? zU{W^e{dSRt8aiXw?q_?BW|8Wtec42@*czi8J<8lb<>2_!$z4m>L~(1_q*KnrhyU^o z@6znxI5=TTLE5$uul5BVZ4&1;1#K;#b33nCX_H4{d+wc>`upAwP4NluDKhS~kJU0} zHPc^dlU?H3_hs2hZR=S=ZWD9~n zYBgQaxV&jD#`g^9Cptx+!{TQ<{e)Q6L8l%LA8z0Nu5JFh<8#_{PeF@?C#M_+Cc}LJ z_3{kBHwBo@b@F0n9n$PtxVC8)CYrn3ztTjNr|c*6_Ej0^-ibl;($iFdKeSB-v58=H zKVeFI#;)qKJx6nuN^781dV$E){L$UJ2L=cXLZLfOsGmf=yKSN~W4qek;lsOcf7j84 zUB`eA0tHM<@+2*bN`Ra7fRm9sQe@uxj+3m2P69~FAjP?ivMpR+{omW|FueBNZ-bjJbdIirIXwuzt?)nZ@C(D_#CeM z8Jp8BSl|zS<`gZta3X;}oxZr)&yh*V6#hL60oT4kpywz!Z|X~SwZB=> z6l$~i1HAOq%|W#3-)!aro%A01D;w6MtM(x-;HQ@|Z2v?Q67FU?NWy-X>zneZ-e&xih>3QFjLbM@MEty4+D-F}(|+-0`{Z^jNeD#BTY?*CSIV!-NF#qp*M;=j0keANtKA&2dbt2*su@2Kp#IzO9NJTp-f`oUk-mEBrGqKYR?JHJzicp z!l?*)zY($&61X5IX##zk81-mgJn)yspNUJ9(Sm)8J;WC=dOaaVfqdseFgU_voZ@Z7 zBoM4{ySZaH$`Z#)Se>FYA4<~26y=IgC$;N}=?X62jzY9u5qZt5pn$kJNutQz+{$@H z?$ot)V&oTnf;l*R+Au;0Sp^Dk3WTYi3&x>)=tX%BVc^Vui@P3Ld#V;6e!Sa(Id*_7 zbP*VfgyI=d0!XS3`Pgn5gk@p}|7^OniPdAsLN$5C>{2$fQ0Jj_!C4o1m%R5INd>4 z1spipdPb-iO8P;0YhAL|B;#hhheZ-P)Py{uI#QA~59m7{Q((l9KN7?d-qhlEK}^V% zEYLh|$jvH&DqbQP_;9%XqKi;mkT6VwrF?8zR6!vvVkQAkj3os&elnzkhnAA|^F}%c zjIS=>;1OuehRa7xZ^Mgh*xD6DM~UV*0>%u7o!;toj|t^~O6mr#&B|R0zzsgnw<;VhZ!N$rA09@5K)Gw9Bk<_s9Yw3OCZ%?b}I z{xWz6xP%F(Us7EN)nDkPQHoMUV3}(k!gmBKf6nw%q^YpT#D$c?f$1dm#!ZDVbmyg| zX@+8R$#h&U!x%5Wf-aOLj2MBg+I8U%p16R;XIh$T65o;%iBl_8p~-(SX{*NK&yvo^ zDA%9~dd~tB@bFKBY4fy3KHw>5lKIRltxlSkwVn+Kq3+EDN{dAK7&moq~cVvbT zfISvSb){3QY^47EdBu)YHGl!{A@s8O)?B}1oaqa-ejY;c!IA~M0)&57eG#!#&b)&j&z z=5aGNcCe(FHdN_z;Jo@R%R`USZ}ob0*plg0I#%&YC4Qx8kC!ocR4A!}As)vmudKq# znLt%9?j;Qs2#*WgS~wd(BD*1oYRO*88wWiG`^Yoq;m8dsL~yjPHDgW^!TQ9%7HCZn zDfFX+_|iR4h*vp4##Q(S%np#)4X7lcuxq&_@zWSzFZBN=WJMDrx+YcdXCA1g3VZ0yus-#9Rt2 zXF*2p@8+l#Q*_!wV|}7P=`0x9QcEy0^?DwT(p;&99B)#BRVcnc;~O@??&#iVKj1)=FP(m*m%6Vkhw0~~!p z1J5d4642l2ky)Cm2ehcO$_c8$k>|h&rIOL2fs_#{lif>9jZhAYv2=+MC^T_KA4erQ z*(CE_14pPMxWn?;I5v_74haj69zFSKAsq-&0rPTE9k*5_P|D%QWB)=Q#o<8A*lIzL zP@stp(c=V2ajmJE)Ges)oQVKgW2ZKfbU?NusW$agNW)%lDobM3J3{HFGxAYRnd&SD zPqQ%t;F;~HpwvJLv+Cb_V#zJTC&mSAg!P=>*orrOo=C4WY!23}iLeU^r(0OdI}-OT zHhq=UoXXJW=}CT(G)?yz*EH&m0aD*Y0?>%KT-%FieCYDzJcxIFCT0*QP0G#eJEgTq z>r5*SJqge}Fo-F%BlZ`B(wL?w%qj3o4`1B2LfNHA3D8{Ny*7nOTe)ZfqY_y!krv@2 z^mqtrTS@9zINPv|kY7Vn`_wogft$cWki+F1{xp?#iq-W8Ebl5+@id`xmlfJanglMN zdQUsA!q30J^V3A1k<+J(DNllXC^#l(j{}#e$l<6(YC_E3HxI~XWhR<< z5SeNwUV@4Bp$eh{1+e_8`$9~U(I9P3(GO=JJ_mg=vdzW}E0Xi1m>g2eHG6%ZL<)Qm zQt4R~!s2}L;mfZS{lZMqz?gA--OS9iu3`HUi0u<<%QlnJz76T zkj&TyK?^o&r)r^9jV@$hJZ+g#UOgz?qg;^(nJBLoRzYM1{tEp__#!C<)?)-m;8Ua^ zAM0s>uh-cvp5B@gaSL*k0?)Dk!34o!{zgz`H`7`CseSYL-!$u$rVr7OTHb`7Z%u|3 zvl}^UxS!I*y%ikmiN=h5nu}CeYY?G+-gq`NLgm=3yn*?g8}?>HjVpWFN;fe0C25oU zn-dJjU~q@4P6DKrOuJ*Ls!DQIdJKh}Ieo@vv~0(1YDz@E4}A4>Y@|<|CYji}S4UX% zo%IK-ATbT};_)YsG}Cqx3QQpc`KuI$-@P2hpH$kXGjNzXW&BwRyg(Hs+?lvAY^K72 z66@ioYFC*)_ODWvG;dSJLlLWi(Za;ttOjgTLqoPxzS23qFc5)iH3x`l^{E%9VgOqK zEC2^CGz?9nsHmo5xg<&;;0(d|!xL~JAqr!KFzZ&oLb;OE(cV$0Vw!f*64imwaFwQP zy|MP;>5{|9G!Rap_B>OW<6OehG<(>RDcfe%dMWW_i$9MX$M{HIQ#_xcA)yv=8A)lb zsjEGgMQtMU?TfdxAsUMXcbxku;W;;};rM63=;&6wHNJvLQ zAme0)hz8e{{GytTHKD~IVz|!nGwC!b`Rl+OA*Iui?$(W3Z$ZRBVsFrAY<^CfgSY#Q z{~9qWLAn_tic&{Rdt7GOo<}~Sg=>k+k4P@;qX)b~}J%{$n zw2Wegs=6xkHVLgv4T!Ald2?ry2KGiM`V>MklM?ANh||y$6h~J&J0mMz0OA5orKe$6 zKm3$~<~)M)^-T;KYX7D76m4l~#a#W3j{G}lJWSOXcJ4#tKkN06r@ zq#jEhz>=F=(b}zAp=TZ{C7C1Hf~Mk?WZde`5G??lkW68Anp#|%Yyl{gZQxNOsp%yT zrPC;!W>r(_Ny2kQ_C?k$l|y|X(V2BBrDLzs)-gtiakC{W^@L*l0txvar#Cb+ zHD)SYfNd_fPL=`EF|y@4o6;?;Rnh>NX`q`&W;jd)H9eV0w69oidM!F-LF*x}xRU!t zqDt|u1v*Ldc2+(yT}Kc#iY$>7VB^=Yi}8mt@0ncqLx?e4zzz+G8DQfvJBiG_s-$uc zAGT=6y^y9I2KQ0Ms#3bsSXf`p44DEO-{j{B$i!V?U9_$`+j zO>9JCO5hwmP}Cpe;oYDV6>!<>=>=1Cr)jR}p*dlX8B@8)^6*RA_;G9IKO6P;J|xmh zwO-E8idqB`4ObF3{nAL-7wGFFKJFW&NuRt!4N5SsPf&rgUvOj}@$%W4csYL5=Vq8t zBeX%o<&V0_)%Hao#OLHZPNG9;@o& zL(xcD^KCsr@Y#D0eP}yxE6=&PhcVEb*Ut~PQ_k@-EuX0)C4BjlYa5N?yqhe$_vYJX z=iQ-xN^`y}bzCTi?gasGP8TWPXl!EYDf2o{li@%lhTEA^$&)v#Y58p0ns1D74c%#W zxaPO)YbcEj6o8Cn6}o?ES_B4t`t>r@N~QX+@n&<2t2;LzneSs;Ia5d;ayPNdA|-~K zg5yS;q_$2C1Wo%)gYG?0X(epOCmY^-Fwb+=OpSP*99BtAX*~5b zX=<3hjLm{)F=xB%7fjFT+y%mB#**^MQr9wGC`-~MnawJ%~foZN&kr1!??*+ zsd57HxLeI`mMC|)-zTMnB-IGAl;dcr*y*C7-tV5gDS!Va3Y^v)6Dikh!-PBIe4GGpP+lxWFNw> z)m89TC1tkK0?#atRQiflzsY*~>6#@PFUkv;hK_8hp76rZf^7N%bMUNvAO1so>5h>- zrPe7NrE*5!#^1YjU1jK-w|)4gEuT7c&Odx^>xRpIu;aTQn|#Th%Rct_pIx`<2PZzh zbos8yoBqp*m;G$={Qq*|zJrgBU()lR%jE-)ZnH`|d1_rK&buRQ%r8-`vs|ABJPp4N>w{MEjf{My?#T)Y3>Z@J?+FKhI_bN+V^ z58iyczwJvO{K4n{*`r^4)9vpoZ~4Q8@i%<-JNr(&?a=ehUYd52m`$f`eC12Cp``rg zx7;+o{&`zIeCUqv-2IXYL)7r=8vFNswR!JZ8r{#GrVXa&H2ENv3O|pJ~7kPf!CZB4Tzjd+kFa7S`8$UFB z_k-Ja?)$y_KJtO@4R61`xwpB;x4z;VY!Ytl{mNb5`^NR}=%4>wdHnM?jQe1tzGVM< zKK|cc@QM2y`@XsHs&jtt$PFiNEr06^zS_Yjes!L z*B|;fg-uSxCKsmBCU$-Amir#Q={tWhoZZ4vOW!{Db^p0p^N013yONVHO%Coju-`m3 zn>@PXr`FxEbNn}c;k%pOIdbP?*`tr%dEokgzia*DUp%vQ-ktc5pLbL9HJ5(iz+)rp z$~$F~TYvEO*Gymay~nu&R6AxjJ_9V>->h4A)%NmGy{|m5xt9S|k%Ir+-uJCx@9W=f zWgi;u@t5puUGmu%w+^I#(ThzOb-%*cML%hMWt0ba$q9Q-%&tktciQm=HenEG9Q@|V zpIAEOyVsuaxzFAC%RNh94C~ifP$}7huy7QAsP}Ah_Spp3*f=}LdR`V;bK=iw>@s7m zZ+(J~u~%me>u|N+Sg`B|logzVXP?d9vV1*qPhAUx2MiR}d(N7ky4iL%^DvgCB2UYQ zcOk!rokpzTTr&22vzt6&J&mn%VNYTdyWtH(M-}qs9fNIlU=AKL7i>FE$gAVW zB&G~lKC;wP8{#^Mv;nT4tlu5#K?|v~jlna*;oPT8U2CR`SW^q>DMzhCS{XQBXjtTn zLr~X-Wr%gevi07f+JKha@`pPCP$h%7g19eBu{p5nJ(PLq$l0@JpWSZH$}Rv^JSm$f zEgRw{W|w-|Kd@^Jo5W(V5PXGAo|H|F$tJRmb^$B}n;=+~Vg`@OCXYPAMhDqs>-mH1 zebt7p(<0d z*9d!1zUP8j>R>IK#Qjy+gtD&%gTW?Ylc@Fvu7+isJW!hqq}TV}AIDL%C?u=wsLLLN_qeT}n&PdrlWh0GaE?-7N*f59b3F^Mkct03+}G-mQC zY{EWTAlgLzBBs}SyKHj)<1qD?e*QTMBDV$VMo z#;&Eqj9s%~PhwQDeR~|cWD{*)S{}R7J3F>e*<<-bb(9O6)P__BGZgkU&a&T8dv@5@ zxUGjiCx|v7KxS+8lek|On>@wXbu8FKYH1@aV%8kH2F;P7-2?31j5;ozfBpnEVeG;t z+GKlWd-x;eR(X5egEy-Ei^wTWU)kF5)^e@%kPV~8A}UJf#e5&*L#zCPj?a`4&_2bF zC?KE1HMVQ7UQ#Jdvw!lVlB;~mt1vYuB zY5#O6Q;grPy`tx2VXx?m^F5x_U3P$dkz|ct___-pdSsi~J-EclZAy|7Y^Gi@#>-oLx{oa+Ff^?q+;58i_P#d7#V%q%FBzDz-f`G_ZRYb2!PQ z?*g-oRlSqdUF^zchfv60d&c!=s(&jEc4=L=G^lIV1>w4K^yma5;^A*_o8}b1CLI3P zEU2i#vo_3L(fgvgxw$tDTwz{B%jMt;+!s*{9ttXjM(&WZYO3rH$N5k{I66c)|1*y~ za_rdPv291$9e?%U($~IrJAo^%FiW5OWGurfw`-46A*0)Wf|<_l$s@7}<;EsQ!k)Z; zv`r+tb`+Z&*^Nz(9z7EFcj}5=qcjif!W!Y6gJ6>e>`gbyzsT`+U}@&0wS zO{znOhmQ8tZm-@hn;edIg7N&%OiVD>FxZ4cB#s%`Viyv2*~>h_czsErUtyXFaWVqFUwxzKgkcC=Ij2phxT{LsxHG(NClrf@#y0i=Il&%I{aJ#66|W)K4ZR z>bp2*=s(&fDg*t*3?11WY;vT!3)#BcP(K;kg-yae%@SRq z=ZVSMaz6=s0cU4V`}V}{vieD36Xt1MHu=WYFIv%0tS#;XbiVT15Nz@^{Y0rIC$Wj? z)m68?ZEkk1*9@wk6gHtw73btT_wDA3jBqGWRtBWYyowCI^M(aUte7$BF{Gm%kE@6GC*9-{A`^NM1$m#6Rmm zS{r&%Wd5PMhhNTQEsd9BLuk1gp31IW@>Zqji0ZH`OY6kN00;ciygGa$CV>>A<=)Is z^>$p{sRlyZbOZ{3E6`Rq+SPc$zlOh7?R3$OulQ!^l4_8%vZ~w~vLSVA(qTDX=-P=3 zYLQT{c>ghw#oCV#Y<4kWx0_T_KGY?h>vb3rl?m* z&k8Lgf43{?LB9A{Dr=182V_wmAuF^Sy7lO$uL?)e%fwEywY52(x=e{Hq=P7*Q;YIJ z>uZaO;EJ8{baNp=D45}rpZ&gWsgTn_SrKDkaI-Y#=3hg=ct z<}7F(-xhKU3Pu_J`vO_z`&IZq23@I*du96qqU0}Q9caaHpj2g(SoLm{5W09Kkb~Ig+Rm7D-yV#Jk;lsv3(Jw;1 z2(0uwSDdvqip;K)rqg#LCFr;+<#7#jIZcc}Y=ps#UR$zxY@&jfn+h2``wLUQ~U_S31Q7yo)B% zn()K%&PyaHCqB95!Rt;Di8(D3|CT;4`v$%5!7NP`_rjEPqg)S2UtlCagUGKHUM?6-m6 zBr0KmuAC#yL6%ixG;ZJ^uI$W0PPF*?DMj(C#w`T7yfn|rqMHqSB9PdX7YUE6!5}I` zbUCLV>q00?#B#4YstB(c^;9{pu#t}1FjSLN#G|n z@S~`KHQqsvSOia)sh|2$$p7(>QQ-u+0vJqy9}m6$Pu8Nm&H)v`Iph9Mu8E(N{YPH| zYm93fEZI!Z)avGEzW1Zg@qd-XjeW()X07|l*!I853@h?I>wP~N+g4P_j{&lA@mVO5 zJPV$mu>8nsVB>#%cA|b_mSj}p@YH2iTP(I1>YFx&-t#N zHt8gWSeESmyiC^@cph&eo<3T>$a(lbk;nhH==Tkk9h^yadyap#ynP1T@-1iE!JmnEh{fT1C~aPpDqiXJ{S+U3XLA0P>4*>XYz8h823+ZLddrE< z86qyqX{>ten8#n_16$(Q>F}k^A)MZLk5z#0s6?OdoJ2gvoBn&o1OD(m5YrH-r)#pCX0b*a9tknE zc0l27To`X80(8HDjFe^dj*okeP6&Bg$YMr%gez6##=qlJrB3n2rOrAYMAtZqgaF`p zNo&m<{$jukzJr&a^Bfn14>-}Jto1n`_@d~!+X+EopJm`Dv;tD>l!ljO;TXAmNmx%W zObKx-fo==*tR!}0N|BP$3W%v9sXN@wq+$qMaHCVugssYR#e$zroqg9`DO{8x*L5|x zE{Vz4EBV<^$gRN}f1x;gT*@zGGW~SIVG`Kj%2Bcs%Y&kR$|;d$fQG`kzG{ik zbFScvHHUH$Si=uSSf(r0)75BHsNkO_AOX@R=u|{>{G1xSP=O6pY30so~xQOKGUwz)BQYo@=amv3G@>Fgb}FGsAC+uI7`eX_MBNCr*frbca)Lg&?Pl zk?&#*d$J=N=cKDb06Im@0}X=KRtB}=x?0o!y2wQWQoK}YND;Ydb>RpDQLZ8vW%E5qvvY^4%$2dLy>wf0UXryrvp!Ae7@;QzI9z7$N=9fBB)l6C!6X3Fx9HE)4Tm?UCMmq4!f)jPKCzOJS{mIvKZ;4!Zb1CJvap#`?er3Kp;bz6 zpfMwgLJ?>k#$-s%C#j8v?pS3Q($zM=1kf>kVh#E7Qx;h+S9yZ!#y~-nSeybv(m<9@ zUSxF=zFNa7b{!e1H9qB%M!z68oWN&ZYuyx_j$fu!UcKFe0Sj_C@w$c7v9!V;HQ`kb zsynMtY}LPRq6I2l1U;vb(glde8{<6^OK@A&s9Lvx{bxFo&`5eALxREqD?V|ud+PgX z02+mQ`ANORs-X*{LCDGxWz`NcOT1H@K%+s3r)#5`qN5R!jbTiOLHkL$44}NHL z#`ws|k(a8d(juA`kOxE2yT~D0cqbl9G{BR@gobXJBi0cs$!fn2xv{l^i1-{UHvmbZ z9CAtNi4I;5EeMhyAPmy9y;L_RK%TRsD4}NuqC|yPQFzGDD7O*2%YUTeA|T+*#^BTF zO-kKhx4EW+L<%ogJuiSxu|)Tl5FaVlREaHlYb>qR_DzQ>Bn)_;;W{7fFo(v%1wMB& zlXw@gRI2>}IuKwq$zajX5D%z6@aUc)vGcMaB)F@d#-{!%eN~1Cv24;R4;e;@`En?; zD3#NsjzXlwX`)*e@-UKD(R8MhvZEr0_s3>xxE17}$u{_2r4Q-_<*NvpMjfw2DZij` ziUFn!c?k8vD|xh6jz(8!9poQ%^+5qM#^+XSYBF!ESFS#4<9%riMr&Y06>DsgVKE0K zb$yIZUi}1|3$9Vo9Btj=kEwn#0v)IkawsaI7h?{2z-58tp;Ft!@>TW%T2xxdiA)>_ z;DTE?wUE8)S84>HI3|rD+F7hLY8ow^WDU*{W=zR?y_i6P9YTu4^w+yHXov&d6Z%pU zvC;C56d|F?#3vy8OfUWk!gS5c&4irPYz9NTG66U^pL86`EF_cb_*o^9RaDSk(;`FK zMWsYhFryh@C+}4ck+GyqZguGJ2jT?rI^y!5C8%2DCCJ!Xquc-jUSBVc}o+ApC@|Q zr)`)3OFmYDDUqekGgI?T%C_J+3zj;$&nISqIG;5o)I&3K{ObGy5a+_5M-n9}rA@Cx z#5F=;9bhlLg)ggkA^Z@shYtsMXk3D1rAl z2R1B1jb;S1rg~+jF)3{jLN=|dpHRaj*0|DkwPeGYv4Yg~r+jJU8iEUmA6rj_WphRD zrjKsyJdu3rf@pu9LPF7ne(yCwnGc%HQ%{SFZ&As?t;uFuJ`3Fteoq3|V-jqIo;Y?G z17i`Y*4RbGQ`?abRsmKB)_@@gYn-@YQ$IGReKa!}L9VEHi8b3PwIb7AYg5kcB^W>g zyqCttIQ$qQhklb(skdgxmkvt3RS8$wH$B&MxG=kTwWJK0Ql0{70=h4mzC|kZEzlOY zrX(E06&1}WyXoV{*hQNo(*-}q2vzaOR@P9msc<#PC=Qq;Ea(Ijbg^K8uVKQMEL~!$ zPbW^7$T%Qx`VUYAB-6}l@4&*bJ3{)2JXc_cGVzTHfnb}B&L4v7#f@mkc9@Fdi)Sk`M z)(j^IyNB^!ZaJ~pdIJ~r;+XpX+fVT#vkVz1NhSaiJ|zig`s@ zB<3)N--p=oQgbeK+%BZvk`4Bjeo0dBDGNjE49u}yMHFPf2Q5tIxW^!6<(zZ*G=n~q zl5z4g1;~7Jf#l*$hLRqyjK>^N&|IS1G|aOAF@nyiB~K+?0i`qMN@nrTYM6whye)Ch z`B(Hbb&T{DvmWEeic=z{q7`d-SL1~NUZqHn7aE$g6$8=g7A^zn0LThF*v5-1l6$(w zNhJzr`zxbv@F0~1oED#^!Sj>={WVv%p{_f5a;z?~K;K@jlLO{KSOP9u6dK)rGZ7n; zn#H)ASG-^$u{1v02wKC6eyZKlx=>BXrO$<_qL@Qr$bn9phVQRhp1XL_tqGHO+CpZ9 z_sbEiHVT!GJQc%*<fnH3)P=7zWh1!lq|kK{mWDk53sD2_~t_38$Azbo`U{ z1-$|dks)$U+2`O)-(tus3)5?;CTpXPEnKSf9zRX$koEHzYEYExvxT-pnz@{#fQa_yr zr$npZLGm(;pGwTV_qL>&0cZcrk@{BEsZ*y;om0Q=y;Zk3RDjfL;PRaWVUxiz zf0p|cm#mU+g(j!S^x&NpoKp!0lLat7uQa`*ge><53KyLG8klO63VLr4@A&1e`hnF# zcjZvkwN?q}DMMK@t-1_l)TKGZHuhc(&IPVc{Q+9Sqmn4%iBjtpw4I}+)oSMAi3_T7 zNJ+ex*)h}A#^#~M1LxKQ;mjs%X0aUKB}I{tBsEC1Rul15w-g~=V4L~*jJn#}g9^N< zl?4JuK>6$)dhsGuSI6s<*`Ti|aVrt2CvwO_T2oBj&2pMHTSX{@B*9OWGcb-2(q)*V zJFgWRO6OxeUy7q2`<)};ku)V4)Evq;FdZoWJDTDg9UQUEazQJ+R&s@TgP+p~xMxnx z_O4ds=6M;e8NS3HIvkd(~x)A@@A)|v=Q_8dY zFb!ci9|el_+;P}cKBP0&iB$UB0jWd_2@k2A3aoWO-E|>*#RT9UKY7+(F0FGppk)Ny z;HMxab4t5u3)6Z=GN+*ix5P#D0M1{%TG5!-h1ZRvNFsarUNg=^3<z0nmz5a3647kJDkbg~v0echUyueACZp zqtl~!VPje@f8q8UKYH7ZKX=oHIDT|@Dg#4q*0&Sx6M{|VA1#?X8(WSk^$p!p-To|0 zJ^cO6kIoLiaPYK33$mujr~gao-rG1UZ{7Jf_QX5V&)oT~lfQgSKgZ9s%CiTbKQmr8 zKVOQTduZ1^Ki_xmCBJ&Zdwym9$0gZz@U;Fr{`>mMlMfYdU3%o!EnjU!S-w%Q38l>7 zbN9~P*!O4Ozb^Uo5+~NSW$(u^YUcTdL8nM!$5BJFfpDk4fs}Du378Ri>kAM2!-48#1+8?%>4&^nHo+fi$HK?*h zls}pO;%0Zxp5K{qyOU&B!^N#z4pLk~`l|6QbNfK}&L7HB7Twt8kX zpJu#!T{2WkdrG~}Npt@Bs?&E#&`Ct!wJ?ZHrtkfi8^86dJAb$@Zq8HLTxOH5ZuVjm zSGa%Io$J@nCkMB8r$!&%|NQKkPu>5Ye0iEKy6@>N?e#aOdp4ha-TLNW^?`djn?HX` zvD}!QpPNnR?|)Ni|Bq*TdmcJA-n@C_{F(Ere?RnN&VT8J>mRJ&a{NQb9{cDcv!$NK znVFuCXeaOg#;;uW%gvPrjwr_9$?r5u(Bp9iX^zGo;1V>_doi+;zcgsP+-X@VFp>vIo%s)EI)O_~`VJp(S zr8H_v>Bgk@&C+aL8reJf*6X5XkVAd^8b0~Y0Xwe&1I3f%`YDZ`4j=Q)==#S7N__ix zO26{!Q5F21{(-6fLb1*lkcqJVd$Ij|c(#(JO3(2fQ>7AT8k(9vGJ>R2a-p0uI_h2F z`^A-9jK#&xSLgoR

JCpWEL*<-dts+??ZiQuBGy{4?O2!u=J#pPYwr>*^mUUVUg4 zP6i$u`|Zw4dN|_flHxht=M*VKZImx0%<#Th@fK0K{2m8q{2o zQARssR%qASq&R*IU)3$-9q~;LzU8ESC2>7q%Cc}%iV8nI0uGV|CUTd zb&zibjYGL?7%yK(gpYfB$j@B~Axw32 zn~Zf-C!~4W*NJ~v{u23Bx@A4Qo%BC>%=JJ0=}H~Tx##X<51+*M)4feHIYLZl>M^wm zIQ=FxTr2t|b3Si9_VOzlo;uX=Hkle*tdvSywkSO|fp)pRWlQZ4m7PCMB)PD23T44P z^tv`_w>#LRqc+Llcyt+nTDHa}HK84@fuq~xv4OGQUTnYe0w=I+Rr2>P7snp%ytYji zj8ggH`U|JN_;iX55YAc2L%GX`wcn;?#3oNG?jQc)OJ9P|YuaS_$!n<0ht`xS=cVqz z!zV3b6MWN|99ittV0g#QzVFIyBG7Zp&D8XCZWBGRs9z3U z$hXDm3dSxx^tyeQKj47lOSJD2e^%4b?`4w6;!l&$ue2~?kFd$n`>w|ZI&WBPFEshu z_cO|Cs=qk)`9o`Ll4s%FV3V61flL?qxhpx@^3mJLl&DRRo1Pn}RJ8Bvm^>A(Z>Qsu zTKp4NO8LAriML5z&k`9h|4{K)agK`8<@u6{mowz1dfs$th|ljF z2d-c)&-@FhmsvBRH2!VC#_{Z272;#XkGDeFaW8`m=5);bS<@}twU)`(m+^~qPzm&t znpaH*H9qG{RK$~qa&nP*^Uvwsg}Re{e2;%-Sjo^$`8@Sxaw%VZ%(;<#?<#S&OztWH zzXUn;MC@(c*7>sXAY0||=bW6C3~l@nXNgpKF$1xdQ_C{RPdEqVhGRIRLkl(gzt=p+*%OHn$wG7Y?X}q4pqP!I7X&Ao-9^(uV8F(?d zRa-8TA&!8`hqdmU!nadSe$c`G`jA=~WPcf=I9d!ktOU2t;Sli4&uRTXTLY`d zS!(OuPcjBWau`*f8;mp3=gPY&dW#P;}=MKKxi|>UC}M%4l(`Pge=ncQ2wO|&50 zzf2$<0~Kn|1b6LqYX_UG1sa_Fen4gB%;Kk}>T4-GFa4`*a{b*pHX??q#>1Mk??i1v zRc1`fZK9Kw)izOkDdcVlbxWF;IYY}b>OR6I)6;rV+SaXadwh9W&p2ad1B4{qIfV~1 zYtjkWYqpbDw!X4e(=AqlJN>erC3h5?yjZ6_=>#qR%d+b#;`*c7gyZ$FNiLh_R6h2# zY%(f8rTyf5A$?7oyy;EKDTkM?4rd&3ca<4AcLPPR31|MTwh3nOrSv4ZEpj*G+%0QX z<_yi8M{VNurYIO;gHlP`MPJ{BPcMhQOHGm$raPzb;eqMJ*R@G;>npzR!Y1CWzpQ7; z9i{K`Hd)eK^1Io)yR@QMohfkE#|9*(!zz~_yb>(fd3xYN>sDB3uh z)1?8wFNBkuy~`fJ%-t_$hs(-RKIu~bI&A-IxX&GiUkP7Eo>I=!?K|lL<&&<2iKkTi zPV#Pf-w9e4;G_vAU6eWFE16r)@F6|>7d<|b6@DsbBm-;k#6M_wRRKI`46c(0s~UFh z!3D*pdhyV*-f{lQB@g3jdY4Dw`b6N>UD?<8wHclhw%`auIq_Z2RLxV3I7uNzJhjpyNeuMMsk z@0NWS#%Tz5T`^}k%?I82{Bk$XXO&ykGVED;Fn2vY{Cv3mL>HgM>+4Ei>#Z~DQ(%n= zU9(YdNiRnMBNhYl5F(FbqG@ygkuI?STxG($>dSyT7zOLE*~LePG{^=r_jidB+x2>n zk@6{bYEf2AS_rL9w>CyoWwB1gYVa_y8g_cg<87d`R#oJoTMiRw?BtRbbIB4L^HW!^ z;eCT%70W^$kKM*KgctDTkia0y2$jDL5lR@13#(p35`wuWqfojWrT#TgSQC2fz)=|} zvbK_D!dr4BOp$#ul{^DH{FhI;g)m)@mO}p$euM#N)%AW2D@A~uM;^}5ukRQi?b&w; zSMd&l*>10|G+93JD!)%^eO#h8inK&m`LK`Tl6`W)2mQMyC{|~b)9KBWIQ|ZYqv5)R z_rmxT$>Hh5WSHC~xTZW=^y@m2iED9qF1(73xNdIhNtEsCXo3ny&CU3z5H}b6N4aLr zkd&nPhVLyt_ylKH}34!M-b2hE_9cMvrDb9K#Q=p^sTjtGT3kyeB&PB}j8b zDRN@1)Fuz$z9!)+8dm(|A(MH$8~cS8aPaHAh)LZ_bOP41*EOjgial9u=IFBNwU}<` zFsXd@e!W+00!^|-s#W|ks$!6L%88eGYlf^Y6X*bw=N3C34i{LfLJ}XUNX)i2E!D|S z8hGPLCyBB22Uaulz@X&_IH`h&?+-|>iCd#i24oSc@xKcH;jRhftG^P2M%i!W)Al?tR#;}?4$RGyZA_YjCwIA@b2vPu$(Q>WaDa9Qxu%D9SAwAG7& zUU+`R1(jImDV%jR&A256DZuIph&9hhzR0z~0tVe|fzB*Jg)m&$Drw3x0yVo~;0NAB zgXJ*sX5&~?9!%Pz)z67>|Mw z+(x!icLa|Af4PFSj_T}MPOGYnV_U85C1++(RXJ)5h++2UVjHIuJh-G(0M&4Y=fFnd z31ciyi&&aM5^8oq9$xMxWVTe{RM+F{k z+*+A*)~2@)lMya!TOw;Ti@}K*>u!%lhMU$#7tfK9?W%fZ>bt2PTgTbp(woo>Q2}^h zrB!II0Z#Bv#8s<3{5-@k(~UX_Aze+c--9=r13)I`UBp_#Jrp;o#<3PVsDo{-&@kuL z=j>X^f=~uzfYj+^$}S`maI|<+Lj+7o=cp7^F4ex2-<8D5O2NDSQlVm_IRzS#7nejn zYv-|Cm|o_7$7*ja_KY>MK-59cIgu*$lIO)R4wQy6VMxC=7^aRWD!tYc1r?Y8Y3AZd zTnkI;6aujv$gk{Xp}o!myrgBaR3frskrvEE!zLQx>ebN zJx)~VJ)T^r|CH`7hV>8~qIUV%h<=jhj^9~+_{!tRaaB^;WKr#^dl(hc=?RBT z7znzX^H)UN2%cVo3VL#%j54ycUoBWb1dbBV_3!+aXyxXh>~E-?f(lNmTW=f7>0%CKPpw+>j*pf_;r252ge#K=gTCWd4^ zk&L!tNr$R*6Ojt3JW?q#a3hm16ewvXBsI=S#WW1nK^|x!Mo)t1?skG{lsJ;>kQdhK z(jJGX92u4}zz?!aKFy+`19HR z6j&(kph6Bx188T0@T|s$T{0AVm!<$KL){}4v?fbH61Qu3I!I?)?gpxrQs#ORo5>o|u0cxsGpn;hnIm#gGs`H{z#Z#wdmkK)3)s@OE z_7&S3%u(Z`Qq%^&4$kMqlV+1M(ky>P66c^~C1iV{x6*VY2Q@oqOet>jkPD9GSz+@Y zd}CLMOrLZ0*h#svMDL=>AtqB^%QOaa{tGJrb( ze`r|&cA!lekW>GRGoYK&bP2IdRNo>T{+s8t>j9_T#O;MT!Q$<;N(SPB_!nF!hR| zNIk>OjQUAo8y?61H3+(Zg#@K)PBnfLPIhSri1N&g7%9SWn1F)bgvA&z(TN2DXnl(B zaPmYkN$gU&Ro|4f$g^CPa~CSnFjDs3LigJ(Q_v)ckZZS}0DW zaRGkrV#Ho@GxA*w?GiMDQ4eB-MoYvP`QP%)tQx*)8!{2{qZ^15h*^9ds>$q0!@fqY1AtnT-hNkZE9e!L}1B6L5cXyU=oIaSp5qO5_KuxK0Fu~&MQl4 zH>owR@|@!;m8hX5)*MvZO~){%P4)nHks}7&iRsC{LXLVHGjWO+;>kYf+iDNzzg;p& zn}{)>F*Y`+r@cT+ZZaE-5y$zSDz%>f(hT*^NmSKxHmNr#h9cn3k0Ehj1zL();7Zb76{tmQ56&NENJ%DDFK#`0aVMbm7-8<+^ z#R`p?Qfn1T#2}1giLM$~3zcF>C7|SUS_4h&!y_)SOnZM%486NV6Iv#O|3Fevi3xze zOQ<$pG0g|sV6o1z5$u6WWJ^qkk`9ha{5DJTu9L(@@)l-SLk@yLW-X2eDBr15{cshE zm$hSd?`Q^H&#=+^L@ppb!T95 zf$uPyiKPgs99$ydf-=J`p!4}yy$sRgeUU+1#;T5@ff2)*y>udi3$*Fnp#zNm9EwY% zreQ1Mq!$AwvYp`21tS!Za$D)KG@ikt1{=-H`WW9f`p9Ffba{F`_JINz%~KdVeA_ z6b&|s#YyAn_$Q=%!icp+Iw;3&h$Isd874|p$(GDbir9(R-mc*`vbAwB19d)*;|ZB4 zr(0y(I*@+Ca+1@`6q2%|Iwcd{rpVTFf#&+59_g8Z_l!ylVX9`D5N6BdKBu3~Nu*tr z;R6!&P9pj!xl0_GRu3#)BrYyiZA0|qN^1BD*|{os1#L}ml^MdsMNSK<{r3xpjwQ<&^C&g?5mfSyJXFqK1CVoNc^@X+jn$nqWxTV;B((UkO6kb=HwB_%fd*iRd?7v#$Y4j=e~8MF2*2 z?VTKLkWjiPGP0?x`v-NKlf|(nrf+D(HgVM92NIq9q$Y~Fd)In_4cs7)qp9S^!VM|) z62rC{vo4D&KC`cmcn^B)$FRts$J@woZT$SK3aQ>?x<}j=e!nl{}?fn*s961KM21-x` zYS^hFu~L~2pX@Rot&u*RbKa{qZ?ye7P94^$pL(I2I;hd5Hm>2m{(G~D#xx>uE_p}? zIVyjk;VFry^)3_lQhIQJM!<~s_esML#*{aN{|;Ua<&9v;?1UVQkdm1}Nbls}D4Sm| zj^+1NIA(?i9Wd+ML2)iBHPmg8zq;aP;fpw8@vE!2H&bBEm*haVGiv!Dyr41aFPEJ< z0YEpM_f|8EI&)r+s}L^ujilrNBL$zY_sQHSX`J_4GN8>2(FE&5rOb7~ML_Cf;$@?M zNJRz0LPJu5KIziy!*O|M@8v6eT;OM9=vqmsJiVVlE25xzu&Cu4?tX_pCUL!+#>=oJ zgd=1j{2rBwsYoW<{C05~#?55q$=#atW!dS&9-qnJ`9kNivgP5ttS5T>P9B)4%sW-C z?X;7O{)VAaqIk+mnPb_>u{-9hx$;>{;tU}8H3aJI1V=l4^&>tb@ zGu!AULQEE)c=zP_pOg&3_nvG@(pQ(X;nZ}g>}6VYCP^B`f3Lu98%ah=Wt&>pBQysl zZaq-JnLVV6pm`+ZH6`ah@IDy$p)j=)_m4`o@Q&(iw3L=Etw1~Y_9$sVL63K~U5Mk0 zC2~_yDYlZXqr*xrWpkXJjAdUW^UtM;l-MihqC~#Z7FRZ{LB0lZo3b|xarr843fN>; z8of9fDAV+4O0rK%#UwJd2I9>%xrH)_N6{0_GS<03+9=0A<3M zBDbMrWuztPQ>_d73W*c<3y%Jjg}rBAOsB>Dwd0d7Wtps|e0r`Xj5v;d)(WoHcE=Cs zR(xNFAW!SnL%*15$>yQ7Oiv;1*T~V79g1zlXAa2XPcD9M9MyZJwC*%95oq~}Bv0us%0iOd z9Fgg^S!ZS$zNGg#Cud!*d6-I4Q`!ek>(g)6$$})ZrRv@&wo>?vey!(;3T2G?9U>2* zj>~7NgBMX~?w`u0%4-y4o$RH<{2(H+nwLjk&=GmJ`wDuS&`jyaQxP-Z+kF6FTXV_!pd{$%Hu z&id3}zw?iIvha=Sj%RP)6}NUZdKxdRb9ZjvQvU{29-_JVl5ppphZ`8h5!`d*`{&bb zE^4SH7`)!o4XeYD7Jr6x6@!l_gxaV{G zdO!N=Z{GX2TSn_|+%b1s;f3_Kw{Gm)SlTQnG+x?!W$WPcuCZ?RD?JbGlg>|Xe0BPr z?|ENo@7@n42cMI|eP8J#}I^%Oc?A?3M*S~l65B_-1x=-F~c0c~D z-gWnW>sJWby_nh?QkM#WE&fea}><5uK+I#A~jV+x<@4C`Y|GxCk z-!zSn+%vrU;C!R;{o4-gKKN{7&)?tod%GVhHyV5IZG85j6Wrc=@BM!B_XlNHr!%}| z|Hsdq{r0y%vgMzCSl#=~OCMSP_`?U~+nvw#eDZ%(*Zq%I|K!$xxi4L}b^k}=FMK+^ z;k+-M_nXgOcNR8TsF}fMKXahz3U2q!3yl(eSF|g)LGRpLNsh@w+MIPbiW;}Q|Ll9? zo`cl<&}UI;{aoFIl~oV@?u$KQ9(-R~LNTe|LwGxu#j{xe&r zuXxjo^^g7IuYKx)()ky?@gG~e4{STw*u3%Qe_p$*JAbYJ+&?$lpWDB8?-#B(^SSMv zpI{T($>!TmdfR2y@$JWda`eUDI;L~OeS4Sgo8IxCKSAp_KG>uY-Pc)q^`;%)-g%09 z<)-Ov-`IZ2-p^b=J@mr%4WC^8%@^cS`{$n=|GPi#T(?|t)~->Us+`>Fe% z|AVO=+kZ6w_0~78kRA06GxG5(WWIjNpX|Hhis-rehQ|E$*GJ#ne&3M1`i=Ox?e})( zJHP%PJJ){t@sE7_*Kd4$`@K*9?euivihn-!{y+KlP1pb5+c&?m^~S&2LU`}ur(b;2 zpFhVgoI~+((YyT0RaLpJo75{aa1D0hq_M4C|0)kl)^#l{^jpUQI$KlQKhQVU-#1VL z6wg$hcw+*M&TtEgU+f<%6vuSG-G#-%s1#ozH36*BnGS1+^90e3^I5k$RV;?Jx1*y| zQ>BX3Sj{#!q=&)ax}tBg&YPRN^tOlaf5#VHfAv=qPepb`Bnz{oOr(>Uk@J zu1!|&bb{|mouk@>^~F=H2d7Y5)s8^0$=H;xqu-j_WSL#1=z7H_FUvFDR@mefO?BwP zS2COEcGODcaGP|nN#_Wg9P&2#ai=M5(aJ5;m!1`z59d$Yz(u*x0CfsZ9`= zeHZN4!zP^~WuWA5kyl2ir2g{MKnG!`)Fw**bZ(Pp2A=UYd9iR=e{pKG|7Y1`Yq8U{ z$&2rM-8Tm*REfF85!U9*gHC%|7)RR4jp=$b>Lqbee4~&ud!BBn>_vP&;9Uc z*@RW}uVWJ}Xl@f-3$ClRbshcI7pY;?vE}70Thu07wrHFJHepS^?r#nI9Xl7k^7801 z>2yC_u!*mMfm-C%SG`Sk6pPpk&>EEk`-(}q@$|lCN9{tTOR zWb4~Hr>X$jP7d`gFK0ISaqaq7{s}qWwpgmfkFmD=rKg{M8k>A+vE=tP*7`e#4sE;Q zwEz7`o2>1-7GJCHVxQ+S8bSZw7I?hNHtenRT`v+=n_vyK)rw8%19YE++N3y!p2jXL z2AlZ(kiPF)W0MG*guW|idh2MG9s5axP1b!k*hFhV?IqPi<)d=wu)b?q@mO73iZ%}I zyQb(xXb)4NUFBt~tbC0pscUMzT<3_Nq$a#6PajJ}K2_HPq!lYe^opI(NK zbl%P1$J8=KHdjwE5!Ak`QdumOO4@gYn%1$kus?C*mAKsLZwvbgOJDUpo-8HGOW`UW z2?Srb)9*X}c$F&;AMRc&?Hf+! zrR%k=_6=txm2KBPrEbq1ACldJu&XIg1>Ij5eBr8l@2Wf$4f_@Kdnvnp{>;cm1HJC; z8`{WTK)>g|e1Yz3{BB&nKp*<4n}w~?r@9{!`cj)16iOxz>^~BVb-6 zl|{B{O%p{2w|rUF{jH&7`xu>7zCUSAR2C>4I2!ifBrftcp$h8wsy6BTui9i~|J*7C zbZs)-`B&Pcli8$$O^&P*Q#<&!NA!9&S-0x$X_X-VCcSw(>2yxvwR|?#AE}hrC|ham zcT85OvWZclE~iXSt=b>FLg9U5d~aZ^pQe_z69(JYYbTE%mV8xk(^IPg|Hi$+CfYBY za*A&!YLEXFn;f;B7W0ND{XZKxe=O9ON__u>8jUy}1B7@7s z?-y(c;|T8tW2PO%GgbwN&#;Ow>v@dcHQ)(z^$;5zjX%uwk@S#<KXx6?H)guSDR~?Vfj-+!FAzFO;!+ZsWd^O+9@U|6Jzo ze~oa4?=e}tE~eG=amg|yM#(^lXRf$^7|v;w{ax289bxbG{HW_rC_Qp{7kn0euquue zVIL!pupX=$5y!qp95i@q%Q7j_M_U=Rtbvg@?}BelYQ(B|eQm1olb$bhA)HvHI}#5a z^eY*xqH8O?(^L}KhV>rfyj!>=@GTrd4(Q^Cc+dFsgGTc#T+%SgQ_oElpzvRDJxvzQ z&TWhzda%095q~J?Ag9mIcZ`+-z1IzN@tzQl+Zo=Sq3k8T>p@df&;R$JnIYf!7jX${ z`pi`wJI-S?poQ}uyY38O{lpPArDWylE+bqctw;!;$s8HwS;+S{l}<-O5M%Y`U;T8>&=#yOv{&p z`c^{FD=2{?tp)&_{c5XNE51wM1FQIiFr4F6F+Q7>yjGHDIB0#gyzY-3H=9jvdBneX z?G6mQe&yYwqWRdrI$g*zqLqrvk!$kGk?MBGnH8ia^o+YGa|EUvDS=1w;P&r;yQE9|)Jj$@8el`uy`knH;~$Jsh`6FS*cAbAzi;CU2K> zQ-sMnfoPn76-_bjuf)V4Jm{M^zzeAgP*BPoYPn~X>)YbtOTqHQp3bbI_TE)WSW!PQ zs{^b3ho=wW^1ozwpPAMv;}L69Do!6z{f)&GlK<1?h$wyLMH>d{X&p0UcOsNx3+#sPliM{iR0JA||9fVahT$V7X|b(<$o= zhvw)w<_4NQy6|!PMRB<<>@!3htqF7$vg!7_kiX)RTS%u!I&h(&ldz{X$!KM&Xx#bP2uL-a;S zG$Qm)J>HKVkjo*wav>kC5kHY8OG@wS@SL`Jl^%cdG_jcOb+B0{%r1uz!Z(h&9C&J50p?_f!r21y-cK~_uBl;X02 zPg`eX^u0wws$<9TLTS_%Bp8lokk*1*DFy+FMV!yRrc|ClEod~3<&!MovYG<1Vh4&n z^9CoIl?%I-h-}1`enb){np7`gskvyC0+<#V979~et6Yb%1!+5Onifi$XFQQQC^FEB z9XKsmJlsG!>kyOw2~{S7PJ%VWY4a};*3J!VE3Sx{BuP;!PK=2_(uU~jpeX9}%qT_y zVPc=kBxZrIQw%csvR9iBl#q>da5td>cnTF-S8z*oGv6#YowlbrCupZlHlNa~XkqxU zk+|dms<(Di%HPqwAQZeRW>X7S9-tS=!Qg4p9<`g5cvDq<{E|>dV|SXKDbh~N<+K$T zJLeX}cl;WLeI!eKu%DLLCLv`u;J_Qbr~po!i4?)#BYLI644(rMTm}gmj98NvQDta} z(%=ft&%{5DFJ1LqzsgjbM#EN0z zb5vxQ5YtT38YlzHWR^ws3co^)0!G=vf#X=jsby_yFJR3zpw^##A{>?1`h}tuP^9eT5YIf)WxP{Yu&v}1ITTD9gSqisG7P%^yuwS$J- zl^&50k_Lz^0By&UxDL~4D|4}I8qtUhDp~@og_Oo{z&Wiy3ao)=%+sLS!bl4qTDugE zaqK6>=6;x>ZZT1z3^J_YIz)BmcEV5y&VZ_=CLO4P@Gv75h5$KGThgkb?=q=A4raGh zl4|=4B3PvY8yVwU{c+pqv`|Ofqmn^$1}qbl#5v>AOyyA;f>z#PO%xwk!uDdDG`Yw< zA_uD4w7_fkml|sKTuOc87sOquNM%8L|+c_AE(iucBmaWjVL7U?r{`97z`@ zB6E(MCQIpda&!FYbaz;rvI;|^ft;4Ir0TLp4n(H6<;+FzU|H>Od3YT~ZklpmbXNJd zM@{9R6b_PN+?^~N9X&*%=CD=e33uz+)0)yI%nAD|3wY@{qf{{}fdZvucGotgK2tF= zgXJse#l%9@qOiCC-d!D1vM z$&w6~N%a(c*SkThVjbyH;||P0>)Mts*u@(vBRa60_MxPL0CUOshJt*pk486Qd^gGM z)K{W~st&CPkqlQMcJBG{o5}GmYFtaVE3xde#onr-^CJXr6H>EY&X^wP&29TZ|dK!DgT(RZRs*9B$%UC`v}BM0pC(D3i6@3_HcNJlUfa!ztE-^H(}i z{vwj;7Ina^o>qAhy;vmb3Sc!1MEmekSE6q3;g;)zTY7$QxpM%ih+u7W)4lc58u38G-f z!f6=^Bb-jA(jn>ubz1q*+?$?;TxfN8>y9MJmh3f^ld?(K#$@KkiFLhmmvD?!T&+W> zJfyF$>|KODa3L|aMmsjxK?l)c|GYuF zn)F=uOH#%Ku-+hEH|!Ty#@^2?A$HbBH@UW@=z~R{MG4wwKX zO>ETboPo0;K-i~O>umUMfnBIZMNm|(Tcl$U4 zUj?4G?HM6j?|33>z-Tn-om{CIw+Ni87~_mrqFFG;XJ!)1oYd;=h=V|%r7(0M+zeR5 ztarj{hB0c6f2I86_c_p>+IIb{TBw?@^PXODKNqGVF zGk99guJ<)RQ^(~{FL@;x72KuiakwR421guh`0s)?!l zTsKwjS-~J0|Ndh#eJj|Ej^1}YW^nBx%T&lP)sa!6;2XeZ=1xmZm6JS=yQ-~C0&T3* zNqhi(RK^@wADk`8xbNx8#b`ElPodBOSx-YKx`G}NjN(()h$e~KlcmK8Y&6qu#w(G* zr(?gTV>;=CzXd_;>^J9KjOS@rD^U?v$y!b>Q2M7F`c#BVB$%xZLZsG z8r^bFnGWrg^!Y2B(uxXvR%Z+IH+BF5q`Up@-*@T8HaTmz2kcG)H#dYu^(Yg+n>fUA zjrX;j2Cg|#)*}u3^1LimdH%?=n8x!7zIHMKaf?1=q?AStdf_$T&OtevQG9u#5zLL^ z*5!_st)7j}9Jyslt;R8~T0HA_MRUGjPLT>tvx5&34c19RS5S`LF7wTzvGIC(%x0-& z$|se}(WVpSj~#cask?MwNGJ|CF|iS94|7rNPoh40Clq2f+5?vxi||bd#hnu+h7s|C z;ig;~50kWKF6LQ<@Gz2^Cq=eIR^~P`v(on2qVMfdshAW5H>%oJsXAczj6^*$J?ot$ zLr(WyO>QuohNwT^fe&qk+wsx*(7C00Q*QIa&Ug>o3mf4UM0JF6^({l>swJK{gP_h2 zt(wg?=s$F(*D!Z14Gz)CsSvBc5l5%$V(05@`Uc7tIj?sNK%8@)`jR=_PRu?}9r-NP zy6vu^0cV74Au7p)grwfAC%c`+oO6?nk}6OT{}(Yaj-_u4)QZR)D10Vo^B9E*R+ULA?@9qpejJ-T~OG&kFNV3wor(l@ovnC)%ce5|JR8F(^rH{Da(d|_X?zW4H^WNOm; z?`NAo{)>b43$?*1;T5IQ)t9H^L$mASGfG46+R1jYzua^CV5zhr-4kpQrQf>o(e_5Q5^lz#t$9XVeCh5ZZ{n|ZG7~dkA6H}|BElY zb9QmZFTUmdzj)Cd|L5*4f8IW8+x-0cXm_*N|H!9){;oSd8GYoIid1z<){pWvuwzqYE z|2-$4_tOpM{?ae)lH2a2=!HMWChP9p)60H!-49HF)E#y>FNwxvh8CZ|{L9 z)U9BX#*Md^PK?c5WA^eIEyDEvEjHQFsQ(wLm$%9FrP2p3sM>+q(t&O7E!MtCkFm$w zM9=BeF+NVyzr69r-?+Xs_=#iE*&P$n-1tocpPD`Oo!gJOZ}HyeXW#ulKQZ`@&DRu8 zp8m=U?wkKWzNR+3FQl^B>A|r#(rr=iKw(e%HqS-Vg7m zo!oJ&EB5qgJ1HE$J{jzZ<>n9D2TBL0M&iG}{JK2{cdY;9x(!3Ka!lMaeTlA%9~MmRR7*@@6YuNxe;Vp!Ht9?~JofUxypBz#l+V~rOeg+Q?#^v;i|Q7eNaw9@eeWup zTy`0(3-#&ZE5RoHYix4;j;pWsHtCnbnAE4eO?1arrge5&p1-(=8M+YG`A>Ogy0Cm1 z8@$veW4cce@T61axbvcZZUM;k6;#j4c5I@FRr8(Bi+>tyGJTxVKG{EYH`Z8w=_R$v zPdi&T=O`)dW7B%=XS>^KO({;{z=7#(O?Pe+-Pd>|bZv5|b5xtWbakk^ zFJ1jp_Ft~f$rlucS>5)wQ=6Q+spD<(zV|FHs!ddxrRmi+>GZ2j#=K3~LCUT_waGGv z-vnOQ`*ub<>F*TSO^i)C1>M*PFYJ!y7CWzH6XK^koy(^Br>^K+!B$7F1KZJEg(UAB zqOVZ7-~W4`aA zCXCT{9RUXpl#pkvvvsQ=hqe>l|9cdu=s7^s)3rm3*yMWBtnIr7GMfxs{mSdxWKs30 zeb@Timc35ZCVCF7+JsGutNJeK*Ui;qzVA|-9MN}8>2_f4yE+A7hqt$h7C+MkO>b@A zMg7*2se-7bg??-zSI8Ap{eCMps($51zT}>&gD&!R;;}MSQ=6zvH_>7UBPq4U^}%;h$j@Mz*&au zOB8>8Bf*JU*kedKKSj_zd`v_+_Yu6OYi+uL$=egqmNk7&cctI|$aFH`lW~23>(_$# zvlE5zc}xZ;u4JEMI45#wxfb?1Ca|IW$ilgd&}^J1YPnv!tnaIwp^fIXonve1~X5TsfNw9hI3F>lQ;|cJ% zc;y_%j2~rD`6kT35FcheW5T>S$y{zr%9U%s#uS|?^QI+dZP1kJokT`)9AQMsl9-<55@Pm;3>r9`@IB5sq<$EW9<$ClFd(#TH!^=n6UUtsUhmD0c_d>4!} z9CfcIc|oIRPr6MB=QLgm4=U%7o|C99XGxNdc<6CAYCN}Y?7I!;JeHx;JU=GxZVaBE z=U8L(#w4VJj{I~l=6QN9q^48)fG0jXhjB%Ae{Q6YC;i47*c*BlWy|TcFVpmNcmD5^ zOFB}kSB4KgdFV++`(4SzjCFgUt<#F{LkpUMc1!tnu9ZP&tM4-hinQR>bCf*3U*}mg z^&A3ykRivZ+y4f>#t`~X4b~O4)h?+&huU@0=ldzYKmNG4(eKcYt%a$nT5W3o^4Ct}!gBh#pV&zOd=5orXR_iaSo9ldy3$}Q;O^)lxq2ryb{!>8}@pWGMn@qo}Y{Ixn7XD8=Df0=fY&$WoA1}fEK59>Dy){be4 zpBmG7)*72A{Soa1o2hl(cX?e7JglZYnoV?`HMXMfV8fMgmP@Dq4Xf@GL*F%h(@Ni^a@DR|=FVmF)ys9A zbDjX-wSCv}vN0mpT{pGA-`hmx4Sm-_CvA@nM4RtEr1y7=-+z@^>zjT!HKl#mQEbv* z2sWW9V3Xy6teMcs@bM4+wC{QwMd-ZvXF-pvZF01}>zP0G#q^0oitZIIrEy}J(3F1Z z60SULwLbhi>4}yw1DNsQvicSB^A$sgw-)E{)HC8d#o*>)Id073yWu=tz?ZxDc#9=} z9yu5|!pHNCk}Q4CkMeZEP0WBkc}21upXJM<&I=yM&Ni8KOxIA(@i;d{X=;e#uKS#RG!qgra;N!QkG1f z{Cvi)o2Ae8J0@Q^obJIYk6w8`_~61-aN|rtqg>7dK;A>E`=-f7EvjxOyY74I)VT?bot zh8P@nDw-K}Wkhhv;6bykO_05zny#I>tIlVvYI@z@2)*A=sdOvxAOW87^XKrz8vL1X zIF*KT+=!;OL0n3j9La3G-cAM>;X%9l^28ZBAVa|BINa6w1a#okLoGewt%^BHc38o) zHyW=O=NUO!!lU5wi&4)ia7!Zm^Yd40@gD&erXg3gKVbP8e!+*sLe}is<9$I&9@0B6 zm#n_(>S3z`N@PIWj9#1F5ioHCrb`v%3Gr)EhWCsi!m6_-HsE8;R;L)NVm(9awR-`0 z|7~JkdgS>8H^h@T$Cpq3Zvm$zQM|ue^{oZl4RW8Cfyq6u>3Z2SGS8W`NMetYAfw79 zQ+b2*YfBKYH7|c&xVU`Fe`I?!-0^!kwJs8sl^x@)bVcZMEvmrreL zsk>5!A`cX$|C{im-X$NcZquj)@?HuO5t;kvH_BHPWlGeg{Loxl2yXbG{t~+su0DiydxT~VV?x{ z3O2B!+h(@NQg9!Hh)+UmbUsN{zXCBZh%|z=)zdl7t*)umVpMPDLt3x zjX2sa#*R~PpvNF?rBb%UHYXJ2XvrKHAZ~Edfh7glftZ}M3IMcoLNX3Mf+M!8K}FqP zaH=XhXd9c7GINNgy-V&g;~?sCsZCHqeQw6shOsvKkcbaCdh)8=AuDX>Ltcaz^yuNwS10*?5`N- zny7div{0VAKhdbT<Igc;si%jZB{vsXVR_B^3^`ej5v&(sjffi|PQyczWIgefUT!5Ps?vnADGG_d za%pLqR2IF&2^q9962$?QpW_GXSe-UL=itqF@>@>PGC>W-=W%+$@3bY9^TFNk%wU+3 zNt^2JoNIbM&ae`81R3HwHP#7OZNQq^ON1|W30H+df5b@e>owMFht-EZqA(s67yK-O zJz^+vhNzh3EUZ4`K@x$$)8XqQ7#Yk|WZ6F%RKY2+Xt(1{DB1@{R7?#6Gh%%+^#Lw~ zAXZ@V10=i}Q*0vV(tUZ1jKFuNdP&Jw3s?ai`6NRY3}diHsO2Wm)da$TNlXirnnWp) z`jMnHluRPacv);g>z4L1hR8rdm=6m$4My4>i+m)DC^t1X{ykP>NR3Ur=!mMv1$eM@ zAPnrj(vX0b#PPFZzmv zs}wC+G8hb`bIh6|8`Wy08?Y=?R#~j2G4;l#A}tUxtYIb=VqJI00&mzDXDq5i!NjL> zfMQT}#3>;^?{SD=ATVU4*rubtHkDf((*T+i8hIdY(j-*FZLja99&gc%2rA9|(8;%ASAFu_Nn&C;JVJ@k~!&!78pSUpBLrG)DUhMa{C4_PC z2|uIN8JHz^Ult?G&22n{q_Tk6W#}ppEI1`IP1lY;>)f1*rD3FYO_w^=g>vNc3MKdx zr6H|8>c?($y|Ln|*kcb1Q$rh-qAXDIxzw<`^xu(gDW23`b75|QWtsLn@htWIDQSP; z2hpsN(Zn=)Z##jB(vjH6{ypV0U>+qyPTsoMoJFMzR;<+=CDeVPiifZYp+yWKp6E&} zBQgRqR6oZ!WsNqxW1vc8hP^sTa@D15AlPOT8U=T+My_)A*Ibe$E+L(xP=|x{^LdwL zKlVfT2}afJ+Q8u!|k8j|K|i-rw= z65B9M(erc9ioI-5A|80HVMPlY;7qbI(i=7K`^U@(s*70BX-y>|0reC4A~BKi;(^mu z!WI{Zop&yBO^wt=|8yFOE5n!c(UR2oj!3+Z+b5kB?t`Xmdnck>Q(I)BBNve|y-J~A zYDSY-N2am%?Obwjw0@`3m?l$ARYTmyQ7>S$x5&u*zZZNKjE{G?%>_9Q2Q^3H?rA5Q zh1O~mHYke;8-S&J5B(Y|x67$DkI;^|-8dA_JuCJBVS-X_c|#-78L-g-!2`)eCp46a z7DK!C4bEwQ5U{bebrTQYxv{6>@KTI?F0Hz4Yaj7pC_oM`W(p*KaLTJSp1npQC=bF4Uk78 zPx#N$ESor?NGO0#5J-kyJWeiiapKQwEYBpCir3>zyiwz;bI}Icx9OP_ecTr-pCyT6 zU10AVbTfWRsWK~hfJmv3go+lxsGOn{G&2QV)SWWfr}ifC*Cak;azW*k3nK7T#}>)S zYedW?79pijo-FZY9Qkaes=H@`DtHJ|PS#`e#8fW9g`0TXN-mTgcif@*4sOwo&Fpt(1>t%q!s0Ybfm0P54xUOwFz6i z8odHF0lfn8aPK}9%p$kmhzRqfXs8*>#mNSYn$!kIE&$o11jPUU?7a_sT*Y`~p=rQ)f8R6rt|S|1!mrKe)ArXR z?VXu3XU?2CXa3!LXYPbSr9F^^xglm+tsGt5dR)ZQn|5lH2h9#b4_Q2fhiFT=0_e~N zxr)Y6DV=vQKLyk9D1?g)8b&YMS{Xvti+qimNUSnxr~bxt9$j8QP`TD5N*MgUetv5P z^|jQkeg}dyK9TAXwc$YO!J!9Y!EG0LsD{Ng8VGq7j3%Xpwe0@!xg~-*m_%n>BU0@? zwUx$pPX7_?&(3uP16wRPz$lKxb9=cJ)UK4n1mUiQ;jus%#U_#=W-WG%Grj$d7=#-$pm#1;IQ%M5YL%+4loE%IBk`oyeM9KAiMQ&Rp?02mqB4zfdI22&ma7xP z53%|v3C=92vAIGjT3EpOD=xNm2)bPn>Jrv{m)kY|kjFUy4IEvzoGxI1je z&eJ&X+qGv)o8IVH3m=2;&^c}sEqZn#l_W1N zb!YR@%5&f*&zsrHyvU?7Iw?6<$|vU`>bZ)^IgFY&bmT3iQPqv|40JJS{@evYa{i*v ztC)Va)p7Izi!~Er(wVik4dhTLrOEt?`lCGrWWI7G# z?wF)(Nq&3`3@ZfE~yzncofpH(=|N**zR+32Wpq;(rg@Y6mipB z8}?Z^W=RJG+<}SHDsHrnx=jw3d0tlUD^#8?!g(H4+++e%tmw{WiK>yiBJOiN*jI$@oSW%%M9s+(uRA(9Kg7Zx;I((rq_aM%Ocuurg-e^b@@Wthne~Y?ck&rb&d- ztO8N#6clk3-Fq(XES*A`B8}GXBIV|WAw#Vv%u-Js)pSnT@MQ%TFYh(dcdKNvdUQ>@ z3-x)FFQpX4TFGP|qdG7F(gXKQw+ye(ZItz8Y3$lN=ObtRPNT77?*o4@a@V@m0qwkR z`2kznvg1*DnmxIrdl$5FK4KGso3{S2_4dD{-j4k+yz7RbJT~^j*6n4t?Ab1xG+jw*pZ`|*v;X)b8QVPZ%;Njj^qsi(nzdIq-g#G0sZ=R0C3Ife zP2ax$nZ-YSv`;o|I=^*$j?H#sw=3UZY>eBtbOLlyRTgmR;wRyOaHn&_P|fh@BQlTc+&%W<%m5$3M$us; z&AzZ=$?~(VWD8wX#_%t!4$WhCzwOAIw#05jwdLkde(KoP>kxU}jt_);-@U!9vG1SVlW^v(09;_B{H?8LwQs>4m%Q-t+0(uf6~C&urfQY1ent zc$unqK;x$S7xtXc_PGcCZ0`dnF1cad>N#WD_pZ1JoA3h9HEqE8idU}a8z(A({A~;O zu4w!C@|!og7f1RYS$@-|vF{-7@~tm^ud(8zzbI{ed39sm`~L4Q^1&GC9$kL(Gw1F7 z`NhvYxAoD9#)`|Hxcn=7PxyoPz5nJLq*B2aTImv-+;&^X-W8Yp@FV$6o92G6A4^nLfykAJfIgEPZNAKiM}xbFV%{Ez>I`@q6gYxh3))-9Yr z8eJ>zdicpp5BTTeTMypUSheoJ-?@DLq8$rXnDdsexhz=|i@TTAo`Vw;#dm*w=dB}6 zo&Pd-ddnZ{Hur)1Iy-mojuv-}bS~j`F%5B%&$oVF+}EiZrjXK!o9 zPrK}6{2x!w-k&ucv!AEAjyc;iGV+0yET5k^_k>-IpU1;Rk>~xI*J3gEed*V=x-BiN zkM7TS4jH!i3=}#>R(4#cYsFb78k~L6PL_r?b~g7wjSO%eWU|J0zfAWDc66-Me3re< z@5f??52r#ZL4m(Upfo4okqFSiM8Tgi9cliH+_+T{7E=cicK{QSrWroc*xO&a|>cP1%f z<%-p&O|TC2zf@Yq-qM!cY7=eKuWJ(?52Q9(Gtl_?&;7pC{qo#DNEOJ<*hFZQO7T3< z_(5Yz^DeQ@{B0d^qeMG-_TlMvauVfFZL)iJX1DH9gx6&$f3-=YbMO*u^1D0F{oww& zzFzosZE~O5}>91G(3z69 zN|ODG5+!-@G%Gz{asO}=-*nGU&STUw3Gd@pO5GanP=e1+pH&F{786NVvH46@x`&iE zbhpe-*G$iS27G4Z(Dcd|u|JMq^BPLsQz7f;$8Atdz3oZe>9DftkC06~k5EiPKa}j< zgq|>mI|W z=QqI?h?ta~LgQkyJ)x)$s;Alj zZZ|y_yQxL5HuS06JkvSUYw=f&qiT^CuT*#y$*XMg?v954wn0Mq#IM;VAI1ck%yX~& z>uqw_(XT4oFF~~L^DTy7LhPdxn{;&CymQ@2?`UgGyvinC*TLSGXh+R)?lt(PHkq25 z>Q{Ib#eOzfwd&40b+m8Nb@G*qUni{Lixk`|@?D~TZ98fI+czpF+j6JN`KzThb)`En z`rUzb>-ZKobEaksNnMRrp1)E%8R;Auxw8MtUuu&dtYZJ`ESo%$nYH&&bNn}kHQY31 zGDp4ZUvHBxJ%9BL!5g6&=;TfMv*rb(JYy^3U-f|!J85TEsQNu2i^MfmvH31;0(Y5Z%$LY{Zc z20J^D`g8lauR)@y{#3BniJpnIo+7!m6nSVj+1JjrNCAHHruj>i+kC_&8 zKZEQiqMM!0GfApXir$ZUHt`$s+m!mSd-hGn)cfBQRCt$ijFPsTUSBI4U)0%}Yx;v} zC9pV=lui6BCi`lJ&~yvZg*?1+O%cxfHDOBN9c4J3z;iVk)}$#o*Yu@1HDkOR`&hVC z(gvsIPED=^n*TJo)Mrw+8BT)>?n^zda`q$KKc4kRiZcFh|H$dEoA&KjkZHX5CuW9l z0m+w!TzU201lRPCjT*N;9d3GprU27c6S2+6f5H5|EhkFjnj{W19p18XAYi>#mB@l) zEr=&mYj{kka}MJGmSnn7a|aOzn7LFF@01aIA)cl>1*TD=FhB1Fo2hDZf-X?u2> zV*=%-r#U`rRI~QGq<~6NP3ZKEyi2^ksJfPk84Ro76q$q&vjjHBdw35C7mHt|i&!p} z8q=pyeDevTBM?Ongz^f!j(O>y>@(H%iV7x?k0R0q!z{&l*GmrDNXMwi^+pCccwkC~ zEDIo_nX@TEZ{wCo5tfJ~2Fm=j+{9}HQ9hMXuSxCB(Jy#eOQ}e`I?`&wz%kK}4hRN@O)Lyd3_DVup;5VlhZ)X~_;;D5IRfLP(QY zzg7ajhMn1$|0p<(u`1YXaJCuJ-1dd`+xHD?Hva;I8fWz4`1heiKfZeSaB~Ns5&_2R z$f-9akHJJ5_KNh$orWxRovZ(ITwQL6eV|er!moHYileTys-p^4QJiy%5A-q{rV-(6 zEUAAfsC}sio;yC4mt&{6h0Kg?=Cd!;S;NkBF=uC^1)G*fBP_p=tHt(kC%u&fCi(X8 zSDM^s4BSubOem#I9XpdJBt9RfQ3QNZ)IS4BkAz}pKnZmjngx~a<5by{lf%VHV11LH zTFRe%q;E#F<(N=k))*i1O;~*@ff^fdO)hbt20f+mzUIXysgt11*+HR^r?|8fI!q}` zTBy2dkm;WqNNKXv!Vp*e#&974PMTc9GXN55!t2+sL<5}Rb6_-7`b zNffOxd2aSkU%;%h=+u&L4b7AFdQ$nCj!+J0j!NNW^l z0#A@ke^nnsN!`SB&WEh_m_GlA5kmb| z0%I{s&=O#4tHxtzLqDs=)yH{WyxWt4v^rvidf&-WM|c#B$f&vqLOK-(aQ==uNr=qpI{CF{O>VN%JyBQA@fDS!wK{G^kF_$~%oUDwYf9d98VLKT5OsV$a+u z&QaEcpihI+&k;o?2&@T=&(}yGhCdu{r9p&gD>0xMRRvuycoPi!7aTogm^MGY7C{=R z!P@ZS1O~`VpEhx*0K;Ds5}(fxmU18AP*`l`AW%AIJcV8YjUwm~WXCsE{~k18;^UfF zFL-!oI*jQ494-8}+jje0?Yq5;l1$-soip3|=jniD4lZ zQ*aY6(F!;RN^LH&EFJZ!mTIy4pbzbLLGVdjOFAlPPBTE`@i}k+_LVp)O`~k!OX`Ur zvq=q4gGA-*DH;GjjZ3(3G!Hq^clu%9S`9*^Q(r43tDzaNskiCQ#3Z@TX1*WIzLN6} z|L=OiR0!}D$a*9VO8U>UF^F=hJ zamm&%R(<22=C-c~s}dO+LoF1oc-U3{6Cn61po>okLM2Q|6%}e5C0yrXs5jbDCSYA# zszgp1`8^&5Vif!}@%JSdkDk7O>x-TC%?WW$otRva)AeyJs5b%Px=!)=rpz^#ENZ+_!A|tUeR0>X8XEOj12bOw#dT~i9eW(vQB1yqN zjC=#}H<3#TE(NLGMIQ|Npop6qKmwzg{O5qolK&j#_^(p@d(^;dyj%GrgP{Flzm>=v z{CgDp4a#)T@Ec6 zyDo#?lIT60{!QPhm}!wSZqDbMcP++~Oh^5P^E`CmBh!Ds4&nPd`a5z5>My4+GJk2C ze`7MlwI+SW9dtr76xEu(X6(PtRoQbb{@3!ak0NDjkr}bGW9Zc!9dHx*PrT zD9S=e!_X-{>Ph1XC-_iZ&bUa!4{CnU=dvd&J+7AnS9PrXbSkC%PPd7R1K%#F)itp) z!d-u{ag_X9>qiX{QdvJbN;sITS`CV;4*N%3&WE^wR~Bw2{z69>dV@p!usB`-8lTRU zZ9( zMf_5zB$(N#X4Jl}rb7tt@KRRaf}RS7S107Ci$gu5Xoj__J9N|&=d&~r0FhMnYSit8 zoiz!^G@XfzIDY#=61EPGR`|D)JMhAU#Nl|As56=1^lFozItrRbVKQ-K(rIWPu$tV0 za+A736`$per~^^c_d|SgO#KS2iPK!fVb!R7=^?aH64CB-O=p$(1d*ilewR?B*J`3U zOVvtAeMG3}jC%crfR34ZO<>xWc%td>Y$hU>RUvc<$|J}HHchOol76s>DK%rDRGi0W z{FM;t6Dblrr7((B*l!AyTXa)`PbgFWzw9KED2>%iqA&IB3r#XR8*O|!W>A{g`o9?} zt(dRJRLOax6QU7KrVvT1&nobt=4CbmU)O9B)7vC?Jr`chw2AA5F_2sRku%`e!}weo zN5GD2v5cAtuzI07M3GH6q;*}9xpBRuKa;vR;Cx!%h=sN_tC)l?Q2wtAK3`%DKXM7$ zd?K#5c;|WaFP~R2w9iMbZG5M*?(icC`AF9?~aqUE9*6g=rSE!3K(DSsOjDSg~!ReRaUlRhzs1r`RDBcF+Pd?-q zf@y;1Ngr8;Van3`l&7W&nwk1Evr@JuvMZlNKTsQf!rMgs;->NM2gMC5>Vpy#V5UOo zqaB}vx{f#xmfWoNVL49^PT!N8G|p)qo3&geNtnb!5`56prI$eSHoVjGVZ(J?eYRN; z4R~;=E0d`wEx&2vannST&VaQb_{z+25_usJ>8)zoD2$BNC1?;R3ooqDc3rYM)!^_Y z&O~TPoEBSo0esg^;Xp}jMKRM3TLy=n#uW9Ut+|sVCFW+9EGeRgL9Uh`k^r$B!ZomD z#HW>Hh$RpqfeLh->LHe6O#p12~0vNUPYqJ-V5Zt&S z6B0O3TAa zfkUraF%wYNBwm5ACvI6Xewvgms1o5oCp<2+%AIsJm$37897SX145SZ7ZQb!1EJvb2 z9|bF;mC22wEhA=#vyQV>{eCp6agmFMAg+fwSFKwCV*NZ@k1w~8ZHghECXAA|ZPwEXz3qK2m6{p_g;4XDYi0;vVfTx+7Qh@8_eX zpwykVieHSsP`0-Uw^Mo|4 zKSvFB{)3=iU0M`HB0&XD0wqK~lJDZqF0Lk5;2a|*Xme&8x3(gObb zCytin`Bq_yA|6Y=rutb~p{PX%wOCl!%i zuN+M|Xk6&=5^ifp&jd`X15;77aP)vw;)?TSkOB!qmC!8?Be6u6gnCjTHo1;D`euOs zT7^>3kr0~%a=S8An8V@ zUM{Lqkyr{8pMq>e(zzP#lY&9z^FfJ5#X<}?!t9FB9S8lIPs@#MId!cs3my+Hai}vD zRhH^nvX_t<*%7CiN{?PNsh33^i)=};`-q`UI_b2N8Y7droV76V(UF93BIKx1%B_WV zg6Cx2M`0xzr2V!O^sQ4Zin!^TBZqR`NH^jn9*-if7fM(gMIZjd;x;pE+FPuatP=4t zQP8DvGOfvj$o3EiB)q8ZJ{Qz0tk--Wey=OcU%ny8ihiKDck z@vQ;B0{%n(oPNsTcL0?K4nt$16$3UQr9KR~%6do=S^2gt>WyoC^|rnWNz2Y{laTDY z$Q-H^M#&i@|3)SwW9qOBG^Y(Rw2eNun(uZOkXszNH@isCILvCoHyPuvkCSE8`9*QI zBC9#kq*RfLg>RTK)J83)|KZFAOTb1}MpZ@DhE~-aJnay?99k{R*W7s89+ZIvV^t!) z=8pvUYC1;9q)dXewW07b;;Vdn72AdR;PJ7!IvwJprj~ERhj?f(vDIA*l~EW*C=46% zN2#d`8GeR7*#xSWKs*E$_3DhBoJ58^GIR!7P3R$dNVF%>c~Js-NR?@EH8wA;KRiM} zN8N)$bJ1T(5DAe(EfBRBlxj)yscQYLhe2Q<@S{!0*@2>80mc4tuHDq4+}X@zh>QxN zPOBJB>+Y2U@CVc|`bo6V>u!WT3i5%yGb07tlT!ujv!Yz6?Z`ky-g-$u@AJf1w1ie{ zmN)a{U{o^5IAKW3~qvu%=GP>2e zDhyfhW#?E}4)aF`j7M?|wXQqn1&HCR%sv}tx>oW;Emo8G$6O>~y}Gi64u^YCPCFSw znOfI%gN+j^E5oio-69vN!ki1ZjL(ung0SLzU)|M;_5ltZP_8s%?+87e_f$1XZJL6W zv*LI#iceQs%-|=3h^QnwtkNc>7#|`-W244iZ17iXzXWk*2o>g4<&JUE_`1}C27FRY zu9XD{Ef-4Xyfgc9*qv#}8EY-`FnfWX@z*b-Ax#3EGxs$FQ^Trv?UKd=ok)eXtX`OxIjgS;+M^Dp%hQ@EU?8<= zQeUldYvBw-aMHA|A3cL+8%BwVpkytBo0v} z0&6FtrI7-^Nq*oZ5-TA_LZfsL;y}zQ2Z@=zaYO`zc3KBXMY`m9qxPJLm)C`<2%ye{FmA6~BK&_uZ6s-TZZJo7t)29ZEkSS5#b4~~qu`O_fEUxl1Vc<; zZ2FQK5m8z6kryQ)OQDpI=CrGd#2~XyjzGx;mWvT_8dCpkSorxc4N?LUosQ%=_W9%) z#Cf!GToFA4QQ1h7OhP+IiWFgu?V4O4$fy-LjVy^t@*o@x`Wtvcp56n()IHfdmll`mFJT!^ z8h3u=u%}go2S)y;S6$sC#~UXWO{nM!m9!4R2X3@^a!;c`1d62+rrjk8oncgLp}Hs~ zTpX#4QdRhQat$zH`*0$X>JEy6%+nmY_@t<6s~DH!x>Co>FH>p-`T(AE1TIOZ7`$FO z+*zd{UnU5|6qzl8@AV5dlJcOMTw7%P*gMUKpp<>V@Hr&q2ZS`>!Pwf^M#e^YgPdY? z>)XB%kiPGe4IU0AU{beY%RujAVQg81*n0BZ4N=)xa%QH3(l_+z}1E`7wUpt}} zRaIdyA%8dOTo~t%m}@5uiS9(=_!2yY%ScPT48jS*6iP*ceigbtEJTfGCH1jj( zJ%MadHy?7QqIRMRj=IDsBDBmxXHiTwHtl-0v=1?1t zw%VcU%_nfmcgxwU^~7U0=_1aIl1T0-g?&THGA!`quGMM#BO9`)Py-CP?FVzup*mF* zd0$^X7mn`ujktZR&nkV~N@qii_RBH^jIY+uGKS?`LKd}rNdySf)j)ZP>I_P#Ufojcs>@^+Y;@N36; zbj0(MEqVqUJylEPp{JP@n_Q(%rRvPJ{_{$A=;+|B8@2D!4@!^$IjQ#P{6y{_JN>a6 zYn?Y$&%g1;YXiBVT5#2hw3at*xU9DQZ|=Hl!NP+V&YfS2!`7&v30rQwd5m@vbZ&}n zrnJH1Z`=CthB>)MwVgQNlE?1I#*cmB$P51Z@3MV2|8Z~oyGg;y1ScL$w(8-L$=> z?}f|8mYfkES^duDZMn0Lep{vb#j$w27CP%H^2oNnir^;Y&|&}!e{gLT(IqIqbj>x# z9C^(HAANT2#5HR#yZZk39roEv4()&b${l9om+rgVRpi5X$Canc?uevuovErU3pSkqIn~yx`t?#)02jAFw z|GdxN{h^~z-1@#FPxwg3SMNXZfMd@)_r0Im^83r;^X;`?{h!zMUHjlUTTb5k6IAlu zo5mjc$&H(9cip^c`<}ntzWkB9_wJqe!QS`Z`iGCN+-Ty*l~P=sYKC!HjxXjO>o#Gzqad=2mbNA!=Ak6nrljr zpV#r^>A$t^r6+e?|H7$%aK=9;?Szi{wj=KTY>>U>khyn!HSGSMe^UG7$M$X=eDW{a zx;9r2=k2bR$J?a!!_~PV-G!jV|%>)@l6xo@2Wr7`IVQx z_vE70SN`e$`ls;Ec;50RO5$zO&v_*b6K76Lj7;!F*v8Zp-ijj6`Yh7Zfm#yu}J8qYnoH^-+@2c&+_ zJ-c@8*rDGio_eWKD9JtdBr?ptW_ha7;bmI2YT2p|3LwF%gdiF?O23eQ!pe``I%zqg zLTX>qh>3|?@!xdo%8^W|(TE!|#h2PeSvZZJhMhZi@AjW)ZOC$;u{ny<25z`vV4(JX znRs~z<^|U2@d`eDrt?g=I5*HSz(;5&CI%XO?HCNI7?vSx~J_Dh!I8oW)Ops2fd?<`I=o_>0V+60Mr@1C4|qOpURSAM$p z`yd$Jn>Oj_czv7X&zZ5wDs0kd1d`fB8ZS1uzdB*%!i!FNK+jI7`O;H%3@|dXa$+|& zp%mDpqkYZD{yJ*fq|}i2KR}8pwaL-Wz5S%xI&AVXrTK>Hx@nVLoo7z%V-qX{)6^zP zsXxw&;Op3L%qIHb?1tKef)&!XA+l=6s{L%T@Y&fmS+i>Q?mJtad!^C9Chfbh$w1@y z;~O0-kZ`RUP& z>J2GPsZEk|U%X8SGg~nG>Td2Q8B;D&+$w;IxeH%QLPIuqw4F3wnQfB~eqH2jwFzaE zM)3u;$rYs}&CFG8qS;}Skw)X`iH14epUTnFA?rl6og`X5`-GJoWHKPTo;Z`nO^a)` z6K|7-w~5N3((r5Wg=9jn-A`U#tI^n(Z<(DP3i45%Ck@j8Kxw^j%X^f=#HT6ED8_-S29+z5VZ=sJ}EY zkoH|2znV?Lk2YPf?rjz|TbbP4=|!l5mf-PJ*mgHlaUN%Tjm2Mt*4jF&0gVOo*cu%EB2I zCif1V&!1+FQa8foekjK<=kp*>Jtu>*CTCL&f!BQIBl~5_1|8gzt?Kz4x#Qv}9{OF= z`3^HTcY4nJNtg8H>rAZ=CV~8T5U&% zha>5|P{tRe?)%85_loL-$wqE{_KaWAP_HcQvd>kHbb~f9BQRa3v{oAw*Zl9UyNFRYy!X!VieMRpYOa@mm)}oE~g*5AhNuL2pcLk?B@TF~^ zQ=4RV@1-wDbugXY%bVJSF$aQKxx9uqx~GZctS;!+p^ky0n3%63+{nDBHo0@6IMnZ<8iBbyvQm*RJdk*M?Y5Y{TtnpW5zi683fMlxV`26PxTDY0TI} z(~U62Z!k}P0-H1%g;kVhYURrI-K;F2Obl>%-!KKp%Ln?O)1arq@SnV-t1oUqBjss?vKFLrbsgy#v;zU3_w6CEQQ&+x&fxWPrJ zSB~!De6^{80on=GT-%9GYMV5PJN2TvU1&A|tz&8vom9^o+1@7u(L)n=YR&`4CN|kg zBZUt((6L`{Pq4|Z24_*MS;f&A-X>GNo$Nu6FM9razQ5=DQ&T0{$+2nQr8?2y$bL3S z`Y!C7%CnzM3fvcwcNz(c>A$4!BEN?0`a#El-sfoFrBd#wt;uLRIb(&zCVTdT4`Uc_ ziRdXkgW27C;67Mbx*hcpd{aBK9a~2N`jA!cOgyl!B=c~)0xNsByMi~ zo6gX1ZhbhY*jz4aaf0dnhvhUZsT*XKE|5oK99;3~3HvTuO@bWeAgoDY&y!Zxx-RJr=uZ@$RGb)zuOY~)i-Vh8|&Wb0T zS(fn046~n+bTRuCRSwd7xdNN!voT3mQ+_d=yxh9JEun)Id5Oex#f4yJK|6kaboBc| zvkObGyjy8T+op#!o|6Hg&(;Y0N8{edMM9oii&*uFm{h4o{ro(>s2(7aNsn+zd-1sR z;&Aon!O{#4UVzt;hM%8Xx|w)N$e$a9omG|?-VHl-0Slc?eH!mHUu!0bvEYq{L_Cp| zA%|Df@Xy8Y^I)N~)Nim>YBm)0$)vI9FjZ15dBfKXJ7vj5s-A(*lyF3d)afoQ%ji9Y%_y0IP5=7SP=Zsza_Wt&UK6l5if<90B%X)6f*wpn8x=57b1)H^n4=t_6P0esKS| z!`2dM%uIUIR3Lb~NkdgX5JG5>vbU+HrnYCozEhz{O^opg{i?ad_xe{zRTq&7X481} z*cl9()bc=!8bU}d6xJs(!`!oIlr98r0vZoY5~w77xs&bU^p6)`YEMraCn;i>g+9xq z1c^5tR@y4?L6JT13myKXn4TsRiuJ}-iviRf?~10ur$iRtAb~-@(8E(RG$MdGKdpf6!`%x4C;4&eGrMdp(H|I zbn`P_xVTMMIjAu8VRY-2po_1PY?aG2*is-engmr|20a!e(ve%y0|0cc<=2aqqJ%~Z zFSj0?5Vua0IR<}t&T&9T5+bsyRZo4uwZ%;ZODI=rGvRZu{l9~udWRhU! z$10bT_xYtd(JrIWs&H%e=ouJC0n(Sxi>W5eIKb+l$`-TN^KP zWjBjBGK>P3n`FmZTP}#~W3-|we1ob!@+1wcVXqs(#wWFf1x1%5wZ53o@qmtxdAl&+ z!CBiN%S;9lS4%h=aAyH~N^Mk(I@oqc&5c9r;2Q@l+_wv!ZgG+>KmGz7zKLC^`a*ml{@&a$$xmSUBA5RvDfMe?a49egb0778*^ zJ>O0Y*$J$3##lUoY3nmA%@woUoGubFvol1Ca@Xggpp;sxJPD}+3VtvOsXydwBmxsu zbCDaZxlo%MFpi$#i3HRq$JII|RuxcM)|69Eax4Q!XcL{rW*Ocf=L7d8IKlXtYmw&df5=6$|6p?u*ek1uX3nk|AYw47R#Y%L;FjT1BMdyI25I`b$SuS zMdJFsgA_3wD~bdxOs$-WYY6$`l6!!_1Qk^0AwO979!{55dZ=>c*Iln#d`w>Im@zsA zCEo~7+Chjdlsf{z5~I&Qf?hDkNS8_$2JCAlPZz=fdsJAS;h9Iy7bT;jkq4=zqP+C? zrarHqWJwiX1DdMfi=}>rrtCECdRSM?wbYSMII#vgxbRILjIU-8Ra*i`pn~(?rN*dg zZVRl+->q9cZBz`Uw*sxBr@)D}QLSusL!48g(Y2*0(Py{EzPz9SRwhy8wx&P{GScA< z$k4}PzHK3c6s^B5pMcsW^)bd^CTpQ8vfd4us)@bxg)yM!3SODPFVU-NYO>DFp%}B5D<8Y zk>1NyRE>x@dCamBmD~c#9oZJrXg?-_W7WQ6fTw(jbP9>N`8GF^B~{Bnpw~iNmms{3 z04oDU?xi#>lex_iJ4z$fw(XL@_@bDRQ|1M*q{pTgX61WirPa1X$OXES0=vL>Do6Mt z##DjL41$K;k!l1W8kRb?byOE;kUT8W`D#QkUW@BFz7%iEYycA~yi+vXw9sdeqEa*3 zp0>G4FvinHrzpO}2(tnih2>VqT0QwpC~iZ}#I-T0iOERsq^oNo0mqNm!%NYPF^Gm7 z7$Pv>O_oOBet)TRQmfCG(7?%Y+Eo@sSMXVur;=mAIZ}|ECi44U_w+7t#feH2*Qw(; z%5>23^o;BvhE~iN9Rrh`Fbm%{$CvcSqONfxK5tBlh1ldsijCBT8rf9xt(sw{CXO$N zwB=n$2DV<%TI?P&H@dHhb=FDULXPY@hk-#Pm2J-NU?ycp>_}=2f?C0 zGKy!-rjd$#41!84>oI*wy>itdEil#kkbVE+}*v$Pmp5 zL4)?iQt1KJkGN6k7@Ag%re6!&g$Aj8m&*rmrSg#XT-uq<(@W6H8qeICp^`S=UPjG0 zx$}wwmK8^12BJE`IAn|9;21LStIP*1C)6q}Y#fvL_8Ps35#=d?7`lBU7X;XXIM&mm zBpJ{3)%)vCI%{1hfoe~2dJC>lXQyNkcR3^G4n~j`9Yv@O;<~8x1^CtBQ9%EEwO}s% zLdLANu1eg3NWtP(461nypL&Zf=QU24kBaS#`X}y+EEz=zaddCF#qgCAn5E#s5^(bzTs>UyCDyUGyVtZSOVx6=Op7;RyGDxt3a0K1Sn;u*8tako39(ONKyD zXY{JH+G`KFmid|ImtzuDbrSkT{FTZAys;Y_m%NKxpJJ$Tq0pzrfeEwAi(_>xR)JWD zDGDj$K`oSU(hx`@bYO;aVVGgAJv8~`y9T1QPpiCka43Kt(L~gKka>uTWTHZsoMj$w;Am+VmYQhi584JG%C^2EJly$I>Vl$571+e z!d6R-mIz(|_0G^kid@)Vyu|cMhW4RP8V;zN!f`~&a9`9E@vU zL9XBKUfO%;2zA#|xTqrBl}R|%ug{7R;e4G%VYMA6>SQIf&{s24R^q zs6=#zD1(x5t#V;BdrAj#8Zk|VFx%u<#vi&MHKl}BGNvtb8I)0 zHZb&zS_R=(&}$SJ$t~;mJ?1wpxjJg+H<=p+@l&6b!YLNXr~_W#b5^*Rl4qUat4q)V z#glQhzVL&{TLoc(P)>$hlsiUl*S;m9MtH2BFIt1;P=&Nq(6$YfT)|XmTZIaJNt zfagYzo_f$2i6@D+qdXw-_1v`&SAX}kz=bj9dMJ>hovil4QjQ=a;J`D6bzV?YsQ@@l z>X0o4Lz0!V+?@8CQaN{iIH}?%LlBM$bKQlKjiU`TCQEATMRW99A{iqsNjS3XH*u`2 z(O#k=LzZlEG|Bdy%XPZk9o(OEVw-4GZlKRDid4&U5rW-lnpp)tIY+;%l_=`dPksRb ze~wQ=eUTuvK&w@cpYLn6^0zB2kN<$)@(JCwp)}{p*YPXc^N>_gg|kGqe}jN39f(KO zpF-HGeS#57hhFWF%y-2Ic zokQbk7h`kt>b%7XDj7>Fx0(2G?J^{Trf7pXtDIGQ%dl4%Vt&zYE$?)-26#{*sX1}` zQDKF#q>llKI$v1aL;peXGiPY$p{6i{`hiLX(8;D1dPo9Balm-u1UkoH1hn&83^U;1 z3y^d(>5Qlj1y9mutrQNC6L@ULj`|iCuxVa`TY4FF#hF~USGj@I*XZhad|*x-T4Fd7 z`8&flr!UGWrp~t*9%S-vCZQB3W|F2#hmiXW4FjBM4OCEc7z8G>pe1AK%NY9O?vr4d+_TLs|fWO#H?CKZog zArj~CMh?HCysLf%$Iq8X14+<1?@gj2?fg6p>y-?0f-y)Vw3!r;nd+h!F9lAvRpo}v z5x^|U)44bs>+Kj}DBy4Kvb_hU+FMo#O@<-JBJ+lum1E>YA&i`8-;mDh)uUkeMY4HW zWjjN-vEjC%mSG)?d=^r>wRT46nD9lp2D703apS06q zcA>4fXn7VMsIH+Y!CIk|I9%t6nWy>Ub&6E}j}p$CG(Y59&KlI1DRC~a^g9P%$s{U& zCQlM+b@?+z9?A1Lk>z4$m?|UG($Bo$ZK_~0Pz5sMVjKzO0wZ?8g5_3~mk)ALvrIl& z;6#nzgf2wqUi+Bk2v3h2>NpwAg&W=5ysXPFS2_BdvW_j#vOvZkH(9VJe07so8eZ<@ z7g-+n_sgU)p)Y(K>GMqVL&{D0Y}jj9df?s~w&i3C6=yyA*#e^$_--Lz9wj?!uSNF( zqpM|n<~Dir=@M><#a=ktZVw+Wwq^UmxtYG~drE8sjC;OW%bgnWn8yxZvXp_gdqLsC z(9-h`8nTVfXdXLBGd?|(3on9cuPd72TzG?>d}MTKw9u*9=^o=a6Ojj9T0ZwaJ~u#Nj>=su$Fa|yb|6tE z7^G{a40em&@?RZJ28J2Hobll8TEBp!pN_W;wjC1Ov3c~u-rl<2F3`n7{>w${B`YpNy9VOJi3hg^{x3S`kh$ zmAvU?5pvG8#qGIsYT*Yrj^z&L?Mi3lTEjppd4`UzvfEf*#E?L@A7^u*z0?lQT1F_vzhT=F*&))nYED7#9?Z(Q)%H3?p+3Wpd^%vTZmYW&15d8}*y6%8c?%q7=8E zRct@>f@0sO>4y$pXbGl<;%4KONB5uJ&%>6|9Mc<`v8>4y=+4K+N3%!tAyEB{p=4## z+<#D^qN1b0N0lm*+p#%wg3!i2fxlTMGFO*iexzR(n&7E>F#q9gtq0OkWV?7LMB=Su z_ix4RwwLG*NB$pNge-$9(gr$~Xj!g*S-kqf=+Im~Tl#uwg!x6hbXl=)b^e`UH z_lsj^kx%FC_F0}C%%{PRC8Z@m7t54HaB4J?YvZuuB5 zoVJWsWW7Wiwq(b)Y|wTxHZ~Y-e}r~2HrTrT(O^?!@99VU{HuLepMA|$m#+Qq*Q`Bh z-QCBY`0@#J*G?^c+o2P$yz=CsYu|tT&i}o0*`@C+oN>i93oc!|a`?PezxUfGUbXD^ zE?j$D7>zTniZX4J&khy#{5ZZOyY?&BTypihvX6f6+l4RuZ!`A5Gnpgz{$$D6OM5?m zs~tX+4p|*qb+-%Tz%>4pZ#J@yz2gcIP#Sz`qn;h z|Ea%o^t$1-3-5jMyh9iM&ZeI{@WajbfAg+6U%u_Fn;&@ZU7J2X{@~`9?_F}!#@_j@ zVc`IDG09&8FvH*|hnQ zw?;R7>Y%yR4PmBy+ihFctvK_}pz~zr(lRP$YTP_LRdTBl2rfe-=$a}DV(oW6@_T=O)#%y}tXX!=s%I}b^}m1eBkz3pu}j|a z?THUP@r9LF{rFuMUwrX3YcILr@DKfu1s7a()klAC&HLW_&Py*^y5_^jW%E_~nkYDI z>4(-_xo+*br_Wvc(&|gDp11Xrk2YTH{n2wE_`|U)|XfBUAOM9KQjFM+S3=f zp~HD-^s$dmPBvCt`nQ+ge0Rs=f3tLC`PTpQ%(``Jzx$E4e1FVGRItgtw3F6g>-(O( zJNKTJC6710a_ORvu3WVF(2hR}TMr0+@QM5PhK25PANxu6u`{l2ePrIxZhP^sJ05-X z?QZVPe4Zv+`}{keJ$Kzlf8+kGd)Kb{zm0VZzWn7U*1hMNk6iQ7`@i++V^_)EyZ`Lj zvrl->icdHGWY3ECKKhGK-}b`ZRY%|Ui%;);>6I1lz4G$)!I7=eoUYc}US|JXrg2Vr zL&4Pwx!Z3t^1|Q98ILaN&wpiIc-G(24Y2aO%1U0=m$DwzuL7kJjj$n*U5r^{ni!A@ z&9$dV=vCL?!Ol!)=A|b(SQ|RfF{L+~J9l)h>X7WBMZugY+4-!l#C+n3Qopb|HM@!} zTxCC|NxF3SY*=8ct60DP{RRu5M_A#yL-$?ExArKO)u&vZd#bS;B+F(S z_b~9y*ZqPmx<0=#rE8iSC$Ks=Q`A+;EuDPBQ)xfL2;}unxY054mbXy+4kk1#$L^W72}`q4q}oI} z)Fzi*_Qq^-)N`FHp2)mZV;34b$EI|P>cjW6s7*RMm;VknK^w(2-X^;a|8LoBw$U+g z<%I(S+3di;&wtJ?#8g8R_@oZ3_x@@K`w~oG z$*O581-ixEIYLRij;U>wSTj_cNMViIBu{lD+%u5rZ0;5HHo^2Ag+hJevdfs5%?3@M z@R*IA_P^_uMJt}zRjTO@M0Tl;tU@m>*kt12M(1-ckBoe`G(i2?sj}_*{LfbXzm&ZX zd|btK=zs3ryUW#DwpOw*!hk(m6i0R_*+dXK2}Pr2F~TKb0m~*NAUWliy8P1oAR#eO z9-bA8Sqn-8H3{i!VR0cbB8^F3+DGCR7UEwXNd$)1wE6RJ_|v@pKEJdj2IC~pb-cgt znY%07K$7=6(%zXlGjrz5oS8Fo@11jJ9GNuVWxBfF|9%WLcO%9zZF%iK$Yge0o`1ev z-oNW(Ln@P!A7jY0l#*ThRVLEdNlj+*oyg>*+Y*^*hi;9NL%VkE*%aAL@PJI3&2g>k z{{7pbAIoe_qM=vEn!`iok2D%u=DT~mOx9`jbetd)9WBkdae_>wym2GrgdrjF%nKs7 z{#V&`U@QmSeXs8y>clOV$7WR~Ux&|Uoxik4`f*rZO?+|DR0eKfN^7y{Ei)XLAqbK?I9NEEt=}Wa<464-Ng` zAl(8(3kpkZecJ1;*{|=A=KHbT7dvsrXkIoUb? zIGCYXO`y8#%k}RxkjY!XFV)G}fM-qER+@5>li1G=8FLFEesFu%d zWsWQPbJ(@b@uPg)LoY9~jE8=b&-i@V#m3tg_D8tHoyuKX;u_rvCLwjp=}o)x4h^Ga zu2LG{JGfBiKDCWoeUaLDLKOSMd&G1W*Q!0EiF)9*mhhO^Vz%q7Hk(J|vE8H%`zc4$ z#jD}tYNby(gjroDb$|ovmOC{vo|ifWg*Q6?|beAj%pa-GOe z!|Tp*gkN5LopqNDM%W=%YpcBdVk;~u9w$me`1mI7%VF-E4DL_W?vNWuZ1vc)#>nOC&y{b_Z>m;E!T->ER8NdG107U{ zhK1#%AHRf-f$rf`{F(uz*Y`tXZ5z8|lFbEPZLUNOU4H4VX4m?enVpZTVK;w6L+hL9 zso~-7r8>Du^HXTGAyizmdFGkTg13wG(?Lb)I!^7bu=2#33vYAJ zMP(n!MXS|pmdBe-gBLaVYhZSd(k!v+Xv3#I<;{iK!InGwZD<}Y&0^%~WrBGlI`_@6 zclZ?FR;)BzGC9`9?u|KKeRbvdNhi&ZXPU$7`K-k*=6hB28sZxUe0*YQ^2LiXG8r2i z-lUv1lUg1t6M5~mr+&Gs_D1@1;aOI~UMBImbGYB)8JqbgGSPM%8ahw*<^3o2$a8qz z%vsVswl1CNWo|fHCf+t}sJRKA$A`wd((i9JKYPTk_J~Y$Uil3&dEt#R!A?$Rls7=K zI%lnhB9TL#8vEAcr1O*{=S)8DJzZ`4-~|1~Yj+ckH1n%pvU10UQ@;h^VMtP47iO`^ zWt`}Gn;s`wZ;7UjQ1I<=tW5kkY1st7u@J)KVw`Bc#XH_2`Ylmk@G@x*)2daLG&)X> zsk4rg;b!ykcIIL39Prb8de_D{(GN!)HBM^!ZI^U;{Lt$|&9%?}dYL3Gd(1d_OPOFn z$Dl3EHg%vNlg`dxGfp~BLDc{)nZV{Tc8@;ps7rTudhDc={)!dVPzue%;>h=_A?j1g zW?2X=MPYS;^D^lg(mOAf@+yyGW%9oj%aO2;Pl_gg3;*qGlCEVV$Rj?>7!0C^EbgL0f{z~5{4R(VCiJkU zT&;AEoX6OrpM#oiDwcNmOJ)>A*!d-6hzUEtsIRBh-f*iOy@XHlncUF96f-rW6gQf=l znIyfdC+SkNgln`3;nhChmrP0B(}<@W=o_PMEy0uCAZQ*vBzQfyQRDr2jGB-1I8<$! zcl>#c@aLR}NieVrSal*iIJoLlidLMK9JY~-P~gUk zt&w1DlH9t`hPJ3VqycGL*K`8s`rl~zaMWk4qDjcF1y&{$W2=O5U6pGiN?M?y7;t)- zYkTVhElCs!g&v`;brcXdCftg8D;!aDhU6T@kbM#i) zEH?y4LCN!K=WvmaGs?2}nzpv>`m3Q9+V(}g-QvJA9MRIC zv@N;I=Ed$&1d=R7Yup?-P2sbEYQ-hNQJ+SsC%(CjDqi?1m${NPr`2ApkdhJawl1M< z;g3cp{`TIFmXH%sYg7?hE_O$A%6b^^o6%{3z-S+Am2VIY?@0pKh65`{U?B0%p-4xz zc5QvzC}OTANpctNPCReECM+h`V`8m_JbpmAIy!|Gs=v8nrwPnKs}4t3mAC<)V=fUM zC>o#0sOJczyf@E^O7cmJ#_G6y$D3%(a0U>u}G#Y}IG)7y(3R|vxyPK?1^m`pbFh-+z7wshQ z0P`Vnjj;$e1rmfhrxl*IoXudwp&Oa~wBNBm^aAY0`)uW{e zXL%}?V*?C!NhA*v2??&IXTt_`B2tRYT?D1vwzV3kh#YQU$eUxzQhmlt+S?7T2bFWL z+WqkyT1^J6j^&^tjvTanbP6AWAGQCev>?RRTnf^k3*xmfqQDjuMFK&IMsfD;BuG<= z6ZPwl#6@e>XR!$sF^Y+&qO>Y%&nQS*RzKyBTLZ~*$ENsVYC}T&p(e8E(46yOJ-do5 z4N^xky_>x|^WBuI=;SQ_N8s$uRVTf!MX>sF^o26ls`T4l z@=+_!&kGM|BkWHJx4~8boeafj%NYJf zM5+T~Z3M~+wejjtKZg(Geu*gQdw4@rR1~#`qZ|SX%B1%#L;={sWXwcfp9~Y42sMY& z=YNF@0~4~LN=tNLt%<0c6W32Dx-74IY@JSnB!-K~YNBA0l4||RMi@CZWk$KT5l4)w z60m4SsO!C9kS7EMVOG}VC=u|W?duzp7)TSD{IvIYJX#zx8D*BRN=`}W4k-0FXK)j6 z{^EnhnF5~EXZ{=`D zOp;+#_YNu`4yUe$5Q9-aSL?~qeM5rDHHjIN*x);jiV>w=1q=7J3>0)9rJhH@qX8*7 zI-NWTi5itenoe7TK4YbI#wVTqPG@NuBUV<)#)|VQp)4K!`M^$)Hm}rG8=%X=$%%T- z#nd9OP!q?tafXT1>B_o?Yy#ZH5<0$nNzhd~7Ec^goU5Ds@)&UYllbV1maL%XfUE~t6$Rr!nJ7lst zNn~~a1tYXiAXk#KLwkaW1{`vCMi5SnVaf^Ab%FrGx>*E?V@pH@8lbcuLSIByHVG)B zDp#CnN;&WlO5{Msu?=Oul*+o9GQjdUiG{FOhq8$*KBk4(G4>f$e)pI%=j(PZQKOdp zqE?C|Wz)XI4~jjf$OvJJZDcNzz(oPQ^-gBi+zun@7sYtpnL7hXDES6W%r?V##P*&G zmw~Bh#cY|HMG^|yH?S1U1<=(OV#vJ)6X3LzzM$gSCf-QFqV&qv=GGf{M29f0e==BM ziBWaIkmsb7Asq#=Ou?FxqNz6bOz{QG2JjJl9(>>Zj?;B7A~$75LGD(0 zEieq?T4bXUn!HG}YR{DvOOGIp$h4S2sti!B5p+=kPUwf?3`m`76tHLmVpB+xepKb= zv@6y~#=?Y^0r3;HKDWrIFPt=DMh9IMx^+ouE-J@X%W#5$>0bOxxy=|%FXF*)aOzqq z#)V0#VESm*48|QB>siT6(y`nmyEG?F|Csb;PnhHsFuFCnvQeBV<(VZ&B>nKPxX!fG zvm$$1ZaW8=e;p~;kT8+yG0M=X#G zKpr1hEFBv7ZbsjY1bKPV(P`2-=G&2xDm0ggwc0pi@L-+jJedo@xQiT2KXRg6YWaE| zXM=5QuYpLJx-pKJ_!klnP#1A&S)7vH&2I%R@IDk!BHUdc}C z-a;*$ltqN9!7YZ?jNK=c$zBT+3SpnC(T*{8Ln>`djR{| zB>xHyTs*Pv+=P=SWa}#P(d|8qG12NjS;ei?Pmw>6k?_PG4nC5vNuj@3se~mgh$*(F z+7Lq#NJUIi(S(5|3=k+1bIF? zO%wo@p&#JG7SdzpE+Z%b1QFWaj_?Ao#`2sm)aby_Ygln9BQ1!$t4(53%$B(_rQ5d! zDDVuclgMn*+wxJDHnLdNCoLqG8EO%^(F^#XPneO@RQx8)SzN2dlt=Z&3ocx`i72uR z=K`$(HGVXTmRX8H!}ucFn*k{;9RQE-_VFa6Yx0eBGSXBeigH!*Q9#6SQtz1KbB5YB z9!&}|^T$MG&$*`}e?wCE8Hu80)1jlk^{sOe3LhKNIGD(GOr!oYj+^lK{+wyV0Ruv? zWTq%9XgrECmi0JWULA!`BiTcqgS4w)I|AZ8QI$j zhbg1S1eXXWG_$4%z)VUsS&mYaX>ENFs`vCxe$+ae7V^1hbeW*742BNmF#IeV zZOB>>dqIrZf>l%b0_*0qK`@%C_(98Z0&sG#L9{*)XFzbGoPINdN~zX>uddT&0z6>- zRU#a8g%`sFJx{&NY%b^sA%{S84NgaNgcfe(%pe9Hbx?T#4vljUH2T+Q$g03>fs#j* zUaX&32rGKW(Jehe?qsVPs}>MrD077I66JeBUF^|ybA40cD9R=Zc=wi_kO%0AEGl}v zIGALjR+CysNpy34SQCkSNJIQFjQn81ZGf_T+aOv4-u3BH%2}}`&z;4mtqN0kELR1s zj5%?HKHz&pcw)*?w~a7(JR_vfiR~BLX{R})k#45t0=-o(J-ICXh1ITejB6SP9a3JU z(b#Qjk8?F94^w4g7^K5d3!SWidRi-|C7(E7LL{CLWohEUg@o~Ii;6T5k_nkW;Sd!C zasovVh6tN6uNekCDU$L}*}9C0dC0(Em&#zi;YiWjBNc{P5Qg0(bDeyPf~{bN{u8pk zWVS4k5uF5iJ`5$DK{yr5fSzO^E;H*~oUilEr(5_E6?r_U8}chCUlxynnYwT&O1yJJhjq$@3CQWRCxR z$i!-&4GsjT4o?J5AfJ)RCqrxv1I-@sccG(hDRiG9^!6IH(ufVD63#pud8yQIq9Ov>0oqA}SQ>aTXvmpHM#-u( zPgvBVCMd~TiZ4+`@ccB34^WcRmLVw4DTgf2865T9p{g+el)-WQ;7A+&t-!XCZ0pmn z90GyM^jcNVG#1~|DEbtxF-fQ>Pid06Z(PN*W=o1u4L*p!hDN6UvzSzu;>iXfR^F3_ zO4A{oh{e04hlMF6kjRM@JcJ3&k#4I9qBN0$nwV-SQB~}K3mi>C-4lq2RgQf#sjD8A z>NKY_kmaRHrm;4XXOrY8UW*R3+=A7jgg)cQgWeQF%HE?I+<)~!(ds=00z{>Nu*De^ zL#VuXe*A^bljPYdVjHA^28>)z#lqM182DozGOG>4xEdmt>(jgS``K&4m(ZwuKNl@0ErpduanyiMD2*amk zsPG^$>wA=D@W7n6oT)PJ1C07ZK%kCcRU63nh z($`rc%g*!V0eYK$rpvYYd81a4sSvJBC*e=jeg;W?<~~+nt7WWr?RmV zSFJ)vO)!h}WbL#}%18PJ2Y&CWJWXYa#jt2P*T&~Z)<%NedEVR}pFA4c;uceWH>)(A zsn9_pmY$b0<)VMi0WYWQMe$6JnoknrAyvXiA750?hL*{=m>qG#4F}!$u83JgIvh6B zbVjp5y093cNkvzLA)OTVw{UpKqVl=u9Fv6^DPDZMj!xGhop*I^J3h#V_jH@@NbctI zZ!Z;!>l}4W$y&79KyHc^J&dN}NSD09$Q7dtifad@H1EnjSu>HD&St~11my~?W@$d( z;G%c0P5yUJH0sxM-cl2(BXQSI9}lD+$DjlsXDZU4^nw$R;C zaj9yRTU{r=w~Z}c&)uI|y5e6p|I-Ig{Ltx}Z~9nt!|o5csVPV}_dsfDTTdJu&p2^` zLyXlb;+>QQfBW-0Za!h>itTGZz2f>C_wK!W<4<3Iyzh7K8~@eMo7a8tu`9lE=_?<- z>4rlaf5*M}Waql~T{ZfR72mnwrhESQ2dbtXz+n6wygS4-)YyaDNcQ&&$6HQHj!aDMxR2ejfc0GN__f*Wm1~#Zxqj-RuT51xxAl&6@1JhrgQVfF4*c!C zcdn~cHvG?RT^p}>=YpWRZHe6CGL<^ow{q*vOCMi)?S+rcUbKHfXQ`OIc>Si!9-Gem z(?h|%cb)#&?_RjQ>+pvk`||i`+E*-v#e? z^o|N|G;SGqa{046mhG9|mI^YJbS525N+{7EZrfK|zU`r|7iOM$Y|%4+{)2b^r|UkJ zx#5Ae)hXE)iK{$#8#2*{56ELqCUn`%KmE%ce|h}Q6)%79?yIi(*!P=v&(yAd{0A#{ zEcxlr|9;WB8#d4E{lt^k-f(ENqlQe*zIgHKgExKW^qU_2!%vKV;)au#Zv5y?>)yBK zrOlrneEOLyUp{@~rR57$CYwhyKmOL?&wTQeA35uc>R+#j7j+H{PJQr;*Ijhh;B_C& zOr6ZL^eSP$-&&ZX?WUb^<-@ARm#S2+Al(X5A4Bol!U!U7HRlB=(Ti2q` zBa@6g^wJqi{@3Sg519ki`#SFZY;|4~Ep{k1SEo{yTW?+RL#dS7E$(}H*G}EH+U$I|*<=qZ=b&-EYC@bEhJ?=$N?*E^Q~Wa zaHzij+Qu-JUz&f&9~^^CfM)RtIdCb-kX4za3X=V6w6+2p`hjkam)om8u4r4k#A_!PHak5ChQO1+qDzxFE5k-@(8DqjQ!h-r~W~6Y~Q{^g)g(~AN#a@ z-D-pN^v}+n!p3Z6*Q2wA*-nhEkjXn$CbOM~4jrJCn=kLGH_K<9`O4`JH+RV{Jy(;x z#Ybc!aLOEiH93BZOn7GQWx}ybKuTs0b=~(_FAq(ZF2y#9UrjD4Wb#;xOgiN%CD&7axJc|w1V?*VXl!x)R zWukUkZ8}rZL;ZBJJv{?FG#er%rj9EWBQ2A~Q#ilfaJ$7?RCR z&E|RpJU+W;c=K1k`gROk55A@ifCaRUI%G1Ql6}LV-byAapJbfuEUQe0I(L@mbeAuG zSJumV`XwIkGkN|aWbx^FhGiPw-VjeMR}aG)|t;MUU}9lSag?{ ziB}Qj;S^d4nY47*C6^rc3)NlCZnfP_H8-W2>&J$N#>d8ohrjw&B{bvdp|_P9W{f-c zm-PJCIhi2sxp8ttCPi*_xY@}UxzTC4XDBu74{O;{_FFBRz11w*9#wov9|!x#e%`*( zhfQ*BqT+jqXX=+8J!g?+p)PG52cWXV^QyKxaK)@%gTKDl;0zixypH zw_LV7%2VgcbxQ9#Z2tyngN>qgj!8Jl#|qx(=W!*jp0n5&&7*#`tM%5BN|Ozn!QFb^ z;ykr?BpqL06}7hQwhr1opISJe0o0trn|I$lJ0`$uml2_1sm zCU-xb(9}A3Ua-+jXlqz`)UzZ{zAL`zVk?wO^hbYc3 z$|Ys!`HR<`+!=D7W5PQ;`8w!%j3-~K=Ro4>d5|8@%{uASeo^fy`=a-NSAMh(Eq*Bf zIO?1$Bz4chAGc3>7#*XQg@HO)Gpnn7`SD92#j=BeJ0>+QBz|FO_I z&yl>B$l=$*)oOM0AOFFp6?S&*^o6OKDEoC4=FEHdV*3Vg8lI|C3 z_A+gkm@f|L_an|cd|1zD#$;-2_LbLOV_qmHqhFUL*;UU!zkmNJr$CW96bfY-zd+YR z5~Cl+Qu~9TT_t3)PF1-C6lhR-@OnE)296J zhteE=99zvXwZ}Szk3gs#N+k-W&9ytMT&XhY8g3$!ef#!ulBYCZ`N>I0Cy_~K=Yi(I zLR%(qoX7+NLX6p{8{aJWOO=VQ3-n}PdEfX2`q2$!Lb<8^T8-8pmb9Md-y)Oo?xFFe zu!ct^O)j;cf^ zJSX?E)-Q8BUw(bx8)X7}o<50MGEtAprUfnj_m5vf1&6v+CK_`!pl-NbZA?3Tf7b93 zNY*G?`%9V&!vFk&t_PobirbN0EKkOfgLHeD=%)+#q6D`pg+i&ca^(=U>l$vH)y=ms z_RenFG}g=ttDD(owlvFHcc%Nm!2(}oAy&(plL@OCJwTMT@cGa4Ws^iEl&&%vf8}FZ zA7sL33#dl>uO$->NB@oEWSm8om&vf^9vW|sC+kS=EO>5!wR9RZTyqL{^B2E3fHm{e z&GR-54}aqu2VYYjcS?c2H#GLR4p$n{bC7385bKlYpMU*zP>hp=DNPTxIhiE7>(|Jn ze2L1l3*F_#Wo=s~YK#4rGEwqMta-`4XP%sTMzj6&r#o2@ab_!(8yeEP3;j|}tyU_j zra5$|R7$5gV^crqflO3)aa`@#N!k-2bFYj{&|SU(87GNMXhn3_i{s<3 zzPf+^fdgJ9=OL4V_Kl&`PF*{yOjtU$Wm4a6OP~7GfmhL8r8mk1{=7^=OOpliC)uKR zE1~gju5~`*8{N5c81=kFHB?B_^EEfU;|vy=(5lNBhQBw8%d2o7=8c_?C%gtkz``f5 zZq%}0%)AsX^Y}{m4)3<@9iIsMg>;#h=FVd@8kX*M*q_@dxx3N+2iRcYUs?F`4@I79 zo!9tWQg&2m@zSkfZ+}Qp%1eTecu4KT&n0fAo!;|KUGHx3es_yr%WdaZyGCA!@fAJt z7tSUVgBAm-u zi+PuY>sqc4&z-;6j<@2-cKa<8nlFqKS318m_^}#f1lvAbZ>!e|8y}_|AUHp9Q=?UD z2Z+Zjy4W|4!i3yBT5V_nu_WoGI2UeA%=fPW<)qRwm!$bpea?;jIgQ5iruK|6 z&q<&8lF{u3-ky-K=M6`&LsZ9~-x!NiyF?9pJ4kp?*Ers(sU4!` z=)_|ZuU?ggRZjvY;*q;z{B8zcijPpvG^sihPbMCJ)rh0O`ecshi;BdJS~TF-X*dCj z08aYZ9L{OD^&;pfhS>7Wqj&yjAJVFC!e1L=2v|?iX*A#wS~nYUAwixip}dnKXrg^k zuOVnrQdUFy^I#J^Ut?38LwKO^(X@I|CK_BEtXh2+AR%7TS*}3P_9Q_sHSaBQ4HG1Z z6k4zLd`M$ju?#vNt+hzCyt_}i>w5aLj5#OeK78?*%A4ShLb z3p~-&J^|iVQXh2w+7?qQg|7js+;@>SE@{rW_vc5@Z|Q57;aagvUs8`YwTDOAp7sqP zVdvY~iN&u!$M4OcBm&~xQBj5`7~pw7X82(mqj7NH)aBKuQ5Vp>MxwbMle~e~H|e@L zMj=otB-4i*dIK41fE+ntpFDqlM%08#=m=Q6`U9Zw25_x#TQsc{S^$u22bjyIiC{2! zHEr=EbV(c-67y?8%g1AmHs*+HTV8Vn<$Xyw@LBLL{UflJAAWwVc53kjN*U4Hc@K$B z4z*eNg+7n!wKiyeeMY3P+<0g10uBBxVOp~_rfKk_O7e9!tqjI^UpuJSPWIrp_>9`6 zu%wibpTeN;`N<{#f<|$^3y2dYI{X1HP8*Ve4vH;No)5!LPoo(zJWN_BwmOsx_AXpp8QWSw64r!-mm z9NthyDH>GLop^a|`$MW@`3azg#5pX4vZRBWV{?eU-S5mArJ-G-5l`nW8oG{uf?P(j zPHZj{Z-j481K00|;9Kol8Ewf(<4l`>xecs>jy8;0Wa2c5c}i~;moPFw`N@Q#8q?wj z*wdzj)QiiRZZMn72|-lF&k&*wFl^ACZ*64RnyDDELE5ooz^`<=@%!GQirfarL8HM7 z`$iNTZ+$k`IbWQ0kEAamHK2uQTLwM&MjQ`7r36n;l&nU$Ab_;vy*s4+Zt|ifBJyp~ z57!g4D6F->`NHo%(FwkuUHSqX-~GLjhC7|lRSjuTsy~v{n<7lnNgumPpB0fhh1J+w zPlcK>sA$Goj9NQ%;V}s9DrX#7`>B1sMZKwdj8!)%hdal%TeE|M3$cSvhD>AsW9Y_=PaTt!>ZW{9V) zPaKJ$m(J$W854!;YPlT|f(qHsP>d!65@f`ojf+S^g01XDuF92BtUHk`7Mxr<9EDCf zDcVYyo;Y{pTmv!+LOa5a90raC^#l~v$bFYtE?0ih5te+Wb=IK>5Ijfeo3Aa?5CN*nkxYEf)pdCB&m*Q^pq&9;Fg7 z8ybDC)h=|#hEB>mbl?Q%(k`q$b1F`4aeqic7#3-)2N*{#?Wn!bk4)ew(#?(7fMG%o zr&}kbNC>GqMl=G9I|4OP?DHuQMGxys>r)%rQn&+jI{Zf&GuEZk28=k}VCLXrHC+w| zafy`hq8PUD^$pbmMgomqqp@~S|u3l9pH_E zV<2;u2CTug@~nXCv|*H>g7w$dCD7W7fuJ@AL}O%1kX67aeFQw{v}%5<(kxmH)B6my zrxi4l&(1ZXfNAsv+D4o&j0fpVDj#(dqBzO3T?@x;z~&&(N|4+1b?&gfUzh4~F1jkB z#HgYb5W}|+16Ue_wqYQL`P{pe**KRr@+kJw>`Q0swgh!0Wp#FNN)~|21%IhXWfxe} zndWLG};f~_NUO90s180Ua8j)~qH0&KIqj}3)`7tVV_pFuDOVTjsh%4SfDXPAs zGDNO&@%*r)bR@94YD|SG%YM-YQ&=*KO~bm?t|OD-2wTJXpgFrH$qG2Qj9lb6Y)gZ} zPOgCDa0x(8J$6~7AqDQm=omOI-?1v7%eXQZ4U5#-$r18`LR*!FV!LXJa1p7+w0h(X zBUagQpCtsZbofC5Bzw|DqW&oaKSADKeCELla=f1UI>QBt|+phbXsU!t{sl z(z3+#IyVq;99fU0baB>zEaWApu0jPh?}9MUyu!TCjxS{q$t-@GgsVw0DN!{W1?HS; z7%_;%J`zuI7OI4XZbiDSE;7uY<;d%TsA?w}9jJ!#K$sDGi_>KSJMY6Ntf?|_G(sFj z_^TufP)zU=QDD>=-E+Hi_6B4g5T`qmWnP|ES%m5;Ob1RsPFbhgx!e|#)aW0S?nP(t z__!KXtc7(_;URe=UlzmH)Ud;~LDZ*{G+!LxTEUYm(1c9F60I;I(NiRfC>gKg2M2J% zzo~Q8dzfkSRn-V7R07mX+Kc3Tu4Qz*J-1{^X=LFyPzT2s%ThQ_Kk6yxF=I!h?0zB* z4MWF6gYlDzPHqRNHXHCP_%JfX<;ahxNVRS0z)AXeq$0c+MIaZjSoH)!#Q!XFoLF%VAa3(q& z<3#l@$^}bUa$(f0S8@M}Y0coItk|)5yQ=zdYHFs&nKI$vZ7WvQNDvMN#yDdpi{$S_ zi!U})CY;HCD_@`5PH|ERC)_+U<8;xSm->rS;_esOL3fp&c(Ni>&=o^flu0J5&^fqw z3>Z|*O5rpl3Sm(Yx(e?&CAYmBPK$ajpsU}7R>BC3^Hfb7@$OI>gCuU4A6z^;Xc;F{ zX3aF?zrUkS2?NuCO}h#zVY&_{wOeI1Oc+^~jT&K{Z+cW3&fHUlH_L!}yRnQOzGT=v z=o+$x@yO{_bqAwG_+89Ah8D4t2nGJEZp$qw`zG!;7@ z(Scr>LRmO*Bih_H=+8!cuYyIiU830rte)!;(Y&djiIlA3qKK+XNxfP%BNLP<9hO#Q zOmCGf+f&BPqzgf4(Ljj1bk8|C8f>~mYh*I<#TIqZL*ta;mv03+3`wVUOrCan4kk=& zI!4fY(Smez@%(CZ_x)&qGznAKjnfZ%)^r;}L8N(ew@hv~PO1+62~lAe;R!{-vD}6~ z>g&O)()@NBq(cTr#eggpVw`#y3`#bYUk=8`Jp(UxD20ZMZZB7M^L4IgQMB|_R*jdY zR;SQSyviS`AX-R`=44_JrhiX@2|lghat`!_33d!lvsMj?pNQY(IvEZ3IQSVg@&I=Q zf%4kWxhSpLanRfwRnaw!k~-(mDBt1kw5*-%uCwxvt3R5f&QdnX<#k7HR5A=!_p(;azfNJe?~Cbi1x{UYV}>W9lZOGmMZ+f_&PE-N86G z)rA*_OaeQE+LmOr#HW&7bsCksiaB=l!|ay-Z&6zH>{&E6^K z4d>U{7Mk0BcJ$j_izvMGrmNY+TzQZ}?XJ`Gv=Fg7~9 zi#zMF#4lzudAV5fISfG(Plj9*e z{~KO~#TER3X)wXjV(VepS~Wkc zV+J0b8al74X7Y74g$Fm61p6`O3<^GeVkaf8d zL!VnrAn;eBH82Mt1MN{#E6@)5ogo;Dco60*;BJ;o)-3121cc3LSN1b~7ir{x^!zMk z#ZN?X4t}kjzvAhPZ(hc*=Jrc$UCIw>Q+)5_=vQl1Dgbt0=bm zh^maRdH4g2zQbrR??oJb1E$vd7gpUb{zf(FRv?8OZwvm|9ML(J{2u2pJ zMJ3Gpt+d#X)$i=!`07mVVRwmMn1egmeU{p31>O06_Wj8&^ zYG7te_S~a2* z01YHpJf6`(k7F)qNiNQP0F}G<4#%l)%$0Ra2R=@&eM1 zNJBC!H~=+iMt$Bj*@ORlk==_5bjF|*g%Vggx86lLq+=LID9>s30;!OwYo?nfkEkrW5o__zvwB2_`qcR;E;2~AloQiZYtbBFaFy-b8s!eRYvR!Bbsjs)mdB5~dosYiArO{;Y{3Y;TA+qNK5pJN26 z3q+1f&~ov5YI^*q_b7s{p<~8(H0=bmi*FnizZ37m`fS0q$o$_6`WpbG%%p$@Nhv})Y05TJESdPayzD} ziQ(ru2K_iBR&iYTpA!d|)~h2Qt0{!lg5mO)O3(PK#6QBvxzh67lv%-P>M{>D4g5~c^LtyI)dO!cj`qZSy+=k&Sf{{X zU3WfuHhaqoQw-dO>3W=9827n!gqjSTmVaIkci6H}I<~CM4xG#8#oo(K|B$SZzUl0> zx+U1D zHF1FfNi3^PL?1|{7hCZ~lJ9riU(s6A;rvWQo2~83uZtsFDrAh|5o{_LFrAo+hf&|a zS$GkK3dcbrz1d51oF`BQibO z>%^j_Kj3=Jf4@a~-VwTJfKO%LUPT>!U+K%JVSJRYEsa(&%8W`s;S)bR?O(((hzn@X z9~I34Sz%It()STrdk$ayn4B`-jLN4kZ_LZobvCStdq-!V^b2-P%+VEAbbkzQ5}5{# zcN5Xb(0{h9?2MV249^3m+VWZ}WLMdFpKx90~_j|LJ|Zu=e!my4FLjX2s;m3faW zx{EJt#`6w;xeqAA9V7-23l`A1OZZz#o16-yd4C@<)$X*S|9}Iq=|Q$HG6k zeY|JMFIF!*VcqyE*RFZb__c>`yyC|<{inhwK7Q!O&m3NI!4)^{_}T0$AA2Qt@Dm?D z`_Z${djG%w@}@Ix+|>7XJ8u5&H~#tbgO4x&m+`-SrF{CM*WdQtXWp^k(YN)xhv8$# zpCXg)=Qty>KU04$klSv1Df7^sLFKmFHf-6k#m&#i0v1K#g;%Y6=EBV%zv#ZPGe7>p zMIAr>)eRrn{p}kL|8Zyj^uJkha&_z1T=sjVxbeMPPhawHCyiYB_4$AOTE!FxPW}A6 zCB4h4TbKXq8D9$@`u@%pn{QiuX=+7o_2-v#ogFR61%~I%l3deZ|_ZpZ&$>-&_9SwlybBEE>$LZ{BqHM{ghg-Q%20Rv0%xJW2nvj2l7Z z<-@@Eck9-#T^!r)C7qbGGN6w?Sdba1pCHIDzyMliloO$4btCoJd`S`)Fz5b0C z&VKRb4ZE-TW%CD1-+%Zo9zNln?{p8m%`r~4Y`*vQW*9FFw*L60$38xG>E;_ha`-FF z%g$bV_|Il;JpYehKjHc9pL-@V_~qse*Iv&{C!YDY&dsuXIQ!mD{CKInaOkG9zIN7iH{H}>mfYJrb;lQ8oeZyD z6x?~|{0|=f$(bL%>6i1b{rQ&cCCkj+w|(v2d?}EBef{;Rhra(iJDa!ml^1rD*WdeR zOP4KTkHbyxd(TasrRx+K6BPY+#P7&2nHm(O#?nPS+2T-v0| zhgO0lncsV=dp(15yHg&eyEbL#9(xYwAIgEc#$myD;oIevL%;gf%P+G%d9TQqn+Fdj z=coktM`Mrw-Qm}kV%Rj)l}>fDQL}4jck20BDPS1HSr)1NGqc^@S$|IB!GleXw`iWU z^Wf9Xod=uxSNg^`#?(H`m#bxGzu0DN&Q0PV+%hp+pDsvOVK}kTVaND*m+t2uN@LGM zp1CdVOZDu)u5`K!e0O(OlM_fvd9+`2oQ!QIM*RxdMGmPO1nf8`ufni&rDmjC z8ifYY>|KUD|K;&=0V_`}s|7xLJAm5_;^8ClE!!pP#$H&J38w{OXrvihGHIxP4z#}f zZGF0XkH`7?*Y{j-;hsISvsh{o?&|uV=e~XBmBL^BmD(|N)sV@)=4^5X)Vzm}8~g0- zXTKYn3?q~ESZM81nY=Ko(&tpay(*Kvdyxs7y*b7)+bsvW>&T>jP_naLCf;Vwm#Z~C z@ym0(wLM9k`2FzUn(Ge~_BD}-ZntOuugWBq8r!rIant#R+u0JCtX%13LZ9iJRhi6q znP3fYq52iZhtjl_$kR`InQ+JrnBg%>ka1+vJR%bkQ9^lqx`78@8{Q<7ZW(*{;dU&W z&s%9RVMHb~GhJ8~w$JBz_E}nL-&|VtXnpy6_-A{MmPzBr&E0!TfX0oDy=dRw%opa* zr=gp=2b^Y`J;j&v;r}=eE5`*PW@nsJwQ_xT^WcAU?OfVanf%}fjLp621F|o*hb`dj zzQ-soyH|dKed+u?Y|rN`PaP-6%4F#qE12-8tm=Mvb=MylW+V9UIPFZ+j3Ww0!uaMy zCYv^E8il3c$HrIs1UimeGGX*~jkA${Gcxf)(SWAM5CZyLqyz)?Gw}#kHA8-!IL3)j z)GUwRM_aYqS#!RT<%J86mIsyH(@-A?s_G=tNAOH$-yBnld}~tdbFHux4d*Vhekk%hQKzAvKdknCop^^9t`qh)f=7pW?7?ma&M<7*DYB+y znQY`r-A{t;Tsypha^om|64So2u=Le@O0N};j6eJERF*B>p9N<%P1{Z4`nla{*%A8l z7vt3%2=mBnHD$oF*0t}_gtq4A;U?*_)&3&!dS4l7U5wf{R@ELd{+8yCBWbk(ZRF3G z6&PXSiDJ7U9v`H}bGjZ8I-bp4;|}nh#cbHx{<^3`Y92#oJs` z$ApiKt#U-RXnAu0oxYQ!u%~lm4>weM3MH(H5;$7l%_aY}9=JjjjPYR#zWUn&e-_$Xve?6|;jaTd;f~gmW_JC0<|aK=m~(&EuODxA zeKxheAj6F9&TpbcmzFt?ZER>W@u(T;^7>3y1fH_G{9zHqDbkmWW4T4svqCvAT<#vC zLhCs#*3(Yy*Yv8f79gxIFtc)4B``ZS>*o_yd6;f$8h=%@ONtnhI(N2>&XLYTG7;PmZO-kMG5}uGYvmF28)&PGsUOSxcoxUHBLP6$LZP+2)=G7v_68RA7F3 z6CAhlO{h6UV$YYKK_+TXizS^}@UQo?_|PU6W5@(lZJ8)J zl}92I4hHn_fk~A~XQM3>dVMPl7(NLuz47$*n!yxhz^d!Ps#Dl2&P|Ob}4FuC~uSbJzY?_IEbB)A_vG7SHZ$&g@~F@ZAskH(xJN5-p%c)JbjA z-Uun4t^7Jj?yd4Nl-0W@x;E^k0NSpmn}ImQ}b%#V}grfg{33yJ1T z{;2U-clRZi40Y+fnU0e}*^lJ)l;g*VUunrNela_q^w%eStOiE6FRy~!gXRu9QqLeu>3Kyxe^Ctc~;Lj}gk!N$u&Dif+VcKcr( z;ylbZjFaa3SE>DCGERn;4(;kjCbj*qG%jy;@7%OWZHv83>O&Z%A`|^U%rP=K^USv% zC!K%(>-lYuli_TNFOBFy*KTb9uGfuRKWZa1G@UgDN z@Op+D(bi%ni1gX74`;oL4IuH@=$SUY<&p>pn0<|RgK(ME@Pi4x=w`L;8^ZMSA^tmV$RRqLzZmcb?v6IO6v^p2j_sPSQE3omUqvOdAq zR`?IA)__P|K$!G0*s|@@GZJf%lP}6@2mZ0iD`KuCe5^7WDk{fsqJ>Hvt7%tD za5OR`1dF^QJsL|&56Zm4F_8)Cn5*@ncB&)<2f6N}%W4xlDyL2Pv|QVHePpU#)i&Bv zbxj#jD@&~i5iDt4TS>|`Dzs>T(+I6UP$Kb2yCp1$B$eh@7)Tr`g_=+z+lp7TZfhE1arfta&srRQP!MBVtSGJpt^Ag~y|6}nu9b#(AJ%l9XLixDIYhVZx538; z?C1an+eqh!PAlYfamx=8a<{`6k?4==%$T1fWzq|1+T>=nwDu^T}^{M zD%)J*wnueI0zn&fbh{`{`@U9Q=}bqV2E_Pl`_e-CL@ivBtexNuZt)G_-yAfpXg4qC zrF2Yc`^JKJ2d$60+YoEzjpx_2aYu0*}y}f=+o*^5-JX?c_Lq}vd-k|1UQ|1UKTZ}vSjxksW zYQ<}@oUG4~ICYVWc73C+*If<5RLICE2#wYZ0Pmns6AEETc7tWZI9wPBe5G}yw3E^U zEFmB#RgLXFGPvxe0uyCZV3WCGt)@zooW3z4mN+s6pYZ zD2J-bIUaPvBKgz0H%y_tdqd4ObmJW~*keuH2zea6eI!?*0zq_EOQziHD)Pp+b zNZ^7eQ1(=`p4ix3yrG{1r}W|5wCzU5lv~Zo=O!Z?TI6NJQEMxf zQ$WlJcjXhrMRFB7Mm2yoya$KoFa{PGk2}CjYk%ywfwf5rHW}*Jpr>+w2LmAqwej4< zfS&(EPf2TDp$Bt+0~fx^qXCi*t>`mdwQtY@=|N#06c(pJWP7?J$*N8kMe-R_kJ&{o#uW$1s$)brXe(59U>#NiwYOoDI>XJ8-DMR|FQqhY2Q`a-mP7T#HbxLqXP!2Z9jX>0}Zj2)M7u2{p5;NXU!O0I zLeR#TdB3&Ky)zU3iIuLIyZ@}c_S$Rjwf8>zoU_;2+V^p_1L+_Elsbig(qd=PET5`$ zlfguxrjm!5er*M_nyJaiC$N#-9Zr(gO8)P?_wcl5Sw37b>=+)>#M; z#)Qo&KW)r}c1AX%wJ6$%BFG@@7$P3J0+Eoo4DxeQ#w9<~j)>K5uFMa@W>Y$S(zi(y zxe(wm?u5BhIlW!&ZO6JkI_94%x9V~T(69uiG&d*VtE$aFN-#aZhxt)o0DUur6($Rd{lR55il!Bd+ zTAidw+l5v~I-b@UeP9xj-U1ASotEao(3$C8G;WP4k7{dnlEqo(%pE%im_r*uK%Cv1 zysnfQioF${yig3w6qzx*Yiz~j`B1pqh52f&!eNcP(d{noE=Z~WF|j?n_LXWBmUrRm z{^o9}RhlM)k!)@oAjE%b04|ZgHeB?BM2jbSO(5SUw5e z+>)(dCP-3}Fg%57bCYR;jj^E%1SV9Y7kjhA+Z}VDNH6`-v{46CYq^{A5Q3a={P)4{=5)(G3cCg1eoF`q4bIU=4 zZatne%zz_-c|Gg$i7<=?2dKG?<^?omRE?^;M#gjF$bM@`@3GyC?;X1$7&B1?6N3)% z_@xDLbdKXew#E$;dRIEN>HuZ&MExQX06RfC8y1+rs1f4P6C$0vjL^Vx21kd*lh75E z6B*6Q{`fQ#gas3oS%sIR&OT@9;=P=Xy9kDb99o@gy z5jUBeWiJNx9We*n7nq&yFNdR28Smrz5;CyNAK>eWh|NM)B{367Y~qHm-CrRug?J|( zWqQ{tCw1B#KsQ>qkX+2~is=O0OvutBzdJLDO=r3QKHhaomJGF~!7;t*``Q z4Q(6mIAb)-gt-oOrLcTswpmIxYca+lT9iW#KAUnGTj{ul0K;6c2)bN5KF5;A4zQmRU8lSWLy`pdgV80a?&y!{^)Yf zp$j=7+%OaHrJ%fiw}ZOraAj3ljk6K=;bL_f_Nz^80HIUC%?I)NNRP_KRM{!_v?kAm zkxpWP*B@CVoHRTVq1kM?5p~f)g|%Ssb-ZxH?5~sWq&KTgXQd`1DtH*>JCM|n(M22u z)U?#Rj19oWy8Gw$Q57^?73Kz3OZU!L%%E?=Opf)YksE0;L$_53YpJKM^TAddr*Rjh zW2BWNK>*&Wo#qS>YlF#aO%(cK$Kt)hFtI-FGZCWOy)78Im5v-I1u@GKw^Un;s!$Gf zb@d6Z+0^9|MJEGdu%=*$u$f#N@jh)?zWBu*yfk=%RAH(Ztr{7OvMi_J-f+mLkJ>t1 zIhv$jAdb^}SN%`KO{y#rHqr)jAJ$!;*0=)63Sj~_h3lb;8Iz~R#`5V2%R{Og&3S=d z=crJ_)FbkmtoBrE`nSyu0o$)KsbXxw#{2ZN6|>v(APA#__@QY_xp{WeC8O9q0Vf1*g`U4te# zlTwXVT(3TZ{Hc=k>KV{+wh)>NzQ@U^)?X)GL)F}y>440{ozA&vu*zVqOYga`G|nMa z9J^vo2Fi6qD_p|pv~e?NIF!y<4feQ0BliZ(3y&F=(njXh$l-*(BhJXU842|qN$Kwo z3Rt`p$+gUV7e>F%n+0$sC4V-;M(BCyHD$goSft#g1!QWL;P`qH|;l)_a=O`H+oYy}JsrpiwXp2r5E7XY)X;#yvegsqkj;EJS9Q*}YAzK6-mOqj=QmW6vP4$nY` z3?8^zs61_;2$Mc>@r8jVu^ns^X;J*o1v59IVdp$1V3jRA6q#iLUXwz(QvxejMp9c- zhcTBE(-|9FQRx*~^)3OhzCu435 zN{z%`Y%QZGj7q{uExyL8}lYG!7C7d27>R;dIgWPC8rn232WXX1T^XHlc0 zgUf7^ndGJ}Sq<4`&bg7x*)%&rw(ci*oxo(|!ZUlTIva>wXP=Hw&V;K2CzM>5<^_{E zYxwFTs#y>xxr@S5(vj~E7bW2-xz~2)j4n7;F;2Knx>P%~oW{jDJjFRda5qkGZ>4}z z0#=qhN=j!oUyn2ksbdi*{b94`mLLXCHn_)QB6E+z%I8huOmcZ(qcG~547)B*x=#H% zeWj3ehEv>HSJ@zV3Sn{Q@t*>aW%BoF1V`rwhevxfrYPq zb1CSue1T=fKwMZIZ_VGl{OWJ4IAwI69O9!6qy09Mi5Bv#uWV?RbEQwtb2WD;S~F^z ztQ?pVI~f4f;{?DE)3-<1i^P1fi$NP=Lb+6mgMH1wC;h|e)nY&ZEwleFWh+T#? zMx~x}#Ijv>mo%!fg#zfDkN%}}bwBt7)22iYbCmv=wV=t_ybYW4lk1I`)AQvVckdT0 z$+)HzntPO^{zn8*kOmzHA23A1aDwnSvU{}Cm?t^;Zmh1m#>tY7ZwHVv{*JD`j|FF4 zzUJ#^N4vj%R&@8S+RmG=EIjd5O%EU$yDhV3ZE*P|Klu2^kA3CrwQHBZ^|>V%+^}Wq zQyZ?|_{9&@)=n)sdCj)#)*Szv?>zax{%!b+S3h_7Z&#lE&WpZviHOJ5U?HjgjdGETPO&?!-_VMF?^6{@vZ{MG;iC zC%Ff3A3872+?#WE=KlERb^Fe(Ty*CL-uc{-|GaJezx~<&T>0#eH*Nmmx5mGI>>ck5 zPX6|HcIB(LJ-hw>n;XvTIOT!2AA9iFhSE0kzst{TI{EQ0eC=ER>-e!ZUb*+CuRipR zx2*h?`~Ps{l(TbJE~&g``ZZT>IqN@v;DO;a3toTW+lPmj?E3q-@Z_G8v?=R#w?puG zP=QCl|GPYXn19r{@$u-xE&Ptu9}l~C?MijR1)4q_j*}q#jlJgMf7m;(vf}GEL_4m$ zKYHrCojad>@;3K%&8NUlu{Bo=Tps`mg$o>z31IqzQ6GLOV@44EnKl- zqO|Be%N9h-AFVZil)ZZ8x!;L54X#;~Ifwg`Kky1sooGe4uN>Gl5z2?R(p=&hekFJ?Uc)K3O^Af68}`K70K7TSgx?nd*Wo&su%u z@jpJgFSJv=&K({wqmywOSDz%Hlc&GK+%-O- zx^p6KLew93A=W=Hc5Rdg?rZH)ou+!aPxd9?XYb(18Q{I|Ef%xGM~^9OxPpDOn&;BP zM|*pF53(Eh*wj=*rk^=_SULnVAkL+D_@U(3QO-D-?&Wm(>8T;fXQrPyRy=a#U_G7Iee|W9jiNd z`MuTbpk2Nev&gZbv5w$}*wJyUTyGDjHtKHH7u|mCvuP^al;%XJ45w$!oQO@g#(LZ| z?>Js0Uz`3XSMBw|N~JiBPO?gS>RN0Z@%LX^KHB*}@4@;r`C}sADer&gXqgim*<}07 zGd&MHJU`10@67b#q1*rOz59E{HfM&=$>H+Rqv&K9ouCa)hU3J=1Jj?0(Fyyi(FveB z(R2T9ypi)OfmD`L|FSJp+nt8}A z+Nd6;r(aeld*c05N;l0hMVmKgyiWe`Ti7^Ki|I?tmCgr-hp}WR_8vSkw!c|HCqw9D zYO3df`SY`xsp-e37Y~2tVXu?*L(dEi9p)6BFMMG$I(eKExYlmwIKBLV1Gm2woxn2H z$>tfI=s7$XC3w5b?8B+Rvo7bQ&PE8Wr@b#ttq!N>sV-GU{pI&&ro2vQT>cb#`DsY) zA+~SF7><1V2@h}Qtgb1q6B-Cp8D*k6;lQA=VMZYOB)FbGZ9sn7{u-PMZJlsJq%wFO ztS_>e{6*l7dyZY`(bLl}J9qu*ycwOmuUyXfxohX+*f>(w(4~iIUu=DvhtbKO^-eb{ z%w6bYXliQs;UqbhIYP_#_MEX?riP~T>!+WYo^CV_)_Z$5XWuvd_~Yngi1Wd)%YInr zF2qUaF4ajocX4tRCYP*x!r;d{$0R&}Bcs4X{d-t0K>I`MPY5a)W$&RxryyU+=z zEvf}@4?1DzJ#C%pMD4fRb61b*q;p0m%w5wxI!|hQx7vSJ3_>rjlZ}$_n3dI~-kbqt zy_e=PIYOLUtkShD8$HDv>oOFpH?OV3+O=?7RbO0mMl78^hoh587BdlNwI z6ItY~fIrVpj4uaYlK@I+$swF==u!A8M@sMI;?2L>L8kVP>T5c83V-M6y_433a~40E z;k-j=v~HzqD{7M&MkAH}TeQrXIOj3O5ob|CuXQBf=gIdKY&SoO>?_*%G#~bmo}Tnp z+1Iw(NaK{_)A?+d^5dMx0pDie`&w;>pR0rKOUvUSEqlmovBgsa^ye>);_|f<^`gG6<&n`QWlws= zr*p<3J2pA1(9`E`622Ty0(`vfA3-mN@>Bap_4N$HS^9RqR(YhWoII=liSloO=W)|x z?(Gqq|5Rr1T9;es8@q05+c6R^M8t38+{FhMEWobuDtIkWTTDHNQRCkJ5ns=YOp0o= zi9IBB;I3^&(w2=jY@f@BFg{)R-D35e$8P9&-$?l?hsugA=Q`iE*m!bY3H;jH5j;c>kc12axmNbT;G zo$LLa(XqC(+>t>BjIXh@;wu5Hwy?~zM zbJ1+;yXitLkJkI>`>96U*D3IJAkEYiuu;qFCUoPB4(lZ{B}cYreiC zd$7X#x{|^cetW7DJ=40K51mXcUc48b zq_DoMC#CB*4SJ>*gSuk9o=oR;!u1_%F4ZOseExRnFR2qqe^H&#!u#uo4r%@OH!&Xb zI`NhA&Y0Sk2J6-xX*BA?2Y0)2X{#(Hog9t;e+2`iBqo>>perX@zgU-)>c2*{J?p-Y?;nxHg8_L{>aaCRSe|E zyiTZj+DNJsJ?D>cs5)8r?OExR259Sq^7sZ!+W=0_pwBEvg6)tjW+kPot@YcX;csYo zczZh^$0$xMo}SeS`C2+zKdTdh*qo+1S+C__L#LeDrT=m|Ibom-w{)U&*Zw9m8TNla z`H7a9LVKK)%Z-D>z2AMbd?cgiC^C1wgOz;8a@}fb87V5Cjsd2Lp8XtC$Qg~F*1L<2 zwWpm{d1km5oh+^&Jysvn*$JKO-Fx5%`3KJH>0z8?kCw|FV`B%~bJv)kyI_bFDl^^e z+%=?gQwR5bZD@(9ZKHpiRcD<&*b|bFj+5ofJJu4%BC&_N4^~(+a~J1Cw(_A9y_uRB zC)4Hi=wzC?YmB*TD4n}fo#@;}Q)xM`R42&VmQVc@ydPR3f!vxYaT(&ZSy<$f8$=)D z3(Fe+SZI8@cwe_|Xq+c!;Q+}&?!NRq#jcTXi3QPhUrOKg?aT;N!CZSrjmwDIM}iMD zKjnNlrH}q=>T?0~e4-#dcTsL_pTpRq`-hg#4k^zsl?z)%zWIDc>s-dW+kVFSGCrrW z1Oax7B@h7*D{YLQJ2g#j$J_RdYWJx5lDnZ&dP(W@yhhE(*^{ur|6I!-Na>Z2$3v%n z*L|51fgt+b7XH4rAA$yMZN&#dt5F$m$8W7VqMS)+4&h5_!mhx}{2P8upgLi30q5Po zras^Mv+Q5N4spU7rNi#f;I-`!J4QcM&~!bYQGM(qV`bs&CwY8L{E?JzKt5v9u`>15 zj?pOMx`}30*v(*5pB*Eknm>3frEA+m@+jUaxx(vi`cxy$GvgarcsoYTi=ClSI_we) zD>U%8#2vo-l+n1hfv*ekGWo=vfulZjfZ9RQCa(4|>gL3KXg_p{|9&g|ww9kweP5m< zeO)WQs+~S=$R?cIsC=Y$*|;IfKB-pvJ9&2!Nfas41Mft&A|i%gR-|csNL|G!81K$F zt-QLLcAAxEnN5xGP}4Kga+}2UwU_Yh-QR=|wfwqpoEF0p)#2=r2$zVW6;c;QichhA zglO9HAQqFLuNA=<7D(9??EwU=I=6p)aDfxNxYCyG`Vdmgpaiy+X~DP z1WZ+_Wm%h*(u6I-mUrcBl!IqZ05g}D)ua}}hl#4+#ZU9G9Ylqk17#o9@@Mcx%|eVV z9KcEHu*m`)X~+YK#s`Y6KHp)BX-W(4^S3bSg%oS%#ODOSj5ncM{ml>;0Ez%qbZ<>>!9N!RLVv$n0IuL(W9W)bNo& z9KI4;AM(f<$B%NKnu?*bb5m1kDW|>%LtdjH-QvX4b;ADrzVg(%`MfUmXaOIEMgwT6 z1x~3guA+2DG2kpeSf=8EUInCLKoelbs!8QEN6olk6vDRc5J}-|1DWWw! zK0mb;H*@?}*cZ~qx02_2njT((Gbm}9hOqt=NK6|!jY?U4mqvV;U<5VWfuzPylfs5F z^5Z2U=835t;W-e2Piy1}=;vbnzb8}2YMYPgpCZAs_?)}Gj*&;Wo z(^itsz>^@Oafx1sr#ZMtR+w)lJVjc*)`~|RdPSn-^g5MzO$A;)dEMRkx65PxnNR~- z3!NpCw%Z8djY2)~jjBw_Yl6VZnIlf>fg2f>hLh+^`qo}@PfYT*buxDIHLo2dL5!?n z;v4NACqGh5PNY3z4W{dz!W&%W$W9)vE0!qXdUU7Sheo@c+Ix|$&ZbK?^s(1H_E#=0 zU4dakgYugJl}<(Us>ZNW#F19AI519OQp*DFlKC2Pd>Pq6Z$LTqIAOu(&jlX^6Y1vv z+I2xW^Pe)ITxsL7)dFPHmQ4}_Z4thK-bnF;}&tU8JwHlA==Ai+-7oerG7riQ(BXOnG`;!d%pB6lWRIYg}K@Wm##6 z%QI*!hgZsiT?}11P}JQOn7k-oY?-2VOKoSjk_ zp`3DwQDVc1*p(!zSyNT9#kY>SmO8?c?nR&!f&x|tpgcZ;B+6UC54zIzloA;2JdQ8+ z(}mbhLJM2C=)y=h+AkDP3XU`jv18}9Q8n0wK^=cW0(Rlpq}1DudOpFEy|QeQap)Er zU47FeCXkAefdXw+2IH*IyC)lxoXPjPX*UkL>34(ljn!HVWm)ftpaf1i%YoOBRoB6J6#01N&3Gu#>It-Vyeeje}R%pF~~th%+(iUCPaFp#SdQQ#P$*VgWd4dxJG5KNG@x17O# zEaRd~sjpUos&h{mjfWTxBY`%*%EI>BkU*ZFUNCBoKmd1UO^0OZrZy!^fhW#BU_HtZ z*4$!4=c<~C#-Wikr^aX)pG>SHZ~JV0V!6R$c#pzMFh1o0BonasetWOiG!2n zPr6PKi1Z^w|a&O5ayU)6DN-_KAgvBIa(68^%LIo1j0D*7+NUJC6UH8-2Q0> zfT*SI$`oXjhLJ+1ij{CeMvZW`OU&RmYL;k{ny;O4OaRhkCEYOWiGzjVse7iGu@MGp zaAJ#@*AD70(I7?!+&DVdEm)GdLY2Zz|qQ=H@=np5WaoZM>AE(CL*v zLJ>BLK_u2R`URkZwl6umoNs8EC{Zk7FSvJ8%~2aI(+Yr}Lc*1zVbKftTr<+~O&G9| z!wsyqP2#MqfnW`@k6uC|{o`7ki1Eernq9#}Ahz7gr02?6y~tpr_%=HkjF43hUETSm zU{Xh0Qzx!4B9+~5Qh`YY4x^M|bJlU(3n4(IkZX}a#f^+hV(IKs5xXW-vcMz}`?wu# zssu8kPTyy-M_7eq?6Fs=Odt~oPm{r+S(v2K1Mmw*E^*<5IU6^`jZ}==$k@bez|1r8 z8i+T+03mFt+vrMzi8bmSXBnCBgYC6FS-RiaXeldC;MNau`G%>>M6RRD^%iW%kr5+- zb`-WFmOPOQ>_kVH$beXDah@R_>xJXNppEeR+t&uue|nMrBFQ>V}2n1IrDg;Lw+%*i!2gF_62`Ft40qaoXArK1);(!Xn` zlc470t(hCbWUvAm`#-CHP>AK9J8OJZB8ivm>@pqn(}L8nLL2WMN1GWA^a{H>*{$C# z89OMCAwd>Z#%3TMk27G>|3gMW`KGw~%;<(z$lgUPvEHQ&e&Uj#hBd@w;#% zjVpw1#Ii7PwCmT1>c>NpP=|)5MI`A+D)gC%P0c0jc-B=P8!6F|Y$Gtg%NKLQ;M!!( z%`2EHx;r9HwHX-;q{;NpVFqw0(aetovT4LHM;eK0?knYn=~CHMCir)<2IUlm%xrv!W`!dHbmYek>gfjBAm39h)lVA%UxktnZ^4dE&KBoks?Nq z$S=IKwqk2h;^x^vfRRL9`f-B~-8<3FDaHy4)b*6nG=2&e&5Rli@Kb=ys>ct}gWVbR zoeE85bzZ6jcA$zfBy4cRBpfjhLq*yg^v@Bwn7M&t?ktOQ)|-LwNd~&Zz0BLSJrWkf z-ozjeCTrNH7Lq=)UB@ATZueVEZ&YG78Y~r%l&AJstUdwHQ|UZpb$=V~7bp9qM(vl% zV8G0h*U~YR44q2Yu#qFCH7kc0vfw*z8vX)b?N=M*R1Hs88J$NU@VL`sluW6ry5q zugGyONjDnPA#BCKhY^J>nys-Mut8Dhk}i;xpHOkm(G0t@x@bYa+yaE-E69tS0P}|e zMOI8JG)N+;I;VI4h6Ik52Qv|tSUq?WbqA^>BS3e(Yk}obrMPcXUM^cS#?Uy?=mp#? zCL@*&{PS6dMO^D#KnIXA#YJW5OR#H1+^X~y4KlJ0ZpM+@>6X#!kiAa~{Co?lr&x*4U0H*kDMpL{K*7_M=jx8_Oih1Anqj zg(O8Q&HPM1!lbM;pT&A;L0Zk0JGnD1aVgD}&L5vN#pII5jN#KjaVaRs25bl5ZR2}c z#52}j+qck^C9IO08w#V@`A_&Xy2OhHBQ{IvT!0{Zh{qJ~a{ z*;Z8At!=EHK3__F*l7>fh^~98A<0u*7HpYdOd7J>eERp72 z&T_?{EGHADcO;nigl0(Wz3dLI^m8wpWOp%&s!a-ZUy>@74w<7=fV*!&=Q+vs-YUVe zE^7^QAfe)Fs)0!8($=4m*XmscQO*YX2Vzsj3A-Wran6iR&hacdVj}ID)1cx zT2Z@aUrcMpZX8lASkBiH+hED>yv>b(ox%ht7R#ovx%5nxnUug*Ron*;>d)wkM(iyhBJ~< zN{Tl|5;IFdqmB4j>I4qo0TdyZ%QzE-rCH7_q{%PiGUd5c(JBGG56)?kmRSQqG_EZP z1pZuAx!l+YY(>8$6EllZ0X@MG+LVsfjn+p8g?_OjWc5hZRWgY(5j=CDi+9$T6QG9% zC_zctfb;IGucdmFK8!;llBuK=lj>P|`AN#gXw0b-dr8`|M9l3d8qcqP)DM)(sN-{3 zA9xW*Y0;p#7fJIdDn;@O!HKhqMJz8Q${-TOZooL(sb~+b<FpO0(OTOB*@nBHg5?xd*qZI0atqhw#-mm5J!IowEisor!t+G-l2#0=Du`WU zq~whM{%56NOvF9B^p&;AY{?=6%;O58FPaKK(lsPF`4q#tOC@(&nH?L^3A55<@v?zx zen9lZFgR9LJ$e0TvNa!eg*@jSsLE}7`Ua&lf6JM1yepEp^F}SCAa^dBjIqUB`RFMO z&`KmsU=x&DH%&&YrJyWd2Uv54ja;jpSXHX}Rb5=dRH--$Di4yj!Awp-Fb7mvz||dB zXub~CN@_Z@Kt8Z0I?I%Igq1rtwR1Q~TR%|LUgLOccDglOtgk9xy{6K=EJ&ht*{nYK zq0VOf%&l^AW$8rWuH;N7hpdczCMvDid1Ym^vgSQ5&YSZ`c3mWUM+ak*UCAV}v@bG? zlCuZj#M6Kj zI|GS0#k+el7;j0M#91>TITziLtV*t;!ED&a5jSSwOqUEw<>v0F_@t5K{ni>;b4!z4 z2s3HnrlFB&U`d2}CK5S|H(KYP?(f;evRAo4smWx=jxvmUd+a)v{ zx*3O^(METz#^%#8T;nE@HcCsnU5f)gva|N+{D5sTi(C!>>DoKcF_%u zZ#NG8_g^`-b$Z*e&;0DO7rgaf<=fZ4?Sr4mK7GUE&;7&OZvOi5|8~y%w>|Vb2OqxX z=rtE@d-mYARX_dFJJ(HL|HWh5zWc7TU%&9==^vh%{kQwi-1)61KfUDeU)~?=i*|ND z`6u}c?%432v(MhLakQS#UG@H3meLMxO`*d6h?CwGWL%@GerFK$-0_C4+x~uhTt(y# z=l}Ws?dH~a{^Z?XyyjguZh7}Di#POL`P4gayy;y(``25RZ{N20-Iwiq_Q5yY^4!1u zgvMfPYplx`faC}$DissEB6=cww`|NS!*8T{^a&^ zp4ch7R%a?B=VTTxz4{D$rE@%*03NKxyB1`6bFOP=w$^ZW(ypmaoN+Y<&1A&DM4fvc zjrm{*4Aj4SXZ^3AjOR~rDP``8f+G)o;p3IW* zTMn+=`E=%%6`QgF)yNep3+S4kod3I-BWnwPfBF31J7x3Qv+n%+ai_gAlzZNJNAdSF zxx4@9_%++#zh$U<<@-zL{%G$LQy=`<@vF9Oeebr#3yyvBFFttV=D+*imSfla?D+Ht zSH<6a{}2EB`Wx%3zqdg-f@dW7pn_PG}jPt;M-qCOOsZ$lQ)ja_FS4lGI(p>!dwS_DtRz7VdJhZb|V%QrZp`N{nJtHUh_2fI&>WPeO2JeueY?<4*AzdSIc?3Gx<4yUvggCXax7Rnz zOxvjS;gIa#-zsy)1MR_YJ0~=gp@knKBipEF@^L1IPkEtE_H&@hpG=SK4c?-s`L(jY z&^tjVLr?c`3{&rc9(1yN`TF%^i&LF68vFNhDv+GE|1+u+XwZoq@H!bg*fYI$?f*Em zw&&#EP@N2k>ZJKV@APS>d7ZE$SapJZoS+j9k5iqXsj-9851DfL@ZsGj=%n|CSs|aV z6Ww~RI^itH&9pC?QCkIcgif;W*giC@IuTOT9&1>2!U;hys*~l*hq2CB&k;;|u2ZV= zF?z{~I!W;_)QRHLG?n$p5h^85Ki!u5rF6n^h%KEQSDi3VzXTxv%pZ^V$Ho>9A3QL9 zg<1h(F0feB>h;5Am`!cwOh(no0}m`7_BvsgbT)J3X9utre1loL{?k8YQ*?#%Ka11T z%|>tUX{Q}HF!bp!Of?$QJ;#n!D%i>C8CXN-ou}tisfPBQqdNKXpQbj)sf4HAH7lv^ zIroq@K+C?CJ@nolkq$e=X!LvIdxm?~Z^!&jcc-gm9kz1Y(aHYx+oxu9vQ};GWSH&S z8bT**B7Ys6+U*PKC3KP&KRZq|-u^Le#-3qhs7_M4m(ocS8=Q3R+WrxC@V~-wvj6EJ zj{G^;F{N{tTK)OC>)^q|<>@KruKlMCsRhXc*!ZH8u@0}3sbdEYbWESKv}5cOKg1-L zx$D@m{nG~;ix=-_1{wM^=awFk9<;&SrE_Z!=LvN@!`vm)2Osux*TX-ZYR|XS^^`Nb z7QFNPaY8-34pMtW=8U1mi_ytqY^#Sl)~-hDpE2TmMxSA%L+u!wrH!1^XuOSG zYRA~(7PWlYC~B)0F4%}Yq|#x3sE=t@j9}A;Us78=Rgs)pvlw(p}X*PW4QA4|Y! zW75BxdyNHU?%6fJ=7X%%wqrDv*m5VpZ3-XuQv1c0ts##wt`adkgh=V}S%xY^>!7uB z@__TUn$bqiPt-E8N4$!25?APXj3#=+3f2YSkqurvU{~4CC(1V})elKed6yF{6B)6C z%uJ;AjTyC*tn`mkUI}{gOQ|dx_xw~Q;=0+qXOy_=>Ah{>$()^8zo`&mLs-|d2$lbig>P!*<4IO1GPwWN3O#7oP;50jQXCHimjA_Uo*T$Ej+{wC}eRc6k7ysH0ZwPW^KZuX1o`?Ab@T>TqcBzK8OgId}}ypznO=1w|@HV5-3t zb+2B39(w2swUg(hz@0mnETKvM`2~4e2<+p?d+DXhV!dout9Djj(-}P}wr737Pv@iF z#cS7M3%9v*IVaC;<{V&7_rm~eZO7Uk%<7geU%YraKfITh2}P}o{;A!Tw=5c)UVP-o z9hg-%(-Mc76FK{AdOruvLil`O!UP3gxwI!PPn6Q`@BQpZrc-s2|JdBTmAwb#73xGb z5C8CI>$zUY=eai1Tt|k_IY-O;^bdABnHnDc(>=?7tnp*VrpvFx#%k|WrOuUqF)M=e zD)phUeU3UDc;=bUJ$%mg;kDSG552Tb{;^I?=jsHj^;9RxN9W2vH_Y`MxRmAd^xp01 z*^6Ayr!)Hff#K~Z^Hb^d3ZAY3)OwR^J?=32Qk$~v&@WbtN^~-Hf=;k16qa4+Ps2>c6DR7Vxc7nkF1)Zgneuv3*B3TTzb|J4zE{g} zqo42bY#yA#Z1Sb^O9SEhfEsEIXjqsOvE)w7;=Jmz^$Dv!1a33HW}(v^#~2P&$Q=VfD=`AT$xo#)i%?3@3uo(>ispYQQz zxt*2(x*y5fmrp`NBpYGZB=jh}>N5}ld zg0Wx|*Fw1|ghdfC%efWQ2)BS#a!FR|Xg%wHBYKI-EypljzR z^;HG4YHeG_j6G4tjIMT&@v_i*ALkXp&*hh~@PV)A#+#W}soa+pE~4#QaJunVZ%F)6I%jyz2cI(xvH|_^LuY|GRA&-)O9|o{{m5#`t(UT_djq+Vn5Y z&nTN~e?FZr4SD|kV0>1d`OJZcaO8047qej7K{{sCBx1zz(9d7%@JNOWFL)f-C__47 z@@qG4!CY=9us*S%Ho5wy7169|n4%;(^(Jeq##0;t4-N?misK1unnQPfmq}}~i$LXT zgCSu3&qfwf4I6&QmoYi-@ zmb7NIOj!S#U_8#p2);b9)K391=YT%VRM6xAwrlV$KgC``!*^=fYKr~^#1t$)#RVO0 z%nW-?PN9`{VtMVvSw9NJ*H@bxIg-uFosBIX!irekvgj|m)=Q8;WVoB0f*sv1+5! z29pLXp7l+d#%EnK8zj_@wf#ArT`65>t0~P^gxxhACc$MCMe&=P=%V5l1t= zD3}+)n$WUSRKfC>_F^AxIRORRIBnsXI){P3Jxxh`?!={uaeCuwN%B8rX%dblO;2r+ zsGHIHk;%ho>Jih5<58ZvMoxs;iWyD!zm!5_9uPN$6C0b&A+r$82HPPn!h`x zPwB~zhanVs$e>%!_}7pJlZIT2aT_0y2+o(9hKRI4X)>Dfn!gUMABIME&jdyk{KgHo zAf;i?He@4j1A@5VxBnOwMGX7#cD4(U&?8M4x{@?Fg=zwtj64>*lp-Lz>Z=^EKwpu$+w9YD-%sZ2@& zAdv3+EBlC+^D+>rzC67CE6%umvBnKQcv||k)v#fUJ{}Eot8850D&2Z6BfSjPNrb5q zV12Kicz$V{5UG&sPtV5WU9tquMiT~=vi(u+?VOO}#6Nb%9ZqY|={eB=u%RqqqIVqp z2zZAxUt|F@ANN^hdD)6&S_FvV?*ad|S*rx4RbCnB29w8Hvag zT9LPlC3B`hb&?FbUK5T#QLf#LcCgj_0E)2$ER6XPQt_PFidf=~cnVg%5_CE!0|}k>b$UF71&)ZDkbbMP z2ze3<*1*73E&d=mCBBo?Ng20{BFlJjCTHQJSpnQ}u9YFd04E47365l;%lKqV_alKH zpmZWuop4e@OE2WBPmgO3aYQFY>lqo5YG?~pDqLoylx)&Xx3ZCt%@!^nhh0cLjYCLr zhHS16-fq%&?GX!|^PGCc=Bf~cw!wpRYsoX9+k+$I52Zffp!5(Xbcnt)g;bhZ8F7S; zHkKthBn<&|02Fwi@iviKs*AK>WPnkR@3RQTz?vM8t{%J#_$sug_u&CeQjA}b z`Unm$_7%LM{A5da_$!Zz{3y`E7Ujvr9~=ZoAMJTgUUFfkTRipfepxHlz_Gu zwpjUWBSaOnb?7q1Nc)rJO^z8bk{OTPpy!=s?Ytr_g;_{50Cff*VS^w`Ji?+#Skndy z?S-E%QcnQAa9c3S#~K_H@Wb~G#vh?+5d8#w$@!Zs2iv562Gul;sH!8UM(z?N)GW6M zqk5@}B#_sVi~lePnY1E3WeCWtqo6TkEPBvSeCTf7e#acDYBXv}9e65{O}fk9XA7m; z!WrmN&72&ggX3{NP)w6mXFToFw(_c`)sU+sRP)QyXPZKW zDRZ)NS5fjd2!e`~bzpg!!pPPV4qF_7KOA^iHn8AJ^o>hK4PEgQ5RJf>*+Y9{{N|w4 z3%fv@Rr`-cqySe*G}ay`v5rL`VgVwVKQyH{B(CY$03+oobO%zIJWBaWfI_*8g#Dok z{1%nRBsepvE%f?I8g}wpuJfqhZDJ~{%C*SvC^nYHz_=V@nl5$*4cn#s`xjUYn7Bgy z8I1x3T@HwAeI5I-(oXI%)T0&{bm``0nU+5kI-|{Z2~8w9$D7;&`T$(2Di`QDN!N%* z4F6K#7nzMFHGNXrajtNYPtll;6WoTWRkY<*H#EPK5AV_3q*4+J)`j0vyT5i(Am(eAQG_Jp-QSzx#2XuW# zz70duVoRA;d%q1RT93HV>jYO+Top$JLLNVN;R05jR+YAn;QFG6UA>~(mBU&(tWi3)|*X)Q3e%0nyX_b@i z0fu`~`rH%UgNb2$EQ>cvnI2%+t4beNA)KLwbm5~7=P_vwawIC(6Tug*b;wA8oP~C( zDHLetf^qQiI43&bfKfh6A`#n|bwcQ*tX)*gx&1bv%s`4kGMdPwWqM!`Mht_v+I=qh z!fZSag9#hcBoPOU)h*A#LXmu-j!Jza`tm8)`y_ci*BNO#K2o3%38A2lNR&bz$%q;s zKP4Yb2E~RA?qb&Q-HQ>sQ67^9QZK4YVIwyqx5%bKm#A@Ks6*H>MJyo%4GzWUwP)80CURGGC*x?0MhSz}!MM~p_{@fm z0~i^XPg3b|JHa892A+tjgK!p%54OgNFM2lZ=Z5IW+Vj{t%|VO!*7|O5wI2%M2#;02 z(dK~8YE#*ZJyyG`<)R-3&{2866e0Ag!s7GLj;M$#4N@&|MhlbNs4XC)wS=>*HAsA= zkmw92HH$Vw^3;4jf-B3?X{mA)1ODy{a`&A>U<&3A(mT`!sVENVgIRaMONPoXb!;s^Zz~Vnrg-~$A zQbaB;(QjDpk(C*l&X1)Vkzb@>?O$Bl)nV}4NKDDgFZ6zjU=aK64W2TLQd?mYO6;pE z;v}>pbbanK;%uNbe|5pAnUmJop$2VYAj{=}sTX8Nz->WHo&CqHSk;hLEFj}o^0w8c$JdJQP3NOir+rje`)=w||tc=eo)PZo{mW+*D2-|aiIM{uMr) z0nPZz!KhYfVJ0ZP!gQ-OzLKr{vGajemBlbukE4h{ZjV=Iy+1EA0*v^MYA%Ld~gX9*1*^pGN!#{HvJb1`MQv0 zNmSUR{H#s+`HHAcW+2Q_O_@_}#V7v<)mV!)>ZlTc%As5?*{h+}98KYVh!QvaGnHF{ zQrY0?oQ5OS6YhTuEva!sm)cgCf;qS=a`{fu5tQa8>M)M@m@@z<07buP#{h{U9_|+ z!lzDN1&N-=V%`!z({Qz=Tn_UtwJz>1idnkKAQr61^ota1k|K5CXYKhN{z0{l4;Nd1 znM^w&HOIn|O7a__1vs*>Ne0SVq9)CBQ$Be@w)mH?b6UMQwbr?sWtSE=*f$t1iJ*vs zy{!5y7daNketL~mG%g`dyNi*~j>Ji+DSAmMS?gs@SEB(`uQDX(Y5O6eqYZg{K_GAS za)urTD_m}g5S+QG|M|tY8lm`zb}K#mJ(MPk-%i>9?&T)P_7hLag?dDanL!)qdJntX zXh6bI=_;@=hMdBGeu*zj(Gq8tW}ntKDVorhmc3XKLM@ z{bko(FVIPmfs&YFu~f3}ykJ|n?dl(0xn|K*)5YJC4NId7-W_JMb>G-}Us-(fK2s{W ztsSAg`05+4d@}dxvD-_fzvVvn;xNimlQ^3$j9)Evcs@Zk(SBo!jnLYw7hO}zJ^7wB zYfd}ssYQ!Q7v3CQbPa8DyEe}&ZX4gfR43MMJ?Rwt>rZ}n&6B5%JvFaXT9J)T{`E&Z zvnZQ2v<-SGUOXaO&%UVi|F2nhWp2?^+n2ttbjCZQ*I#sT%oDg@q}&&8mKUhxg=Aj_ z^<^H%z69KVzli_g-YnMkHYVi0~Q^9G*O2f1QsG~V^Y)Q@_- z&qLHS&aO2U7ZOAtX{CRn<+si~VTI`Pb4%;}cD!q(h5wTG`H*5Oy(2usD!(-UEdIU} zFRUg-(@pBP(m3a(__&^b;`2NByIDG++~0y;>69?Mzm@Ot)Q68gW_KUDjB{7& zv{^QluVYn3@2P4VDqaIBM2tA5x*6R{RKn<*Wxk?KrXV{!^PN;b5i6M?wk zk*?&Fg{3m4Mu1y2pAl?{uGB0;X(hDe75_hTHSh4$fp=R->m#XyEGGi_JtFk3!L>f5 z&TNQHPpw#+ffX&Imuz#XK(*p}FEbNM#qseOoR77+dBxGEEl;aA>Kznd)X`EXBc=0u zw)0wo#uH@Hl)zXiE0Ja~xJ3&^D&MJ^U%{r1ZMA;NPpN<^KW$DEEi0AFR%3I8XA83R z0u_0LPYKp|3eOYnJfJ1+!BDma0@$MmqAf@_o2L;bUmviowA4#+C!kspllm&LZMBTY zkR#OiWDDt^phA^Am}Yo+SBKpRU|V9PNOwY-^gp6+$N=Z5$}@vd@8#x#VGK7xsI