From 38debf466dc47429f982e657d1b8bbbff8e1b493 Mon Sep 17 00:00:00 2001 From: Aleksa <abjelogr@uwaterloo.ca> Date: Wed, 11 May 2022 19:15:32 -0400 Subject: [PATCH] Increased Serial FIFO Depth for PLL config --- .../sources_1/bd/design_1/design_1.bd | 183 +- .../sources_1/bd/design_1/design_1.bxml | 26 +- .../bd/design_1/hdl/design_1_wrapper.v | 2 +- .../bd/design_1/hw_handoff/design_1.hwh | 564 +- .../bd/design_1/hw_handoff/design_1_bd.tcl | 3 + .../design_1_axi_fifo_mm_s_0_0.dcp | Bin 217660 -> 242943 bytes .../design_1_axi_fifo_mm_s_0_0.xci | 11 +- .../design_1_axi_fifo_mm_s_0_0.xml | 33 +- .../design_1_axi_fifo_mm_s_0_0_sim_netlist.v | 6844 ++++++++------ ...esign_1_axi_fifo_mm_s_0_0_sim_netlist.vhdl | 7913 ++++++++++------- .../design_1_axi_fifo_mm_s_0_0_stub.v | 4 +- .../design_1_axi_fifo_mm_s_0_0_stub.vhdl | 4 +- .../sim/design_1_axi_fifo_mm_s_0_0.vhd | 4 +- .../synth/design_1_axi_fifo_mm_s_0_0.vhd | 8 +- .../sources_1/bd/design_1/sim/design_1.v | 2 +- .../bd/design_1/synth/design_1.hwdef | Bin 66879 -> 66932 bytes .../sources_1/bd/design_1/synth/design_1.v | 2 +- .../sources_1/bd/design_1/ui/bd_1f5defd0.ui | 101 +- .../sources_1/bd/design_1/ui/bd_77ae6ffa.ui | 16 +- .../dso_top.xpr | 90 +- .../dso_top_fpga_module_rev2_unsigned.bin | Bin 1578004 -> 1581840 bytes 21 files changed, 9628 insertions(+), 6182 deletions(-) diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/design_1.bd b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/design_1.bd index 8f9da877..9d0dfbe0 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/design_1.bd +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/design_1.bd @@ -1643,24 +1643,6 @@ } }, "interface_nets": { - "Conn2": { - "interface_ports": [ - "M00_AXI_0", - "axi_crossbar_0/M00_AXI" - ] - }, - "S00_AXI_1": { - "interface_ports": [ - "S00_AXI", - "axi_crossbar_0/S00_AXI" - ] - }, - "S01_AXI_1": { - "interface_ports": [ - "S01_AXI", - "axi_crossbar_0/S01_AXI" - ] - }, "Conn3": { "interface_ports": [ "S_AXI_0", @@ -1672,6 +1654,24 @@ "DDR3", "mig_7series_0/DDR3" ] + }, + "S01_AXI_1": { + "interface_ports": [ + "S01_AXI", + "axi_crossbar_0/S01_AXI" + ] + }, + "Conn2": { + "interface_ports": [ + "M00_AXI_0", + "axi_crossbar_0/M00_AXI" + ] + }, + "S00_AXI_1": { + "interface_ports": [ + "S00_AXI", + "axi_crossbar_0/S00_AXI" + ] } }, "nets": { @@ -1819,10 +1819,10 @@ } }, "interface_nets": { - "S_AXIS_S2MM_1": { + "Conn2": { "interface_ports": [ - "S_AXIS_S2MM", - "axi_datamover_0/S_AXIS_S2MM" + "S_AXIS_S2MM_CMD", + "axi_datamover_0/S_AXIS_S2MM_CMD" ] }, "Conn3": { @@ -1831,10 +1831,10 @@ "axi_datamover_0/M_AXI_S2MM" ] }, - "Conn2": { + "S_AXIS_S2MM_1": { "interface_ports": [ - "S_AXIS_S2MM_CMD", - "axi_datamover_0/S_AXIS_S2MM_CMD" + "S_AXIS_S2MM", + "axi_datamover_0/S_AXIS_S2MM" ] } }, @@ -2928,6 +2928,15 @@ "vlnv": "xilinx.com:ip:axi_fifo_mm_s:4.2", "xci_name": "design_1_axi_fifo_mm_s_0_0", "parameters": { + "C_TX_FIFO_DEPTH": { + "value": "4096" + }, + "C_TX_FIFO_PE_THRESHOLD": { + "value": "5" + }, + "C_TX_FIFO_PF_THRESHOLD": { + "value": "4091" + }, "C_USE_RX_DATA": { "value": "0" }, @@ -2956,6 +2965,18 @@ } }, "interface_nets": { + "S00_AXI_1": { + "interface_ports": [ + "S00_AXI", + "axi_crossbar_0/S00_AXI" + ] + }, + "Conn1": { + "interface_ports": [ + "AXI_STR_TXD_0", + "axi_fifo_mm_s_0/AXI_STR_TXD" + ] + }, "axi_crossbar_0_M00_AXI": { "interface_ports": [ "axi_crossbar_0/M00_AXI", @@ -2967,18 +2988,6 @@ "axi_crossbar_0/M01_AXI", "axi_gpio_0/S_AXI" ] - }, - "Conn1": { - "interface_ports": [ - "AXI_STR_TXD_0", - "axi_fifo_mm_s_0/AXI_STR_TXD" - ] - }, - "S00_AXI_1": { - "interface_ports": [ - "S00_AXI", - "axi_crossbar_0/S00_AXI" - ] } }, "nets": { @@ -3202,30 +3211,30 @@ } }, "interface_nets": { - "PCIe_M_AXI_LITE": { - "interface_ports": [ - "M_AXI_LITE", - "xdma_0/M_AXI_LITE" - ] - }, "xdma_0_M_AXI1": { "interface_ports": [ "xdma_0/M_AXI", "axi_dwidth_converter_0/S_AXI" ] }, - "xdma_0_pcie_mgt": { - "interface_ports": [ - "pcie_mgt", - "xdma_0/pcie_mgt" - ] - }, "CLK_IN_D_0_1": { "interface_ports": [ "pcie", "util_ds_buf_0/CLK_IN_D" ] }, + "PCIe_M_AXI_LITE": { + "interface_ports": [ + "M_AXI_LITE", + "xdma_0/M_AXI_LITE" + ] + }, + "xdma_0_pcie_mgt": { + "interface_ports": [ + "pcie_mgt", + "xdma_0/pcie_mgt" + ] + }, "xdma_0_M_AXI": { "interface_ports": [ "M_AXI", @@ -3264,40 +3273,10 @@ } }, "interface_nets": { - "xdma_0_pcie_mgt": { + "S_AXI_0_1": { "interface_ports": [ - "pcie_mgt", - "PCIe/pcie_mgt" - ] - }, - "AXI_LITE_IO_AXI_STR_TXD_0": { - "interface_ports": [ - "AXI_STR_TXD_0", - "AXI_LITE_IO/AXI_STR_TXD_0" - ] - }, - "S_AXIS_S2MM_0_1": { - "interface_ports": [ - "S_AXIS_S2MM", - "Datamover/S_AXIS_S2MM" - ] - }, - "CLK_IN_D_0_1": { - "interface_ports": [ - "pcie", - "PCIe/pcie" - ] - }, - "xdma_0_M_AXI": { - "interface_ports": [ - "PCIe/M_AXI", - "Memory/S00_AXI" - ] - }, - "S_AXIS_S2MM_CMD_0_1": { - "interface_ports": [ - "S_AXIS_S2MM_CMD", - "Datamover/S_AXIS_S2MM_CMD" + "S_AXI_0", + "Memory/S_AXI_0" ] }, "Memory_M00_AXI_0": { @@ -3306,10 +3285,40 @@ "Memory/M00_AXI_0" ] }, - "Datamover_M_AXI_S2MM": { + "xdma_0_M_AXI": { "interface_ports": [ - "Datamover/M_AXI_S2MM", - "Memory/S01_AXI" + "PCIe/M_AXI", + "Memory/S00_AXI" + ] + }, + "xdma_0_pcie_mgt": { + "interface_ports": [ + "pcie_mgt", + "PCIe/pcie_mgt" + ] + }, + "S_AXIS_S2MM_0_1": { + "interface_ports": [ + "S_AXIS_S2MM", + "Datamover/S_AXIS_S2MM" + ] + }, + "S_AXIS_S2MM_CMD_0_1": { + "interface_ports": [ + "S_AXIS_S2MM_CMD", + "Datamover/S_AXIS_S2MM_CMD" + ] + }, + "AXI_LITE_IO_AXI_STR_TXD_0": { + "interface_ports": [ + "AXI_STR_TXD_0", + "AXI_LITE_IO/AXI_STR_TXD_0" + ] + }, + "CLK_IN_D_0_1": { + "interface_ports": [ + "pcie", + "PCIe/pcie" ] }, "PCIe_M_AXI_LITE": { @@ -3318,10 +3327,10 @@ "AXI_LITE_IO/S00_AXI" ] }, - "S_AXI_0_1": { + "Datamover_M_AXI_S2MM": { "interface_ports": [ - "S_AXI_0", - "Memory/S_AXI_0" + "Datamover/M_AXI_S2MM", + "Memory/S01_AXI" ] }, "Memory_DDR3_0": { diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/design_1.bxml b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/design_1.bxml index 70ff69e0..0d8edaf1 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/design_1.bxml +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/design_1.bxml @@ -2,14 +2,14 @@ <Root MajorVersion="0" MinorVersion="39"> <CompositeFile CompositeFileTopName="design_1" CanBeSetAsTop="false" CanDisplayChildGraph="true"> <Description>Composite Fileset</Description> - <Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1644768161"/> - <Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1644768161"/> - <Generation Name="SIMULATION" State="GENERATED" Timestamp="1644768161"/> - <Generation Name="HW_HANDOFF" State="GENERATED" Timestamp="1644768161"/> + <Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1652309120"/> + <Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1652309120"/> + <Generation Name="SIMULATION" State="GENERATED" Timestamp="1652309120"/> + <Generation Name="HW_HANDOFF" State="GENERATED" Timestamp="1652309120"/> <FileCollection Name="SOURCES" Type="SOURCES"> <File Name="ip\design_1_xdma_0_0\design_1_xdma_0_0.xci" Type="IP"> <Instance HierarchyPath="PCIe/xdma_0"/> - <Properties IsEditable="false" IsVisible="true" Timestamp="1642726721" IsTrackable="true" IsStatusTracked="true"/> + <Properties IsEditable="false" IsVisible="true" Timestamp="1644731037" IsTrackable="true" IsStatusTracked="true"/> <Library Name="xil_defaultlib"/> <UsedIn Val="SYNTHESIS"/> <UsedIn Val="IMPLEMENTATION"/> @@ -25,7 +25,7 @@ </File> <File Name="ip\design_1_clk_wiz_0_0\design_1_clk_wiz_0_0.xci" Type="IP"> <Instance HierarchyPath="Memory/clk_wiz_0"/> - <Properties IsEditable="false" IsVisible="true" Timestamp="1642728078" IsTrackable="true" IsStatusTracked="true"/> + <Properties IsEditable="false" IsVisible="true" Timestamp="1642730712" IsTrackable="true" IsStatusTracked="true"/> <Library Name="xil_defaultlib"/> <UsedIn Val="SYNTHESIS"/> <UsedIn Val="IMPLEMENTATION"/> @@ -49,7 +49,7 @@ </File> <File Name="ip\design_1_axi_datamover_0_0\design_1_axi_datamover_0_0.xci" Type="IP"> <Instance HierarchyPath="Datamover/axi_datamover_0"/> - <Properties IsEditable="false" IsVisible="true" Timestamp="1642728078" IsTrackable="true" IsStatusTracked="true"/> + <Properties IsEditable="false" IsVisible="true" Timestamp="1642730713" IsTrackable="true" IsStatusTracked="true"/> <Library Name="xil_defaultlib"/> <UsedIn Val="SYNTHESIS"/> <UsedIn Val="IMPLEMENTATION"/> @@ -73,7 +73,7 @@ </File> <File Name="ip\design_1_axi_fifo_mm_s_0_0\design_1_axi_fifo_mm_s_0_0.xci" Type="IP"> <Instance HierarchyPath="AXI_LITE_IO/axi_fifo_mm_s_0"/> - <Properties IsEditable="false" IsVisible="true" Timestamp="1642728078" IsTrackable="true" IsStatusTracked="true"/> + <Properties IsEditable="false" IsVisible="true" Timestamp="1642730713" IsTrackable="true" IsStatusTracked="true"/> <Library Name="xil_defaultlib"/> <UsedIn Val="SYNTHESIS"/> <UsedIn Val="IMPLEMENTATION"/> @@ -81,7 +81,7 @@ </File> <File Name="ip\design_1_axi_dwidth_converter_0_0\design_1_axi_dwidth_converter_0_0.xci" Type="IP"> <Instance HierarchyPath="PCIe/axi_dwidth_converter_0"/> - <Properties IsEditable="false" IsVisible="true" Timestamp="1642728078" IsTrackable="true" IsStatusTracked="true"/> + <Properties IsEditable="false" IsVisible="true" Timestamp="1642730713" IsTrackable="true" IsStatusTracked="true"/> <Library Name="xil_defaultlib"/> <UsedIn Val="SYNTHESIS"/> <UsedIn Val="IMPLEMENTATION"/> @@ -89,7 +89,7 @@ </File> <File Name="ip\design_1_axi_crossbar_0_0\design_1_axi_crossbar_0_0.xci" Type="IP"> <Instance HierarchyPath="AXI_LITE_IO/axi_crossbar_0"/> - <Properties IsEditable="false" IsVisible="true" Timestamp="1642728078" IsTrackable="true" IsStatusTracked="true"/> + <Properties IsEditable="false" IsVisible="true" Timestamp="1642730713" IsTrackable="true" IsStatusTracked="true"/> <Library Name="xil_defaultlib"/> <UsedIn Val="SYNTHESIS"/> <UsedIn Val="IMPLEMENTATION"/> @@ -97,7 +97,7 @@ </File> <File Name="ip\design_1_axi_crossbar_0_1\design_1_axi_crossbar_0_1.xci" Type="IP"> <Instance HierarchyPath="Memory/axi_crossbar_0"/> - <Properties IsEditable="false" IsVisible="true" Timestamp="1642728078" IsTrackable="true" IsStatusTracked="true"/> + <Properties IsEditable="false" IsVisible="true" Timestamp="1644735993" IsTrackable="true" IsStatusTracked="true"/> <Library Name="xil_defaultlib"/> <UsedIn Val="SYNTHESIS"/> <UsedIn Val="IMPLEMENTATION"/> @@ -105,7 +105,7 @@ </File> <File Name="ip\design_1_mig_7series_0_1\design_1_mig_7series_0_1.xci" Type="IP"> <Instance HierarchyPath="Memory/mig_7series_0"/> - <Properties IsEditable="false" IsVisible="true" Timestamp="0" IsTrackable="true" IsStatusTracked="true"/> + <Properties IsEditable="false" IsVisible="true" Timestamp="1644768125" IsTrackable="true" IsStatusTracked="true"/> <Library Name="xil_defaultlib"/> <UsedIn Val="SYNTHESIS"/> <UsedIn Val="IMPLEMENTATION"/> @@ -124,7 +124,7 @@ </File> <File Name="ip\design_1_util_ds_buf_0_1\design_1_util_ds_buf_0_1.xci" Type="IP"> <Instance HierarchyPath="Memory/util_ds_buf_0"/> - <Properties IsEditable="false" IsVisible="true" Timestamp="0" IsTrackable="true" IsStatusTracked="true"/> + <Properties IsEditable="false" IsVisible="true" Timestamp="1644735827" IsTrackable="true" IsStatusTracked="true"/> <Library Name="xil_defaultlib"/> <UsedIn Val="SYNTHESIS"/> <UsedIn Val="IMPLEMENTATION"/> diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v index 985d6550..6554ed49 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v @@ -1,7 +1,7 @@ //Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2020.1 (win64) Build 2902540 Wed May 27 19:54:49 MDT 2020 -//Date : Sun Feb 13 11:02:18 2022 +//Date : Wed May 11 18:45:19 2022 //Host : DESKTOP-J72MK93 running 64-bit major release (build 9200) //Command : generate_target design_1_wrapper.bd //Design : design_1_wrapper diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh index 9ec29da0..93ad84a3 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh @@ -1,5 +1,5 @@ <?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<EDKSYSTEM EDWVERSION="1.2" TIMESTAMP="Sun Feb 13 11:02:41 2022" VIVADOVERSION="2020.1"> +<EDKSYSTEM EDWVERSION="1.2" TIMESTAMP="Wed May 11 18:45:20 2022" VIVADOVERSION="2020.1"> <SYSTEMINFO ARCH="artix7" DEVICE="7a35t" NAME="design_1" PACKAGE="csg325" SPEEDGRADE="-2"/> @@ -65,279 +65,14 @@ <CONNECTION INSTANCE="Memory_util_ds_buf_0" PORT="BUFG_O"/> </CONNECTIONS> </PORT> - <PORT DIR="I" LEFT="0" NAME="S_AXI_0_awid" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awid"> + <PORT CLKFREQUENCY="100000000" DIR="I" LEFT="0" NAME="pcie_clk_p" RIGHT="0" SIGIS="clk" SIGNAME="PCIe_util_ds_buf_0_IBUF_DS_P"> <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awid"/> + <CONNECTION INSTANCE="PCIe_util_ds_buf_0" PORT="IBUF_DS_P"/> </CONNECTIONS> </PORT> - <PORT DIR="I" LEFT="27" NAME="S_AXI_0_awaddr" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awaddr"> + <PORT CLKFREQUENCY="100000000" DIR="I" LEFT="0" NAME="pcie_clk_n" RIGHT="0" SIGIS="clk" SIGNAME="PCIe_util_ds_buf_0_IBUF_DS_N"> <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awaddr"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" LEFT="7" NAME="S_AXI_0_awlen" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awlen"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awlen"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" LEFT="2" NAME="S_AXI_0_awsize" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awsize"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awsize"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" LEFT="1" NAME="S_AXI_0_awburst" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awburst"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awburst"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" NAME="S_AXI_0_awlock" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awlock"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awlock"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" LEFT="3" NAME="S_AXI_0_awcache" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awcache"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awcache"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" LEFT="2" NAME="S_AXI_0_awprot" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awprot"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awprot"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" LEFT="3" NAME="S_AXI_0_awqos" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awqos"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awqos"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" NAME="S_AXI_0_awvalid" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awvalid"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awvalid"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" NAME="S_AXI_0_awready" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awready"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awready"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" LEFT="255" NAME="S_AXI_0_wdata" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_wdata"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_wdata"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" LEFT="31" NAME="S_AXI_0_wstrb" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_wstrb"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_wstrb"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" NAME="S_AXI_0_wlast" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_wlast"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_wlast"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" NAME="S_AXI_0_wvalid" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_wvalid"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_wvalid"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" NAME="S_AXI_0_wready" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_wready"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_wready"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" NAME="S_AXI_0_bready" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_bready"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_bready"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" LEFT="0" NAME="S_AXI_0_bid" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_bid"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_bid"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" LEFT="1" NAME="S_AXI_0_bresp" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_bresp"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_bresp"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" NAME="S_AXI_0_bvalid" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_bvalid"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_bvalid"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" LEFT="0" NAME="S_AXI_0_arid" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arid"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arid"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" LEFT="27" NAME="S_AXI_0_araddr" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_araddr"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_araddr"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" LEFT="7" NAME="S_AXI_0_arlen" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arlen"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arlen"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" LEFT="2" NAME="S_AXI_0_arsize" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arsize"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arsize"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" LEFT="1" NAME="S_AXI_0_arburst" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arburst"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arburst"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" NAME="S_AXI_0_arlock" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arlock"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arlock"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" LEFT="3" NAME="S_AXI_0_arcache" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arcache"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arcache"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" LEFT="2" NAME="S_AXI_0_arprot" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arprot"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arprot"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" LEFT="3" NAME="S_AXI_0_arqos" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arqos"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arqos"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" NAME="S_AXI_0_arvalid" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arvalid"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arvalid"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" NAME="S_AXI_0_arready" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arready"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arready"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" NAME="S_AXI_0_rready" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_rready"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_rready"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" LEFT="0" NAME="S_AXI_0_rid" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_rid"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_rid"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" LEFT="255" NAME="S_AXI_0_rdata" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_rdata"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_rdata"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" LEFT="1" NAME="S_AXI_0_rresp" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_rresp"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_rresp"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" NAME="S_AXI_0_rlast" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_rlast"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_rlast"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" NAME="S_AXI_0_rvalid" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_rvalid"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_rvalid"/> - </CONNECTIONS> - </PORT> - <PORT DIR="IO" LEFT="31" NAME="DDR3_dq" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_dq"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_dq"/> - </CONNECTIONS> - </PORT> - <PORT DIR="IO" LEFT="3" NAME="DDR3_dqs_p" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_dqs_p"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_dqs_p"/> - </CONNECTIONS> - </PORT> - <PORT DIR="IO" LEFT="3" NAME="DDR3_dqs_n" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_dqs_n"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_dqs_n"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" LEFT="12" NAME="DDR3_addr" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_addr"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_addr"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" LEFT="2" NAME="DDR3_ba" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_ba"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_ba"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" NAME="DDR3_ras_n" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_ras_n"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_ras_n"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" NAME="DDR3_cas_n" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_cas_n"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_cas_n"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" NAME="DDR3_we_n" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_we_n"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_we_n"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" NAME="DDR3_reset_n" POLARITY="ACTIVE_LOW" SIGIS="rst" SIGNAME="Memory_mig_7series_0_ddr3_reset_n"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_reset_n"/> - </CONNECTIONS> - </PORT> - <PORT CLKFREQUENCY="100000000" DIR="O" LEFT="0" NAME="DDR3_ck_p" RIGHT="0" SIGIS="clk" SIGNAME="Memory_mig_7series_0_ddr3_ck_p"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_ck_p"/> - </CONNECTIONS> - </PORT> - <PORT CLKFREQUENCY="100000000" DIR="O" LEFT="0" NAME="DDR3_ck_n" RIGHT="0" SIGIS="clk" SIGNAME="Memory_mig_7series_0_ddr3_ck_n"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_ck_n"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" LEFT="0" NAME="DDR3_cke" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_cke"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_cke"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" LEFT="0" NAME="DDR3_cs_n" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_cs_n"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_cs_n"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" LEFT="3" NAME="DDR3_dm" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_dm"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_dm"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" LEFT="0" NAME="DDR3_odt" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_odt"> - <CONNECTIONS> - <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_odt"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" LEFT="71" NAME="S_AXIS_S2MM_CMD_tdata" RIGHT="0" SIGIS="undef" SIGNAME="Datamover_axi_datamover_0_s_axis_s2mm_cmd_tdata"> - <CONNECTIONS> - <CONNECTION INSTANCE="Datamover_axi_datamover_0" PORT="s_axis_s2mm_cmd_tdata"/> - </CONNECTIONS> - </PORT> - <PORT DIR="O" NAME="S_AXIS_S2MM_CMD_tready" SIGIS="undef" SIGNAME="Datamover_axi_datamover_0_s_axis_s2mm_cmd_tready"> - <CONNECTIONS> - <CONNECTION INSTANCE="Datamover_axi_datamover_0" PORT="s_axis_s2mm_cmd_tready"/> - </CONNECTIONS> - </PORT> - <PORT DIR="I" NAME="S_AXIS_S2MM_CMD_tvalid" SIGIS="undef" SIGNAME="Datamover_axi_datamover_0_s_axis_s2mm_cmd_tvalid"> - <CONNECTIONS> - <CONNECTION INSTANCE="Datamover_axi_datamover_0" PORT="s_axis_s2mm_cmd_tvalid"/> + <CONNECTION INSTANCE="PCIe_util_ds_buf_0" PORT="IBUF_DS_N"/> </CONNECTIONS> </PORT> <PORT DIR="O" LEFT="0" NAME="M00_AXI_0_awid" RIGHT="0" SIGIS="undef" SIGNAME="Memory_axi_crossbar_0_m_axi_awid"> @@ -540,16 +275,6 @@ <CONNECTION INSTANCE="Memory_axi_crossbar_0" PORT="m_axi_rready"/> </CONNECTIONS> </PORT> - <PORT CLKFREQUENCY="100000000" DIR="I" LEFT="0" NAME="pcie_clk_p" RIGHT="0" SIGIS="clk" SIGNAME="PCIe_util_ds_buf_0_IBUF_DS_P"> - <CONNECTIONS> - <CONNECTION INSTANCE="PCIe_util_ds_buf_0" PORT="IBUF_DS_P"/> - </CONNECTIONS> - </PORT> - <PORT CLKFREQUENCY="100000000" DIR="I" LEFT="0" NAME="pcie_clk_n" RIGHT="0" SIGIS="clk" SIGNAME="PCIe_util_ds_buf_0_IBUF_DS_N"> - <CONNECTIONS> - <CONNECTION INSTANCE="PCIe_util_ds_buf_0" PORT="IBUF_DS_N"/> - </CONNECTIONS> - </PORT> <PORT DIR="I" LEFT="3" NAME="pcie_mgt_rxn" RIGHT="0" SIGIS="undef" SIGNAME="PCIe_xdma_0_pci_exp_rxn"> <CONNECTIONS> <CONNECTION INSTANCE="PCIe_xdma_0" PORT="pci_exp_rxn"/> @@ -595,6 +320,21 @@ <CONNECTION INSTANCE="Datamover_axi_datamover_0" PORT="s_axis_s2mm_tvalid"/> </CONNECTIONS> </PORT> + <PORT DIR="I" LEFT="71" NAME="S_AXIS_S2MM_CMD_tdata" RIGHT="0" SIGIS="undef" SIGNAME="Datamover_axi_datamover_0_s_axis_s2mm_cmd_tdata"> + <CONNECTIONS> + <CONNECTION INSTANCE="Datamover_axi_datamover_0" PORT="s_axis_s2mm_cmd_tdata"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="S_AXIS_S2MM_CMD_tready" SIGIS="undef" SIGNAME="Datamover_axi_datamover_0_s_axis_s2mm_cmd_tready"> + <CONNECTIONS> + <CONNECTION INSTANCE="Datamover_axi_datamover_0" PORT="s_axis_s2mm_cmd_tready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="S_AXIS_S2MM_CMD_tvalid" SIGIS="undef" SIGNAME="Datamover_axi_datamover_0_s_axis_s2mm_cmd_tvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="Datamover_axi_datamover_0" PORT="s_axis_s2mm_cmd_tvalid"/> + </CONNECTIONS> + </PORT> <PORT DIR="O" LEFT="31" NAME="AXI_STR_TXD_0_tdata" RIGHT="0" SIGIS="undef" SIGNAME="AXI_LITE_IO_axi_fifo_mm_s_0_axi_str_txd_tdata"> <CONNECTIONS> <CONNECTION INSTANCE="AXI_LITE_IO_axi_fifo_mm_s_0" PORT="axi_str_txd_tdata"/> @@ -615,6 +355,266 @@ <CONNECTION INSTANCE="AXI_LITE_IO_axi_fifo_mm_s_0" PORT="axi_str_txd_tvalid"/> </CONNECTIONS> </PORT> + <PORT DIR="IO" LEFT="31" NAME="DDR3_dq" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_dq"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_dq"/> + </CONNECTIONS> + </PORT> + <PORT DIR="IO" LEFT="3" NAME="DDR3_dqs_p" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_dqs_p"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_dqs_p"/> + </CONNECTIONS> + </PORT> + <PORT DIR="IO" LEFT="3" NAME="DDR3_dqs_n" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_dqs_n"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_dqs_n"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="12" NAME="DDR3_addr" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_addr"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_addr"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="2" NAME="DDR3_ba" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_ba"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_ba"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="DDR3_ras_n" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_ras_n"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_ras_n"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="DDR3_cas_n" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_cas_n"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_cas_n"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="DDR3_we_n" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_we_n"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_we_n"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="DDR3_reset_n" POLARITY="ACTIVE_LOW" SIGIS="rst" SIGNAME="Memory_mig_7series_0_ddr3_reset_n"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_reset_n"/> + </CONNECTIONS> + </PORT> + <PORT CLKFREQUENCY="100000000" DIR="O" LEFT="0" NAME="DDR3_ck_p" RIGHT="0" SIGIS="clk" SIGNAME="Memory_mig_7series_0_ddr3_ck_p"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_ck_p"/> + </CONNECTIONS> + </PORT> + <PORT CLKFREQUENCY="100000000" DIR="O" LEFT="0" NAME="DDR3_ck_n" RIGHT="0" SIGIS="clk" SIGNAME="Memory_mig_7series_0_ddr3_ck_n"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_ck_n"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="0" NAME="DDR3_cke" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_cke"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_cke"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="0" NAME="DDR3_cs_n" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_cs_n"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_cs_n"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="3" NAME="DDR3_dm" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_dm"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_dm"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="0" NAME="DDR3_odt" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_ddr3_odt"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="ddr3_odt"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="0" NAME="S_AXI_0_awid" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awid"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="27" NAME="S_AXI_0_awaddr" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awaddr"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awaddr"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="7" NAME="S_AXI_0_awlen" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awlen"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awlen"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="2" NAME="S_AXI_0_awsize" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awsize"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awsize"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="1" NAME="S_AXI_0_awburst" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awburst"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awburst"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="S_AXI_0_awlock" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awlock"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awlock"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="3" NAME="S_AXI_0_awcache" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awcache"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awcache"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="2" NAME="S_AXI_0_awprot" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awprot"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awprot"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="3" NAME="S_AXI_0_awqos" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awqos"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awqos"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="S_AXI_0_awvalid" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="S_AXI_0_awready" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_awready"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_awready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="255" NAME="S_AXI_0_wdata" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_wdata"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_wdata"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="31" NAME="S_AXI_0_wstrb" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_wstrb"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_wstrb"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="S_AXI_0_wlast" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_wlast"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_wlast"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="S_AXI_0_wvalid" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_wvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_wvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="S_AXI_0_wready" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_wready"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_wready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="S_AXI_0_bready" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_bready"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_bready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="0" NAME="S_AXI_0_bid" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_bid"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_bid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="1" NAME="S_AXI_0_bresp" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_bresp"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_bresp"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="S_AXI_0_bvalid" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_bvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_bvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="0" NAME="S_AXI_0_arid" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arid"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="27" NAME="S_AXI_0_araddr" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_araddr"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_araddr"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="7" NAME="S_AXI_0_arlen" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arlen"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arlen"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="2" NAME="S_AXI_0_arsize" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arsize"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arsize"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="1" NAME="S_AXI_0_arburst" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arburst"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arburst"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="S_AXI_0_arlock" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arlock"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arlock"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="3" NAME="S_AXI_0_arcache" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arcache"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arcache"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="2" NAME="S_AXI_0_arprot" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arprot"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arprot"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" LEFT="3" NAME="S_AXI_0_arqos" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arqos"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arqos"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="S_AXI_0_arvalid" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arvalid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="S_AXI_0_arready" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_arready"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_arready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="I" NAME="S_AXI_0_rready" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_rready"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_rready"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="0" NAME="S_AXI_0_rid" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_rid"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_rid"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="255" NAME="S_AXI_0_rdata" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_rdata"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_rdata"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" LEFT="1" NAME="S_AXI_0_rresp" RIGHT="0" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_rresp"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_rresp"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="S_AXI_0_rlast" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_rlast"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_rlast"/> + </CONNECTIONS> + </PORT> + <PORT DIR="O" NAME="S_AXI_0_rvalid" SIGIS="undef" SIGNAME="Memory_mig_7series_0_s_axi_rvalid"> + <CONNECTIONS> + <CONNECTION INSTANCE="Memory_mig_7series_0" PORT="s_axi_rvalid"/> + </CONNECTIONS> + </PORT> </EXTERNALPORTS> <EXTERNALINTERFACES> @@ -2542,11 +2542,11 @@ <PARAMETER NAME="C_S_AXI_ADDR_WIDTH" VALUE="32"/> <PARAMETER NAME="C_S_AXI_DATA_WIDTH" VALUE="32"/> <PARAMETER NAME="C_S_AXI4_DATA_WIDTH" VALUE="32"/> - <PARAMETER NAME="C_TX_FIFO_DEPTH" VALUE="512"/> + <PARAMETER NAME="C_TX_FIFO_DEPTH" VALUE="4096"/> <PARAMETER NAME="C_RX_FIFO_DEPTH" VALUE="512"/> <PARAMETER NAME="C_TX_CASCADE_HEIGHT" VALUE="0"/> <PARAMETER NAME="C_RX_CASCADE_HEIGHT" VALUE="0"/> - <PARAMETER NAME="C_TX_FIFO_PF_THRESHOLD" VALUE="507"/> + <PARAMETER NAME="C_TX_FIFO_PF_THRESHOLD" VALUE="4091"/> <PARAMETER NAME="C_TX_FIFO_PE_THRESHOLD" VALUE="5"/> <PARAMETER NAME="C_RX_FIFO_PF_THRESHOLD" VALUE="507"/> <PARAMETER NAME="C_RX_FIFO_PE_THRESHOLD" VALUE="5"/> diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl index c623d457..95cbe5cc 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl @@ -1308,6 +1308,9 @@ proc create_hier_cell_AXI_LITE_IO { parentCell nameHier } { # Create instance: axi_fifo_mm_s_0, and set properties set axi_fifo_mm_s_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_fifo_mm_s:4.2 axi_fifo_mm_s_0 ] set_property -dict [ list \ + CONFIG.C_TX_FIFO_DEPTH {4096} \ + CONFIG.C_TX_FIFO_PE_THRESHOLD {5} \ + CONFIG.C_TX_FIFO_PF_THRESHOLD {4091} \ CONFIG.C_USE_RX_DATA {0} \ CONFIG.C_USE_TX_CTRL {0} \ ] $axi_fifo_mm_s_0 diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0.dcp b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0.dcp index ac95baf64ff25e139b9ae153b86fe9474a493c06..766b515250c9979671296f7d237650a6fb34d94b 100644 GIT binary patch literal 242943 zcmd@6WmsLyvIYt#!2$#b?(XhxNr2$)?(RBq0wlP*LvVL@f(3VHqQPO}Zg;ZQ+WVZd z_R9Bs=RWt}`B9^*>aFVP(KWhf59ui<2?2@r>eZ{aue#z(l-_;vZyA35>Xjtat5+~! zt&yP}gR8Ywe4MuJA`@CbMSn%5L!CC8^rx)sH#8`LDAa^M#o^kjr8dwkyFTA$?R5~M z*-o*QUD}MDZ*!k{<Vq3V2|>x!+9a*9j!YvQ=?f$^d!+aX!u4sMT!b#*yv4@6lwz&D zT^pJnczCrz1&@#98-%wjRYMWLUX5^c^&?UJ{n~X@?f^6wehD0r;Rn0Q?@(_Ca4SJy zxSI$UuCE}!GC?Kii;v*+GC3;lxf)O^ytQk#148QdUyVf6ts2EmmknRu;$ufFwz^?! z<lr6*D%!_2W+8a2&-dh-HX7x1213|W`fe%w#HlXX&9?kT$g8cMp&6B#GAF!TXTJxx zGWoP4BmQ7W=P-RLI%qDvcn<O0N!wU1rWtZawBxYlf)Cy9kFl#hItFq0=^CQ>BJmW4 z>*5C%|Bzv2h~LGLYtgun_j|z&vJt$aDFM4F7v4vw_BJYotEY(5pEx+Ej(iWAZGK(P zZr37t`756=?~z?LsV?h_{4(v+bjNb8Mat{R)ahqec5K=fVo8-1-N$ulu*~I)Z|kG| z%62SR1RbLNB2HUXP)Qo)PG+Fwr>wIi02ReRV#Z+BIM-mm+Whd{{WOkeC0SdaXNn#F zE+o1Ck?^q#45-HZ*RL+$VZI`GNw~42xv7mVv#!3Yxvq)1iLI`+wXUNslP(j3v60DP zo24TzcMSW3mp4>5Vc!+%W20NaG&4VPjM$Q=Xo8eDXlQe{Z2Vh&6TPH{MEw#k^YbHp zme?#*{7*ir_qD%@f#!-v>#vfzI=jlMGo%<zj&U%jmKN?y3enS_*h5!FR<uP41wS{) zvsyfrO}J*;-14Qbj+R&89DS~t@N9l?@wszzZ@Rf3+nZyYI}eR4;4B$S49(1ZIvO|v z?g0yyI@mKjlgB`yd{vrW;Nj-Q4d`N1ItOUg-`V!LWX8;zz4`HTO%Ai|_T2GK0U>Ur zce0m2Tch{1Yc*Ye4wv}3`oV;v*Xr$Mf8<n2OJ5F9wq~g1^TYk7ZUJVvYJo29(Z`&+ zN5zVJML^4`g===Tg@CtG!v3M<=BcjfX+QkseQe}Z%Tx!>SpR*F2`R&qr^{vg>Fz@T z;p%dq$c_Du!`;r&US#*dxh&k~RsT+f?xAq^-VPyP8&J~$J#o->deI-cbg&D$qu@#L zw%K`db>S84cs#wjn|knc$F1|`Yq_ykhY=jLQOO*+yE(l&m;u5JA&d$5G|K4=#C4!I zKQs`^>`a9cdYB%M`aIa#-x{_@WwyxkrgXH>Q=5ATksn<b6qLm1jDqqf4o$8Y?v{i3 z?`|RF=VdhJ+cLc$tX;TU?hg9D779`vKAdh`fRtu-bU6uUo@-H^1cn4NfZhyQF80&s zp*<s^V-zMx{SRD^uKdr<e$r1`M4TB<(hZr93kwfUPj#p31{lC$Q$kZf&Dd09IOp)n z$GWS%+rW~8p@VB(T-kB~FTT{#z$rjY377n`mNRRF=C6yh>eCIqB+ur1cUJ3GEc~#D zJ`Y&2<tNiA#_*Co;MU<4!>#11GZW7OAz>yRDC9U*8z{YVEHhRRzNKn!>%iY(*=oku zlr&PX!?V=QNm;R)MwVP+dHV4=mU`lReZnkUBxOFNw$YT)W9%mDA_3WFEUIJcrvv<Y z<|8L%8T;Li+*+pnRMGsAZPWaahem>j*%Z$;uy%{zdWiq)tp~5DXa`_!_X}mgxf@@j zD@&$Mx_27K72;;b<%#u9!8T!J21m0j6o{Ir=at%BTU9UpkcT%RVVLaAJ)ALi1a4%& z=GkP>D=-rwG7!9fZ)|HD?tHztt(`4w@1a4N-ky9_+gf1Q6zC#f;UfQ(QNBg682-2z ze(PanqqQ<svn<qjcYAVkk3Ea8X+!+rSbJ{KFr_-xUw3O}yW?tW$`#U9LwY*957O=e zb^}ibcBwei$U$`btLvjovxbjjOER<*RWh2{gV2*UezltTd(K)Zb8wPAREet0vmg{n zuR=fe#U+n6M>c`mwo|jq(<7p;C5KL49MHSX1r@$muC;eJF0@@s32XQ*v(1B6lmj)V zROkImDvSf|GYtsFX5&EJNQLoULabfC>rH<xQhm?}JMzZLqfhVWzGrkG4yLw~WwiBX zy3vQGoW8g%>kn^hQ(qmaK!>D`tDOqo%8mL^PlHe-ygp=ohN(Iig^9s6oRhS{BYg15 zX^V~V9&_;!_owc7G`ldct<8C+ZB_r!m9rVEX5td#liL7qFUrUUqH6eM*rNSKv+!A0 zls8=T)F;ZFlgPyfpE~L$^DLw0Rn1M-^Tf0Gw7cg?sOWc{c((y`N#BHPwf=-&g_7qo zmG>Q5W6#qas_LA%b3Hk|gJw?mm-~Dvw3ur6#w!dbYW8N1yt=vccl6Gw&CcFltm&&> z)(`bQCz`c_0$3szy&PR$g6W*i>7!YztAnn*k8wt5OJ11ix=+|t1%A?@?pF!Q`wQm> zH=vRhqmSIT7B0>n0C)6zjSA!viDcQ;yjGR?;`Z?l9g1ZIAD^G}a)MqdIUqR23ZAH> zJ5?;lg`eoL8x<?EwmE>~?Ar@3slh(d&83Fs%c*Z-7}?f&R26|n*k(;I<L;ibQXHca zHbCyDM?J>L^zDVq%dLwG8XLcJSw)n4-JFR7G)<s{!SmIfaxLew<gv^dkHB|DImms^ zhLjN-4(#1$m7-_Oy61E1+3jbSqUWW*Sec?{-WPWHfsof`>#jqzDhjw#^z?K@_$(&y ztmt;zQDLe&6)M%PVHB^bo6BDK%!jo-*wB1T<&!C&UiFiU!m5eFs)ge47wRB>3C~%c zp=Oxj%y6&HyFo)wi9us9nR*WTO{q}p?x6M=Viq}0b>;SkYE_9*I>3q(f(g?BmKurS zk;rMuqEgnw`X~Cgv&A=>YB7@p9mt%>>_Q`6$sF+oSSj2t+F_csSh6z@UnSK}7N<Il zpUiUcZzl%4A*p%|px;vU7SW{8M3ciL1Hz;C43spwT9nT9+B6FjVAiw^mz%I0RZbXY z0xMVOm4+Zr%9)F`!l=xTt7WoVTeQL&eF$<TiUJBM5Cd(eKR5<EI9!+rZ{O`Wxubd| zNpDWv+y+)8l$Ky~;^Ais-<9u-DO=MAbWR`Lb_wuLs$M5o((hkwo|c&H+_*Y9p&Ye6 zsHS|tXJn8*8QR@-^>ig_bmVd;c&x6;#8~7J;_k?h&AFZ`nLo0CqD#?kYmte?m_zho zYQF)@W(op)<h*a1ik{WImhOmcZ65A_q}@zqHwu<0JO$EiuTR{F@I|^4;2*XQzAsk8 zW8vq%9H;>9`CRxIl~m4UPWzc(>}_w*gxJXJ*b|~W^WU%TK{bpGQv%fTv|S8Q`3sZj zpjm!wjR>X|>2`PqQI?oy+B{pjoF2CxJmtKv=;-k@=Tqp2B6wbwYU4Az+=Mj=lu7p1 zz*2C4w5$?UX<pUR`D#0uJwuRMp1OYXq#`3VWp;PE4YFh_X_n|1P8}`ngajI8j};_l z=1*8L8%3mqi}qbtdE}UIn_59V=0A8MOIPGVU2m^npEnAI*Ql88#u8Ypo3Z042nx{N zG813{ZFPszv*u0gyS*rZ#Y6m)kJG2o6S6=|$@XEdLsE^!v3-AM$BNdS5RWqTX_^bo z;<1np<rQMgvRMBjWy~_(qkckn-qV@mOR-}@LIJ^Gojz=WRtd!wosO1J20<V&ZFKvh zFy_ppr45K}WvXZ_;2>a0omm(9$B{eJx#Yfd#^*u5V!;~`7kJ<d?SG_$Uc#HF0^lmS zpD6<Y2z}-kR#sMmpDQZfqCQ7nbmCyKngKNZWo!tbxEQgC;*gL^M?Kn~+K3l7TuOi? z22~o4tnH7`F8*HX4^NV%qxRPsv8xdllt8uAO~OocZ>46uXR|s%H}B%&M|;Z!@*~Fj zcRQb7yX4e%Ky&yYaQV3HD>d9dTF*nJugt1AE(IdhTM5i>c#ao={OPyeOuqINi`9mr z$1N6C?PIfK){^g_Vw@<+{>E;aV@YG<QHnyah(fV7NdDDYvZrpiURaNR{__!(y0zrs zx5X=Ocl5S<loIPV7_tO}^d0W?4}&(+_OY{UfyFcec`c-i3ZlPMD9mVQHU*>-+*S*y zd94OL^{3*rH3v}`Diln@Vw#E7xe9;18Vswa&l`E?d+@fv((!9PBc)gE(Kl(Q*aEWd zrmtvSu`I9%Vso%F9DsB1Z)>;xqRDOB(Z(Ig(c4Qstyv-sVwf!#bR33sfsUqXiHcCT zX5;FMK}dTEZ+<Nh3b$zJk)I3n`tXm@?`hhD9OJ?LgTWT@`&jlH_SHl^Jn~YZhrxSN zca($$`&yn_5^Bqlm(x2*{02k}B=YL!$mcC~F(d@^*q)w{ZXJ~bqPVJDX(lYe`)l)G z(V(0cJ_!CAPh=SI3U)1^66&46+hO(SZWv5y#3XnB$o*<UXS(}`(}Hz6#?lT}fApY$ z1`SD95X@ZYQ{Rp9@noaw1<GpaDY|QKaYMwn(i|#U^HTqhn(_1=1l{vJV|J115jidL zn+3fII6JZjZzuL;1<2RY@8>5~P{Rb*LBMMJS}Vwe5z<_8HHXQOt{8+>3b^6?d$M9h zREYQKi`6=GDjP-(U(EZKwE8A>te9EzE72xt@uzea3^-=FH61s_sP~F{{jC5hO-|V= zToLLq40Ype6D}=|lG6+FrjJ$yHA)PjtTHDt#`@>V&pFSeJn8XYZ$n3AYzzFl)tXxH zM|FTYG6kH<fYhaQ89-E7&xK;l(Ct=cf#sMHfui+Ma*wp>kz3ESKxVmMs<GgSv3s#c z)6J^w)^tzyq0y)AxCic}snF0{^Udg|9cxSb%DhYIG^--Bg<iVtRnp7VhBg-iRatz7 zCV<OG`4cb0$<YEGC~^B>$2|rpQg|3r=kmBGqnvsdSll3;o+C_KUOHH63~(_}w=SbB zP|Fyd9Sv5?n9Urxuy}McbJOtQDB??}|Fw7cdSFVOMAj5ZCS$agFI^tE%wI)IDGRPv z25hZeHEW=)Fq8lEeSc)})LRH$RmFI=nwPh#w4QIL_D+w#*kg6$q_7+R)apr!zXRQT zQiQW6)%+?aWtlUla7s1wedto=maR`h2Y*69Lwb7xO!fR2CqSig#^#zA$l(@I6cP)a z6brqY7hBInY3-FLv)Gqb`^e8zRD$`nS7x6=X!dwS-2GAwlxuq(b<LBW`-A9}%%Z1O zxipu!U<V~&a@*h3`iHpousASqE0^(nSBY1=?U=4PgpzYkce+n(Bcq3PpWrBYn14*= zS`8Q-px3X>!nZ8GQAm%FyGe)#+CC=pX9`2ip2&b3t7jeVF$J$Z+$3SlG;?n+%{*C9 zC>1Vmc`QsQ07p8{r-3HDp=7-z9V%-Ni@xVWtu=IF-oVaV;}s_ivJUUH2Wa2(CAhRz zm$e6%H#-fuTpd!SLqxX-5IdY;?RnHKR6<ARKBn5k8%tfiJ@`^j-*1eSAgF}4&SFfp zi#L`!dIRvKuD(!=wJUW$_O)qC|6df{``Wx<zyEFF430-xy7Ip-z=1fye*fFz5*&}Q zbmf0vfCCAF{r<NF!jF9*QR&M6x-bq}7%(xBjbBfsn_<c5ESV_Ty<DFdkf~+GBM6U( z%mGe>o_^evf9Pg??5<fxqH%b2ZzYHzz=M_Wv0vBJw`JtPL+xn3JN-tmr3&>5;4SFs z7}Lx6kb9=qyqAxzZS@*7A$Q;30`2*bo}=h=rb-@FaTayUw1@FiyBGz)uVet1QPP9f z{D~Yi8Q*wNfr8oei^l%-u|_cbPc3o=qP+MU3#YR)JJ&{^n~iHT*ILX+76CpPda`id zMK|<tZ(eMCyhtRZ>bAbF^)9g_nkmc5A{~50)<@953A@*|95cxx@A4|)ftAivGr;x4 z^MOQK0I%1X8;9bQ+bnBB#&gKk^-N)*!O4h01<wAy{MXfHY|cdJ?&ZV{6GQK@IwpnS z#1>8NaAUNjTQwoIX`hvVOz6A~LW(AtV1oJC$9rpLo%usqZ=ZBug;hMw{d3m`8pUJ} zMp2Jv3Do1mV@*^<(T=bgNy@})qzF;b%?al8?cKz5FD=Meqe?i7Cv%G(O*yNr(JuIu z<YcEN4l+r~0;el)*n+WXO)BLy;I7r3w+s31_$1m?3B3AFS?sdT=xBi&gLsS<2icxJ zuN|vW$N}KkW(N0@@JZ4@VXr$@B4i-S<$#iKkxs!X?!{I`l_`Wo-C?@4gDk#WF<o@= z6i*hKr~NIIc26;cL>FeF?)bOTf2oH5Qq4n^Ri0t0J-!Lm)jNWx^YV>ntUQ65ZR@PY zRJ(i=s-rgoPv_=~&RDtjZMFsM?8LnAZRY<V!^@QrAOjURN%gs}2tq>K0U0um#NX?E zl;0PHGGDZs2rBXck@xZvTA^n_3QqL1#Cc*_Jh@5~()bF4BxcTH)Lzd4LJ|u@$|!ol zAas2pJKl$$I1=js=~<yBTjoNgr4=CA_4LQQL6jn%GXH-ktMJeK4Hy5Vf-2+rL-kF2 z8jjY-cZRX@25Ppwa}rbS_6@wQ9uS_+!&jNHau;g0wKE1&?eq=2j#*oYzr;t(SZ%fc zi;RDc`L2>3Q@DX$8c$&y8Rkd1A`EQYfKD{wc#G@2BxfaRaR$Fag)@t|!1(NvDnwe5 zH#7QqEav-_3K-%g-4c8EoOmiBAg-cD1*e9$ntr$&l;vpFY*sY{qh6uDc|2E$luZSQ zbjk^0Gv@eeaP5r`ehelvzIkh*LyWtAD*sYh{G~elp`vyRU+V4K%UF2@mC)Wfh^cn- z=0Hbp0lw7TSA?;08!Dl-GXzuZ<kNvR*tt3B5d7=%e~-b(lNAClM$OT>H>Z8`$eP~1 zk*tFu$|tH+5<Lv(seDPK9<>|$AcW+^j2cV=iSK@=LNc4P9(8CgxTh~3aS`c60BK5k z)Xkb->AMh4QPn~?aO%~OcG`P86|_A9W0%kAp%X}sOCconU*^TSQl82`huT;%gybJn zC41SwRC8dJFL(gSox+!T`Q|ZJo<U)>cQ#|HUA-yM(VKuTb@L@>tlWUYXdQP~g-P&Z zplGT5zsSJ!PmLF?+2Qn_aDg)c9#@?T=>kF2Z|P=^B$y966=Jj?ee-_JP$AKYsOIoj zPIpB52y(gI`rL>6l)T3ee|9``@O<!jIwzdm=UIu{auK)6FqkgnSwV{~j^H$wRP9fV zxhPO-rEYHcGpDwH<TUcEz1LNd|IeKErCP`XW>51gUxpuwD!%QXBhqo^H2;qgsqk+V z0Icc^9bhQ@|7QZ-l>Tc1{lCZfZ@x^RT;T@OX~&E!N~o|(WRaXRB9aV2oHLe^%pD!B zigN6Oo6-X%@&vXa<)1xTsV7^(BNUvz_ZbGRh2TLHU5rQvP9ID{jMG02$yq|ReF^MV zx#|wlojKJ8z)0cg?q81^Vds2w$IA0DlRYz+wZ@K>xC$xlF&!~>vfXdfb8BpC-8Bwk zz9{cgaHuG+Ok{MOpXT!U@05(Ox|*i1-3FO}H6xvfD<WOU^_^>PHTCSi(lc@Wz`(-O zhkqAQndk3EYUTTPOsg{i{FocXu5lBkvKL>g69ZuPT64Jz%E}%F6RZHcF546Tr`zxJ zY5GHUt*m&Qi}Yv->%p{i-S1V^0&vSK-_dI6V+%C?7)%-$);E^=@*gB3WoDgINkXyq zAH+go^}UjXqVpf<otzprs@^})9aU)<EYm;Gi9_IT0EK|x04(4B8L&oE>4U`j2XgI_ z_+J4%kgjbK!jM?XJrG#R!eHuo11`bT^V&5}qUZGs^LKI${~h4`J3#w)fXMFv`riTQ zzXKk{|FfxooX6Hb1HK$|%p@q_oMV6q2TTNDB3XM$^(RupH}7cwMnf$6s$D-Ex>>pq z5{&(D>4qRV)e>NBD(O&{^}tt^i0D6cd=KQqtJWY`uF}6#80^XC_<v6BiOcVR{NDky zzXPBXeiOs*cOL&=0YR`Q?(soynTms8zyu8@C@?{S3F1T|J_zE4`8%E9{0`WU`%mjX zs%rin5b`@f<97hf?|{45-vl504RG_?6&cn}4H+Ix2w*}26SCdQT=6}c`E@}2-^e)m z#GUeK6{jy9mO)D=!62fMt{=}{J%)Run$EGu*nB&P_7?<SIr7=-KJ@=2g3Y(LuQuWS zMrWS$%8i!e#NqJQrhH?|c5WF>>t}q9-CJ(k0Ym4*2&z9}8U<1Rv$mHAps}x>U+lmA zjbH^@e|EQWVI`~r*xai)7bl*fSSj9aB8oBf;zwl#@e*|*06zGkfxbZEvQ0AtiJ*gS zewppe$eba)6}QiSL)M!D7lmd^^Fd*_=v7m|{`dKuD!Dtg101NDSr#~xGF`M`jT)+? zIvIH;N$Ut5Grur6nyyb8`_t%?3N__X33txlYNxAdbMwlziObj{CIhKf9Xihr%&zB< zy_X~P<V2MGgwf?;36zD&3zd+a`Rhd9(?r4%UZdK-`i%acBmkn^cojzTpX9kL`<r>B zN%J>A@b3Wi-vQMB1jMg(_AVUS@MLB#dh^jf+N)=T9>@X;!U;Clf0dUXG6X<`wqQMx z1Dxwc0M@}EoFLGCD2QY~#}2dmTd(#zBusX)GYe5AA$;tF!hpqW9E(tkLi*zG_5UT5 z5GQvCvrl8p$Z5tIN-7D_%Oli*Wt17Y{}STeKK%<Iqe&?A7M>w3#+Tm;+W)M=PI7L< zPTC}{#Hbz3+d|^wVvLCHRx8<fG0TCY_K<{&U?gBFAkdVu!k#f)pjtp!5dN~vIQ@`^ z&Q8$wxJfv$<0B9f$oTBV-;$yew^d7bIBMrw>&T|`yaqv#??<E0`mX5fh+HiShy0OF zCH98$50k=*4*(y2hi;6M9&F7{R8y<hvT0RNeD-}Wiqy4%mC@6cQ9Cw)`PZj!ZMZg( zJ?;8Pxz@r&r(0MF<mX0RwH~umx50-O=6{gzBg1{bFXCFn)+Z4qM`g9_`jQ_g@jB=U zh4;mkkWj_o)xs<Ium3c82Ekf$WqtqqeEuSbfycbiKQ9W_&K>`G8PQp=YxECG67Ubo z*yKRct8Q>J{!W>Nv45LDUM3Xd<S4#gSi(}nqP3tQhc4tqa(_~WA!t!8Kg5c2rjEm- z_|=Bt=eY^Q3{J%cnIx$;9(@+){jUSl1*jDAiSbP5M?B};=km6nGIXEZESAidSy>|- zd8e>T$|h@eO<Y(<yr<EEvt<Nn8iw%g*YNF!csJ^8du*n74Tg9PmUs>Nc?}XjEkDnV zPB1-H1Y21f2y^bjiC%muw-esk0W%(8CK1ep85m@NSABL|%_iw<yD83oIhM1q5#{QX ze<*@dl#AmZiC<a*zkIPjoNt@s->8)XQK<$gwA}gDyU`c<&<=vMaUJ?IQ#V4`nuylI zCk;9z-70S9oRY#-D?W{tn^L>YnuqW!M@^xr;U=jzDt@gIGDJXNAR=m~XPK*`n&f`v zY%|_x1aUY-|2di2UzPRK`bW;(VL0d*c+iZz<pcWoMcq6H4p{6=j9l+@s&r$!n-v0` z=YBCc*eKaywJy|A1YYJM9+VB~PhZroF}Y^CN+TXf;?L?Pb{C@iwRWG7VpuxNf7q|= z0*9fWM8kv5l+lRnhMuJ0R#S~7Q$<z?Y>VJmaTA%_sJhucFLIVq#4wgMAs7gRi&9E0 zeI<SwicUmr?GQ-T4}(rr#H;}&W|5}#Iwqw==}T@(x+0O7!4>GMh}24YWl&QKDh9JN z;0FoClDKHxBQ?0^nD^>zj!V5}qtz*}*?%$&;YaUREh85pzAzPO$OE83FjEHBjJ_}> z%g6)egVYwpGpgLa^6BxYSTmYn2Kd70_G-&r1rF~Fivl(OcuiFgl2|2z)I_wGWn|bc z2=B{jD4UfX5xmgdqq;1ja~rPgBYoVnK1aI80&tUz2&m5c<!ruxIERoqnLy&|k`-Ko z)P4MdO1tIV8QfO|DJ!PodZ8l$665bqtE}1jA>*17T!{)TIuGkldib>q+2zODTV8!T zY~J%31`|_{-qCt^-gRZtuj$}B3mNd81ts{-;!pF?`D7$^5(-mOk<kbU?sam#FR4r% zAEdEx^(ev+-lO(GyTTfVnk7d*k>nc#M25;C=-12ez9fKcB(ZR_$iooEQTm|G{<L`} z%{R6c8Oi|L(5wHm4H6f5zOj$UP&?Qr2mKYjzSZCCFeVf{O9f?zx<V2lU^IS+=DyPS zG5<F^`s_T{V;<R|GIaU|JTq8AXGm}ZAZou>z5XxT`k(~2&7Rt2oe75}--MGcq`$@a ztIdG4q=Yi3gMzK<YlvgCS3Tf548ZZ2!R<-{pQ99(rm)nUbmnk*<WxP9#XGP9m*}sK z2?ECi+l%x#Ego|ZS=VKL*E}*UDZ64dEAhfkL0?TqA72FDnpuKx&8mQ1P{S@Tm~u;C z#Sv?N*;UPq$~|`NOgjs-P2o$X{+5}<s;ROAZE&3*HIHZw*V5JhhQA~_bbhaP#=Cye zLeRf{F|odLspb_YxY;R89G!o)@&u(1V`9?0<k6~b#v9tYj#1jT!SuiN(Z6yC?$0Te z`vr@V$9Ibsx+!mL$KL$U&U`V{6qYRbuXeLRtz<y`W3S8nS1*{uwerLNb$$Hz^dQrx z*DsE#fDOl0?kCY)Juv>;h4e2&5)B^k`ImtWv&ydWs86ow{&vN^7>d+7fzMyEVR5Vy zY{Yyb^ZK7N1iTnHyyLRI&+7Z<6uWlp7`WsSS{&E;SUi1y`oOU`^XQ(b?e*Ta2C1J{ zC{cBr*=RQ5LT_N4qtK<uI;wu~8^TF@&`;<_zywDjKd-gF(-1?|Ya~I+>aO4*cT3SF zBH)7h{Huya39H>7_lk?qbx2v(Q8o~CR$mf#ggH7>vB4^{QtPO4Y(}dua}Hn??J8LH zqtH5vfr-KD3*{3D?wYZJwZBHhB!`%44hBbOjc_!=NlHrn$1-g*++0b_?$ZPOf`;Ot zurI25wa6CvCE-yrPTC?7*vjM_om0-%{uvnNq&+nxs>!J8>r^vEDuxE(@aaF{IIC>R zrkkM#+3B1~XqSIA*G(Q^P|a7)i<j;;!n#=I&C|<O>o<XYC>F}%O&UH-1VG6eeM>cK zP$>q!F~&{ci$=Gp25RiNNHQ!(WK*(qOE+oK?%52~ElFP`!TK0{OLb~cSp>f6#ZBM` zn{EJgf&sXwwpv%hDviMa5AqdjxmNv!m-<(ZoQe@bIO#mV?dWM~LpkQ4TB$q~FEwlV zyIs6%!^hJA<Ck{0HmGg@6G9tu4ugm76pybY+LjzHU1Ir7=y|GsNVhK0p4#|tAPi-I zPxRELqNgQ1n3Lhp05vGbh%W(xOYKmPM<rT$vs}BYDXYOwytJpFFaL4^<<f5bfmCI0 zQLLoLy8=G^D>Oi50~r19(4!J<ynlvHWqS$zKWi!NY4QK(&>)G{mVbwC0f+Yccj)q- z7WjX6NDIm_!=D{uHwCh39;2VL5uJ%uTh9jq<6Qt-i$@gh!?*a>UsD|bs)InNc-&dO zU}T#gKy6q6CaR6r8QJH?Jr_XUlD1rfes3(+I>iz&-U{$>0h~{Ruc;Q-;Cw<T<IeJe zow9+N!7fN>)@mTIlevp+-U7c|jecD$mak;JyQq@8u)<@!1~C_DkuG!ILZQHbtT<15 zb1W}pPMJLyMbXH(=d?-C?e5K>N-{PVX&rV-^x`{aQhePRTGsCH*a35K>i}1*y_*n| zXl%qC@;YpHqN0)aNM!iBBlN5ggyI&g7h(#j#020<W3)}61rnxBcB^tZg!mGqhQE-D zf;A~%&BTi)1*{Qy(PV%%r!SfeColi1VMI@{qN8yk3F|;tvAsf{Ww)fBcb;-ZBVrM9 z7QDYqsqoR|OoB?HOylrk9kd{f1GpsI9HLm5A<-Pwpukdyn?nLvigQ$h5eeW*%a?j5 zeyQc1F)0rV2I1TtvR^<aHTV}tcZb3kM`tyJ7e{x8au#M`hJy%qs+u18x!9ceU~&gz zfTA#{P|6@Er;@UO7FFnjc_6yHNl;ELB@A61@fm7DL<kjYkNjdRYeFz_R&DoRlHvc5 zV*e$v^sJW}8;H>yolX7Q@%&%lAJvd11`|7&1C)f5$s-ms(we2{qAgoeNgN8yP=xUE z__<w^vEE4VmIkr>A$cTY`HAzE|0Q`BAw*|(IDvhMBPKJ_I;Gyd&cj#vQ^Nc4-=(zf zzocklL`r5<AqDfq%-(-H_DQ{qmTbu(8KkqHzWVjS!~nM72(@O--h9ld3$-?NVTA2o z9=!v*NJ^N4tJvKEkG0BI(HVdX&4P;u#m^m<Jl_f1+=8G97VG%9L%^bWQwzcpSTyG2 z4l1(NlQrO3dT&Zn-6h`@YnT*_kmlF2G#<0CMzu0PJlq2<(+adU8?v&SKKk|6!~mjT z3B=(9jzr_)@QRh$SJ4W9+Dw9qSI5Kcm7GL0XVJh-2;dM~S}&X)cs<;MC{qOQ(y1sA zjUQo=p4KIGxHipW3nQ?Jy0Bh2KfwHAPz5$XeK9CbPwN32D7EOp@U6ZWEDz{QSqDC_ z<!?I48U^OKQ|>UM@^W1=%)cJH5_aLDab;AtyG@qrAISKLsMgyd<vcyO4PN?fL>56k zxDDU9c;T=<CGv-I^UQPOL?C_$4fbcV(d%Kz#l@=nasd5#*bCShM9H_@i8c7hv)I-B z1~py-Hnwm{B1srs^#_?nGYW<LdOQ=<B_a|o_+SXPkKczj%^OBt2*6U5J7&tyT3Oex z&sx!!`q<W;<mVp9{4XoAeSZ0yL)ql9l1SO-geGDczK-_f(c^XwZ;wYBcV{lo8bJ%b zrnc0v@)b^kbi6if{z2me@sq#4FWo6PKS$D?5^k6~2K^MS_*{V)=^ZKK?ZdC|c~yF~ zU*_j|ck8|D!!rw@LDPR*#e2h=!p)1#n_U3=IYwHPd|^p&`F`$Z?Hh}rqfa}z5s2{U zNZeLC&cu^RAUGqkro64WJ;gz1azN)8@2FK`D%yMnFEX1;J7#yLq$<m4fy{3SGmpp9 z3+4Xm`6w+632D*+I3hT4_0W7?F_AhapC+?Wo6boYIC1)9MkaG=;pEwRZh&@#PmqR( z4}w>CVBz7J-7-B^lEG<P#779s`98P?e(GZCNpw2*=dCeX8(z3>YaAIDK}U#7M5L%F z<;2R64=l=qJDUJ@ua+Pm_K`6Q(UPLWv)zsn<()GhpBwg3Zm|393S;cPSuN{V)T1YY zow#2xxeB&#nT{FhcrZg<*mwxig*y%p!Q((l@-v4x&%V+<FJ+E@+iJ~i)B>4HAqQjg z<L-@-^LaH}e+S`VG!)CevFb*tL0b?C_9cl#d{N@?<A=6GIQL4eI-_c0#{s3#UsuX? zMsS8@33J5&y21gL^@Xj=+syj7P5*D*P6)yCwz()X(#-LaDO9s;`pxO9+3UM?(W(ti z>AIdHc@pHTGaY8`-ZqYF64sN#x&;jhXu;ebf%ov<@ci;p6i#<tsj4&+Rms>3k2t~A z)&m*4yC<rA1yUqTlt8quFee;!flvY+08N0j(LKF5<$jpM-n*eMMy@vCuWa(a<))#E zvn~wAVjMv#4xZuRA0C4Gp`_SF5^|Dd5>`)7E;pVGOh386pS$XyYwPH)3fHt?dB#gd z9+qvOnX177i*-RgAl~7N>|Fd}cx?*gWt)qce#T5wXpee<;wU?x8_N_1LFN%MUhvn+ zGt;aY^T3hvitL4%mV@aTAE2kw`S`)@-0tCp%bn2av5%>Vt6+oo&*3$1848=B3YC;Q z$NRwLcZWOMNRmxKf;fubGkvH=oi<vkb@PI&S>rQjAh!aCg~{5>m?p+y9Z`dCQhSB< zqeTaViJr&>$0Iv_BK_KAM44oO-mlV(>8LT>&-6$q41wTz%wyJ@S~Y<g6l_=&pIrXH zHOekH8vdA8kN;@OBlD;p?mw(>ln^iBV;(2rlZsD~kYI7W<N4j?{F{PLeok$!+&0mn zDgTv%AX=YV@!P(JX1!k9p>{?8xZdj~=Fu?=vvVPyfLm_t=3J;y^D9R_mfJ2o3A!_C zZ}7e@dr0K-A2$wwOJf&Exhv!K(cEXoTZSgvkLh7=O73WGb>?!Yzil5$p8S}@h6=+L zf2h^Gnj#_GPb3R3A`^!@zyI~r>er)uE2Kl@4Z57+#C|QeYQ*b0BWc8A7p^h+F_DE1 z^u-7C6gXYnopTyG-?%f;6`^rO)U3sFYkLpVA#%UzKVeX0zA)9mn-0=*{vibZdh`63 zr@PxiW75j#impi6?nQ<Ah0PU+=kA8NIXva`xhQO`La>+Ve0OT3R~Zfbt+TA4%w~t_ zGjE$2<y(NRZGJdrj{n4jt8H|D>{!iSB6Ow}Fh7<sOy;V;pOUuQY2nWuIPqof>5g^j zZZF#<%A2mImUe+HMwc8GeD3#wr;j9`fA(4l@T<Dda(OzQ-ASh?zZto;8{fBOPgO6H z7Tkirdbm+`;(g4N1@F9C*vr%D_PX8zYCAod3&;xe_O@seuqlX8DDcsWSIsvem<-#M z4sqcbVm~iPE!=N)gLgI@P96D-Fg&F*j{Bf(Tqe3^O}OTI69+#wnJ1)6nI}1bpHF&g ztFxK&rL<btc~4%|POB_=)tc)MSCyx4dT4RO3psHjgLi{Hu!WlpWXR{XWPvwU6f~3x zW^enmEts5lGr1qjEj0jKpQYu27b7#;%R;&t?H%ExW3dtUQ(MMpNJL6oB31L`y1P79 zd@M11v=nX$vTquxj^U;RLO<RjPOj<DmCDzI#o~*IqvJ9#<U3?l=B_FkJJch+U4j|B zk}+uE!MS>Gt@<cmGVD5oT?cBp%w3Rf#<UR#l9{iR47LtsQdhlf<6PKvYZ}$4b0<Zf z(-xKPlm_8n-EL`_ri@s4Rz{>5u+C);>}~ZQ%%}U(h0q=j@hPz1+dMX*H>>%}*~{Bx z?>!5jho(02r6ddpHs}g|#;kFDQ(_z0ai5u+UVJ_TJy=6BScA5e9Gt!JO`eq~6}P>} zMceN1VMB|5-qGG2kV3~z$IEe4c{689-a!$e_D)aZ7J0bM9&+b3yKBvD)_LGW%PQ_t z)9mGw5+}sWwk&Jg1)Yn8+jaTzTza$pbDJ%5ZK4=?tHO37PssGgbYU4vTkR5NJNfR$ z$u3Jp1Tze0H^CAXo4zSp^DZEN>AusSn*}s|OlIA!Pz|RVX_l_*uR}zMcM^RhBtdHn zGl7)g=e0mpKm+wf?3UYRU#P!+HDZO#dtK>c22+dSg4xR%%)7avKQ^W*KR*8VooP!2 zvNajv%s%pg!D3R6v|;KpQEEUFX8thlW{p%aZ#idi4CCh!@g<#vvqdq)VTR;suZQol z4qr<fT^iVbiUvU(%HVu<ORdy+@N{J>wy{4r#rA5Bsc!^Y?2yj+V;oTR^vw+g^&m}~ zT<+$bUb48J`qyzk<*IbUPGNRv@K-iCI$e0o^}_IWukTXvxVf?Mc)K+UO3~9o@}u8m z*UjM9HaPks%IOwjhk?DfS<N3G%b)A(o|aaX9}`udA<7>eeh3=1m&C&NHH13CBh45{ zLd+R99OrqJ=y8Bmm_MTGR}Y$1t8Bw;F^?nMk}yiBxWW3lVLqu)Wj_4PrCwZfg5ur; z0_zk0OEVhi=+mPOc7fcZ>t>L0EeRNg_aX4>?R#UlFnA|L-+%*1C@bm*Xz<rOZ}$|T zqw!7oJgmF5ECjSoSzqxUd3K+!r`ON(T95L!?XT?B8Xh}k2-<Q0?4F!bHPb%|6c-{P zIrkFmI}q%vUdwgd-*mgwU_bjf6pd9D@H~QC7@t286K3oO^UvIm^3Py;6hEhXSP)%J zueDsop**NhBfDGLH_RA<HU$RloZg!z87!dX$G1n3d2mS(-DZeQ9XflA*fg{}5K5)Y z&So|67qW4YcmclM%TU7pYT4m!;T;120Ey53UUN3K0O*77=~qIxMF=g&hmH(BqvsQv zbv(BXb9a{+@aLc8kC(1>Zf}4feiyRmHrEQzP7CK1ew?QImZithMNd_MuXT4If^g%x zgO;<8Wix<lGp81vRqOTkrDCr{E(6?a;ML<Pkf-hkM_cvH@%l(j0!MsBNL6_yW3*Rj zIh8=~`?ikcVjsA%Pg0ou{u2F$B4MV~pRdG&_BP%l^9r0h^1Qb-jHgr(5oH>sv$hp^ z*Oz?Nca6_oQ`?KdjAgo*dJOnAJee$n>~BxO8}E8EIt^n}T<73LS77rin6{+O{%Ei{ zn6kWd_D3D<0dz*1U=at!WP#E?vHZrMg;$=7F;JBPjH+6w&UzXDsoDa}sP6l~l`BPd zQOaV8wKWM-^GS8MqWC(SH8cy&=;s4%Fju1w>NSB~D)GH3*@ARBM<iwG4i>7@EBv=k z<BIqGJx8k%vXY5ap+sr2LiAR)M`0PX9mUT@V;>(pJnVB4I^n*vkUHD)Fi6<Ae+Ks4 z_M`5kjO@7be&+3G#0)XMwL=mwDDXsnzAHUB$YzD#f-D#<omyJ7Ah8TH)=?YzI+R9~ zy6IAUuXk`7NbJqgn+Y+UPqO#Q<$iqX_4E~}lJ~H_4RxL7d~v9wKfl*ToTTiD^!7p4 znDFsxsLg&~;<CZMme<RZTGbAvbGL<lXD|)9VEOY8obSPjX-AJ4(@ntSy{YziIhC<6 zm5=K*Dv;IgXt{X51~z7FyQ?Bn%=$Od{C$}P{5qG*==8DX@tEuq+VvfXal|PSH$nxa z3m}}{e;L^8^k=4r`4OX75~Qra2%1LuQWH-=ftjJv3kXe-fhi<^6{{Eu1E&6eFqupI z|83%@!FcwQ5iwxvnqJb;%IUiaP)yv>sy3NMO@uPIiY1ekaA=#IhVQ3?&eX;>J9*Ar zj5|aTrLgfrrHQMbRmJ&F@Jp5N=pS3#x)1IJ=$wm9dpSbba~y_l<I3Q~H9_J_An|m? z=A8w5nFVK=1xJ|$fDE^tOw(4HL#i0}tUYE?TuIUw;SG$rWHij#rWv<v%hODG8*#o^ z`P88x5W!`R+mrs~6eFZn;5T-3s`7D%S|QZ11vsjH;ya^k%&|`|Z`&+_e~NGv+}nvp z$~_(Nd`I>kNFrk6374_0Y8?L}IVh$uWa18`hHJPGptUdm#(IzNJ8xq5yX?r&A?+}( z*ggSe!NowNZ`(pf3N~Uqqx9tw$^34&eDE9|%(ZHI@$==NpO8Vn@a41~ImQc#o7V%{ zga%wYJSnt*$a&w(9V5nN9VR1wCJ`sSDH{sounbtqLQ5u2LN6Z*Lj((kXvtli<#ovu z#v|i9Y-X%ZWHkA^S1NTApJxn@=1hHx=Vhg<6z)0aaqdeW-3uE19;e?5vita#xpt;h zL{2L)Wv1ZdZNu0+(w32q=t8Yt>Irbq1RgTZnNr@XcE<%_X_S77Eyg{ZJtu`<_p36~ zTetrb@BC@6lH>p~Gbe<7L5?T?=i-{9SQQ1X1Pq!wu4e@0tdY>38HuIPOc!JwT3{IY ztdYl_7>T9Fj4$MBtWD`-d<02#y(+%&iQ&)ix+MjKkwqQlPq(Lr<5%r5Y!V+-qwT!j zQZZjJrx7WPJFu4@ep7%NaqlYdD<22|bBk+=ALopOiu_EhPl~cEtG_FKtl>~nma~Y3 zksoJY&?(MRUn-%Ys$&nJ+D)-yR30xqQbvzkloLjClCDprF`K<AhLf=FCV|_ZxKhI) zWiL>Mfg1GDZodz#Uzl&A^?0Xu$|lxyiaKiGvd^%9ZkO2%-=Nn#sBb(b6EAF`y=;AL zHQ<tyi<MRq(pLOd)veOisxR}vJ?h{Bcwndf^aw>>PU|vAvV7TGj?^Tx+J-oH$vuaD z?}Q9;*XI19RdB2mco5`|;j~KeL%ZMrV?H{=4vTtlIZ<36@ZA{Nz{rStF=d1S!AqZg z$!qf8qT-8~VF*T3U}_4c<q^`wi-6zA%$4}kdu+H?6{Dc4)9^p)IT6ntab|ned6c^| zT2jXeuJ&&JymXUQJu}cA5;L{eOR=#QkgBEvkgzFK|B9+Tco1{JC$QmmS1Zu02!u`f z1VJN-_?>Ur<)TuiK?e!7M&4}Mcz`%6aIYwKrb}mA?#j;|ggz`pj|~~+Z4AZVR^2=| z#5bhFG}<QMzE;<gnBk`mJTPW3VZ_?eAp{;T0$aAWb<(ZMK){XVgPddS7LNwta!G92 zOk0y4xiRQ+;<{XYam_qY#sE;VX2-8iGPL|1u9P4ouG@g=AbqrKQAwEoZvMbHd0Izs zejiD9zK+#NU**<4>L;lVX28vlfHSdMg=nxa7X}tif))?$t=M^r=1B!KM(*w}zo|!* zeWpbB=n*Y}-A3+L$%zf&-^iH>;y)8$n7B7;t0$++)UzVdgq68W_E8!Wrn6D4`Rrbg zTnb^t^aD3F+gY8hI;$R85lYRm$EYYJELvI<$ffX=DUz@~(Kq-uKSo+`W!Wp-L?u;% z;L_P$hdY)~?g!(!*uvweM$g7NGN$Znvt6MRCEW82<f;`WkUjRqQO}Ptno5)Np;IIE z1BGm@o`#%v_M}yV;u<vQerU9@U+UuKY>vP7Y9KP&bm*k_H+5vLd}fY~!*RMmX4t%M zz~4Se&=eKHGB6^<lr&QxPB|YEv?d1*B~J6Lir~wbqQ*puoKY7AzP^c@4(1TZv;4G! zS462j|8-hll!Ms$Q=GIIeu*)vf@$=^*J+`6t55s-)4AktQ%9<Wf<)u&?`@x^9}JI~ ztWRX2_DImlEsmoQ9)GzVN+3*2ex_3}lk8W5nk4}&dgw_b^be)rL_~wh8O&QrS84O` zuo3rtXco@8L^bv-LDv-g7?x?aL|M8g8G|^2)ocPm=kuO=D{SfO7o7f6GZ$zS|CHj! z9)WjA2f@Nmtl2bKOthotAHhpVfo=l1g3s?IKgr;HJI9NuM=7!kjcuS0xR7C>^Errg zfvB>zHBxjL)`gJsYQg$4zqmd3PU4;O2Am-8rxbxm6YFfFsfr*;Hm`((=~r<pIGoH$ z?VM9qYk}+((e7!+X9-?bd19j7UgTEQY`De#n}Gyx6J6OX(_^)YgCy++zKEpqNq)9a zh>fXgBsDMy8c14I#<Z6o8KOL-OWQG^7Jpj+J6;+uj?Z5jB59xUtrv%cSdcEh(44`` zEc>01Se~apvV2iV(R7&<c@^iSW5Bf87fiyhReUM&>STtr8z^DMMZ)x+xk?)alv}cH zIC8O{+oMQmF4^MUeT#mn5>wNhn$6Ns7AYam#Y;>pV6iNsC{iCQBFEpQNIVWI(Uch$ zga06+)cGTSn;d##HW_?q9jU5s4u)uSRZNn^Z8566c~%iyp%nRW>U2<2BI4g8Cz2YH zGspLsN-NM<o6}f-%TYkV3o7=~;eF2l4$MQ@^b%Nd8WD~~EvC;Tg>7xqJJw7y*1Ux! zS%Nkh2MugAnANC=oWP|z+U<uBd;&K_ZlQ>PO+U~?CQuQpJ}J$?qOmL!0Ek5Khc58E z5G1!O7XOMK;@+x6eB>`$gR;;TrJ|PYRoO}&NknAG%5ATGMS_uv{2D-t;!$|2&YW4D zCt^8G{PbQ{TpU<9Moat2i(q6>{`!-KetOZ^G3AM&IQQlH<JZkC??>ipL$6XmY2*UF zWoGK73S#ja--J{fn?6LH=I$HzdiHxI++$LRkDHeL*n;LKSu3^H?{RuH6P5!5HSfY8 zx7LSqh$0AVCJ1b*2soO7MVscs#-VJ3(T%TE3LWs;zS%s)B@NQ34{+Z(C&68d<#AbC zwhZ$zZ2nB)7)jw6N+B(O&JPf@`sA?IQ;_QvC@XHwb4IO=%CjS8ZBYeVME{P1xK3|` zA~%5&Jl+h@Qlm87^iUP1q|Qf0h6YyBZ|Q7(Exs5=>s)3;g)Ejh65%ujm}<Jod#xik zMV}VS={yvCs}s7b$gbYUqkGz{tJ@VE*zM(TB>C)Di$^Zwr~30P(I*d7UAv8gCCnbJ zkaMWkA40G8SjGEn;dSjO<2~>F)ZjKe*Y(8`Za$?4Gka^^`0-M2E@2AG_T2vHP72=} zFS*`H04=N9+VI*M8nw_xBaQ8qr(I~xWGqicw_I%I?gZhZk}eqs(|DBEtZB7OJEjk& zDc*eDLE}2)zMi$_9gyO*IU+>zYQGX{dN3FKswlWLq11;&aOZIp=&(2C{kFifJ|pDR zKd{}q(hRWWKlX9UrQjskrJxg{o_8tv<Koz&AVFXHBGT58%ssJIOAWrO;ltYZ=Lv5r zTfidH`eOP~C(0%a(qkm}{>M)7o_N`8%4Is}6k_=~sh4g+N8!W*EBl`OQ8*)d7-Io< zzw(`trLU8y30W0Jz|q>^tiu9I^w9GV9P0Pnh(5yC-7Mq$nbAEUu5!^|nN2j*(SZK4 zCfMEbNihQe-rTpdmLYQTvm>q>U-WmnfU?ghEvt<Tb5(iZ5h&WxC2Oj8b0?2T8Vs~O zbR@Jvn5kG@6Gdk7d|dVeSIK!r4AbDUKWBT=<nd0IaFR1-{;@A(7hqX1JpJKo%pHP& zQN+6FzHsv&wl~e1yq5=@H^S@z6_L8Q;)mXbV-_uSiXGnm1%+7c0_Ij^Rrlfi35T;X z$weh^$uDvF@_J8V73)6Zv~1pxHrmk7RP4+O7~3VYR>nd%)bGzZ5^TRCukm=49WZB( zuW*l~;7?}o<CpBpNY=ZZvuT#93cWr3MoF<ELe#z{kiV(8q^@|)1TA7nr&ASBcAA0A zcM1U>@l*Y8AT#Kz=;R?$#zT$(Hv~)JDu;@%U(8@tmvHx92iL`sL}k_UmsWDk)Xzzr zRKD@w!HKUJLi2@mUemAZ(}C%ky3#r5?6yG6psngtghZM44UEqmnFAk*`BV+s3g40y zLLilhrB;BCQm*Aai;Bo%axercxrff3e7r^*=;3Q^>xR8>WASSJG;d({JG=L1x4{+e zV}dKBQ2DdM>OU%E16Sx(_Gg7Qvphru`4<{c1Q=T<T19!yBr#ctH&A@_C?QQ6gB61^ z43w%zSD%!w1Gb-@D4xL&*9|&EmHg)N2xvoFRX7E1Gj-GYxqn4cGfqrb=ku_Y+PJGY zptfq6574Ox)Ed=ZZHbVi>=k>{eX~VVL8svnQ0J|=^|MAVWt8}->>V)TlD}x?`RhAi zgDDH|%e;HVB8+OWQ>$ZLC%L3qy@Y9EAD=2u9h^=nD=98zA})Kd!bcTT9gm+z10yP? z2Rag@32|;}VQ|9d=uLRK@9MJ1;jK6#Yv4_vv<!K#Y{nOU_7xe35cDSs(3=f7q>OAH zvLu^ERut(LZ`gZ&pYltf%UIxR2Z=Ce2X5(Cg_KT~tPQ1L)EX5HZ`-@T4%b))X%`o_ z!O2&VL~e#AfdTy&plc|zzYJ+q^+=_-5l^?KHjDf~k0Y_d!z=WR2_AlyjQBlC;-+LA zMs06%;>gZ{`dGDF;I4Ct3%&4GNE*oPYFIWCVl=Z1879ngkb-}Z0_VHIwNl>V!>A8B ziK6x4yT(Qfm=;B&h7N8cQO4?`DCpev1#F{l<Q$K3^}QYVfl&1<$BX%)4zE739nIRu zpIz7{;~I?LUXD0<U)R~YvUwxphi8bw@K$^dr)&V6FU;Kck)ng7cbL;fGi?~k3AP5~ z#GjX*x@;$&ZuHRj36CS=f&o+4%z@BlPbxJ{XWyPgpLYgs!T;7|&)k;Y))AsHv8P+J z3p@lmUz{2`;TBO6hpLNCjF>N56r8TsJg4h8p?qzr;GF6Uo#@&%Yi;<sIk2;EA?Yt$ zZZkE2^qKO0b2B#HxcTH9P_Q%AH>h^>)E7H-`*3j(Virrra44I?Mvtz0Yrv72u?l{} zfD>pdqthYIj2f2L@MM+G@N~9*=iq*|chzBn`8?`zDi{(nr(15oDFFUclF{c<A=GVr znS3chdMgdASh+pNZEP+4^Xgx(H;ikCrsq#zTbD#(BUBnGrNv0miK^eW=QXRf!)vHG zz>MYN33fyrw>P&)e^&)6Z1#3BfuEFh1bfU5CA(8MTG;HvKOSryT6yz47e77OF^Af0 z*F@H|EbQzZO%<q*<tA;#7xD7sd3-BY`p6PP7`Hl*eb~WmzBHr&YC7$@AuGECZ6bM9 z3y4!Tw3L0MEO`0?gbg0LwXD0jJ#ke6Zp?1hB>49pskEr}8t|hswd){0({m8sRy8%j zrVjZ#(0yJ-n%x%b&t>2^$lD9mEhCs;;^w()JJ|3~U$=8YOK;`F!SCQ(Cd^N8K7;V` zWz^6Fe)QmNaF4vpZoMP4J1U_LJ3TVk1nFyN5tx!E*YYWa(j#d7KZLzySY1uC=S_e> zun>X<cbDMq?(XjH?(QzZ-Q8V-y9W2*?yj@A?>TeMb6xYk^MR)O-@ALQPkVP&{i@ph z{(h!&PAeUl0*k7D)mrFShN17&wD94S2%pnR_>hlu)ynVu0DmF|&xgM;n&~0ajiG_U z(4%cJ4BxVA!Lf=d5SV4>y`S1D8&f;j$aBf{b}u5<vVMOMzIx0Wp>_+kw@zo1e6@5T zR(#j!miO5}#5l!0B+P*hOUf-@_F^51?{|B!iD-|WgiLPN`8~Sk;X`W`T)iU%N&|ZR ziI_QVFb)H%{c0F8c~t~Eoc2nP>lJYNo^=Ay-=Kzd;-p=J3!3H+n7KpH+^6aFzsP!; z-%}D+>TjZV6f<@!gT|F)R%bXYPcr8b)M-oZM=r>Qm1n-h$<c%$5IRbUcBltQi*{&y zG@2ic)<>iL(dc|Mx*v^R;R7gjaYpJ=F|k4mR-vZt>Ue?_*36ugV{nHhHG6yqzi;k0 za+x*2zaX@ip%(F@{i$jk@Gl4nTR?0y0bOcyp#`g;Oi4OH8f$uSNKEK_Z_B%Tm1lRw z(;@|m2Ed<CGr7D`Wx_qTQMhMajSR1H4T(Hn6hO|%ZE>s}`CVM7Vfkt9ijBK=x9Dmt zhVu{Pm8Z|(rR6+SK`p?iN-Ju{!xf~aHgp%|e^~jvA6CBfhn1gdWLZpJINJv@J@aAP z=L5DqG#O(CpTmbSU;1Ip<Bvq=FwI+u6ET>(D}LS`l;&M|7Mmgcq<Omc@J)vA363NL zsa_+J!u`Whp!MG_g9in`TOb2?3oty$0Y?ET;3$9t90h82eE-u?KsAd8-AcS7j{MK= z1&PcEpEcu83!qaQI!gWI!h1sedKziq)BfzPZ(rpnWJ!utwp@^v#G+))Fyb**0(MsX zCnmgpNG80wki`In$zJ08R&(G)c-BLlKVSBp3ud^>;vrsf^+|L&=uvo#`03^?z*ECw z$w#p5byt5AS?O}PhQmin;@n%p!qigI)wH`0Z+4PUt|*JdBA>X?M&XYp%9%<wT!b^d zQ%^LL)J@7h6h?YTL;R7c9J}i)>I&3geu}_R_H{tyes3xOy_TfBe3P~y#{6QGJ9#6u zh|5-<qCk_GI(!ecTWZB4d2v92=o#bOBUGt7p3eYj3PfoB#*iR-x;gg*g#!}OchfXL zVj+kZ!CK0wrd3m+C*u@eE?ksgX&e;DlFF#|l~tiHnTDKUDiG&J<j5Wn$P&${o)%GI zFX{e1!?NqtLrY0KOz(@x1^&gHjJ1FVy?9k2se4L~LBW%yFFN=^h@2T28$Kna1hO$n z7CS=wmVRaEV1?%!*EgxQmylbOerhfvMO6>6g@D<gagri%V}NBV6AW~)7XuP7>!8Sd z^%3Rbx{33jHub6T`dez*8Q)u++`4kT53Ca$jqBP70~wcv-<)n4(bo9;AzDpB{as>& zoS)XbtZLJ}#OT<%(vLU!Kh>%^r5K6i$8AcM4K0(i%_O<z&rsr<=jDt^g3o<|q-XBp zB7iBXnc%?<_lqYBcXELS?|Cw>%rsGRCbq(ip!doOIGEAI6#LC*#|p<}QM6pk1oBP1 zqi;{wa=hvM=i@j{&u)|55qh1P=NIgV{iF^~n8G*Yf%cF}?zO{2F#_wWlwm9TwvEY( zxL>Kf%zEyy-A<}?RK(M@$;7g7zi#H<(&D8YwJkZ{rcQb$%q-#DR{U4{zBwq>^ya#? zr?92#5u)BZ)9=NeEQY=wNu2;yoepkWIUO0d%aGsU?@XK4md<YMDR@=0jP{$n2XU4S zGb{3lDawS>+SIiK8ggqW^}@;YE{C(O+LfESCN{8~#b)RVd(B&a_6LW;LgT|yGiP6@ z<fQP|IFmY%?iP!02Xq#%^SwrNP%_Fc&NI}0Pf93<au(^I@QJ!(c&KAZi%d`-iy%LB zONZl*3*I%F5R>&z`{EQ_m%&3zKf;>%VwtFYaG|JbF|XvV**vs5C)s0%O7b<a$9X~P z!9y1WrdFOR{B!@*<Z%ryRm3bPBVip<+MM2PB=wKngv*YrtHVHUaRk*^J90hUqTnA0 zMEyw1;8|z-bk5wG1$Q3vcFm<W<#Dt=NnB0j8k4o|PP7=AW=QjxH{4I$xrX;AVcvLV zPVCs(7ciHYKBF@p8i;?QnVq%sRO_DT=&*2HV>M0Ft#46=l4GbGfAXmKIZ~75)fB)m z!2yL5;m3*XwaW!Ri<y>)kiio>wJjwXqQf$dQLS$2&LvwbhhLpx9Z`FoU!*N%^Kxck zL5hx^pEXG+R&dwx<<W)6?<CF)T!oq+Mk?gh{kW!@dzqmbOzj!GPwW=tL>u(`>D5-8 z=B~#0d2hyAtfmXxC@0KSww+mOvt0T7t)>%##B=(Fv$1pW$1h3GQEjDPKM8GR5eh6U zO+w>5J==stH%J`dUxz|@rV+~7IbG;cqNQdS06XK>*BT7e{%|vTIj4mG_E7^2?AoO4 z_P2&uX~^qm{~C-&)Lsrpq(|&0-%NS4S4wUROmX8?(X|DsjXx#yZb3Cq7r0XGQ%Gba z!hA5b-zJ$|SO&0VG<0lV&5CCCf?w>i`sE1%){aQZPT_Zup`I8kfZ(EYUEKV}dFnz{ z1Xb1J-M#$vF7mt2aYy<Qe9Ga*@p1b|#haFz(<^>e-`10$7X0wm`K_SVu97#6r|K&- zAi6(8)AZ`;tI{>WltEdq#;thoCU?E><twkDX54MVkMB=rF{i1kS4*?0`=2R^Pq_DP z`bo33OFR6QOq1r|<g8t@uqq=Fo-N?o?mTQtQ~!mnJ%X{m>zN1U>J{C2c-cAa%he^k zapSsiz>~d^Wt{+x5dA4})#x_%nKXb78A}e0={LJ6LXM~)rWLc~-|}JAul$Y5dGSfX zy}hr!s9nOnc^wBymw#<XM!a#}y=<<o-mMtlDxeo0xJhUR2jBO(-+e=WG&d&Jd4oZe zm0MeuZ1T>}**WR|)^WV8kPPgcZhf-LDJALZ$hfgA&5HK+RC;in)?aG7R{>q2_8J%0 zDfh8l1UtQRGT!X}WM(7D?t`Vg;SE`gz;FuUbm8%il^rx(54MPK_3G?{4)f=$PH?xB zr@8K7&ig}e^%{1M)WJ<-W2%>1kMA4|8{M1pW*}7=YGWrNjfHwg_3LIw47e^ydQ5w4 z>UFp08A`)r0;S2ds$%m!(iE6O7^vIgYc^T-c+o)6@(Uy<!|a|s0l(#&KQ@}#b;(zr zv`HtB`*ja9h2nAV2Y<d(Ff)l_&x?!TfMv+p5Si!Azwlog;EOM_@49<&zV0RUh+|$_ zQrpRMUDkROde(A?i9PS+WRenj)~W>*X<SuMRaLDKloeQw5Ns^tH|7afj#Y<=><GUh zH$XMm6%fl~0Ch^;(<^2Asi2RgAQjBQ_?2Qd5G$(Lt*5<}{X|;Z$iK~<im1h7=IEVv zTa_i_SYY>~wQmxBS33p%o(W^d#idcs-4QxUr;dnVhxdrS3{SJfyKG@k28k*9&T3tn zr+DDHQp87=e2b~33+M47|HD(Ema>H^{m65rM67Fg#nUrF3Hn$f0oGt<7^YpZ3pT8t z67Xvn42q)e%T5uUtAfewrkbo3X54^@wh^Tpa~2gp`p~!!Qq^K{I*bx{samz3Br9t9 z7jR@sAg83pq7d@)6b;7F4>+FHa;^PXACPBp46*byhT?;;kk({M$C9ic@`GBXw&zpD zgWvPxq_T4_3!-rf28^|wh7vj#IbdfU6FMzvI@Y_8eswM$qZJ>MdJby2b-a?2d)kUv zXIJLkXu2QXp5bXe@d+4!i5ePM(_)zY<ZN4VTc{3OnoUEJuVbE>d2*_|wbK1uSAr^C zsAQcV`1M5T__Z5aN&fs$qT=gPEn*6Oh14A@hss*6))RD!CVr`u5lLNS2pR;T`i~>! zw&@pA9=ab0aG+v^!gH`RSfJ&w_pG27S~qg9+Q@4~FYYvMB@b0$XY$V-s2vKA%7Rto zFSBVG2y6FNb|ptu5|!jn9>LANjf$lY6!B%yT->cIJZY53oxg?^9iwrU0?kK5(Pc}M zER>&RI%=mwb(=-*#BS<RcMEEpYFCR+T!HORDuC_p+JWuwlmzd|+}Fdk$lc3wm49`7 zT4A@yj!YO?AK59bT`-nh=UE~D)d6g6ST&g1?#rY~?hZV3L@SBWRnu~*bE_O1bo$A7 zRVkvq2L|u?tPeDIjetn}Nr`7SXKT3y^4`JlY`V$SQ98+9x<<Vkta6x>GsXSJQfn=q zdro;PQx8=SQX}5|iSGVjrM<OivZZKoZSm8>R%Xisr*ggegR|`3D<5pn>G0lGQ)L*O z@_NM0#Y%I-fzNJ*ld#Ibme5zjz)P)$aDbFJDOT@C@dC4Cr((irq_i(v?15vHPWpp} z6dA5E4?@Dlq#lXSl2S)YlGvDlpEwoDl_pp7<-0x8Uf;}sO5b<3X=8IL6ka_k9TKB^ zA6U|{;7SZ3p~mFTM2Pvd%Uk=%GL=?kv08MuGq-MwJ9J{3dSitgDjgfQ)eA-mzx$IV zU8~)Sj&(;TNqxg<eL0)eJWAJhThElxmh?~(L={ynUC->r-JX0J6gwtwt9$kSYfaX% zZr<R>nzSpOTdsv(uXtHdRQ-Hx51gb6Pr6-8sL|1TwpB{EKsGBp86Q;&y#y>3=~m?i zhis(Wo$jN42f+=zGNFUZFY4!78nKjMstFr;G>I$7^)%q@$Un5v*34Aru{~oqZj1NA zch|vBVY(Xy22h0{RTCS8wRf6WN+0!F5O|;Ysv%=91E=SH8J<p~KE6hnb*h}PO?BEV z+Eg1TTRqRD5Mj6)swB{qwdnnB<=gl>irlh!V^~={yQW~@k8%v{8BC*WVGE2`fHBj* z;~l=xgqB=6<Lx78&g}*`syLA3b^;9{6rw+tLp7p*Umv@PaYP9v*5SA8>f9F;R}YRL zIMJG>c_&fl1%l&JKz8QyFWz2sFbvJO%S~er`{BKUlF>()dS<;;{(u05v0q?m7!xYo zr@X#7z*GB+(|BFb*U!o{#unW97x@~-skW?rT{8&9plIo4DxI~u06hM5+p97K&Luk# zD<N`i-G6-Oh9m6xe@zs`VOZpeo<d0b4-?W)z$reVC^H)b71q<tYNo6?4&V)NeNM3+ zrjH3yADIUg<%!lmY1g;tM(Duw(G9DHhz}V${2WhSK20yE;x8(|aN{S6j53~r??O-J zob6vb^jHk>%UrZcZV%bk{)VN0n6-Z%%@Z@U8ufP<XJg{QwvS&2$lGZRBR|gI=abJD zM$$8w$wCM1uF|_|^S=^Y1y`ZP)f!N%7S(d1CGFfly{zb)2XZx*pMJiuj;=s{TI6X& z<uQ{sI-XOCv2BWBuMckX8(s}asxqa}u|<(-@sP~+v1KfNSzm%~F6uQXB9bVje2ziC z^<d75gMA_p5#to05fKZ-`UY6bhnXbEsD9F-oW4Uv`Pd6P@^X`nK(q|f_c1|%1&@me z*~@hGa-K5DlG2@j&wek@D)I6ZAh*;o2#t}Jikkx*><#4O;QS>;nP8dz39St$4iOPz z;3vgc1Z(is{;-1@R{9X|l48vKMa91{rR`o2W7{xbcUb-#SHsHXK+X@J#lX7zy212b z4R1MMNu%jM@r}W%*uuO)e>*6|lHYPUron>M1Z=>9h@J$@YSE;7#i3V3P!p@uGBlhL zn+XPJLJ9?~j`5rN1yLq70tBtL1kVoeo%;lVBBzFe6IB-BVykmRXJO)$g?7U?y*?OB zSL)w$zu!BAx^I0CPobo+^`a3~*y^OV)z;9XjWr~ium%ki<Cr4C4iTr^t10iha60~( zD6Y6gWgFVhfl>hmhjAhG_!GrUamUg&wqF1R<p<Z!PXz)sDgwJhM0-^g6VyX>lPryw zJ_i((W!@LH(5Hr-D-z>+gEs5M-@Df3gYsr9j6nnP+Xg(0DAC0+_CN7=752k*%;Rb2 zR5*(Hq-deU;TEP17<V#o6&a=(8FVQ`P^xg;dIS$b@t?<CV+ms9k#lGjL+sfQCy32_ zbxkMxbSr;Ow`bzkGok0{RyL92+&7oMxFo8<sj69GC(_bdI2o9IIdda+Gh;xIjvQgb z?zMa~D;|2Sg~<O@yx-Rc(nqG_&@larV75njBsO<N5;l#59<!U>jT1E^WIxwXI1;X@ zBAP%*=@C7by!DIx021eJF)R-zI(@Mcgw8g(IGtH61CeUY`pD0NQV~&zDr||Hqi%tA zH<`jpp?-eMI!TgUNAW(W1h8+A6oNa|wJ=ER%2Be%hh&3cw?=x4rTDvO?d$Z@$DD|l zZqe`4A>%(;1w&*q>_E0)>$+)sRS*PW(P}Zl7gVEU)oF%&MwF1r1~-vgL$z|!LX;0) zqKhnoejw4-jx5;hu9uHgOMrdbCSx7^Qdx(Y=X4nJDW!s3E~?wSG+v~lM5@oc^lea| zsaL=;jnYXLD+JT`J3B2Wsh6*rC<9WW;cOx`9e$mn&<LFimPhVFH_4Wx%{u|zaTqDj z_gp&=aK2*L)E{?YDtf=CKI1)1Tt%>b@`)HJpNsttx89L_uofQba^Le_J!Y1|i%3xA zL8Sa6gXnWf6i)~?4};$&<#aJ3!O+y08W!2~CTEdo?LI^7IqM@%hN>l)S@Xzma^CC& z=?R1|!)&MT4#p)y*rEJECi71sEqk?B9V$<I^PO<PZ7ridImeO3uIqna_?WoW5ZE}p z{m1?ei!rs053dZ<3_#Dy)zY(dJFi701s_DkN;c_t96F6zwc?>HD}gF{W$PM2*n&l; zy2Z;{f@5@*S#dmvd_DgRhCj^rJn%r}i|Sr(@MqOzC`N8^wQX#c^rpx7f$N)Z{{4Bx z21?~$x5imU!SRiJ^Gy_C+`~xv?WREUW-d}kN!eVc19X?^OpuusK_KKdDZ(5pCN*I( zL4P4f|0$oD6<D1aYvt*3Zb}IAMJNX~(-&0)3b%v7`lazA!l8TJU0A-K{-PH9Q$A`! z<i}^J>D|wbOI;we!ti}==!!2_dgy2RWzSzFkSBkelYqx)wbFa3y9l*r?{{P&z`aJw z(P)58-T_-K8oV^xL^?o?09y_-UhE`*$h{YM5@<18t}iIqMRmniJCai3z__1Tk+TjC zsx(*lpxDc88#7{5aR|$^%Gfh}o}8GDYA&H{i0cEYo)_h#$O8z$6*%C@%CV1{4J82R zfrtP}kqkH>eu>d(!Dj{^Eh%C-QVmri=yW|{xH7z+`6$82S0_DE$xE(-?9QN>Mter% zxs5#wj~25lIQ8mhYwdbx!R_0r=%XT{dDnWkQ-XRqhmKdP)j@kkl-^5*hF;m5WUmwX z9sl?iV_U0-b=B?b(@qV^{%;B<KAjFf{Z;XYAoXcpb!1y|@LRZcBLeVwbC!XQ0PAK( zta@43E4X$uKq~uJQpBp4{E(~w>G)sC5s(l_q-8dKQ!oP?#{IkD67Na^$;NN<4=M3q zY2{xj{6k9qS6by=L8?KBeuB{IKma8vV;vX|uwMj_S4G#WiKn1}sl{Y0O#jo7cxR4? z$mxD!#{J_2smCyp`GvJzjw3n@%DsVKY00E0y3Krb_CtoqsU)NkW40KJft^RL4+mw_ z9HFje2tgGXmA?ud>q2**0d)$RVY1jGRU<(6I4F&`2$0wO0a=EeL0T_W>mRlILmdx4 zas^))j!G15AkYH=a`7rs8p;d?!$q8S&=22Z5mxZBj;65Zr~guNMmDi+GNs32Y1wfb ze5YKgvK%thk1tvmRkl6AORB_z*QeHG$G~t1EX|nm2fElonypSuOefHa>^Z?emm{Lh z;nc!n6S>rh5566ubDpF&e9T;V)Hlg@frsnjsxl#tKl)eQm&$lB8VW>zIBJQ2lB6kU z){9zl+QrRWfn+zRib!DUJ1`{@P=cy~ypOt1>+z;5^|?_cW<$vjb>q5x2iv7&ElwF< zJ~2Y&Gab{?2)6uO9^w&{F)fHQ_HVtS-fnalHGF)gD%&SqIyL*GpK4!u1b`gu<`EF` zHZ1NseO_^fo;|0^=Op*YMUo`2Z<i<nR!wthL#Ge6xWLL!OilJ3ZL8*eOr@AU(7L!z z?6TJQP1_`qlqSP93~4J%btZaMiifF;Ahs{EXHL260#<|Yw5n5ah}KL^b%U#fTH;J% zA(hBHxNcA_+o7q5tk~RmhP>iYf0i)evvMft*=*H+opJKwK0(E9S16KPad6o-W|tt8 zuU(%&akgxf-dsR|PAh_Bk{n6xxO$jb082raIVLmT<DV<UNV0Ihd4Aq>%{>#y93<&N zso%-Y8NWU`<ZGD=*bq>1PgiUFBWW>naq^{gWLA#5(BRr8ElaI19CTpID5WatG49&4 zu)gypJsnx5Y8tl!Qu@;r>rw{n$toBZiY4Qe;N7CXQ*w$+a8^js9R^IpaqWgS_q=Xl zAC{L;`m!};Nad(kr?C4|(-<7>!^@KqTi5e43kP~vNR-fIo_~gN-a!0H+vCZR=k_#k z)k-`^;Xj!eb9ovNDK}lGI4>xt(eOgk*O#4M+NWzbo*K)%WnFU?l|sZ%IImeG`+r79 z2l{WE?%v1?m;YxNu56MYC_0*(_QzGj_<u*?a+UmS?{AHkU1-_Rup;kKS~wm#(!5MX zKxQM+H+39pxw*JhRg2(~yVyCin3N!nOHDXfGbW|GD$y83K^I>0-Tf2wo<7nzy3#bY z8NY6%w|m9w5u=Gmzb;9vz5GWS#{G^r(qLtmMt`LTkcL6ASr=uL?97%z_o`s_=clXn z0%wz{t^`-Qy4ldfrq`0Jhvvd-gFro5_B)vcbbI)l@V$ek4!lcf{2IIJX8S3%;0ycR zt{ICeIA2D}SN8K9=Iht2zc3_4PnRWL>n78PWww2<_LXj!`=eW@mor!Uqozhu`+F5% z6-l;ppxeTG^K(w5gaRIYsN6UdQN9x+HpnY=T8AoY--OQ<5IJ9*9QDTkdnd;Vk{e^D zHBc{zcw2-~Bzhkuscxmsz~G-NIVL9dimt1U&f!sk(jr{XENy9?nd@Ahskahgre$MS zZVK2WFqa-K9JNWgtH#6PL`k=6z%3m3=5Y?zZEI%tcJk;`dTdiD`q!~IX=!QIs^cAz zRY^sN*yZD9R~VCR|BsA-;~nQX@J<s;N66FDRc!F1@T(*5-woQ>xGbuA0M?%b`6ii2 zg9DKK5bKYb%g(MQ+dEUAOrJX{pRZ#2hrQl=>zg@{Y-^|Aeo|4x)23V4a0Br^&gD(_ zqv;WUQWEu(f0+MKeF75o75HvF{ar9s9#N+7mAQH8o2!KgQ|@PLspUD&Wg!0n&b@wZ z{S0;|a=_;E*;Ev2u#;IZHP-U&)|A!hR00397UuFk<`H3Xpj7>T`N&THfyU38=UO}1 zF1F@)Pv_E=o7!C*%kGYP$~19OH!6s@k0(mQs@7Ct-exj5an4R`&t5v!JQRl)xGIpj z_y3g6<a?rvr8G7@uWU3*H+hi$QL2zobyiX&KAliYNjxiOL!3J+C&?9eRzh|=l~OwB z!f;laa{(r|?YS!0N`P(lZ&<UGu$WD=g3y`Q5VNeFFAkkf{{%|Utxs>MwwguFJxdOw zD~ukkxwrJ2xIo<2WC}mp)MSd!VKZUI-+blbD0dHeKL1-b<{#)CJyE3}Tvk{1UolO- zC)e%{TQ$)mYkhlGPM%*BEKoYLFlybRi1>8RoQEE#L{jjM08)N};#)ppHpENLKJ_$q zqh=>iR&Ez0N+Of_H@=^sjHqUG{ONBos4wj=%kI*XZ|ZOcS5#bxmdhY40g(Es-vWr7 ztuDKb5n+DDLB?YY>kmg#1}QSsfDpL;Y>DMaY>WMs7TRF$5j%MTM5~>(0eeed%3D(X z5AfwWTioAFa2?^>+DknzzHpLaZNuzS@>FFdblB3H{==<9%>ZKKY{5t<n32B<F?@{l zZXe>}Y3!iZ=-^g$8J3g9FidM*C-Ex_1G*X6klV?5&E4_mIr2OCsu=0h4IT57y1QfK zDd;<S8hYvR4IK*X>b>Ls5$HX68ei#g_?0JRIn0%L$~v!Gnef(bD-YW%BOlRzH|ZJ3 z1bBRM_8bMJI;o42(hv4nzK#hvB>{m%@?s#6cy#y?Nc;l?68r0W?}#zXg$u+!B84%H zsw>R%Z(@ocQAC8@K^YA5rh@PP#1OBxi?UBWiA)oGDl>Dg@UjDLFr>bbnY5rQ-|+#A zbI1RUcK+|sWhwcAHSe3aO~0m{?5Mr#1D{+DuI*0<bHX`}j=fUX~1?i{$&GM#h0 zlrX|?fcRc*+~z?YzpzsvFQ&8_35r`tN}`4u1J7yHc(DR;RJvVJ$q?6E8CJ1C3Zgdx z2z9=#M{Z>lk%X0Hde-_#Ahd>Hj)7eVxo(-B){X_SCyu)th7x1XaV~!puku+C3QHl@ zOT`ERE6Mi?V2N_3%XV6pN|&#c6w%2X6ltxg$wIfZi6$i#6|W*HgHy#a|Ljtb6Dal% zXUB5uiO``izciuv5lX>mOLGl)4}<-d;dD)k&b~!*x=7+sJSod$i0Q~|e-3w%Ra-s} zG->259UiQvaaC~zn?v%NYAP|iqKy*C^j_y-48#$%$WDzLEa6m;zY<~8mORE3Sp53) zIbV3I{^E8=|643a8Qfg_{TZnVXCRgUA-vCXDT&4F&@(s#AZT)mWm4WNO8a%Mvn@RO z%_F{H{~b|%LOUgjuDHJ4S<^l-p$UM6C?Upy@feQ3z$hkma-|7?{t*1HcK$xWDIl_w z!sGezmYS|8Gn!`qp6hFGaEG%mf>V$;`pY~s*a6FsU5T_z2kygHr@S~5OJv*|!N-zX z&#SBid#-3x@QlEi_brOpa_Sc{zdN$Vp_kkQX+WN6x8~CA^BK#s>voiI^b$%n`6n99 zT3<5}(t{E@6rDSAvP^y^I-v?ugp%5UZBL<U-@ty2g2$-=#R5wibmmiGoSx>ZZ3su( zKVCOEVHDg}GDyrjgZUWOvp+}>{F$bHBt?>rn2ozzYvh9RnvV-xx0g1+-3_GO<wdW% z><<2CHyP*pe5O#my(llSpr0X&H#-x2M?Q`h4P3OunC3H(pCLEd3iwz^0v;1)<?%hl zj+W55h;9YGXT`Zig-eSH8f?nT<xmb-M#GIU<&85D=^m?h+OE!=vD6JW_7df*W2MDe zuvq{BUxWDV?{QR7;v!5e)Obtl%>f4XfKU+$2u##?bMya%(-SUq^DYl8%WmbbqjgJD zr5_ER=5BlY%Z?6F>Svc3MJ$K=28Wy$O+x!w7$rNZ+?#<!-#&)(JlY&n$yJ71y|cet zf~(*Ew8JkN7Vh3h2_%-F+Q6gItR|}Ld5V$LQPODRkIo(!1Y5^1h>BBQug{1<Gzc~J z3VGdY7^JvG-q>6&n}iy!W2BDNzFfk^8Xh@L_x2y>5GA@=8`F($`g8P(bfCC3yTH}= z(Vd@482#`_us|zG!iL`<reOsh(vcCWlotf|z_2aTwdT_7l2!w}9h{^Ix<|HCvCxcK z#V!HDxuv8@`lyHjEmCZ>U^_E&j_rerLAg+-B;y4pKf$Ce-3?~=VCFFkiaDaYCf^iB z{M=6uKNkI5Pg-6L*^`E`M?qJCV&wVe!|9|(vey6#QpR8{HFjIHSLvGr@1Cf)S9iRP ziYi)yEe7&qE3;RfL#yk}jL>cy3VC~*V7lq}G${6#%X66?wD%tf@b{10Oj}|IW(A}L zBC;Nmu(X1kqFVye-$_mgr_K8h|B@@(uG8ax)qFDkqKh#4%}n&Cp@=QGd=Q?&7mlUO zgW<b?42$Qg<`)mc$R7xL$G`BH$ZKJ9=Dp;DF8GtLVl*IT!L{p?4+O)Z+D!g-ZSh6G zI|%jZe|!7EClhP<rC}%<R-g~o7uB2C?b5i1`t6|#D2WTaS1;H*EMD;tUBL1<?*N`& z(C=^)#EuXqUDWAzB@Mc0cs%-f5RNxizL?nEFAcWA5dwXfzDWcmZmf1a)WVfHdwgFc z;}ECYJM8*Kgc1p7iK*z&Vj)U1H!0c>J8Ic=m7Nx3oC9dhheAy@&2^x<g%gQpqd4er zW9z`R2(t*ocM!c|J>a;A%%X3}T=^iTZCd0tQJ_&N0$1hmtjW7-2gGAn6<iEYU0US4 zBg!RljvEg3FPH1{DGZI?$i=6a=%WkWmd$mmFU>6^?_$^hPX$0QFQ9Ro+RSSUr^gQ^ z_7>%b3>7&@id3N0LX`v(0#HfkZ{qyI48SmF0_c*UVxY4KbP`UI#YJo@Po&1Zt2313 z@W-c|>MiCVP~2wPf34|nK7$Z&2iG2B_k!4wvaEDX<?0)p>p`|y9)0#CXcxQ5-3?bu z{*A`U_G2?r3-l946UWR*qi?%`T><P2+dvcHt3kPQJ{)ON>)(OWx8oo+$%{+`+UW}k zP?tZ`(w%PBD?WDHAZ@&79cCeaTi+u>G)3Q~a{}-%+Px1+v^ylNcv@m3*UaySKOJke z-A9DLNxd5oP+mzexlqgk%Lmtd><BR0EYCB*X1&6IdAum)+9j3K6pM?>(kzeLy^c@2 zLQHqyKF(hQ(8QJ+HDBW+bsCIoyD{p$uIBbZU`d&4Yl5NL?Q#2(#~Zzmvn;^NIOE~g z4{zhExa4#`9?qGx84p%^qwByh9C~2)@+We$!ML?seE?Wrgb1u}tr5n2iN_6yBW6X& zh*EA<4Lwb<zSye+p3VvhHWF!lq6_s-`30{~R7#+#4x$rb>~4(NMSESkb2t<VY&6p5 zr3U7`f&b#S{b_(U!uium|7ax&_@eP4xti;y0_su^MJ79)opZIGC%enCAZA|X8<jnO z`s4r8^djhh;`~1dWafV&kjFm400LQq2>A~JiB5X}T%VSiE=@y&@qfL+)J?b|+3_Qn zUZ1+(d-BcMZCc{nS?9V028|G8Uf$(8el$rIaH}O8S4*oT2{&5CNp!TdQsQCH<VHrO zGzNvfBO_yG`ow;bwsLtUAxW~=OoSO(qsG|YpX;`zTgB~*@!?};G9$m5oB6Eq*?w&< z4Q;7OuC=#?ldTO`nm6Igo2OC5lL6r))S1Vu+nVn7-r<D9qCiO){VS3vIsO62`_Zpg zW8n5i2XCtB@IE9IUDX*(W2=duy5<un|EDc92gj_12^(Kn_B5uVM{V5XEUKLSaXaDv zmnl>cjPyq+!*0I#iRi-=V)(}t+V;N`9jOFxM&e<NnT}K7g0oRFSd$v;)*sq5!n#0e zw4>1P2RB!y+wSG^0sL5KY_peA3GElN+u!RG)6?ggs5mFE!FhQHJG;{d54(Tgem0cH zYg?oIS9&x%O)<?#SytJrK6k^$GS6ul7y^*sTnC2|eonhFSJ@6Ai~g@UY8IxO8RsRQ zWs-J4QZsDgzi49#BY-xxasg<gQx5RC{qqmBu^fOGSET<3+URQlpp7v9qK%C|f!Q{M zf6&IQ545qz{Xfyh$l3>L_+I*wr+w&5(3i|b6G%}KC`FleB*VG8QQk~S6iY$cWtKYJ zpRV0uqr=1~GC#2+Y}o^dn7_M}&;G+Ridz|6E@A(lGd`_Q=TMQi?a#5bq{sBf<m2%% zN_lO<^9kCsAxdTiH@v7%5{mqe^vmTx&Vb)sp9N!~LlJW0pyy*9*v;FIEXIT7@u^<c z!h>BnqeBpS7mNRWe7`SUmgdY&UGD0hUo<{FSFX=;t7rb-d5qk}CS1274rX49^IdX% zB3=BG&3Kv{a?Fd7`-3wPMn+FOo$#a!S0hrSzvjvjJxY&&L;BooIq>c5D9MxnZ8i^) z<<<(MFU~QT^DEL8<VxeJeIS3oJ`U@61$<7pY#+n_|Fe6dJdm@9_ZoyK0IgOz@T=nk zETsAGbNYWHhFr)Fz(aupdJvF?*w6GIu;J%`{}>8R|Hm*8n;!|OvBPWlXJ#Dw7I2~p z{gf4l51AEbCg&kmO0Xp_w+2MK)I$Es%TcJ@ws}7$R6-3W{sy8^pg?#E@*_ND1B9nO zgin9~romSV#nSP7ukLY(M%$5Ub6CREK-tH(@p%9x%FJ`LCuPz!1uep#&Z!4}S9Bx2 ze_7NKr6l8}S;>L~GgR{FiDX`))LeXgr-&LL0?~A4oY88G<gjebh&Redh07pj(Y5;k z<Zu{bhNa)A$2L0OIvxNB1-||R5QebNDCbri$;Cy~OV*^=lmp=-PJkQy1pdJdrkZ=u zd^RUxT<1kET9smH4tyDhE-%<A2QkgIlh=gc-`IQpsv`gQa;oXR6Rc<8$rDl(Vs~8^ zF-|f{T9h_fQWWkZw=Fv!fC9GxI`DT0&|w7VKo@`xWHmsPd+O;t_rdpZExPc8yr(D+ zd^76wkm*M6!S7J?3=Aq$aiGYZ!vAAv61(_tKYg=3zP6D6H9%H5@h}VdPuW*7G*o{( z=dLvi$JQlPsV6dPGcQ-c<WqEiHcD}+LQ3>2L$0<op#|k6?f!n}dvs)8J<TOaX&Szi zMsyWSB7*R|XYo)A`lvS0xe14+ZwsqilL8p11c5h=#(S35^fW2WgO%BSbF1w9U-~I< z&N)@=$^3CZ6&3A0fD$8XeBW;e3l2r~6}34j-kz%Ano_;8%wLTdA4<^4LX(;uiod;1 zKG|Q>Co2TQ!GW8wq>L4xhc;A<%pb`IA2&KN{)FKr<V;fq7{%KpOId39EWM|1j{Adh z?V71y?uXQxfq!`AbM|$&#(9O1s1>*S@?WwZy}S@6MX7Vcf!m@DSc%zxhIxA^UFD%e z(BNC`fmtnwy--Ddn@xA0?iLT%?%v*MZsV7vXWL`wRcf>zZir||=pfo2ht#zVZ)|ov zr($ZgE(0;>A(F1UVU{V!ml0^0wCtB=Q5J`<fBMFeeoW);j`B}!i}k5E%~?JS_cRWd z3bR<sJ^*;E>_FPRmH8qu3tV4mY-}t*xASoED0)LTak8nHdUVH@X`=1b+QynOzG5I( zI^f=6Wp17pVcy3gVLjX!{`Jq~(xGs?#aDA>XO;WQU@nWx>@`a`wmHfu(!9K2E9Xnw z%3o`DESC2}R{Z=sV)YhZAp%r_0xSpRjq9F0oKf#c&`&39APy$I9KDYQ>n+|+6z`3T zG9KzyPMmbJ8dp{_x?45e;x+weo{aLFp6Ze_?Txxx>7Q-Iy*rzoG6z!^*Oz=VmQP_* z*E|@6qqWA%Yg$+uV$!cmiXg0sNZ4C{6R0~sy{<d4JL`<<Bk!QtVjg8Dzx`F>GcU`) zn!Wxd(@9gIU082pf0$Um9EHL%Gb!VPO(LIp@cw?%;ck!76vy@Q?gg#*w#cGLjcOd! znxSTmOd!YPJ*}C5ST)K{Bwjy`s5&DDTuWkg>g){(vJa|*egNTSvMeE$A%G5t{>owE zz+5TcS3&jgT^bZ|t{$bYPtR&}7<}c|_06$F6CLf$wjSR}pd)=v`RIKYBjVOcOd8aA z!OI3RBK*R=9;kwa<uk*p1M@p@g|`Q3(C7ZPhB6r%PJb=CtL}X#_YQ1Nm-Lf6r$%}{ zqj@ICN-jQ<A(Iqvpf((TbxeO<_4W1Or}T4o0{D!M@r?YR<Q@-QUC(qGsGn5tl4kl- z;a|0W9mbis<x_2m&usLmheJuS$zA7cI9HOduM8+Xco%7hS+3&#b!wkFbL0;ZrB<1+ zx3#*>tSLdK+EAWYl#4W0NtPM5+0tEXH^hz5OGPrNVv&S)u=|~$cNz9s7dhaDYkIU} zc_oi2ozcYhtJ@j-;sl)fP|X^tZ|OuXSHco?os4&h#vf<J#qX;gke)A%nj2AHCf!F1 z(m6_-h+Ie~l`^mL<wwRlek$~gUtzcIk{gq*?IlREuUTe&zmHG=;gwyR&KwQm@|@6s zyXZJ>HjDT%dPi=8FFC4On(`;3g(^o}z9|j47JJVO_c*i^!jRJzBJ3ItfvzpuOUZHP zz*ziZ(ImXA@7Npz-XL#mj)w_d5pF^#Zzix{k(5cZnMR1ePQA#n{^s$HHzjq;ELeZl zcN0U6l>vf8%IdnGwT#(X{k<`n!7uh{4=Vd_7JM4*x`R$x9amDOC6ymDvPPp?SD=FC z3fS?~+Che8TGcY6nF5cDs8!R%8meciN8_oR=>n8IT{K1cczO@Vj0MQP-_~mSX*C{p za+&WIp8{iX^Aw%@cZQuW&0Tf2Uon_&P+pUN6l>*{Qc00@hebcrrfzd+@gtXC=|QjO zIEbSbKu&BSx3gUh1@q@6Qhzhbt|j-=nn<3~OLWMLfDzNdqe5Vn_>%B7CIBsd@hf_l zjP-$|GOR4mchHZ&iS1)P4^!k;%=~(Mv<>tvG}KizBM101^IGD1B|{D8lKGj9iwUG8 zwpr^~#(M!jl%g<YY*eV!R@^xnNTMqUU0Yif64WngOo7sw<8hUo&^`f)t|_?g*w)K_ z&FWKVv4M{`)8u`7=Xbdrc^i$9sLQe78sW}fO1!KDy0m9|ym>k5`Q>3>#P=lxfeJ_7 zNC!%fc3eGA{wx<_`9&2q-LVCVIWqyiWpkZB5c+@o%x)~DYzQ@?vR6^LK05t2KsVG= zsvq%F-H6mO4`%e&tiLZ=l4q!V2do9J2i^%xFItW~_3YnlD%+X)<ahXdINLLpL?(wW zjbi?M$sLdiWz=6|zi3pPQDuj~%wu1C6<x>}{E5hnYm0_J5FJe?1)bM=d6`VsR%PSU z90Y=m3$c<@90<PPa>)qKwWN;cLD^NZruv1%j+aK1I?m0?lDos<Tj9qUAo%K0uz{Ww zIz|w7h1OL=-uAJ~@L2B8tCilFSM;n<=X>@{fqyfd4bh&x)_2a#xb(6N4#2`ZkqekY zHD9}$5n6}5!fh*VK1vu|A8}g?QdT@_;Mrg7Wn}c1ixqQT(NR;ioXb$3$PuZp=dH>k z?x)m<Op-GnDXE~|NAhji^tJv)5+41%e!fLVjs|^!as-lP=*smI{jgQVsAM89GmYw7 zv=q3;12wzEv^uC%g-O!t(EE`0Facxn=z70Gk=vU0N}HQ92Z7f8n+<)&`r!J3{u-ss zd%jspc;Hyn@k5UtD?(xF!|`o<16tF%=A;#~7x~+*5xwHVqGcFO%BI7?1!&1<*y`-i zqu2eO8w@jc>jStwso6Ml$yrHGy8<277+8B!i|RWc!7?Uq&v;4+!fDCAl11pHp*8Km zyw;Xyzj@B&SwU<Cp2+t`pQhT;G-+o+!%^BK(52m9d(dqqMIn8oHZ6jT8^3qgJ*it0 zADDL>G60%2Ixe2eq~HHB{>(<y@rPxBVE_iVX_wde+r{<iZ7rr=_sR^`RvfI*GC%E1 zvZk~4!pU7$;7hP`9sX{n^I4;U<$`!=#b-rk!bT9i%HpSP*9DV9y}L>H>)^w859;+a z&Gj8UDM6_`ZO_cfIcY)P!4fo+$v$87%~#`rXT2rYy{@FZNt^qg`AM86XD7NTQP-+H zpK!jNd*`P%b@d1F9FQ5xxKRoP-+QV!+oZB94X;`(n_vxL1}b8qY6eE)eV)`iu=7s{ z<PlMKQNek-UR*yUg!UOt>(mdE-vQW#>gM66WJ2DXFpcZe+1X{D%vtY^?&VL__JqW) zUequZ=jbVGish4nVU)k<Vm^oSi3r!Wzn?3p{!GJBal4FqSUU?U6X#LQiCHVF20xM% zX<i6Mzgj?AkyzjOSQCJ!u^gY?AbKOVm82y(!!6E^&&|Wcur->`Y-WP!Njo<cFXW3V z;7}JG1&jX?L#Irj;jH`kadHkmeY0UXxpza@6Oa~SdNxhGxsaU~F0oPpDJZkhd(H1k zo3g4@^w4;Bw6E|g4^|}786PV}&1z^r*a@68zrAa`=e24#LfLG1Qh&w9OX;05OGcL{ z+gR<110P-81WGj3wzSk?=~!JKKR*jtJzCqya9eCKa!;r4-zQ!3sa!svyr+fbnG+#o z-ZD4EKzM7P?Roo^`znN-<C*x&aU(w&Wxyxdg-5Yq*pel&qo0XvWaY1W7_}eL##!&h zhh@eW$TpdZ!yvHqODIj#6AEL8Mc~Jk&+UhKm={B>cF$2|P^k9x&N16_Eu9&)&Mh#3 zAhwukd<C)9Z{Pa6g|RFdcP4O4JEO!KWEqb&E~=t2b6TnmE9>N(G+@}nV|2xwMwGT& zIfTZ<p8D(Y>yiQPwKX+z((dPT1PwQ9A8l0XIv46WQU9)%vFA&TyZ1dg+m;`byL%fN z<kU@DEvrvy;{Cf$97!wQ_9e}$q5F3GAIsY=HO?x@lJ1{=5${+Fr|xD@Vpn^oNxxoG zaD>CxwRY4Az7VVaEK?;cTbE9wt6Bc@o->I<6+7qpERd#(ZQyv85vYH3$xBIn*cSVV z5WPRCS2t$i<n*RCiiN?NqcF}SQCk<~nLQ+ffe>ZaJA&n{vz-;4Gh9{7H0UZY;aBFM zgu8m$>h$U`soT}~@B#E>7gdJr4N`jiG;(I3I$IuPz}vX-FiE)Yn`IVq)`6=YY8C~D ze7gnLv^|i9r0mk@vgDDwAFk<9c@c40`zSE^qwPWCuj;npb!aBD(`8E!{ov>xBB%4o zmVKacbkaD9+uLIQKJ+B6^T@uZ>)dBk&=$QJ(zBfy>q2|=ES|5)+)~W(6_U$%5i(LW ze+EH{#M3xYikQ&f%YPQx-y~>K6xp9gtTC^2FG<&}Sx_8@@Yh5tt~blJaFRzhg2Tse zOqdhDuGQ0<TX-3grHg9zK-0IRSj=oiZy$dRBfagZYeY@uK=ZD8yhy*A8evee$38-X z4K{u}2W~$x<SPD4@)S6Ddj1~f_O54_o`n-3MDl1rS(!%>esI6SDE9K?MmOTkjS=ph z=4NT8)uURPgQa0+ZPUq&7Il>b6nIQR46t*D9CYuBjMmx-9^{8jo?geko9peyd7SRo z?Yy_M33BPEZ||MfrA9Y&@XE}}g1r;M;6{$lG>r~$>}YFiOVL`l;&4s(&h*;@5gYf$ z>OjM37*g{7#u!kR4i6d6>t*w1p7wHOjj4#qR?0G4wg@hXUa9ZEV1cO3VI_8Uf76E& z*Wv8JG!l*zHK|3Brc_%om^z<bLL>HNqxr(Iz`wntEyM3?t?G$^4U-8b<;^cvE;6^5 zhc1Zt%S%~JvE;0(oncj38#=GK1124F%CPk4_p(Q)+O?IXOQe)`E>ooGs-xL;E^eH5 zG~{tx23B@19yHyh@arB`TrKWla~jzuQ7&X=!Ct{Ah%RiTj4CI0IOfCB5%L9_gebcn zb~s^@Kz-y4L3*QCDXR_zLny}jsy~pB)GMGPcIQ@Sm2VEeFmb3fx+?KjiN@%fMf891 z38I(HimaA>lR49QaQF9gsJTvhj(pfFl~y~y>~67C(m}0(W|RkW&Z*npn7po=))6T# zrsgjX4l9=ZqWtIa!r-!VDFZ90ZOBeQPceWs99Fo<f+F;ILP#_m%}my=f>?~jzdk(Y zyL3fzV6;M%g7!4b$&tqEIAxF>NgU)-4eD|bQqO|J&t%~HT#LEvQz$?smD-?^&J;`& zdNZ;#$MpTeg2Zl7E$|XFAU57dT%u1?Oz+}azD6|>aYkXmK^6V`_;_F;mk-i~=holv z8jFkl#)ck-5gvxQ?P14+hNC-xpPN5~IA@b|<L2eUB8241$i|*DoOVCH0ofHvJ;l#q zc%X3IAeM8|<TOZnY}p=Fqj~!@ymV<$zhDCXQ{ctcjx<1<;re`dssBrT(MI3U<F1PX z6U&PGV=FbG)h!6jI1V<=by{27v&Jghq7IbAT-f(>6Qb;tc!rhXVpM$=BdMbngTWIh zqk-gowsxem$8UIPfB_;oa#OgS2U1Cc%>uRf?j&!T#%>zzaE=_HZCM}iMddGCf(I-M zw_8mQ2KFOb%yS<PgpxBD8V;BgsF%l!6qt(tT@uDK?n_ylZZuriY6#?C?KL<ji-+9- z)-UfzRw4SmG|a8M56aG97#LnHv~WFLtr!!F7o(8|-`?ida0i04tzP^ts?LI_>E37- z^qBoV%SQTzadVkJZj(p*H9nJ>@?!*ONBZG{D!C%{4fsg-@<J%FKpN@u5O?E(QKWya zqunIj92`7n@L@FZW`zd!x*!dK>B9UBJk$lLFHk$m5BG(F1A426Mws{88#4B1+rLS9 zxL@9(qy3sMMrFSRcn1peYCP<ce(CAz$-^b|s&Q9)k;}W#*v_24;Ajh2<3lfJX@cVi ziC<gG!0P*Y<LU{+g`zj`NmlzatY7k7oa9)+*_PPlF;m15!D=8vR~lpajK3%iQaIic zS-H)i_hIbfm^GNqMcN)G17TLONlcq+B3|bgIUm9my@{*K&t$~dM&78`hoqnA3%U(V z#S;@(#heiK)SQuONPhFFy+K8mQh$roDatCiSd$WrDAil<LN!1s4L8kXwtnY-eaXVl ze!aGGV(+k;rQoI_Q*e{D$Mxn!H+xb%AGZH|>pl;Zf86HYR5UtO)L46YoT%)t>CV#} zi{EN+{%pNax8kfv!PPY61&I#?b2y!1Qy!FP>V~kqVpm@9n;rzMuEI~Q!;x^p_K;hK zt&e_q2amB$#dYx)zF^Vqp2v`R2TMG%8;oq=$-jL~ahk$ouNDV7SI$ETI4(UKc!<E@ z!g(m5i=P-+@b^3h$~*YPSx4ai40ej*y4bJoh2AEHHc%hi^dLE7yK2}ELQcLvw&`YV z3_QOGt)KrMc^q$_)Z72FA`U@Tx8f~Tg<Z7~>d$TO<ck^4E4B&N6a?doi9S(;Q(1_a z8XB(-tJf*95gKWC>4#FW_{7YLy7+`@yRUGJbM}@xv9Yo0Y5KbTqBgP8`TR0P?KrJf z;r;C4ej4*)n5nJx3iVo2F*7h3iL<h2+Ao!Fm&tTF_UCN)$KO`b|2kp<kkJIS^(>@C zKXYys*W~_D(bk&qZFjQP`Z^yi9b*2NSjZ!-i=OSnpKAr)iRkd{z{9$Y)2y7YiNpz( zub8&Ndb=dy{Qb~m>%*R1XbYfx+n{2pqQXk?lx5gr&S53StaJIJCB*7djIX;2buH;; zjfwK(cXp+KFOIP-L&0AiC9<sd!ABNVF*)mAx19fN&?NQ>U0-YCq&#%alFeN2Hhm&B zQE__aTa6HyvS9h;Z$$)i0UO0WbVLp08llgCWZMl$2F2uYv3Oj6ofYwF?^>J~ZIv55 zrYlbc=Rq@c1=u}BK)5V?zE5=`CipmoWe2EynnurFqap-36~g;ua{mR2r;SqtWI2Nu zbjk|)yN?t1l$DEvwGa5_Ga~6H%du?mdPe{6dY=W%ea@s~KpedNz_Xtb2|?Dfa6cWq zfkW}!lK`AsmekEv)!kkFJvukDSAu=#vWMX$V?R5CLpp?%C-$G6F}v3hR@6kmvVadS z(Ljx}<(3K*n<A6^Dk3Uxi>?$K(30I~Qfw@okfK{LQK%BD9=g%dAG29$wWnop%kCoS zLw9S7QeH3|MOL(@N!}QP!!fAcC88uNCZ!R^r-@<QArtI$hZnqOHnLG*4O0`O2!mBD z4s~=cLR4n&L8P`@*dGh+T9oH<SMIi_#lg;Pt~sLsA&W&~uB_Hh%7c=<n-bMunsqQk zcQT5I(`r#8RGLB5%@h&1R3GiPrUmA;lC>rgLGJ@mMD-ZX?uJQP-alla$fI9tS!;+1 zJs}&$ueZjKk_MIc={PCt6HQwh;uXbLA|5_Gn(Y`vCaORZ*7u*UHuo(i0Ix!{Y|s3J z5{cD@1Z~;Cuh5X*vgn6UljINHX2&deOf0#&jI;WC6pnYl!o4BFZJ9O+|97lmqPqI1 z0Aw8@guk{2`y>QSS6J{T>!SrZX%-jzB5az6{{B8D7uO%OuwE>Uxsu|at!8^``ZZu# zEcA51e^xBg*CjiExzRGyQgsL9tFRj)(b8Q`H`tJjHrj}RALDxc{Z)e^5`Kq}60<mI zAZqqpsZLh%XgaMnvqi_$+%Ong)iTbOwrqY}S*OvJgJmsSNlvLUK)DpBCb0f6@P{z` z_%EiVUcQ1-fz)dx(<gjJ>$GI~q){`ZhV#kq$TOrzopi!^`Lq$9@j|qPdP#Gn9+tIZ z#HwNEPfS$!XFwe*1jmw$JV6%D1v#}o6-yPl@Q}1?7c~LRx_y(_L@0yyyNz7Ih}|@z zhLGmb=pw<{e(xxhrHGtk_4<$+?8bZ)geI7)`jFPJscC#Fds~;&F2Qg#m<Vw*1ltWf z>G0xFgrr$~anZ&I<du&td}Dzv93!Jp{zedpn<D5#d58k95wHWihHpOb8rws_Yb?rF zf9$JpRX<c&CCIWbmpFqzKn!<>LaU#*Sz|dflQ3-&&h+EV$wYPhi*ifH4}1gY^)?lH z7=@y0h9IR_y2J%?p8b#*GPVAL&QVk3$1Jgoeg?LRvIKmEyaW7)6>vG0Tv0W_iSd+2 zpK%&YD&9kEp8v(xR|bX6bz1`kTHM_!?oiyNxVyVk+}+*XDee?^rxf?%?(VLIFFbvp z_uf16{Yd8Qwbx#IpUg=nnVjTEI?iwr2634|O&j*r3y&z|Zn#+c$PDzRxh0rH=oNBR zqakUoWv&*%__FrpLGWi7Oo0)P49p>%4)rC6H0~IT2yxlh?B>F?EL=@`YgxLPanUt$ z*W)x9^Qqb{0Jp5KEN$9>O8c)X9fsv9n}HR>S`dNPwb1PKZ4*Il!$F?|&`@|4&OqeM zdknrsUlE}uGyCZj!|d;;X+cN#Y8!LVZOHdRe~Ggw&MOrINpP}*wnge`wgVpTbDI=| z>RbqYmv&=qaa=^3L7JlEXZPywqBi#W>osB-g+n^73NYgWm^yy=wGs*${!9#6TK^zn z>p@wq_AUDs!{Ht_la_r|d!%T9y)%lY2CYf-+|4QecVWxCg4Q3Es(!eN(r6PX{<qs4 zYFXRXYB$Zk5I6@ZCDmY)?bBTi930j0WvtEkBSYw!__OcIP!CKU^;=bD@WH1Q?p!US zL`yTJd)@j+dGpQuX*dw<c_EZ_JZbqD<d)0M&<<+S@X<?Su2G~^c1W|et>}p#XwqAh zliB*0jCsC`qVg|i$CDIW<C+{eH6(s9MbNdWf>tLeLU4=gFTcS9Mf~_V%zx7XDb*~r zp}lcRf%-zC7P|y}_2^pZlDf5pV{?bG_|WQCO@KuBSSH2#pzjUm3eg1m+;7-f!r_%9 zsf?&1WsL?e!*2EUAp->jaI=C{>sHwNOf$-<17x?+NR;(t7~Sn0U$@R*R$R_0VoT!# z4Yff^p38-<l7Czwj`sYE@_kLJg^6lTTB}_<@*RyY#KA#u1f(L4%}C)xSe^VEVjAjI zc7acfi4;t`X}JQebPdWzj!3L$%X>vt6zg3f?nyF~9RgX3#??;sySZiSxgkf>4KZ!g zOF}@en-{Jy8NNm96T%qkwT+>t{OoqNp2Z31BJf+U|7E<%b{y4nF6F`fAkC$P?p?Qr z`BGB<soQ34q>JXoq=WGxYY{C{*GN5V7AZy0mtD$O1-G|b2KIxAsuuPKlRidR_BsWE z4<@GnSV*u&el0l$-6zqKhTRqH!JwveW}j0`ea1Xj;BX-pSjf;ndygaW?~T4%j^@?S z!mXs1_Xk6!VwKa6WG-s`dQhNRhL5A^&ula|o{Vbh<OZF!lckORhIN{=A!9LGw`=g6 zmj(5)UO#GR5$&6l3HV08(Qr&}pe4m|gzZVmDD;+|{_-$M0$#GZ04hzfVTF7eQYua& zB_DLcG|OD-XzFm(P$EGP6pJfQLudQIe+)vvkRAMwG05G}x%IDM3m8sA|M8###;1P; znz<S}Gu{o^ekJeOUl0Qsn~jm|Wkl#lZIo~<=`1Z6JdD*3_jBN2UtDGbg*;px1>${J zX~vV!UH{~IH6dvIj4DuvkExdH`Jm7#pu9r0Gq+l9cnF&rrY&|}+J9Wa)6X3DGw#6S zr|nnH1=r>@nR9Ji8XX2VLYv80`&bDy%qr_wNArf_Z(o-;e^=@SiXB8o6V=A&o=$;T zeV~Fnxy>8#a~Y5~I^2_7bl$}vQwrLbUMS>bg>x;$7T}eWkUS4D<&I0nRflm6j&8vg zBo8k&p={s}IdlEy7rl2m2Zpz?{R4t?Z$J~q5i_<4{a}#LhIX<?a7`m;J4C)=BRWf1 z*-zp!--4mZ>q?pE&9Gji0WN+Thl_gaCUHERJ*%)Tk94+<hCB0YT^Yd?#CEn93ry?Q z77|pICsV&0fC%3q=rVV?{GAf)uLjW^q)6IOd-cicQ1#H!w^wp*j;SCJdL5(`Ajgmb zpmDo~#{;dZCERmXg1a?mz*4YI4svc4&;G-PtH?_D$JRL$3;G@)O8Bcm{Ge(ukPv#( zn5c;Ayp7(L7yzdYQ3-!JDCcT{4m@eVnW%)j5k_D)m(J1YdcTm!0GpvuQ)W8dU#?he z89HK8f=-|cB9+ty9l0l4%fBL>Vw)3VU8TPR(E!!zwe-?qd%zxS%?sM|QZN<&ptt)L zak)@(kP6NYbzKQO1}mtd*I0&%wJ~VCwr->8EcY%)eYblhH#9SOP-TA$QvMu^-+J!L z@y#QM>jb}KTWi0`iErHLT<wsxoA220Hpu6UW~;UxWTK3Q0sa2Q+M#-cx}%6aP=Rmd z&C5M%;TH^M24M!1EnYcf)yC^Z34AKQOm^jcKd?p7p;fE9p>A~J6-q)_s^CMg+qNAl zfMz6#g2Jyvv?_J~rIr0l)2{fJ7IFMe3jt9v+--hYVOa|XZ8~=t?u**I+bpIqTfnvA zm>jj($|1@a8+LC4Go3>TfnT;KE9Q0lvDn~+_=U60*}>QXuTS|a{;2^KVurdjYJF&A z%Lg+P7WqkH`)&A~wArr$5rh6*z9uRt5VXYWry%M7kVYH-B`y3*Qh6r{1(^hC>$+n% zc>66&V38}%PyECXiu@wX*`R=Z{yR(iS6M?x&Ls!@@F9X(Hh-X)+2-1dnHc5g9SYG1 zn*5YchcgbyI;C{I-y%`PXGG?}&TjN7gD1-vXK4!2&AxpC1~McvFp#at+8g*Y<be_2 zSaC52W@Wkg17hj*rzT9jI9VC`%w<3cwr#{Sk@Dahrjjy>OJ?P7b);e(2bEukLz!r_ zrQeM=H*dx&-Pt?p%JF61PRc^2h1!lbZ>HX%dH~|no(<r^Mbr*kxf7`XUz!WM?U8e< zNBIb$=Y<7~>H_}1qneWs7}cc$yEWs`z^E1kMzw?AuwfRY623j;zB3=`N;61O(OUB) zvFJVeDT-X~&%pE_+c%h{f}t)tL)3k{=<=dnCk>Tz5h@Yxnbh;(jFpsqDvWo-%PV!% z9m-NmWWgjPaqD{wg`s4=g{Z73mycQRPgR?Xy!(y>3+kHu>c5w#dK9~9gP{<RcG)0D zlj>A-!UEansZV52>QxS1*UOkhRQJIGwO#aLP7r+^(sJ=Y7BS>#XF+pcj#^bF`uk;V z;(bVL8bWTH=6rI+skP?&WtS4zhBWU}mQSJX%9fwn@tZZfb~T57R3Zo^^QKiE9CfhZ zCw!{wG*pu-;`5lkjy3UCL~KLdctOn}nog<c_K%F1U0P-6Xf~AgCmBVGuz%Q&Fvr}k ztWv@@wW}shGBv|c?=N=NHLz+FbYUr8*|1^e|I=hj&=-2|uA*AqmNlW8HWz5cn1U3D zom@wa!&J(HNF{Rzo|6+>xk5}+LM`gZWtQB%$a8X<p|v`mh_ikw6*je6nf5fiL78WI zO+@Nw;Z`4-G4^pS2djbhr^MzVKD#{K!j0t*4)!?p!!c$i&&tRYSobcqSp6j&7_3rp zkya(9oKk)QtUX>LbULPl2+L9}{^@jHy3tcT8m${?x+lq9u=KoJJN>gK!%c(f9Rr7V z!Wcjp%c9Y;Ow+QYO@c8yS{Vpx2}ucxuu6zHEwl%v{qWGt&}30(TVrTnQ>R3iLkdTL zQF9&sL+d16>x2%7aO)oka=Y*kiufhLld!w@eZ##xCfeFwmg`Z={theM+d0;&bK*@D z-r=_o$(X*(CA)3TXY>a+{|M2wmrg6z2}!$Si6t7@<wdv_iK4O|ev0L<Ho{EjCTsL& zSH`ba3DB;HoYKvQkQ#8b%vilJoYMWV{F6I4sJ0r@@n%dS_>Sq3Q~o(aY&s14AI%9n z#f!f{k^-1tAb=%qoH$D64PjPInltrd`jf3w7-shQK9DhE31I<37eauLZ{#y0VJDPy zKShX40wWU=^J72R_82DOdLWr0$k*Z_%=%C1p1tTY-!v2ozVwSYrjK4mZVYkdZPpi) zA{oPHfTdcD{OTxWH&m&nDav!Ovm=V=OJu__jdUF`pkl>42kq_Cd2p?nXVN=y6OAM? zv?u@aUEs0WiL%0qBXQh(M6P-t55^v4L-QkcBb^T;k{-g9`<gsH8)#==w*GQF28y@G z&D=Hodh_Kox@WM}h?dmDslNII7u|OMx#kX5BdrzVX48Ns&$({|PM3|o=|W>>U*I~v z*lqh~O6!--zD)gPWOP6zaON65?p?$d5K#d{a%CsD?lu9DdO$>(anlBC`sO77+Zu8d zNfZWxmRd;*wcf-}QuYIqo1P15{jT4d{wFSoSE<-9NbP8!!^f1)>e>pUh^;Z&j;uQ} z4kczIT<F<Yr9Y!5a@?7;E+T$ny`?0>J?pF9pW~XDN@5$M*KzauNUh*zT-LOvA70sR z&VD(3FPNy>8>M-UD5ThFQ}i}9jDh?iQPnU=^ZbEdb!~KYJ82zCUWfFlX>CVGbxo=r zu;Jx(j1QaEMzsKcI$%S~>l7|Dt<`G)emB5|mDkxFXj;ou1N^GnN#`LHRq@N3HiG5U zHe?x>!^aMhV!Ie=hd=mmW@k-fYW`GXYC@<hB%aoZE_%x)Y8wSMz0%03X7h~S1#DR= zKrRKMnt!<y%YYDGDu8W(qMS21sbPf)ZxF|_L{X?8TgbOUN3}{|S|KX{Ca@1I(@?CD z8Fx#IA+Z_8G^@+~k{*uDh&8b8$9Jg9NzF(UU?UP<jpR8p5s+u2&9{~aZ^iPM8S~Gx z)(}}uM0NUdR{|tjt;b%8&jVtd>T*gllI7V*g;x`Kj*9*pL+LRi9q@0AA`k-!#6-4I zQXUnZ$g{zPx0+))DJslJFXmfgqdHA99TgRnWYmhTGE*EC8P7<I%d;8Bw3^G!j18A$ z#N}BJ<2y|QiIU{mKL0lnb-uMoc<X->sYp{L<XQj3cS`s#Es*Dk45;TX4GO3S=!1&L zY9y)?3Q!NY@M=Y5D;DJu8Bh;Yc&j>=(-)wgg?wvdRHr(oBNL#WYSC2^ile)cl4Ww? z)xUC0x+1H*lt&tE;@h(b;jMp|j_$<e=a*oxtGwlAPE+KYWW!re1lTC{?#c_b6h@5^ zS_F`Qm=#{it=f+(Ij+m}+g91AHjBMha`mSvQ;o9WjVC><6mxeYYRlw(8h3IysOHbc z1*Zwbv>rKd;dL3tnX4py>URt{sK#s~6m8-WwJXCP`xeHS`mN&)Xgop-MHkv8Vs7u+ zw^B4be!aGDc{K=BJ%DxzRk=Rm-J|n>e%6cm4nlY;1H!+Y1=jt|4H;zh4jjbX0}N#8 z4i!Y_I03}}MAm8!1!NNIJ9u}ywk*h}g(`B8K^j>Qep5GS5S#Dhpp##CK>1DF!9X~t z6+v7bc%Xd0pF)8!EdxP|-@&>w&c#7)B4t5hv9dvY#~)BZ)-q+ma!kFzd`F+aK^*6b zK`!>ZkU@B^Q9z9L$U$<x@Im;p-6wz?EM|k`uwFrdKV*4AgZa?FFN}~A&Ge+AN2N7g zi+|FeQnNZpKs38db(72vL`097E#igpLyt>qx`p}#`us!^NJX;ka!2{;g^@$gCOc3L z!uaKk7s8K^>f_0I0%BQp<oaeY;l;qNFON5}AX@5W+Y2iA>mY9RgsfnR;1}=WZ2xY8 zj1PBD;-8dl`_Jzb5g+m$y1n^81*{%W5HGl10rmlI^*+GP`{F(S&d&O9_ecEGtzH^> zR$9~Rdx`2+uRw`YX>QNizKGRtf4LuX{&GPiJ}Eo)pMxp=qs+?>l^Z_(kmU>X)e(LH zhJr|G3abl8PGBQu9P}BKG?0pO+XbBJ)5{cxo@`E_oUrLjB|p#|&W|TBsE9ND{Ggy+ zU;eT^KKx~W{LA+F%Z3;D%SK8>JQ}jpa5PyXqaXx7K}kd`JBVDTEFruQ-S_SA2aZM7 zWg7(AKfTkH`=@sU|EU=EZ^hVuEAABdTXDd9#WFwh>Xx`Eh$i#CT;B;m5z%m#!v%tY zd4J6P+gZfFp~U~`tl;0!z`vc9{M*?KIl&Etas3)~D~d!AvzjzM<`7|%hEh4O9AT5! zZd~+~*?vJNU-Xp6UVAkql?)hC5Dle0gkgO~bt?uSfH94aBt+P#Vdy=;=+ze&7!x8w zpdek-a$p+>1MdTdH4!9qDix1kjYb6r9tfw|L+INPqv^V*t|EP5n%JK|vj4fYxV3e; zrg{0ZE*Zlj^R**Pj?})3Sjo=?A>R$<^ULm*^VaB<Ze`zY)Wa3&r4UygnhU=ME&3F; zy)KDZV%2;qKT%%J2>aL-qP*NAq`XOrF}Z}Ja4d!HIkTVOR{>S$^j&~(1(zo^-z}3U z)+vzZ`PdAFN(|k)vr2=21d&t~#xwwS!X1W7urri$m%&c-J!fPCkdu{rOec33>={qM zl<?(#6u}^q2_--H?OMnE3q`4OZwPm>`kF+9hETRsATkVP3#wIXjRxToJgLf!>7yK= z=Z7?yU{hhV73k_um&-OH#4WJ<?fa-5HkYiy3&hn9PJG%wsgC}eihU4BwdV(<^8P24 zg2#VTIn`d1u+as4bz}RIAx2cxJ#sD$im069n_hlaXYZmho}3~S)<6le1C2Z>#?G}r zoR;R%WSSu-P?@u`gbHF00aennH9Tfh{N_Ho?&J2BicyPSyp=y~Fry9IdfFuyyzFew zG1*9<7_#uZAM)6H<HIX!LtvW1){<HodsPX%tdJh^7cKF?4+%Tj6@HE_HnkkIC$Zuy ziq5UEkc`+eCWbN)Jf@!AiM~rTFmRtonJeVE#7=%J<SF42Qc-di!9(}rkgHN$2#)SG zMOVX(g#%&VN>`QfKVbJfLyPF$$A!b)ITY)VVHC~XzL1vEvwI*zcf{S<73h#)49e-f z7{k%if9U_i342AHt5b-%dCcJ1>rMl^Uab`(phGoNj{3nCFW6=#Drggj<(Od*CfGg6 zz_X(F0xrCU!81Su57KQ>u1Wz`*9o(eks3YNX0){TV4w#vUlNEC3j?B%j~E6eL*0|? zFN(J>q93aH+dr`4iCa?GgzZ}PWMYnej$4>o;nEQNoZELDYfBg6D%?ryAj8;b&i&aW z)3}CdoyO<hB!e_v(F0V%vq<{otZ4J<$$h-xSrRoqy{!|I&Fax06I<HI05QLu=*XMT z!zX2e03Q!6MNbael~-LAR(UzOWQkYObRI$7Fz&2@k!FB5$lB_sIjb7kL(=90w_vZW zR6R*ABg{uEc!%ePip&N^OVx9;PS(uGv8Iba<?F{4y;V;#HHqUiW7XVCjpXa4hlcVH zY}sFuC}s!kDs@BXLd|7Eo*$Z9honLi$-azK3MMpE(DbvVK_%u7q4&-L&Vbqf?WD2) z*C}-U9(n!0ofS?0I;Rnp6CTNkj>x_cDWs3mv_VVH)!yK&8<ELHlW9t|C`s>S*p_CD z28x+8)tyi=tB+7QdM2dtbEI1`b||r=+1Qs_GocU{4vp59Pa4riY+g#6fbE*q_9<!1 z8g+)%|F5KJ0s6^gU;ONu)j6!x8q!>TS6efqkQ7e6N1E*+rWQ^~<<LZ#NC$723Q5H# zihLRAXGxD>sVkD3tG6PaU23^YWJ)MK=S0#L_1MZ9V<vaW&7$dibOuJ}saBPuS^_XS zWz=vx7o9(RbZgbFQXFMG1Xl2s0-%<6s1|^v+JP~vNDn{_4tO8kfH(yykpl+LX(Tnw zcL?$y2zC+}X+)gBNIQKWp<MIjr~xX#SX&4W+SKHl2PWf;-%|DQ%Y<y=4D0JZ%#Ax? z?ld?6gS~l5{~?&|uapQMe6ZL9Ae2Y|)7#`+*-KcJb*wy0qBgK89Z<vH{%xN#enD;x zd1TR_gE3u{xF(;&?G*Q`V8lMrX1ml_dBb)87lVHF!ap$7KWe?R!{)^K&JxR&<&6Gl z5$Gwtyg9jDyJ?Vqo*LQQK+I#nhxuY%@L?GBNF$#^KzN7Bye<f%qX~b0Q*&V^a^~lr zEp<sy2kfv4<L!=F66-CNrtxN2naX8Lu1I-my1Yi8*7Rw$ycCNjPSD_z0jgrn9!~E} zMOrsyL0Wm)YMhD1P|u>lppxAl4#R9_S~F#4+DQ7U-Cx*K1%R{u10RR~3p1zzF!bDC zHjKx=@QykFyZi^H{`N1NstLe5|A8en|AnQr0a$+iugH+SOJ<sey{!uT2KDdu-urYG z6pkR4FKMUIEQ*roa}}GA`t3+P>1kHZ1L>ut=?%KCKQ0C34`0r_IelJQF9(M7y<NG1 zze-qfySZjgSo2xFFmtqF{wWZ*M164J?3myiFS;IioE@lg$_;6|kI~ER*zWD!&i6du za6M-O-LQMg<u8*hVb<Zp!Gk5s&vk!mr^}hpWQIP8xsSQ-o!Y!yJodbLGE%~rD$d3h z7V+=^bKO>!dwP6eAjr&)87F&O8wq~Z^Xuq&T+?G8){X9`*O5VlD0uD4jv~+ZWamt4 zuZXzuXnO*8$e+pU)?*s<Awb9|WW9Y9`VmQ0?c!<2%ou^ybio>)m5J9icux-xe>F5x zH`P)}xDbu8pYO@wnRFflnGlhurfosKx+Kx)5+(cDjEn&j`}Bj#=fwnwz(w5C4iN@A z-C}u{MhZm1)q@M8tEDaYuYBB{si>jjq6sgv8yk63Y@2kfE7X?zp7GhhjF*x7dn9fC z#$uI-l%u-5m=0{TqI3*P)IqYxp38_!eE*Ao=CjCL4Lu-E@9IR2j}HuphO(J9`Dm|= zRwCJ;>s<FTBYklmn-_52IWB$V92{+H8;IFbdI>oUP={oW`fa7}HHXzI8Tmf9DDvv( z5K(C9GeXbfpy2-Yo5fL<<-PMw-%t@~W-0Gynj*Rn!x+&LX8B##C$N+2d>rXCN8PB1 zkWy4Rts^ZbG)^H>-rgy`Sqirabv;Hj^lBINy+f}*g-~U#-&i#DMRl94(XkrPL1`;1 zw`^Aqv~xaNwDUa(eSw|4u}F$lo;f!xM{KNVsxF!$hysD}(BEu76s~Xu!w!kJtY`kD z=QtA?0cW`M^r&4mFB$IRzD01UJJ}3PH1M2G#JSEhwH_4J=z4#@iT}C_Dty6D;!BZq zA5r3_v7=pu;D7`lR~XJoM^V5$bbsJ2LL5Zs`f#Kd>iK+R&5Jf)gL-(seR9?~_-B26 zR=9kYjB&=b?Cq{=4EM$DN@=1d`~G`6$IbPPdD>?TpIL{EEx+&E$-6ilCk{wFfamCX z?8ICW{)u}CFp3akxmg?r_mz(x>W7hF*j3=w%#3zi^Nx~nE6ZBb@_E)hE%x*#vePM5 zdScTA#SJtS3k0)8pp{-4#q7ef(J7@a6~72m7O*MC3f3kWa8Hz}P-j@i5u@77Q}Qpe zJB6xRDF$8aQ`tuZmkQoEOgTG!^8Q}1b<b%K{!!x-8bUhJRSScWd{Lxt5Vl632OZe5 zBCS7~Z~Z4_<^XiRL~%1Dk@nw_ghyzf1w&a`iMzMt&1oT8mjWd3(pB!;#~34AqVFN3 z9=F3@<dbPuOmDAqE?o)Tzq_tpSS3Y91UJhopH)y+def`~zwEy8yV!ZMddo9EdwVk@ zn!A)|gTTDm+1`kHGw@>XJ{vOf$n|wQvMpCKAwLp4R*|btXJkYjANHQ~T7BP1c}p*q z;Po0N*lEyJZs^O#pE-`vJue2n5Ead@yId38Na!+|roa8!0!+G2ILD77`?!5AURXP0 z@%nmY(JG%Ct4M`|@VM*w#NgGw>T1uEAzKyLwSq~Ir8N^YJHp%EChkMMY~6qLR9se8 zp262vwLcwy<Q7}89}{6WT3ePOOJCK+T29?6f^o~!k~u-&rSc`z_}1X3)pqfojSW-H z)NZlvOtG^a^UGrS^I}Sj3){3yHo>ch^PjHP);IDZ((_JKJI`(MON?ri*V(!_KhijU znA^2k{yrc&NKxwTje((0${0@Z<<6^@CT>NGm)qdh^qeG!uY@8?+h;ux3^C%<-NMC( zJ{vxg(Fue++v}!toIP?1GbdEx;irm{U1FMc&V00yCFMzrS4aq2a=7xDSP%uYhV-t6 z<>UKk#h@R1HtQVY$l+K`nIH>X;h(f_C)PO%K}676(!2hovMV20yxwJP(-e3PuKRSh z#Kle`E^rQEoJ#r42=J8=W|!6#K@eSis20Oh4cmL4O^y&FNvhF!ax?vBd)|1~jQK}r z!dI3be@;ZrmkOD9+Wwfz_w=?cZaV`1J3l3ZQ*zRwZ;<(<FIq3S(Oh2c?P~9&+V1uI zAQ$1SU|qZ9YR9ZJ9b?codwO$eLRO2(=t7A<*tIsgHb%d%+jmY-W%~HX^UnN^{rTI| z#R$E$W|eU#^2k+=)T3(cXl<8Itia{#geZ%byHjCafvc?(b+p!Q9rxnHko&3nbpu;R z(-zB=g8&Nx9a*X7#9cBrK@@qz`oY_a9n8%qxqLEUww<?)Bo{xfv_$Y{((Ab2G32C+ zPmkL&RUfOge*U^~saut{`oWW)${U^98Iwx$JvA$v@6Ly`&fwYCRe{y6z_JpJQj=Yw zaV|X33YT)3S0Ub2;deG4@Zx|_2HG^k+NyYoH4RhY*X-9i?%Ue<0{`S0(UBMf>Tq-) zM1^|S{K**M2tII1jX5$%N{tr*v8h^Cd5T5Br{o{ESG4iyfi`jV6*0h$&@-aI*bCS} zY-*Cwe%sbmNE>_flBAk$X}F{fNAI$cudj##b`M?=dB#?r5qW**ny9qL+nP^e>%VpB z*NM#q9?-={o`4<9&n7XAxdC8@*eU$GmbcUR<DsVW+{pg`!oU45XycP_FaNC|%0{4w z_Bg45Fd_MNSUr|<#NC)?FwnT;3eBjsR%a2KNnO243nHzoLbb?WN@<Q-7HQrz3}?1t zo>}47w+OBh0foDet<GRIg<nLvOyuG=4jwKk8K{wU+KAgu%^m@Db@P7^-64U+oh|Lc zpVd}^<^1M0w#<f|8=o_lk|=AKhmIdA?k%Iyn{NET|LDzqK3}d|`<5%L-P^=kANt(z z<6gr|{@%I@iI&6Q+*~}$bzXcT=Gf|&^!A(wElDZPpn$(O^D|OPnC5xIWF8%sE{?`8 zuT8*LU*hp=J{aAOwE0#ChW4oJf+Y{)Ja88>#>wgDmb>F|2YUQW!y%ynU;3X=oXLOE zu;o|5(Qd^%06vYG-TiMuF!4zZ#H7OTWYf3UQe{5`ng<ISKmIT`l8Eb|(yp@(!gVS> zW;v3H3m9_jfJ~sYXGp7@*Hbvn739=!p=+s>!Q?oSng2GEMJ&2{=pNOoxTD9UUDab0 zjw@Ak%#x~<F%~PMMz>R0jv^A>3Z!r{MA-!L2}QTo0fy;dk5QvefcQ?1c}Kt0AknmY zRO+V>MNatiL?M#LEE<CF$#dT-0&%H+%!@&B$Z1sBA?v5skLQ!@5SsJ!i)}SJSIs8U zb3k!4r&{)-#251Upc0@i5kzg+oP8%am%U064iXJ<62I(Oec8L-{<CY@356cSvD-#j zT~HoE+^}z$w67xmBy1Y#F)mrb{^fdU5#d*;N0r;{95&+?@2q0SuAve2_qZSa@m_xj z7RUu)(Z)F!7f017?XxdS*ECGSf#u&+Y#u4L4pjvbtF@Wb{hf5%)jJww5>Ij{7S+im zoaGZwDv?MjsbVDODPkn$-LzUo+_b`nw|}z?Z!0L_r?_7?6NDluEhT#g)LW6f3Y(M! z)YCc<%v7#v@CwHlCFOidS}a-{y%R0^lyq3MCUyVb*eg6v+{@icV$))j_JaqeVMV+l zfQ9O34~)t^+B6otxUg74B!QSpO8BY<AS*#;(o%lVfs?SM>pNC-rBDw-Wfv;!PGf_3 z^}`e}Zck&|{sS~fo732O-W$7hX>8fM`bI2T)E0ZJn#F0$ut~p7j}w32%cp(w$zq!4 zrE)l>r*zPUIA0Pat^7j@tlQf2iOS}*N`7rgboa*}mSIhK^W*s1IY)>Ge$pS3!|JNU zlR5TtP7><!SjU{C6(ycd;)hhLg|#S5+1?i@3hg^2MsJ#D5+>i>&^;PV-TXtDcQa%x ze%{xe;}Lv^9fwTVo|8V+lw(Y#=;S$tdkAHAzT9%34!r2y&G;sbO1!Jx-mJsym9{_K z_WZ($ME7w=%wt4c4yo7wfKlr%nVqc$Wvh{mEx|D*4qcUSdd>d#mGozIMAGY!ftStW zQ{~sHXW7Tu+oUI9ZZrr9iQ%U24V|+EzdUpI_S~Nso^3P8{oVS`i)9~$)~H`iF)ZXB zcCBB@#a3+Vv%9cy30rWY$H^ZbkMlb`eY#945jtLhCxK43mGYpGJYZsXHCP9pzVI)P zM&hN(%E$k6x4wP^##7Q=KDGGx@bKxfsM{5Id_XKxI^yAI^L02~7s*d}`JXA-H|%fR z5HLL=8Ni32tfht;O;fDenaj3})^uU#6Xt4V-%svbo|knjcqvuy9_Dw)PB;k&(8$&t zgtoA}PJClIIS(7Bg)qa-Ob@lzw0WhH5T-p9Z`tY%EfTxk3;*vkLr3)mlUtjEL=W*m zNXUTb<66(9PVZ-5IwMz4#-RvLBW9%6tGub@o<_{mQ>gh#GYGl2si}?m0C}B6{*Fw9 z;Hs-XQ+oD9KaD@*xXvHn#%~eWr7FCDc*qeIsNe0o*kYiZpKY(>bs|&Z+?^U~*D7wK z`1g~8M@^&)4BuZt2yCu@{MkHdlRvxQ6Bi>B5qtN<fDs&4Vc^s5lSvQ_A2Xq|pD^z) zWkXLH5xd5R0~ajp!VNs!NCFQxdRICaoS0G~q8?R9S^UWy1aGQ}rABQ{b=x!-&x@{1 z#HG%5wmB{yRmF0`<Hn#y4&Niu1G4fA-@00%t<p>X`~kkYz($lS7m2g`Ts1{zU4MVC zseE+rTo2pQ@kyt=^^;6_-S*Eb1X;2wjNNKuqx@81t@JE3bnaBxtB&o6eDT+3*M<62 za7!$COWBU^F|THUbf!onCq1vq?G+)Y7`_SlLurMaG#Ymrev1^fP~~*tdbe0mi9%?$ z6UShB2z=DIv*q$r#txvnC$8}XxLufrU?d!?UF-xbLKZ@8S&rYR7uTc(j(^6WdK0{` zwz3bAZwpC@<VYP@GGGyW9@KtdQP@WN6|B}wAyRKE|Fv0b$`@0TX014_vKA?TCUq&u zNE?p<JXX~8{0yIOPnh$M{!{FTfUq`{*wo3KE6NN$HedK9Xi5AG8Dj(JV`5eD-f_-t zPe3%yDpj!ln0*vMHajGqJ?s%~;H760quJRgPoWxxCS21SPgg7TWT+;_dix)Q+iRb2 zq-(x&lcwookv`e8^Sv0bp|xf*kr(T+(%I|A-Bs43=OFB8M7t##cEZyJ14K%sLcP?% zK9?C+<FW~`nqe)^Nk7%1R_hpGCmOD7^3j6HqLh}{UUG-g`4xXrtH&JUVQ2bgy6xu} z+<-8w;D~TqTx4TIGlppI-TnCFY_hGvj#)X%slQ3nTc!?=PFDtx%)Wzm30|ufF}}gq z(W-0UcDZ+$cSWlBUSAlanpFJ<Jq^D)J+rs<%K|z<-))<*r<CWVe6WE{`O}XNl%MqR zqv#T*+9IjhzaRY8IXyK$=fLc2e{@tWke`;lkI^;GqLay>55Wpk#*-eq&$h8{*NFbY z+*G?Oz&BIE3~g1&f^MnEL;f2d<+q(bI?1SfdLFL`sBi;q#s=`lx)(%d>Z!@uS=SJi zuHj%Mtekcylu62s=+B&LW`WIaq!u<xMX`k=h~J_kraZq65;3&ch~U@5-e);^iy2V% zV?y=8wcz-^-uC!Dp$=?$#!FJ;f49qvLXik=PfGQFH!05{A~F<R1@!;f>|OhRr6l(K zyY*t^yD@5D<l8YWq34@3YM|%qbDV~Avx079<?VC_{4<l?7}Cw_(Y18%rV#NA6&b`R zoH!CEGT!+tvp4KpIOGPtz-+-|Vh?M8spOA-^Z50>v+dEoa+?_!-@bB7MRLIpEF4oZ zlD;K@iYh-TsEreo7>AF(3@;faHuO<^%aEUg&#%xHQTNPK=%-7xKk8cudT%f}=O)&` z6h>-O7@r7I8e&LbwISxa83VTAiD0D4gxO6b>x9`=B>IHeMQjHS${@ZtZCy=NHV$9B z!w@fTN@7*3!w`s4H${aO4;O;EjddEmVcs_s*%Z&DEIPdc_35MvWNl5?)}8VbM^Ka( z7VSf-sfMUx$<AS!6lc1!D7x=SC$6pNl5`ZE@k)qf>$@bQEk`K3olDL&cJj$c6ba{7 zijY!J!Y$Qwl)XV>!dM=Wj+L9jEj90^IACTv(kY9y)J9V%j^wfrH@03HSE4Pl>(14B zBu6k6&?h8bJ~Pe#bjYMXj{UFs<o<t5R)2sGWgPJXnqpWXZLs#4Y2P}GLU*q5TXqCf zCcR=}4S*B@FF58%joEdJC=Bn7$2;idJ1ZoIZ9App`_D!)H9hk@)kPCaz3|zGpH?53 z=2a2^O?%jo|EVE49YBbT#dOIix9^QBe+b!iRtOEpc1kJqpO0v17HoN{vjGBX?8DCQ zo%o>#_;+2gF#a1sYx932o`HyvL?W-Wg2?rhmPUsD$66VR&jrS-M#fpB##znYf)aDm zB6I43b9mb97<0g{8P%EIoA<NQWOM4ob5PoW%oQ0l6?G&PD5|nzJ*`9CoRZzG`7rx! ztwWuhlAZr)wsT6hbLL8Z>X$u_n4eWa3q<(5BC2HZd+R&x*<G{{xBAEv=ohyO?aLoK zL(7T!SJ-ABaOLmKR(12MCx>kmawZ`k;?Aa8`BF`ClXPW-a^Y-0tmZrUVEq)N?m_^~ zPg-{*Bno5|OKJa6^1;?;us=`Qdn`DC)$G_)dRf99`95WqW@(3Qj@>-K`EI`cM}efh zkIxuD3X4i$J+Kv)UZ#3%>?5OhjLvti(TCTF9wJ<3HCMX{GUix4F`kC{wadZcmqo@o z0um|dWYL|q_ud+D23kd2@0hDa_X!q)s~H>Bt~#Vsb3t)YG|w^Ugp6n*&qxk@%EQde zr77?0R}WzxRJzB~Qo{*vWS`m&jZT?e+=my@GtX19H}mBM<4cUxxB3E=6>#F~?C@^u z>ugdGkv%@NjsH(e@Ac&)r#xQD8;u{Q(W|2TK=vE|{?hhUHmO|5s1McA!!^NyvtRRz zDbx%RAjS#MTepem-VP&Mg~$EIeP*m5qpz~hR9co4sI9^59%ZHViXSJKKmY}7+y76C z!Q=JAS|D1|8%+SG)~ll8!1p&_jCkQ)zW4sU&CJy7^KEq4$^#N5y}NuYPA8ADOG8(x zAv2|!AF|&Dy|VCj`Dk&{XkNjtvy*4SGx&j`kru38>%hAQUy8w2{y(kJY+%XCE$Sn< z*hg7mJfGKXg0QL*T>;>LIK4c^_{=&1N0aHTv}Ak2=cT-|eD_#s+z(;h-p2KQvyBvO z3o;UR9XNEo_sAfhdtD%!moGYiTo>A)CJ5^rr-OEz9>AgLbrHt%cz~oYjfPp5%z?-1 zq&|A+ZStA2eb@F>ow*FLdCS|Bc;wtV5e`Yfphcv;`ngBPIpP)i1!E+w`2e4m?p%Gm zLipayPJF_?h^AFEV#KvIqw2t@HP2(oc2UN~0WC{P*-;jUZu-#rdtQQh_?dYxFsjDn z9hrouu&k8rLnZCXR$}@3%$I{K<1mu9>y2bbPd4o{cSp}0W6)E!X-qAOJ?firO*yVP zSM&RJ*;l2Hd<k?SCG1=G;Zk-S+44Vlzc5ja9DZlhN}gVIlp(L<Se*_Yv2V>7J~Sc= z$!feBY2&f+&+j-SgYlu4C7l^VJN!*fsTkYmVbGy8n+<JYWB+AW{7vkh$7wY2ZIAd= z+PbLyz7pw4cR=cqUVD1Y@r&?7LF+Tuf#a}~0Qbs+joS3ujjhlt83zvAldu(lbUhSz z9PSmJVD>VS`dHq;ZcuS@DnGe^yOmt|oXUEjNGq%98RSd61rs7DbD5RxWSEuxBu2qe z=E9R}h$xci3@f6dVXl#HSO!%#D|-35nQ$48OK0%lCO(zc<UTzHizR<F*3Mx7ilAtQ zqVQfWU<m@&!Kh}(&{!hd^<F4Aw^Z&In_DtAMSf>2E|EijN=N)kpmdhADd6Mw8e#B# z?bz+Ec{Pt|AsLarU!u|8d`t)&2lC<W0FWIX7Ly6zPfF>omA7^iTPF?>WIle5L(S4D zXItI*8e@a9ab?S?inlY5#Tlbylh*=0VzJOXd;^{oLhVRay7tupEqVUJZWdTuR%k!| zNSawyKMjsR6>oIg1o&DMI5y$%P#3#3h8zJ7_+^ua1?~wMRC;A3&J6QV$_G0N9YfM+ zliLQO4q0+RGAy$hD@un@1~AGrGBF`kj$5T`j*4hmnuSj%mS1rkV?#_1u<UNh(#sjh zdk2(1DsEwMnyS8+bj{?c<rG(!UwZWgje%~@AUHdf*PS6Bh(^hBti_V=3h0p{;7OIY zX>G|90vn}ZJ!EFz&xPhmmbbB2+|9x;4g1Kgl<Sr7Ufj7{Fe&bZToC?n30q6)6}+)p zCO(YvK^&|BAxgG=<F$yjFMPbi4Fe=&c$h3(n(L9?9WGCBE}TA?7YvFl9<1KvHpbEg z>0}MV<&2+?V2n1R5C#*zm#_XMUZ$Acw9>fvMzcldDOsc~aV60r${(|VP39=BoiQ)W zarfmh%?}o-0q3Y?&m@_*tk@Q*F=s#<^KU!j6xt#jmTatPRj!wlw;maF_4*NI9TM%} zd)1mV3dZU+S5Wxb<B=8fO!fD5N+rh$Rh#_W;M<q+oOsU8uJwWY8D|83K9Jr{YaIvQ z^NW##^VQ8?_jksQcW1U+ZBI<@?riLFC>l{ItL(jC+>>qj9gxqj6X_ovO;hNB*+XY1 zcw1jxoFAF6P1Q`#5y@NYMpCBOdpWr<#_6v;-CeSE$%CZsm*Q@VaEQ*-WN01nUFbxo z=^`^8dktSNZttkx=KmDG96d?=A^slzB<(%il+Cg3>@Wj8RwcqEi{S8xu1%8sLpJ<y zAVX3fCNjL*P<zFQu&ZcOeX|AliqVY26;wpR)8&z&Ax=p!-5n)29R7Yb49zESA!HWS zxHn)~XF4VHvT7t_u>mGuzfhya)6<c6$j{JlDMReX*>y$tkwS>AMnN8KDh4bPgcNgN zC>U!fl5lB6x9<yu7`jmoQLSsLYOQODYBs&mmgKO(f3~enNw2fe5PH&xf44Atw@1SN zxm$g|bG^d;Ox9UI^Y4b=i8&TA)ruJvG1Z7E9Whml2{&S{`j93|RJL30+)%=+k%*h` zFVzt3s<Ep?mFtqYHgV3o^UsZYA*w38dRN)8;r_}gLu45RbmyC=L%_6bJ65iaGLixp zBks+iaJ5Vm9^pXfMM-D8V=%IkMq(<rpS5>^Bp7`hEF#3}HWnfD)0~Ab#X&?9XkJdl z_Yc6-6047){&ZXjh!EOr1ccD7bWHrHj{2s)6s+PKF6tk?GFtS_+jP?le+=C^X4^=) z0sDG+i*r#P51RMz1oyC*2O@77-#sA*@$U9)95+4kOddBq@N^zG-HT1qm5s1u@Mg)4 zHx0WgsC~b~9p=6z>S}L&oK7k{YcM}806kK56xWl$B!oW71I;5OKm{6SKy9!1K;r^v z-~&Y+T>%Z=_r?uq-U5jqrM@qP$PXZYDl+7I-uL+Ms&(IYywm$bQQ&`Bo!-5s$DT#w zriY%v<EHzbCiphHyR3zn9HCJHOrlApIkfa7qO@i81#Z7*$|@uzGJGsvRvv0b_4cPj z4h_3mKeExeCfAoCSLKzAcg+_oONxH;$5zqwGHL4J@=Di0@q2Q4+E7tM6x;pvVudR> zERtOt>5?DQ@_`aJ&y^IJ$ap%|G{&&E&C2rShD`Ex%Hvyy#`%s`yN1o`b5QP<K`7K& zg=PC)(fwS9G2-H;M4UZ@G@lSIu|*BAEQuQOXDE$V5_)EAwg;7XnJNDx^xq^k3!03A zf-D|e=6AojevjwR4}!~|aufZ*?uR~z_iYs7%tOv|_=2Z{+9rVY$Q&b3$Q~eZ2`S7j z=gUM<`-Q=JIy@G96;-I(e>f*c8?8C%SO#_&ll2NyDfmkXtc{$>lZkj!k}WpsVwEqX zaPlv6IbW>Fs>8i6z%1f%LpiTZf!lXAVnyn>fJMsHD*ni6QmiH#5ix%{#LwwP-<t4N zb0QD1@dK8AVa<@O<$&2!6br=tfi{yf63-EcBelau1&Ohi>#x9z5wO~XwScja1La7Z z&KX?mZa!ocM0N}@48{5-I#JsNa&Z7@EVXupIm0@h(|8Gq7AwR77k&cAA3!X*#Y{0M zJe6P%f)8Zl@C35;V9mh4XDdlQmlS<K+s1)mjNck~0?Tta=k~%6AIpg>#06-5STl70 zyC_HTNJt1HUeJ7Q)&M4z8&_B=0U0y$POQ^rnqhMVqX{rOnG_>WFLDv&ojkBel)-@m zGGl88!&=G{OAh^3Zo)YGCDg?hUsmB{@Fi4j<a}vR9ro@b&NO=2Sd={|{eEu36b15y zT*v}02s5}~Iw)v0W<}fV$$=3nE;vHU?lR6aX4%*}fVsp?m}{?zwo1#dBAoKeTsfBJ zDJNzE@N>w<D(*w+pK%iw1OCNcOoHafSa7dty1_C+^_w_?Y-J}VukVEW6DU8y-T75^ z8>wnTK!1eOgw2-uT2kme445isjpmXMir)k>MBvA(4rN#qmJ@rVg4a^jk{o<H1C0lf z%vmZvmdODrKQbcb5g0EZ4=lZjZ67vQHbVHIfu@dZrtB#0OA4-z7Mly9rc7RU2xZU7 zLo`|(vZE|hPW&7MuJK4JDUn-(W&jf#v}K&60a{lJsxmv2=<c7XDElelh{!Ezx@^!J zv>r&QQtodwHQ?(B_}ksXGgW1wu8%<7l3I}vfPzBAbHwFp3s8TDnJAy(yd4<wvz9X< z{A5x`vp3-(9j*AdqihWnk2zU37_asNdN3HQ{~x6lKuJ>;%HbGLS}q&JCj(V01}b_~ zY9$dXMtlZms(<gCHIMN4kK(|VTi^C!tN-1(SQ#P}2qUxin*XZ=)a;OgzerC4a_lHB zw<OC9G4E{eUxAE|DDfe(ETQxkm80Q1a?za7Z>nPXxK%JSB_r`n5r|YUFYrM#rL574 z;=#1rM20&2cx8^L@Dp8RR&lxC1O`g{R9^`|n6Q<}QI09?4R}bUDndw<W%7xiF(yj} z<JqI2?X!0-3G(Hgn5w=L@6Rg!Y<Cxv*<Gm?y`zUp)SV^;Jrzy)l~~f&*!~eynSA<) z(q01)DG%9E`j5y#JYoL(8A8Qh3X*?hq{1UKUS=AoejA%PY_4Qf1#)B5N=hP!|1}x> zhhxYBY7XjZ(WvBAswFf>@%P8QnL{{)8YyeKL4Uz?7zvMyTJAZ_$%`BF^Q(NP`IMNH zSu6Rm5GT#2^B{}l1U7ya0@rhQDzB)Qr_Ca)-f9esBn4)@et9rr`YV;saN!fboG6O+ zBLnh8Rj5#F0&@j=DwQcH_An`+!)uD;poK>>zR6Xi>>x|{q@Y1R)?H(xGOCvg^o$Qv zQE*kr-P91Fg{KnF<ml~#p(R?WP`D3^1`(4LF;gsHQ9u|+poQbNmdO2b426UMmSM@U ztQDL}Fr`9e{4}4VC%OzRk^N36mHRa*8{m((O-Zr0B7lp^lbtIVw{OTobC6IC4g0N~ z?T#(BX8|-D_42s_X<ZG3G@wS1_vs`XtQY$_s-wq<L1j{ufFnVVX|BY^5}zcX<|pq{ zK?2~tk6IN5yc?nf)$)33pSx=Q_`>!>|2c5L17uHRw+y<tjdB8rgx?}rp2+Q{bkD5j zdt$eI`mx`la=EY~lR$FVWT_b{uzYLaWgtGgTv_N%dN`wD&cSx8QGE`mVFI~Pk%tIo z`M_W@J)~Cw3(_GZ@25WovV{!NqA4>VC;_4{2Tn-$I>MvDiLUS>tG?}{^^l?&;7C(& zkzE`7)_g$kQgEOqHpOQg^=j9nYaKXQ6YK9YjQz50(52-wUlVHp7|+{+U0QduHL-$# z@w83crDZi!oimSyhQ2mP5Yh+zvUW>@2lH(MA?A5HM6@MyT5F8*`>fcfa*nNDAq^A^ z1haAuOFxNj=yO-F(78^qPo-{)d5Iv%YscV-w52HgVjOsyp~(C{+cH7&j2*!~QRW&( z$byO4`ebNlbXYm#{hl|O*O1N7o_<pQxhoFC-LqQJJ$YgT0jYo?+01R`N7NjGhME4S zyqo_>8)`nZv>S1y1sZm&Qdy7CiKfy|0hFj&^AAVXS_YmZH_V|%UgliOuMY<ITUY7G zs<s~!#L~5;h;yBo=$a_wz+JwC6)a8xYXhrpOB|8LZ;X@0F}G!W6+E(qQk9^O1HW$x z8F=KXn+P){@{&oMe#&&^KX#x@p-yV{f6n~+`@{hm>c@ngn^G7_^Mp9b7%zF;<P)wc z?|UQ%pu7(#XKza!0Lr}gkGY7(HyoEV1ruTR8rdiaW?s=Fg%WB#+A?6~&KyvIGrP^) zW#Gsw+a$3jF$w%)JkiuuDg6Finc%-qY>_|x=(PhQx^H@PqBC`?JmX#Gl@QOHCpDis zJ>iq*%+}U$7I<cC{cIt;{n5FR4D{Hm5pj|4bl^b8A}6u|UNgZrh+i}Gr2Wp&5rb8( z--H?9PzM5>I)L-~BR^8w*;4p`I;0V{WqQs#a?PgPFj6|;9SR2^h<N}CeTQP-p(p@i zdxvrX2ybpVd{ULr3d?@F@(*T*lj;B_*G3asZk5y$(~h;yGo!m~RWu{3O#=X_0T8P$ z0M)%ig#cuF&<NY`Ht!w14pEVRLb$3w<ecM3&al2IIuv(0h}V1P@Y1P|2fQbT=U8@= z8=NV#a2x&c7#n}WHZsKA^$3!+p*vx{E!$$=d{Df?O!Bjlg+whsS<JvnT@-n?n@M{< zRu4{<IIKYx4(d;2M)22y9c*MvCc4<K1a{MLv5JatVPfqpBnyd}#9?FgP9!_vN<zfH zzrjJV)&L^c=6FyXV>7X#BpO2g(%Gc(3l-|3f-+<*B*e;dv3dzcVI-_<bAXHpAQKA6 zD5(K5zJSaxIY34Skl9QEWV8U8$LZL?9hCronQx@%a}=_C%SDDYz1*bZq6AjcHNX1Y z(n^lhDB^gZj530QylbTg41(NWh8n>nZ+ckqB(*A1J}x`bB$^R;RZ0&aW1Q}`u;Rgx zu89(Sdk^+-tB@WL411U=UUH<dL(=_jF<m2P0Ic)z9y*#50-%W{1YSk&p|`tf@1bX+ z1m^FdUTy`_z5aZ^$*QaSy`BV<qx-_2A5g5oV7{#s)2kk;RW!%&O};z-G*{D4)E&xE z=<_|XXF!tD9gS>Ta-vGi#PE(4353BsT`_0C2O;0&Bh;D8Z5ecOj}{5#{(3p6v&2qp zj-{Wd1wx7Vo+#c!w?^{cLx08a4!wsyT#>woUgRUx0-;+S+=E2|Jp@K5a<1E6xTw@C z+Y>cV6cwAi+)HfHH7wb){GZBMb{QM@KK!<T)AWVr>?_)w)JSm*|Iyup4*cq?#+<$W zYqVqNyP57kUJaNu(T>6IW{Q7wbyDH+XUp4!Sno#mpDJ$>M}|{4csREHZ3|oAnjgRl zJ%rB<Ecrj3X-9mpg!`2E9j!yS2DqXa(vDZL5Xy0)&39AmJZPvlx_SP1q$$u;9+*!@ zFw>40(GAYhnf7IF!#KT32l=_n&<kH>&YzwY>Fg>EvMI6MJ6;Kx+ELEV@8(u-bY)Uu zhUk3ygy55=ljDy^-<kD1*-G<j|7T4nd+85?M>blW%qJV^kOhnDW*_Il5i4PNPgee# z`*VPa%6qb)q2B6d`Cdks^1xg=g4my^k+k3}jcHrvHk{KL4ZnbqlwiDf(*x&pGX26Q zlii<7)5%1-SK!DplYP+ZbYx}ej|RSa-P_kgS7-CZKPLkRG9_qH1VfAI;1&Cef=2uG z1o>?xeoS+mmeOD<PnJZr$4fC&cnkbk8N&+z2Xsl$XrK|`G(|J9j@6*$(!&F%__#W7 zioaC=r}&3j;H<|6pzj(0WcmYuH~@&A{QcUX2|yD7<V<5jEvRc+Z#?MLd}nxLt%YZJ z!mzFq*a>1j63gWXT%f#KIr|q1W&wx^fIM6P2(KN00_Ri$zkgq5nyJ)z=J2+uimnZC z3?W_i`<>P88A3oR+W6T8Y<c4H+0Q8vuYl{-5?I&wTf5v~=K8AaevB^LD?7(1LQDw8 z$NVVrK36a2XZ`JB;Fn?t<kAND-M8BEhuf?B<uOiv1CRTZYI^T;U7IeaVC1Fq<Nuld z`nh`M)%A^?4;K$VyJMWZN){W!hX)7OzfT4d_#qxy3q_QYtO_%3mXuDoid&{P1?Jhk z^R4Tws}B>d6eREmKK(lWBA24Q<L%tX+A~3xsr+lG*VBmWDXgc{>q~uJc6te^tB#be zSqR4wbJpbzPa6i@U3$@N40`fVu>205{IHnl>n)<em&F4;1}r!SbHe>lZ0PPsy$7mw z0yr`Z)BNk!BBSyqh`H-$b-HEC^M|d$y!nOLB_$h7rIVeL2jNa1JKI=U9dynkl|qlt zbu<6Wd8H~cMH@rMKHJ@|iV63ThNK6_F@~DN6czn{WSs+a9$okL8{0->J82p;wr$(C z&BjJ!G`1SsYHZtS-1wV3@ALjYt(ETVbIsnreP&iC_sY(hGcs@2J%mQleL0(Vo*jj$ zWIT^@S9)<u#K&8InwivKT)bH=pn=t&fm9_^36i7t?q4~mp3qA(VOFR*K4sJSN4^Kn zNaHEzOCGq*R8|^$Eppf`SRZauJU;&I5`FA37Mmrky2`DAKhD8CS4k&Y8Xpz;e0iA@ zi`&ZSJ@>eGruOW!1o6g(o27pF(Bn(%oqNZ2RGNg<u(1dgIPvh4kacqtd|DFB+-WDn zK4(&;L9wcA8r&X)%h$u}@cW+>I4sRhct_6!IHegq$ocrVhas^bFcSNL^X)C9dhxEs zMX}0gK{dA<i#G#Lt}H#?$qU=asDoD>n^To0Qq<JqC%gCZec0a^$8s_>Ig#*N7Nj$L zSRlF`6kMZDC|x;hpm#TPKAI3ahp)xa{ItI1U=~*y1XRGv)RTZ3clo9nCydSP6Nd`- zo?DdPBJQ3msG-@E%d;s=qy!lT!upbPv!-e8osV<SV83UJ=?N}9GTc%bPjp{e?0-fd zCMQ<C<vwpcy<%c%sjS>qU~*kgj5_ezc#0EuJSe;Q|4wwCZYUcYYqH^}In<cGMSxO4 zrd;iOskDB*e#jDeX7t^Z&cQ|8c{H8I{Q>5#%Nji21GjOCsEsYKE)cn>#g&U}?Ob!1 zulGub4IA@&kny^H8dx#PVZEoJNeTMDmyBXWgj;u=jsCN8v&uW{CH7@8vHW;qV({+P z;C`D;F4$gWtXQrkZQ`=DXZjiGWMHWJVKy48pkR90-H!NVM6dohHTs19D#QEKoRt$h zM~;T)C(X@6s@iO{qzmT>ipdSA3Gg<b)0cl*b9aM@r_0`+<oIKQR|9){`IrR}k6W-t zYb$5$q|UF2Wp^X{-oB5GxG0vA;qkz;?hB+YhhogQNkfitG;ho0tAECZ+A@yYej~l} zw7u!++`Xe2jkhs|aIOE9c!99q>e?&*jgX|TfYtzcd~m&uf<PL0EI1hU@_Lwo_ccmK zFj{oADe9+|*b~outD^v9>7Ha`6`AML@!QquOq%a7<3~4W_gs-C!zV69t6|-l8Y2br zc6;nDxuns6x!#-iHJ2iKqaDM~Z{hmE@!H(uNA?)T(#=31ZQVvPi($bf;t(Dm!jPk% zxI$Oj=^F@tz&#lzAHUIxZyN(^_*ad%(6e*AS{H%f?aO3H!Z%%pHfC{w%kzh|9;w^e z)t9#>anX%UZD04{YH*B)$6LSa$2%Y2cU|tkxo<ar?vxmeGNeh!ARstRugriimn$<- z2T(V7*=5B>gkxo)vV0B*OATECn<PENg)51t)59=t)|}0Wp9@kYO16=sjKIbw)(dRB zCxtA1ZdtZ3&alx?+PD?gYIHB-Khvg0y4EJDZ&pFi*Hd3+ZxfxxGe)5YxPC{uWvg5s zg&vR)=i@Y>jC*@}yt=?7^K$D>62jd|m6Uz$Ixk_U|9#LwuB=IM)hN~}o1#;B9V1n` zDDC0RLoamOa5m9<>3wJ`hQE`(KB_GCYHsp%6QNLqs&1&40UX8D#AV%N={ncnUH9)s zy&u9&Pr83=uq2<4Bw>W^v&Gxj)UyL%4e*UDumJj>)vmt4YS%Ge#f#&mB`#j&n?B1) zrPbX$Q@#G+Qunho?XQw+|5@%Tl9#{v{s?DW4pOdFnK+vkxJM6qi*Z^rLAobHzt;gr zPfHNJzn0R78BrL%f1Hw>5lXhn;&Bw=JJrhVvK!s9rKq;Mh9a!TTYO0jt3Llr^&0o{ zq(*3GV{oUtjAmHV>cDEbMC2kpTw%0gt`o^61Ic9^iCw+<O;y$6_h%yWwjpyb7OJ+T zk~X1|HaWq2GI{pzQ*4XrQY$it=Syj(n4}lvmdo<~$JqKCiT6(yD~nGCyF-+&o{7JO zZ8eHGe$5VLq^q;hC1wOgN)(YZ0E>4;TG^giy)s|F`g~GKyP9rB5pwl?<5&Wr4(_(T zw0&?xtL$l4pE1#wxF1nZSl4ZBDc>AFgGi(Y8)x_|E#7yV8ep>YIR#5h2nzI34a;9O zeTC}xDiZtp*M`0Y>QP{!?Y(D^fa(jO@yUz3UqTlh4fnSSDc-dXzi~TWz74&}gPJeF z;<8OmO>V9(ZVRKs<sCdwFxS-#h?*w8Kh}MHIXZt=GaL>O)ng}nq|2@~HW)3YGlYlM zn}$<V&^HlX|LwR$jvspT2@B_D_`@ZX^kV-iPV`j!6wiNabVnL+G;|_%9J>(f%fZ2y zB=@%mzxUx1L35Gs`fB=7xMHz2_bMvg(}#uaR=-Ib2EDxg<~R(r+Znh8m1?1AsNWbp z7v=7A1~5wsA5>p7=>D{*i|=Xxi<6nj6a8)U*`d;NiGrwx!NJmF11jAin_yufyAT`v zI>||WC`o+?NnhPX6Do7~Y%ed}*z^4L4Q59I*WzxB>T6D&k=yu!{ia+yo)akvIPAGk z_3wxJ`fYZ1Lj8VMU%(pnr^NreQDuI}_A`#|oc5?*Z0HZ)d9VNO<TwF~_k$60F9V`{ zL{jZ4Y-&di^MN->t%KgyJvP-qmj;!juf2{`IMjm}QX#ZGic3|H4C|WaL>(rco6c4? zCKY95V5f7-9VgzKu4*L;7!K`3jJV@)@P2G5Xzi4|bDce-LP4PBk+1e@X@^w&H>g#Y zL-9&r?4Ct^v39LmP3;h$vazp*R@hQg0PRskLE`t%BWC_cs?{nr+Ky>g1vT#sGaq1& z=+~Uqa=%1vzA8I|(@m1a*c+s!r(Yv?Vs4C;Z=WS!!TF*q{Zh60tezjTc$yl07w#`9 z_ntIXyK)px#LVcIRwOo{vRn+TC?5%&7s4yE_B^IE7@J%ZHi`Gnm!2ei!<g>77v!$F zg0Il(-edqd3Z(Wf@dZ(iw`@Kq;nd9L9S}*Q;A-v?xd{!dcS|<8X?AA1hgBwC#Wa&u zi0cFSJJvLAIbakgs7xk)f(+2j3o>&)uQn3~kCcIxuKo0Rgsaf92s+{E0#Svi)D&#c z!aut$|K-lC%%{OE+%xc1#1{gKF_eZXk`zNbYxjVOJ~XKI@Eq^d_xx6UC4S09z$DBK zT^O}AMU2EfI2U5-i2E*rR}T@5MK(b9;7?%;$tSBS5}c0krUL~mYD(YX$wfKV#{FDc z$vAdG&XuvWuD(#yAQ|m(;XBO6xebQy0kDLb<@47=G2uP?aV*dyNhg6J+_04M*%Ahy z2~3OBt;}#6#=yDe?HvdNSrsko$tEzfzqOV(^$5v7x2&{tu;6}UppUnfY?bAUTO-}Y zdtj$b6R$)MSm;GtLWh?rx--qYurmFSi)1&C07ut25>(pQ#65_k$>ki>2uE6EEzG3} zgLxbek@CXmYb?-wD8gtApvD>#?H<Hv{4UYH{XLJqPb-&~sA?OmAiJzTVDmOG)y};e z&tWKK5K5OX`}mWdDKml^V^z9CF8|lOFJz4iIQe!icybl3RmiidqzcOeui`Z+^azpS z^o@%(G%D;uJu7JjIEmBr{UnY2@rG=l1rccQOcn`a#_U=Vhg>M{{p1}vCI=>~wwIoJ z#J^CQbArzxmS!5!E6;U+!lt}j8|7tRj*0o+eM+5^m%HTSxa-fYkpInoe_amcyb<cz zI<hr~_TU*Yrd==(cGj4e{ca=?>RCKvJTRbHjGoAojOlgqbxE<2Wg<>3%B*<+L29^^ zN@9T0=3&mN^*mxnHGqz|IG;>I!C;_Rm(*5;^=nu6cU4w$Kl2;qm7CJV%vFr9d<Eat zl3p6el^#K+lx`n*T|_4A40bTc{jRT-eFtvPU#Det6mEi2+(kw<E4h<%cDP0SJe1Vt zUTDa56`Tn)X=$pGct!kpKcogSU4?7&ZDn6{AP+%WM`4YmlzdM)iHw$})7m5AE$(L( zmF?GMR7V=g1dQaIV(tOIXhpTJ9BjvjopEIhflBhul0gMZxX4+1;}4~Nfo&@DWE{NY zAXn51AT%%$@)GvJlAt8Y`GU%*=miN|*va7iCBhJ;WK@#mJqk21;fmj3l_cadB?EVr zQIU&LF-j70#goCsXkM346jd<F5^~j(!G~yen;0|8Xw=CCrfFbefE~FasZ^9ODra)d z$-9=(iY)mXBvl$DgYs2S@hcPj&661*m4HYNb%_2l<$*F*DpiQEGJo;pGVx?ck+Lm* z4CG=(jN+MG4h;Mv(0t{xd}UnppMgQj{85rBQIbK1%J$)~;qs*s@)q*Ju!!=&xu{%G zBSgscY*Q0`GokrrVlYjuJng@fRJxP|F;KKMB^)DtXxOUaZGYLWI?K0O=C=V4d6+Gb z!cNmjii&|8ug&&gC5buq-T0Idy+qXjX5I7TXTEj8iG0A>P5`Eb3Lz7U%}5;D?1Iv* za3dn!RAA)^7)2F5jw8vcXGHeWf`5ptwbne8w6hk=UjMH<bRLu{Fu3vc3Lt6_h{^~4 zT#(JN7yxnLsd@*3cANswSquD?L9XGn8`Mdt{K@~jn^b6K_^<4I$^#eufR;iPpKjp} zav|9@ExBp5$8R;=YqMp%`i7ec#FS5!#Yf-$KCA0jS*j4$AnT9B!GQtO5)443WBS!t zhNR9>V_Xsfe#-1?AP_c~;x&bZONV2*-QyXh7e19&YeIM%0wWcwSyDr=i^afdo(G4e z`U(PvlLFsZW56@W=zKJ_#De_P8%8cfAFLjG1xNagwFy7CQ{Hey9*%Os=tVFBF`6DO zkFi3XWlk{i4!i45zL3$F&=DLnfM7BL5OW_0l&9Wk0I~Oh-~tfZ!JSh^0D|uW5eFdf z@)+SY07N{1urCA<qDOENAG0t95Uj^jOLYJu5kLrQ00<>q)+Xa%Vyt*LwGTiFWE4Gy z!Lab2VCu&Veu5ZD31eR)w=kIk$w?O7OEbu!bixdUAE{QjOig6L#8B~Yb|0ykKq`d6 zF#n!l)<@-HAeA5c8mXnm3`o8GNJR=g6Jh|@1X6=M!w*0Q<GhALgn;WwtN=Ec;u-e^ z=@J7vT|EbF%xMFUa&O=Xw%M;^Qm<OO`$p|VXWA)?5^VCkIpPy#ytW9pS^10d)cHK4 z5(6p8(cN<WX(qmKbpbT+Pn^5sRu^pDPGRZHFpr@9q`;y&+!u7Q@Ef#t^e;1x)K>xB zbzBFObO{nAtoR8OA@KP0uwl9%;@w5GU?E=%#Pq|M=663c`RJ^Jp&gxLr#Zhv!X>Uk zYcu%>nIlQ-)51ei<m4=;tPA1eK*qBrzJ_1ao*xse#;=p6<~YLdHRJ|ldUFN0cn!N6 zBgKmg`Sh?AGcMgbC!7z<lEjC$2HD8E)zI4(+LPYNa6iU}dIq0=imhqJJQY}TU;s0| zZn_Ge*~J?uTxa(!Ug0FhqkWK*{L~yk6OCc9EJG(OB}!IMscvti1fJsMVEo=BO*(Iv z<f=kmIIGI%`C8BE+M~myJTKI*wt?s9=5qJgS#n*DuOA`(`w0%BNkyGSpNBlrAk;6` z=k!&33Cfj|D)?KYMUUs%X2X`~@yfMTignk_pi69A5X~AlbYLmwa_^{cY~8ys^Ov@U z2H<5|4S67E=>zyLr(Z^}--J>hR)ph)GU$Z|$)9W4xzq8}=RixpYm5un_+xc1vjLmU zV@#$H7ZkoO;nB%NNShKD2ed-bPML$Lv1^gVtAW_yu&g%_deuv_*H#J4xT8(bZz*O* z**i$-h65d{^MiY%OJ67OU!T5!w?SwQjX-1l+G~{83SGOh<-51_5VME#(0U08cFsX= z9$zE*-i~iI7MF2xKD43p0BuX`7kQXs`zwHUojzfhwijrlUvB!It^d8;UT`4Ke#C)= z`sJ_Yz|uQF@1Z-MLL5u56x(5jguDhio~E{5=ZM$5u}Sis8_jGiu9)y_Xk!=YU*v_I zgOGL<+4Wiuz0l|Gnw)1jeWIlhZiZ}~?rILsZNo)As^|SpTt@3Ty#iidysqKjqR)@h zTlUI+qng*RZ(xt43ldkq7fieASb+yY86RCPqE9V)wrqOb6$IXV@81~SZ>h12&a+=+ zI`017`L<peI<tPmyQJS6j`guCQR|PrPA-_<)A!~rRZh|z-_+BUVW+7i_j-zn7=Qw; zGP^mR2Oi&b-TiCm=%^5>FwibOd%kfoDeE+)3cR1khXkRp&Rhm&I`AA%=8d?L6HN!H zbSI~yb-UBMy)7MB&+})q{`7rb<(+R@?4olGv-O?q4YcW8ukIH%RZl*JIYPpdGZd;Q z`0PRSt5jL-BSi2;x%Y5+<Z)Z|c+L9upj;pONH5#|IHh7pa@~ZZ=v`zhIW7!w=m3Pz zmL|$I7>m@LGwziDS*RUPCAQ)pG)?i6Nu*$x*!dU94VIInF|$ZJm$}C1*l(p3%V~Pr zZ9P+)3n$tp^!yB+_0t|dD|M?2T1VMXHe6kEFcI}0zaC%q|2>M<MrD0dCKx@@=Bbwp zXS8+IwZQSPjHT>ks$H`@MRIV~t&Zo(ENe^C{Gyc#Nt?`_q@Nq|_Y!%gS7lY>C!9dA zhPsX&P7?<eQ8w1w{h3~$w>?EJL*C5&rGSfu!(CrudE3t2>QI>GeWGKE!S2AcJVNe3 zaPL14b3&RMPSO8A4|76<FBdQGo>d?BF?O+5)}%aI+WiK$sZXY>k2rOV;Rm<o<Yf74 z<eh!lH9SBjfigA5$6NnK^(0q1W5`gTI>HR2(6KtzEROLuHz)j6r74kY^$Ew6YzAq@ zodA=t3&lxErsTT%_YXfC!^R`N<}_p5w^fJe*ulgVrSq4Ut3{BbV12|o-KJTqA67(A zG~1yZ>V>mJP|tn!3fxd5zb`$<inprA%B5<7r}9H1&YR>rNJJyCgT!}6#QomV;zpJ> z)-Ntk*fGH!iH*Y6y5Jr16eTgz1sFOj4GOuIW7x<Eg+kYM&M1Uzsx_ck_883BaV&LB zJ`ewKs%23AJ7H*3A$XDPrkLl-RtbV(_z<4~+lXR;P=cHhvZh?(BV@%Nvb4HeBxrM^ z0Y=lWiqDQ=sWyo#PwYC`Tq>VozTgkb9DiCQIC1zEr<^3^62dPbx+>rAZpJLtobP<w z<mTn=+<-VC&8zBVKPWA(g=vdYH}jq23$aa0v&gVy@$PtVgUKEyF>O}T7qpp_RIT6& zXUj<-(Dfq_<{J>m+4>Q9{1JHa5m@mNSp5+=`VqMB50UE&F*ff)7@|jMO>FD{M&GFb z^dLVb7FsnFaazBRL)Miia-hT-)*{irg3tB80>i(8&dt99_rC(q?Z1K~ApD0-_zPg4 zuK{cd2fekE4uW9)zv_hFm?Tbc_e;{yU7}f(r2|_<AE_yLbPCSU6Emw6Y$GIO{QSq2 z+gSSpOziAk?^_82O_}gxCjZ%m;c;v?$e_}w$RbQ2WZlwkgXb=2N77eb`#3hR{PycX z&z7;9!_mOsAoW62KYPz)poM`OsP{ngn!{I=tH#N<k+a7b84-hcii+fRNqCd-JN6;9 z-UBrCJfgk<%0A`(?Erp<E`UR-6K%4UFUG_{l|a0nqwlkjmek~)ih)h0$tH~1Ej;ay zdftAGce_cVNjBn1wl1Ve*Pi?=g(e7vraN|%PbRC#CaarJCS3Z{lT@n#RI85}EdDI! z@NvGBM*cQ6=4weMQ^-WmvZ0^OlGfR(QeGckPO9|f>C!tqo(WG5-_eu0*@rKptri9@ zS2(nl8X2xNQF?Xge&4O?Qj-U0*`kmKtcK;#T@-$fsq{)iQLp{UJfES4kqD9Y>nZ^v zO%JpO#yt<q(}C66ue#!h8}-<OY|05&*4qsouF1qr+IwBW^+glHDdH*5;j1(keh&C+ zjnT}QMn4(1p&|h@oua$*h<f3zMxk|`__ASq-2{nAn8+vt(Y`R&HSkORDacBdX%Lss z>gxH5)?z>-tu37JiOq)5;mnFJyQG<tcJ83zPpIm8_Y9R&k`S0VF5+Vw??X!6OYl;+ zG5VvL6?#T73!4!*1y6@B^opkgtKODU0mPn+whv+_9qt)&cGSqFZjIf%uM6x7ebyRv z7Oex!cxoP4l~dajhw(O_ESrKU{7(?g0~qO2-TSnE<oJG3$_^VG_yM%P=>u?~liFx= zuVJm?HV-m74(_w=+1E0&BcJ7Vm=+79W`y~<-OqU^0CDRx+NYSZb-IRON7=pp**H%N z@oCny8@QOV4%W1~Z$-p6GjVwqOvM)XDqa>$CN9!Kn^HoAGC|8ZpZ^ttpxJG4xqBct za{{Q>6+iubO*>ypIQuj({yQZ#hn|%$JBFYqmU<Z^B0a~3k#E)9mz#hnkWi@(4E+lq zm#(kt)Qh{e5IA3vF%*@luWQH4S^gt)NARE=5$7_yGq2W0h09oCqhXdD2WOEw6p5*0 zyT&;tZ~50(Ftq;)Ef<(9i+Av<D=i?L$KU1n7z5C}GPU>@JQKh58gy<S2w$Mu&EmHa zxkaJt$BZ#A5s${}Z3ZcDk%PIf$$UqR?zbD{U!Fu{os>Z|^|ZMQ?J%OnO@r0Po1{~t zy2yO6E75<_R#FazrB;NPtxwM<Q67$?;doVSh8<PnQ2wJHXJ!?!891f0NjGFBz)ZuW zAs+=Rjfq|&nEB|Q;GXjsTsCgVk(XSbCT+e?HyBu@9sHOgSJZ>cPoBSH+a^yII*6L| zHz7^R<8+>?62Su91utjf-h9>li|(={w&LR8Vzt57p)FAeC!w(&E6sCQwiC<LP;kwW z9wYQkqokb45P=H;O#>yH*iUB*><Q@h^*9o+&C5|_AwtlR6kAp75y<wlcp}ivhtVY9 zYuBPEpcn21&>-yX3c>u(>)e8)%&XQ8Jc9b~%mcyqLQ=B1k6MnPUjF2iHE8ONpw9t- zLkl=wL4YIlp#+nMm1;4i8;s7;D>C?`wTgT=MYi!QE|E#4T7}Z<B*2ebYNcQLEICo7 zTE^1rfg?ZCqtZuG(xuZ!64K#u>UIy73Uvs4<r|RaUYvFh2V*A`;{9&T+K2PVE&i%j zv8NR?LsVXm!P#vzy_CLefJTk2)tkd=XeZ1f_N%!&Xi4iExY$VQ8!RHPX{qZJqNuB{ zQvpV&5*aWofcg9jiLL@M8wxX~wr0R!@4ok7EV483%pt#F$#paBt<_a_5foxaTO?x~ z`IDn$RIcA0Z_X23yzu$Y)U)sDim4ab7`l6FYw22}L2mbBq@OsSMG`?x6j-U{ZN(FN ziGxMZs3^%`0$2nSkf{g(r%nKHus)Oz2k91?d3L1x%_suqJS-)X|K`^o`28m-S$)bH zzySjswGRgpaKb(u7%9Y$t0iQAA4wA?MOb7DGU=<Z&*TF4k5H=RU$3XkDZLJw`I<kv zjRN-XK?*BV7E=l>Qx+2nDN`2Xz8E~9896t|8xmaVr7G!HtAn?rE;HIo(~6DK;*4J$ zXBbhW2+2*yB?6l!)K{lD;mT<nivL$3dan&;paE^TM}FVmgI)u9uWUGwh)^06+=Hi% zX!tT7LLfVq4*J^1SRn3!6fW?EPy-o#WF#<c&!3t>135!fn+JT{aU`(W6FTiM0m9)9 znQ4|BZs&wpze)<TpA2f;^`B#@I!@_dQ&pUBzNX4JrL!7Ocb+#OZ8XHuUj3x#*jGHW zH`*;`m$;7IST*Pve2VN!<A`{e{S*D9Pm;-oD}{StnBufw{>`u@{>V;We*|d}2`i@v zY2kNE#o`o_k8a6=TxJCxLuWTmRgTp}-GW_eRrX)p9s8?}KKncE)7nN)F6X=cMVPS2 zOQg{9Lrtry`PHIw%1%rUZEqwdZJxz%o|PMzIy_f*o!dLl-rFZF_t0}E$9lqorIejT z?AqRpj6l#^m?qCTuXU#9&Z%qPz{6?pyc7NQ!ifoh=KZm~<K_5~S2=eQ&zON50n*_- z9v|P=LR-9@4u-BR95Xz%z2>A$D;|SiOrmc_qMxG(dS42^RJ`GT&RJwjAu4aG1By=G z)m&vcR-qKIH&<M7>P$+?{!luOCfnfw8joq7$)7vGU5X<Fw?1s_>3AX|7v8`R)~Y$5 zlv$QJs=Pm!QHHD%B5IDHGGZ}SDy=2kVF9P0Vvf8dpPbp7If{NTm(dFZ45kAC$K$2a zX0jc}@IV07DiE-eIXVXftb7p0J_wVg(oO&Y5eVSl00OQvN0SccGOj-eFCT>8rILQK zug6FV8usahc{bwq+QMQNe~uz!Lz+OCr8ZQZPP&pp%PRS-$w3Tg6&z>{5Vg0;6opp( zhm%XDl9`4W?2pUxZ2133D&I<`^<g#hE=;iz`I6K4laHgoYX@7I<01E=V)UUN5J6Vm zer-SqH~bE31mlDcmWqbA0twFI0evv%w-o|ZNFfKQrBkV;Gl?<T3N!^OsHc>vr?3pM z*v~fr73id}z?cryAsHqXRv?4xltb#0IFka#x*F?1;^|c4fi&YSRHsU7ej+IqBB^vR zoaQJUT0G<-MT{ZE0}e=r<i%0Q;9BL7+9b}XfUzqeO@U5D0Z234O7H{Hkfl_RrP712 zn=5lw*@!|-XhKcKnc>$Qr@~=DM$3>>n8TRtwS+3^I0G#<Ur-uVW)~LpEa~1XtjlXM z7n-s?i(90ORVA3i;A_P)-EERTOBG~R1M*?@=Wn$#tiMKM25GN}PD|YkaTlo9&k-4Y z?pG3s&FU+QH<oR}WVt@?kQeI#4KZ?Co;%riF6WgCWJb=QdgEaa7a%EnJ#SVu%zZ>6 z7wCpfYRPpZ-XUhOarH}0k^+6T&`r;itSh&pxl14iqtSTS1Qf_w?#@f}P@|#Lb!4*| ziL*jjP`X?R5~92@)Rb-C3tmdd!=$SidV_!uQ~hkJek+0qbi;0I2%fP7@HJi1jHa<9 zKl)|;o@aXE0gaO1#_NtE>4Cn~UqhaI#zBsT6Q<w!j7vcC3pD0#sVjGPs;o~svhxV& zZAJ1g7`^Am36&!{(LeRZ1Fb7Ejo4Q>Da6We<4s4AasUL|1c1nL0+7voMtz|D6_^4* zy7vJ{RAeW#DF879ASCG@5QZng9{{A{17h<5X*r5?03aM@0A%3<a+uF}1VBJ@0LT`U z)x5#zK%B$KSHaU-zX@d3vcBL*;?0DyD1cZ;TA>_vj49Mau0~Ti^p-58UW%AVAF3_I z;Hnw>8ktk0__;_EK0QU7v60|;QO@A!H|YlKYr2*_OQ2RyucS+wDYLPL<NN|}Sq4Iq zPnbpwhimtGtAWDE@U-(L5d;3ec>1oZ$oqg${rDSN1)5~B^jTo<`F*>ubnkU_bVRAD z`*Ium$~SAjJpPV(R4qH9#oe$e(qT9*D;r#qC-KrvewiYp8{GK9iW$#IL)U3M9Xa5l z`y+T@=||`wNu6NGKq|CnZ8M@;VhuLe;*`4ZU~$dg1a3ymMG0$EOd}&a+{obrbWA<a z!-1V|O)|ZPXI?@^DCs|XccdoU)wRvqCDfyA9L(uR;FQ$8zo%kFp7L|RMAlj%MvEA$ z!$vZeUK=`O5l|ZRY#y5ROUCn3Gj06jXT)7Ja6-ks!A2*LCA}Yl^gEn(#?72MV#K<| zv^U)Cx*_61K;!{Y_oiwbJ;Ur$a%{WSD$ftW;`6!z-X$ez7NH75J*!n@_xp#tFj}g+ zw~ch~E*DAnEwLV2?C`ZDcPDpj@Q_=C|8e;+1ws6Avb%Q+0Zi;=Zor{a-+@^^Oq_79 zn&9<MZ!+=DUFd8EbP$O>A96Ci1F^t8u7<5+5tKOLCm?{_9|$Nw$c_O5j01oG0&yVV z)AqU4UV3_6yEvqjc&Bq5_=uZy?`mow@knM(|K0?wMDBQh6-06|S4hDOD%8e!PtG@Y zPi2lW)2FQo6pNA<nBZci_+mE^SN1jx4Z};qj$bG2k})Nc!}Vk*VwWHZt>E48j))Bj zLc(-)&dhqAAB{ZSxUS|QW)G{^5W!#-^`eOdB|A_Zw!4<q<pw83OordoI_o~2KIl1k z-q3pUNO{UdOF%@Oj*(j{8O#0D-7C=%3Er=4&zo!9)@50TDWTM-pxnrQpdT-@ZFNL& zSVSqH`iOa(2lp;;BobrCb7%TI{6-MS>x{>b?8%H@lkEuwIZQwZ2udG<BOnYR56gZC zksrb^AcWx^`67+ya!zG{a)~ewk^(;;hQZe_kB?*mvhq`fuz<S<Iq2fi`K74a_6p2` zfcN*!t<N`KB_h(dL6E;E>Ylqe<Oa6cKe5>6{;qR{{*lhk7`pWl()W0$CQC^C!bxEc zdZz({Qevk}XU7^MTnCB)=1(E7cOnOVf=4y>EKeL?!7tLf34S7V`<>rM>CZKriZ~gq zeEb@Fz|)K;iTvhTdwV1X>Ikgz-jz&lCww;a9J>*to#Q_!YA>`BMh!~PyNTT~Fa3W| zt{dPdV`BiS{`neH;~!KwfKs#vP?=rrL74xb)&P`s2K3x7R3f{Y4^;B83tB0A?c4jZ z*Wv+N44KZ~=Qr3;-irNjwE81RcPDG>U|~Vr!O<k~kuOeydFQ#}OTAVRd!R{Qzu`S^ zZdRSxI_q4kra}q~Z`@&nf2+S486EXJA%ITKBAhzhH2ijBc(<fr>)C%(aD;G}r>+y& zKS>sBxKFKkt9m0}!60VH9vNo1uc3HL@iCM!{Pi6eepkG`zXpa%hV0?Muto89@MEZ9 z_$w3`9xL9ad<^vr*+YOKj?%5^$I!&^R}e6iP`YLN7+L{u$pnTTO1F^L{1u+0&EJ#P zK?%ZDTo+i1zA&n$ve#yG3DsV=TMQqYz?F|>EZJ=4se5cRP^=U__-M(0nWdPfo=zKW zm)BY7x4lOU8Lcn+8c)%&x*GT+o%OqH$)NH<$cZC`Yor^Ma`u<X?<~hW8<=zBNfOTA z*os&fl~b8(@!Ev)uA?kuf+a4`nsQAkr1KM7n3FZ~PGr_8lwG;ph?J*WHvTEm+JCVJ zl$iaQX?;B8$4pS7*VNpRL4VP8-p<SCp^+u0r(FEoH~WHHXM^#8z3ZG?!Oqi=`m6EV z)yXa4e0k!m#p{XMQ`zP7vR&hVNDJRLZVvS<(}MSc_rv4;vnS6(%ce}=t)&>2psh3N zyna<F^CfRxkLxdWb9nO^W|v*-6Rx_la>a*~VwFwBe&c3(ZsSn%SWi8($8BnqcAJ&s z^O*F$9nG2N70BlAD_-00&CS_*=iD7X7)?_jZdNn07Q5QLZltP<P>dAhDB2QV!EWH= z-jr?@im@UG27akzKH^>XB!c<QBwJOSG)?tyiuu6!zB+sHJpVO$)VnEvua$Y%!x;Ld zXJ@&ZQ=&KJ_$Fkh<0PM-&tMKWb2o6U3s2fJYZ)Eld2F~mS2x|N`}8ta$L*n%^*e<o z5B_~Jk=^8V^yD(qEVZi|$>Mq8ro6GUv7CL0#W4>8cuxAq0RQ}cab!luvdj6{H`|57 z=`j-*&T@uotW$RlJl5HP9oM`El#1=Fu{vAL3(Op>P0hs(-<Ily8`JexpLv^C-^L0s zm(O<d2pc<N>za<Svzb|?QcCwf79{UtLm;h{UCwGsEHxH;AM0Grej2bP*C{IHx~$Jd z{(XTu5iUH?E?40HCQ1EiVTIY~%st3@-OPG@lSqp)sxlFw?#{pVP9M;Wtk+kGw2*ML zkQU9#v%-@l4yI8nJ1T1@881~@J@sUNv{zzjz#wdt^slr2!b)B2%DB(T+&Q59yLvK1 zXNsk^x$s9(#nm)*a0d;nWA;?1;_9c*q2Xsnl?|I`TQR%Et4<2tuhflykK`{i*fS7Q z2<M^a&Wa>pt=yS&#H?Zzfp^~=P=#cPg|W;_6z~L9Pn^DYhZ<9rv(c!1<A`<3#_wx? zy&@vf<aMb*ow>#EMUxSbg`pqqMrE(jP7N?U^tNfqlaTD*8v4fKt479HTs(jCo|#Rc zCN_KG{dB!|-gf+`wf?&;^Y{wXXQOu#?XST6=5yrZmS#lc?=m!sa@mCx74o8#sM4pj z<;HZ_ROd$8sPJ_tXy}PF+4rh*+&7!7f+A03*OTZj#p?Bn(7sE~M`m@5<Y~WaKR-Qh zb58}4<%WFE=nbRm!IQq(u~p5d1K;IxzZDsti*{}vTZ+{=vb~A3H_s{xr#aTFC&715 z9^n76y0?;_U+WJwx5&rC$JdwG<?A6rnMAKF+cu&0t%0k@Bww(z#KhS|w~oX}Lf#np zhddQSJG=L-sZNuyz}Z`!gR;*;+wD*LI`N3bFWX8e@MiD!evamw3H=4jTfON~qDHiO z@%BG9=(IRYzGaRe<z$ETapEVph20}WqH^p`1fyYFmFGc%PJj)q7&{VCXC@Y$oVS@> z7U3b7ax=I-RxEst<&*QPiYXSWjxA2k=Zzp{)XJX4A8fnn_ucE*89XN~`<}_3iRL2u zxp>#v4FBcN@qm4R=SF5De=dh7u(r#Ol9?m>Gojq?ayLJooX*$NQ?)0K=E3IL<aJ2@ zf}@EA;+@2oqF>#ZDUOjfOqKHmy)4V9bjms&nK-#i`S7_l)>4r2>P_TeRCKEeASHi2 z0e$U5mnXkn`k#aHCf6Kay4@pL{Cn%@arh1L^3Q7xmQ&#Qx7{;w^y@?b2^jqgEBSGg zR&$2RL0|^CwEq8<xQE7%{Q`DoqwrCd49r?4&syQTwS=JW?ebqcF){E@ue2t7CG{C2 zIj;|=ZI-?_r`EM;I$w>JqE}tBoB3X~9?&?Q2sdd8Tfc`Cs$H~nRmrPNfSwM)R1gJV z#KNWFii!l*cozyR2?Yk$h}|6pPJtgl<-u6b2K`}gq?ODmxVa!4O8zEfcKH42f^or( z0@}q;Cf1*0yvSq9|NhSP%8M!2(5-rnmhvUx3!K8Q&C`pW>xX5Z*TeUW$^~-^_inIW z3{9lQ1o?I*#e;C>!;dc+O5_T{AFo)=gewTxg@n4?TTcV)ed`V>{^soYRH(l>g?NO& z84D@m2IjVq`u8vC;`)QG{Xkt*gPy7~NA!9|)XtL~{i!pJpY^QM9B50y<yK>}Ut|XA zV$6h4$0>vj(ad8~6@y-+Go8u&^0`^?GpBT0K4;KhF8h#g32Ck(PNG+C+prRfYhEuB z3Rx4<LDq;?q9C?%>E-nq7HX_voPJPM@@8DKAI07fwR=2wxEz8zS%Ytdy&{K!Oo3B* z*w9qAc9*Ht;whr8j6Y^xQRgPKn*p>-G0I*zV{TipO{DX>3)ec$f(hu9v3%5ZJcIWO zxaeE=Nt~-j)^?4L0M)f{Ma!-eOs(c~>Ah;^WiLiTq5Ge|i6lTkHI(4Tbn3JJ%Hro$ z%aHI)Zxq~qZVtTbciVwZVf15k*62?m<kK*1xqc8#)+l=EKsHIC^kZaAHyPQ4lfZP? z8<LCH2yJf!_i%XD`ugnc_FmaagRZ!Uba5Glx51l>he!z(IE(DS{_?c{^y5$9)tBAi zu&9u}puSh11(-oYJDn~E)@ommU#T&scGrEyebXgx?+1i;oY+|ngIrrjyWoU8yr$KQ zq)@;1Lx#@my!N)5+W$~!A=pO3*}=saI(OHE+%^BPw#g{!sRg_%n-9;%Zo8KAikWov zz;JcJx@Er9(d%X}g>q!%o<vKn)+P5_Twg$W&Z63s8f7u?Nei<MclX=*+xeRgUTb6H z7VdUWkH7=4e>+R`I@xD~S~XI9)cLxXmln3u8^QIZqN;Rdx0$tYLFd^vhxM&iTn=_d z<a5k@uFdMZq%c&G*ZaFjw|Tu&tgN>+DLOm9j_RtewN0IItN{WVuB4#|PzMbKZqytZ z`Q;w(1)J1+Z;B=2*N!K6r)AQsr6(&2OygMcL05(4Jnp7Qck2haSYiStE7UnynXP9T zUT`xzmTyZK(tJuacX>?RNO(S&(wJM8LWF<%L~~veAeuFk5ngJh58I7Fs!~3K@LAs? zUeI+judO0z+08=wE*-5eYxo;MbdG2x)qfgNT&1uRp9IxDFZ%=>Iv>*TFV{f_pdHH` zaQ2N^pZ*#YxUHFjR5c-e0!VKwblvl=AX{P48!{OX%_{_-fJ$Cw00;V%!j5PH6u+-y zz2=TV;Ufb72Lwl`SDS&+n#oux+@N+-X0v{~$(RM)pk`BMgMOyT7&Y9WdQ()*T%6^z zS>%afZS&uvgH<@E$@RC#*P|d@nN;acV@+n34Z`!7p@P~cySa!QLpyF7F_6_~@3~QG zqZ!KG%W3j;1E{y^QSv|~#OoGgWnQnM6wK0h-a@HXu+Ue%WGQecxJ8C#8Ml#U;B!JF zh4I9XZ~=q-81@M^!n=w}lu;MG0MreFFP=-(gqeL%dhbbhjS|e#FAL;u=V%~uw_tZy zU(Oh|<bogMU2Pw>M*{S5Mn<Rp<lXBkAHVe7tJ&E}ymik$i(xrjwDhf>oGDIjE{8sV zIO{qWo*@IRH30g3F|@`Tis1;7{6!Bh@cMIe?rG_B7hB~Yx2?dc#f$e9*1XLCxK@Jp zcdJ=vUm(!)=B-*rspXtO{u$c=3!RY7(?OpNOMX1}FGE^@qu{Ti!FLTVgG9B3JtskS z=EL`jteTM<r^ihG=PfULkk62tBIw9GAv<12)ab@?d4CB!VH@FEOQnJ72g+nmjURyK z*DHG?W&I31qr=z~GAkwGk{WoJ(x4fhRIJ6wB8RB*Rcch<IMsl~ml?deImmg{SDr}+ z$D0d={3-$U^H*CJhi@$=zsnhlKf&$arS;d>>7G33iC4bzF*z)D_WIMZ<?iaxO9yoq z&gfZ#&o6&0p6Eaqw=a51wy@Cro11q$o6%7qPs=oYD>@m<mJ#%nJ(@Chip9A1=zbnX zBQR{ejvUqOofa&^)0lr{=omD!wzX#Wb>~YtxBfk+T_VBiA}Lt|RwyF5YiD-h^Kw?` zQ<Y-!t=CN#DMaTg$2NTG(KiuF+zsheetA_Uumcy@#lh}6*Bo<eohl8=I|!<~?$hO9 zC-)W}_gON8JNwQ)$mUj06<v3m0|U}uNF)ankd0_tk8XB%eOkCbw$fu@ojrXT8vbsi z8U%k32>M4s+wr=t{q6otsQ}25<PT&;-KQaYJNC2rbZmwT8D2C}IQxoj_DAU`;Ku81 z9WgUB28lsg_|Ji;8r$o1Y~U6Qc1ir*KMaabT9M&RA&R+$ZLq%gg&80L_fpRHcnA(r z^?wn-z3b&d5gO_B--KOy$_i|oRmX+U_$K;8YiyHM$H7n(z_r5GS#@j*jc=qsw8U0f z1uZh|UO995946w?99DH+ZljaD)uo#H>nr!buMNMy4+l4XC4o=xwukKXw7Uaxm$q0B zu*1_5m#B9S9!@RsCge|l&bstARc<o$%pwQQ%33ZM1=``!DKCo`&03Pe^WRsK;QZUl zL{V5&x1mi8t?|T$)guLiSycB?M$bk}rfOiWdGp9~xu>wDYX{~~^`#d4DUur>I`?K4 z@ZS?8v`XVmXBRRT5~Z}9u*E2BG-L|syq-MnAC1;i@N>?h{K!aCrM8)WO#MJFro$m! zoa{Fr^;d{vg*Gv^j+^Jvq*ggKN=``T1DxsnWKmTP#be}9*mJtiS=xQF;rYqzITR8; zaPpWJ1P#{*vq>OE>aq^W@dhGS@#<MK+!#g5X~ls_k~hj}iUxN`O1K*D5<i8oX&UOo ze=Ai{{Xo99NSNFHWU_rBfF{T*gToZMPpyW7quR@jQK<BzxHk#*MmbK=A{$nTrsB;& zlJu0X)EQbR#5HE;CW$tJBG~%}nF|NMMzhycaGabHWR!u&sETN4GLsy{aneC4)6Wl% z@;{t%zqFa*CpntWz4D`zsZH6b#Y2Cb4)2{P?M4svQs$j&n{`Uux&^a(>^j<MCIaku z3a=8bG$Q6P;t;%bjF$xjOugB71#kE)mnGQWmF#c$Jj<vX!cj_Z%7Nd^prl@XP^erS zl{<VM&eoL~jJUl1j}K}^=Z}x&Z{i^duGL!DDpz$P3HI?M+C|WWMbMPPDb#N?i5r<a z)sC4Y+!81oGAJ8TC>wGpyy7Ub-zSp{35tcyB*P1Z#U=d<`^6;P3x&nR?djT$3Rjd? zlvIMz*(!(&hYb*jL!hjnsRh-A#07eB1tXl62}j7V0%f6L<2&nOvSAYU`u(X*ljz0D zp^rwOWULfk_t~4WyFP!`_>Gej9s5Iq@A<IZQ!Dl6kuSE~?69nx>KdNs9E8L3^(NzF zoiC;wi;_{d?>;*!6O@k6uZsHMFeE|=gY}o*MR~@_-t9S;HT>>45(u3x%-`Rq%5J_- zZsda7ywoqhIPav)psSJ4N<fYvDp|3MV0&DE2kB;KPageb<zmYVEL?bfJg)E8Z(k}q z-%cHiba)mK-9h<E5JMj$ABom`u17J2S2lPcg_29nZBHbp`CGJ#w&P}qXdErcz!{LL zx2}Wxs+e$HZ=k?b9Q0|mtwI=>xSn0gx?q^sPudF(S{1?d$x?Hqy%B77izGC>tZ_)r zqD)H)&J0m+tx&n@=w|P1h4aia#EfgBoLYyd=}W!5c?{Imc17VAX4ozdkAKf!-5%Xt zH7L#t{tPhDf+}%7VNI?=sEK=4=I##+bk7`UA{lQ|`CP>bsqxAAEB_ioEb*5h-<Y@v z%U_hCE(PHE=2+4skqBTC#<klZ-{}@q;)e$#^My=Nmy;eSnqfaPCJr0&7=5Q>!zPIz zc$!7ksGy_=oGH}h;5cb2UFS?`5<JEq$k;Fr@yM7k3h~J3Ak4&g>-^vb)VuXp({^V` zKaG_RQ5P$;gDhUwiUnIl8L@l53fd$(Mcb~#)Qz~b2{9uVoqOP95tG56x%rF86Ki2N zq2|DevgJrb(<?=ZwCV&(yl@x|Q4f;rZ{nR+amcW`0<XZUyQyMd_<SkNlEOT<&fSt= z9C&x+vSHql=ag`@QsLlMz|e-=!Da=%u57ez^<^*+w;^^?Pcsb>hQx0<LD8t-CKdA_ zN@SO6a_Q*hX9%a!^ikv&wr_qG29xTnrbbaF@FF)@N^i<<5nsM=`VDlj{cA$HR41_O zuKXdYFpGo&hxJ^UB%-4~z+W(<3poCZEnxf~Y+5vP3N!kIUX)bn3x=7g<6DH6&A$fL z@0^1isQ8U6{KW(+aZa7ho!V&kMlG{;`cVqbX)u2p%goyOZKgM~)_i;rdkj0`fN@Zg z#%~}!J+o^^H{)N^tH&iV^50YIA^ZB@vxwP=GO@xnvGxYe;lJ8{&&+Wlne6}Pv}Gi8 zH86cf6@IG=lCb=5Y5V~kyevRBqr>%a7_74hU}59+8~D$3OohmjyLQH<C-oevW&SnW z)$3CKnNNPo^8cRCTs`xkDb>{0;$Uh~E>-;KXImp{VpvJ!5%6uc!6u$71i9wuS{Yey zFEmS1Bf^y#A}+bVDHLnn{cIF3A>S*d{=%Wn#@&Wsy=R{K@LLYdz21d<o5l5`|5Nj5 zd2DGfClgf}xjZn>KC15aGfoq$>5nU>{HjFm1NrW}r{Sk}2M3<6{7*u1lo{oB&=Vcn zWjqwAi*Tcolx-pm8Co5Z=08@PI21jpXT#eAY`vTh8AtxyJm`c|BDGG42NO@A=1^Xs zy&rH3*zr3iwv|Ui$rgGErn_TAPN6`Yh6lO|YcuVID%3GaGQ<C}aKu1@HX856jx=aS z$S!v$!k$2dgAMf+geT2q?WZLRW;&Xgk_$HgPZAZymd+nb-|v;6FQcRMW$8jlSaZ~r zQ!Ny*RFFL#dHN#0FVV2^Z3nr6+e9@5+5Q4Y&+pF-&g|#yMUq97Wty2drk9hw-eJ{( z(BSPwS}f6~vaje-rmvI`LVU!bD9Fm<B8lhkvgcvVyt$+-v`W1`afm@N!xhpNMA*`G zztfKs(-o_M!gb#e6|tQD@4_&R8-(cP=PQ+`QBT{9Z%7RT7a-{NbptGS{aE)<bfrq( zzR#X6EuM?}=cyU@4OlK!{*|j?SwjvG2OLE|MrsHZm(1Ab*C$@{pYEP^ewnzG;yb8K zytQd+udBFql`;BSz16=IO-`P~nAsp+YD79oj&n-Wr*MXG6HD%8rSE4Qd|Lb3Y8KTJ zCV}>cZJ*kQzxH{K2)XUV&uyAeW-&=|mcgpITj)}Zur<v5Xj1>%jp7p8<h_S-*oGOy zYMb0iTy~`(ElM{2hhY`~4EBfl{9#Bw4CRNR`!N3;WfPzs$rbXHMyw=@B<IZ6^$Y|& zu{o*Vb9u>o;hx9TTn!2M3XD8%VX6rNVYg%ini7zoIZ5cna`(gQ@eNW)M>C1O@Zu4I zi7V8*M-q!X<wX^S>pbk=b1S%vl53j$QUAeDevW&mzf15+bWY$V9Oli{$Q_EkMC^ii zTv+w~oqLJv`*AF4A1|m-XwQNRVLX(VwX|CTHaaRc3w%@6Wf=F8k<n9Ne_VWboI#CD z;SvQaTXBw5C%)Aeu6Jws<n`oMGxi)WVE3>#Gb78_>^UB<$F(4rFP;z9(mPA3t!8|{ zLB-X!!#pQBuj(BCujoJROQ^%y!mB>P?;}i^ggoL8Fo$g@fGLC-Y&-1bg|Q=hVPg^u z5qi3Vp@ahxdVW}Hyio4$_jocxbu0(gd^ZJAf`FJ+QPl4SI{gaYH$*sFz~w~PsbcM& zKaTMRObQ93MhIxnH5{Re*0O^aAg{mUjZ=O4k?>oAu0WmBl1h}BiaSN2Vhdq_3NmDf zB?6;84|^c@kGg1WU}~a*{taqvl%YHpVD|Gfqd@V}V@=S5TEk7yX|eW=(U-u*=?bvt zL;zF^6=gy9LAoK%z$XSvEoYDds-I&9z1RcDPI$;NBZpKm3b!%1;R^6@u}0`T?E%;W zHGeonr~B~!M~aF9)d@o#p$c_D8KUyUGBHL!^2`wzWv++>;@YT43-}jRL|7t#^JI?L z5W&F_N%xpBLdN<^-x{nS4QYTr_u4!i1)56@Ttk+K<bqH`^q^iNoB`MkdEf-xff@GT zMJT|-0`sSJ=fr{7Y+K8H8UrFD9a&&I-C6`V{r^3wm$hZceH7rDXeT8q{Bbfv%8C4e zsWX#+55J!9X^(`EyNO(*2d|7A2b~~;LhTPrk%aS=m-P?)IT7n0+AUOOKlUiW*-8>> zEF6JLW|5GC?EWW$;=&DK<{b2shb-*53&K=N__MZ<TT5vF;MYW<hhM<=#&{vOCg8hE zW9X5E$%Q$>F+mI;{Pfu9+6e9p5560Aepqr#(APpQjtwT^OB$y`%TEs#<``nQsueVp z7XAyv0NDiL6WYiDdCb>}c2;C7Y*f4<+|Uaxr0>y22tsZZVf}-(p%_h36(Bs1qzP>B z$AmF#@XROv1l6b`c%ipQLwKRsj>FtLO#jsAw--8?4rO}4HiNM=R&i3N2bowty`;^Z z{=2xF3pLi#3aU?LAP(+NXhIJuUz8H0*xh6Ar1<7&J}DnQ7za%x#2KYDCP2NV-#o(T z#~Hjay(dlyIxLTvIOix2%Ykj1GNFf<_aM(X^ZgU*n80_+Mx-oIL{a|681n;M+5{#t z(C}}rOKilYK1b;GOlg)`5TdA|!k=(JssBgG!{1qjqR)aRs>Gj3NT4HS4rY9zGCqC1 zDxzFj=i=?XNJ1wY<J)1yHSuXb{_aZY!9%`1T!)azn%GW|Wd<%0TFW(ZN`3p~%R^Kh z@5Zfg8)>_*lirwbOB)h%b7HBi>}F?QW``%uT!N|<`SAP&LU0x05({LEr$f!Nrv}@6 z7W(b8Q8Z>wbpst=IoK56BJr;@db5WomQlP><HP+L&>3%aVN3g;3}@}l?QdBu*TZZx zJa70-aG2k^JY65XN~Sik3<N2~=2zW*Z^#Ug>@ktCQ4r*VeO5<O44lvfr|xleh5*bY zV2aX%lJu1x1te?sQ2|3v4H)8EBJw3xk+=l-Z&N;c0+My=38W{}ulWL!j>0^xLPX*c zF(qPd?cmfSD0fGWTSVkI&bd;*f|87<;z?&5!8Kzz#!yT-^XX`YpYR;2V1JS;hIBeo z@du%1ZzdC3LH=ZPQM`vULPJe<#Fkf0>le^4_z7+jvJWvj7%7RzE6=vy0aKOGWSd*T zH1A*6iw-sls{}_yD@_du$^LaP#Od<wGpaC2(O5FjQNhjP(2>C<;?NQPY3fU<zo?HD zFp@G25I{oUX`!hs)dl!VdtgCF5mk}p18V{s9OrKMzQdw|30Odz`y(TOc)(7_l@Tom zHk`;8s)lI_@V^CN6wViuH1cAU-jza^$&EdW0PPZ5iQ4i1qXmM)g@9J|_$cOLa&ktY zUeji-vJGESTV*b8^I!}{lTL{z-^IP`U$#F2IY%SMmMiE{2jVi4h)^P$ZOxBx4TQu} z;xXdRJX{$oZDb%P5c?3yu@RFAJ@Ni8*4{C^vLIL+jcwabCbo^4*tTukwr$(a#I`4s zOl;e^d**yN=X@7`?w_^0>V2!KyVu&!vsZOj?_6tP$<TWrUce7<xRW>c#+$<bfuR=C z5SODE(hwJ;kkAn459~!)R!y(VD`_JR?{IK~-X6}5nC%sDeN=Wpa><;_j%qbkTvmHB z98862Emjy-<dq4ijV>0gjxL@a+$%pg(x-VQderh4S>jnke_AVpb=+6Q#(!8=Jo2OX zx|IDn;)`=G`@pYKwQH}!Ct|Hx(S7R!tPJA!lvF!D{+6U@-V}C<#L;jz)<BEh)kcQ{ zA9ARpv&^v)HGzfXCEhaG2mDLbjjKcuith6!mZh_JHL%t9A((myv1%YITtEXu7|@^u zG**O5{jqY8X(i#n0JK_QBSlB}3Yf%il2r&p$I<G*BaK5a3#X_|+@z}Us(In_*n$Dx z#o%bI8hV1{j$$G-`INXwPk>1s^J)a1zZ{1E>jWtF019`2BH;p{XraVKn~k;ZrsCEo zNs#fd6P7FlrTF`TBMK=^26&bt5Yi=$QH4?P8UMW;{Rbu;UKyPKJ-i|~{(E?Nu>ZjB zNzJ!Yx6tQ@AF(jx(=+4cVN)~3FY!0)&*g9Vn+jOpf9HHx3uB3K%>@!TfyK&j%Kz=# zTosCQvu$kk8BZjg!!-;;Iab>3mKN`lr<0-+i^Vx)v>hJ<EGTUOW*Ke_2djz!?qtw( zZK^Nip>7vFZ+{`N702JrP>iY1RV3TXZo|Wt2^?KoK%s?GcoV1by!ZRKf&DvJZvzM7 z<1%spmFr1FhvB(8DeVA(8yr#fWVif^pRhriE*b4eEZYOgxr5p^a%X0bJ(}wWSj>kp zo`n~f`wam%&O5)>^;-ul0jVX)c}$N-eO8$6s~pw&!Xo|f8dE9t0D-G7X3R(Yux~mp zk9dmM8m<?MzFmw$4F#0W$2!{`oMT&O7mtoXJ7pJ2w|rHvqQi*gvBCh3WCEUc<#Oo^ zdVV+WVa|CwZCMiVBhsZ&be}wm2cI@0fhj@(AGJm~DdSBcI`E2MXtOl9ias;E;0AU% z@R(>LbDFHxok{IXO#X!Tz$y)e3$iTAGM#@`=qg<_z7h(y)k<)MMv^oetoh2kV<4OS z76cibH&>1d^Nit46n_RBOv@C6OI(GIm-mI!E=ai#lxRj$IYDUqqrnHucM_wE4YTg< zS+5MPp5QSD5(cGjg=>@QX5oor#|NMzlaO59(a(}AiSp|T=O)z~Vp7KUjsl*iUt6v% zoD4k385@&m706!LXWI%W9o^nVODE`JJaSupL<F9C-sL(o?i(8Tl}l2T-;B3zSNTgs z7+|0u3njtiW;(;?yQ~D50VnxhPbxnzy~p77vSeff_d@y5JkzZ?iD068TXvXHKc1KL z(gyTqLFHt+pozF)fFsSN#QM&2dWjcou>RVG8U!7|i%}a<uhd^~1<`fYUasd^TBTnW zMpP~MMX4r5dPPSeT9T#$*a*35kPu5xB>@V$aNY?$M(evXN2*SOz7LfuDIyvC6Njqt z$W_JwUKvt}-B@Vev#uGm5DdnIJ_rbSuR@3va*QmuK8h6$G*8Q4@vgaDwYoR}?-IJ` zS-_7z?b9LS<^<T&Nj?_{Jsui#Vk#G7$!mLY$H0mnwkVqX3Ni5;9ScF%^N}|+)zBmj zl!Og9_;5rQ7S;Bi|1W1j%R3bC&~KQoPfA})`Xvj$1b6gdLxzF*;HhMU7jsaBh=CV7 z_rswXOK<~iX`t%c#!Zu#<xypOb5hPzt70p*aF+s~YuMSm4zY1^+wl!b*IgG~(eNQQ z_@(0CRln2)92HIw+oGyYOI;T)R!{HFn_9Q9-J;?=nrNYt%UqKPv{`+<1?Yn(Ibuue zA*aM<)@VQ?=sLlK$f9d}FrkfLAH@dNntLU&vO3V9jV6x(QgsYKibjGqGCC(Qus&8N z%O;AtL`Q+9?BOEkp1%+#*-1VY<$#L_Rw_i@WF|wAjgWO-jF;pT!q{a0E6pT7e}}G1 zKK>3}o4ocN`Wsy%63nj%Vda@;Yi~40D5I068>2}gvZ~zv%ck%Kl0>vAU99}BD54P> z$42*@7Gi>B1`F?&pU<U5TT4iC-^3m}9info(dQPT#b}V7#5JQ>ffqE3p|e*=WXhW{ zEG$b}B$McB#4>FyzAX&>uu3S^*Mv=t9&Fy1Cn+syPUUSit^hI|`EE6?2t0(Bx{_D| z60Y&BhWrOO(w(;E4+L_<!yi`T1!O<QOd{IpfYZpg8iR2$Y{ug82IExYfG|WIiegy_ zug-Zr`koe#2OZ>s{Ps&|T2L<1bu1y`h3wo|R53dzG2+}F1BFf=gh$T<i2^*-nI2az zLbb|L@9~<fT)|ch5`Jk>>R!xiajdMwEY=Lt7}@3c%;k@DMzq={+Ty3$d7cgB6m4<0 z7@nl-B$Cx5OiuQ=^ndK_;WRedGHh~JpPbY*xz$2fXH|-_R-(?ck|E2ukYqMSjLB@m zEP-f`hm??ZK(H2pm&ZG535O(CAkieU6>s>9Wom<}Chg-C-$ShWAK-LA(4|eV>Dz>8 zgRWpyemDQr_Z~UD(2(Gn5w?8GsJ$?=ws9--+WY`xg!9kn_Z6gdPy3u1pq|Vqr8A>5 zap2%>pSwmDW8$q&_j2w}K9W|-Wl6nl7bykJAFLFLqfckfv6yfkQKSIoHl|aHM)-ls z*Qr0@QcAsw{iEY|N&-;lQsdx)Ie^#*Ai9nyveTCs1I}J8IGqPq>Sd96nNgoOs%3VY z?+=YgFo<!Fqf4jHt%5M-eXV%5Cs+u~K4bNoDbp}}HPKZ`V=J~x(QJ%+DGIvltTk?Z z_8`TFQ!JZy;<xEkVVL^GoYq1EpL=J4)TWw;-><H<->6#B;>2Imp+Y+KOM%`>C6oJT z5sjb?#VVoQg<K4xYRYNGjDQ#G{=_%BU-Gy!ktFD;rT;Cvzdei`f^`1udn6qH90Z{~ z#2zpm6Be|-nxgS_0sC`23l?I;fX_F5Mx4R^nxhWG*UlDw9=!HAa*84aJR`@ApXAN< zC`t32u}mEn2hY=0>EoHcQkvYX=|<JInq4HF9jIC{;?9g4d}>MOzfO-$<*eComY7sI zYV`Wq{fg_zzY*cA0z?`8{?-6v?H|?Rd)y<ZrTBDfq4Cj35zFS<kWUJ;%Oub?Y#TU< z$Rrj>^X;(>ofgQjtx{w@-x_dY&Y-F$OI7IBRTHAE{_;oFH(8^}HyHntap&6+2sF6W z&Xk&$tv4ja!-p(cYXKemHIit9I}Vkg9y8(+y)3h#-e^N==|Vi^{m;BPhJ1s)5SgR} z;C%aL+o`2jQfs<3#@r@Z^FQ$|TFCAS9Po`?7THs+H;!ZFAhrHCTEs(&0vPCOe-r1C zrA1n!=TvJ<aa}@yqmVpgR<&)J@+fk7is+MNpdMm1hCmFzd*6NdbAYN`yJGB3uSwZ0 z_gL=!%vue}lp$5dg!0wNjmedkYj;Ndc#RUa{x3EXn9@S>t+sPPHd`f+bbQkqX6({k zCV&Ep!(dU!Hy!eX5&Z_>C~O2e>+x>zw_I37dg9YJo>JMh<}^Z6Pmop8D*#)P*I?1s zH@!dj=VHO=S&!ZNjn_b_6hPs<wOAnW0#GRU4N#<A8vA4a#(#1??f?e#qSn8DyhIXP zA0n>QgF6!&{L0EVG@zbF0FlP&nkX1*n5BSO0F{P?k(keG$7g!hbXyhur9(a;0QLkL z5x@lr25@m{nCpr8Bz6IK29oN*k%$-se{q>gcioEx#z5tJbotoN@O$a#CpG~V5%rWj zRCH|4gxv0%-a|?!sH)qhLK0Bgg*(2j)%SNq0~KFgW#erz&&aX2%sgh2>WSItNVqA< zSsD+$yC&&im6r^KgaGW52r58u!$?4I78+(oQa-l>KyZ^C)dNa#Z1;rR^IL%PKKr8E z#X`7h$=TSy#wP6wFH#B+vc+YKfS#SO{Vsn`rUE5hxU&O9_QtbS_7zSJKs<rw`8zB` z4jKXciJzhOaCv}k?}g!}<iVM23wwT_19XdIC}u(~gc&d=VaI^5)Vt#Fg2Bu`gFWUr zb0H7Pg!<_u`xGyN6~0_%g3a5iqxs9jJ_C7|jE*QZD$-6~R8Pgum(J$D__+8y%)FX& z%tAMhXDo^iPe`pUy!~l3WTLw`)b3GGwLx+|+#cx*O4)O#u)#e;)9J7*z&ZJE7M$f@ zoTDJ@XALLS<s*>V3^yiu-0gPGqbnAL(6fD{QYycMQ<Ix1mC}-<$Qz66HG{qdjw>pz zv48d!|Ac^0;t-UopvTfsT$oYUQKU~DOB8MmLLm=Hwvm)_;Q97vz=o{U)<03PN3yZF z_+z}YSeq_^Jfymnq){(4ao6>&_rZ~;Xa+P<Q?Zg%8W874beod-T{L>CE@rebs~Ux! zhe4RXXsaq{a@y&9(gFF!#$Jtzwg>SR<tb(&kH|z64=qvsG){i^(j1tE?t&0Z_jDvJ z!_ZqT;5_?X*;**;4hSj+wh`gfH0Ne;yN=xnSgSt!(u9KUb|+<!4TkNJ=A~Q3ATeVP zsj!&xN7y?)Rb5LLjuqdXgOG0W;_h}OACQeY;E5j$F(k0k-QOcE`;qh#$uYJ9iS3}N znI6+Ceo|P6lkAN$gFvuovr37D7IUgmI3?T|;n*HhKENv&pXZ0VAK)y<63;kq+M#1p z1RaP~vLGp2zCVX%eR25^WbPXpNpKFGU6&t9t6RMu-JsZ;++yMitnILaH1`rm#^7Hf z)zK9HYECzVwOMRL#}&BUVF&vU<PE7Vq4-xzy5XSl7+FNJi+sZkD3Ps^;2Cb=+8Qy^ zO{83<&0wTI4STf>X_9fQ;ohjw?-BpSqXH><c2_ddZr>Ek4J5lxu{nXbBOY!k5(XF= zc3;jR8#r?x5j0#LA`;!0A1yZF9OHij7>ZQ>4Nye#KLa=*-~2NC9|4NNj$7f8|C-zX zGY3V>(j}0=$Z{`XU2Yvl(^B3@Em)iLDkj-zMQQ)e)v>mQjB?Xy2eAG{UA;!pV1ui; zA~ZaLnAjczTw@mwm=dX>s<nz%cv_IkwR)WI6ytcqBXR!)y{%~dR=O7NeKfJp!0wy; zzk2<hWXnXb#fE7(G#N;DBm5*V-*8Vt>VJ<rS+v_K&~oG42FSbNVx-xoBQl1N+yNI< z^AFPSNNi%bTY$WcmUII#`S$<mcv<+L;}#p^MA6{D|898l&(OdIS7XBw4Oig%E;~dv zKcb9dLqieSu8xHAhUwM5NL0Qb0RwQSjmUqp2O2JIlT8>Nxhy2{AyhHBcCr@v9jA+f zw;?{<@g*zNc|vbx{dtN%aiw8NMx!D-E#XR-xL2ISad5{lY1yg%n;s2mjrzmNI}XY< zxMm2nr>T00ps~*LO5H8C^hPJV2#)FE+n(Ku$xrlLnCDe7zYt0c8Cxn^jTTDVhVD9h zU7cs)L)g)fNH#J^mm8~B`gbzfMIFnjX=hB10SbFecGH6`*u#m$vCZUfw`|9?+dh${ zT}$d|C-k<)Kep+utH<DzRSHFSgb_@Af|+Nz8px3xt)H<AOO2MzlTXxb&!x82tyxEF zT1kd%Eyldhwt`17h>zE{QK_XzD{n{^8-5?h|7=)uhXu!Uqtj?;ux9N41NOr|7M>q; z(776Co(X?4<_{EJR806>f7lBY1N=Lk`#{6k8Zf|z5(mHs6DGh1R20BRI`{!;MsPLN zHC_}ZH~@Cy2fzx*02nJA0GER#7p#!t1mvqYc~AFK1&fN`tFXr;#<h*N{b4!?fb zzGK@nK_a7=EBNy_eqbMyvPtT{hU20jJwu%tcR7#=OAjIMs?SM0HU;o6Y;a3cHgs`< z=?XuIBXtl6N$)fLZphDCc%{=VBbTTX`(?u<xL1S@_|t0#<T)8BAM_rden+LyEtpPV ze@9f3014Df^)Z<p%12FG$1Z~NOQ47>5^h3Ha}o~XUhvK2rMS7tyKfvO$5Q#QzZE#x zew;bT89dY`1ceBikw3uS7rIYsV0%IyH#)NNQq7tBnDD}J(?(pKl^mxwF*Eu)GjRmr zA`d94L^maJcIyJ!a?yfDNu<dy&XA<@YQTfKdpy64rZ#CC&eS%ha>AAz8qbhqi>dxW zG9yQJ?pa%RRE3C)rN=ZSfkh^%BEB@3(~y$ZVPIm<8Lp+m;DpsF{=oxFde$tT-jw*< zsk>$caIYtkHqzOiV*ia%Z)+Aq<-$M8t_4)N4$4f<`E}r32Er`AFDblFH^J(K2lPf_ zwRyn;aa5*^Z)&`7fRAhf!_iIgONgPkr8}qK%b#A%1z+C_zJCg8M{IuybZz73KIh3r zv2D2Sm!$`f2nU#s5R8oMGr}4r-gc+Fs;)6j|0L|KtD=B7gVtXUghBN0#VpVWSu<qm zabgWMZj{6wPyk#)vX1>PP8wXhI@D967P-46PMWE10P{jqL0FvTuGu>=hbW9GwF{m6 z^Bkrh8KSxfZ6CNZoXC#SrTBhAdU6AsTk-gpcsD<!yVfbK8UlR@lfw7-wj@tOPoa{7 z3phR|XQ{$7pbZBwKhirv!5Qh(@Dr8j(z-RVC=k7ZGsu%MQAQTw@*rY8@`u5D>f6*h z=KH~s1>f{3HD`J77n*KFmBB1P0=18ht=n|R6kl%Usb><57S(3{yF}H8&J>K8QV9(h zovz+up&%jWZ<&->8b~Ek{f_kdc0<M!vEcD^XT)FqX!8-SOa?yY5K6ya7-})55Adat zowtUA8$2KuZ84;$mFsc6xe6KB-*1QXSlrHbCy)Y;-@k>?%E7S*P0`mvdG75D9LuaS z<9Xo*rh{K1A7?5UK<Z45)kh)VV_(h(;NsjC2Ayh7$=8M<R9W$H+qX&UI?KmIVRJsr z8L-t#99??g0Ob%AJI{;3DSIB*2TTy?Y_sVz9pC5YN6{PV&xwS=!#y5@TscMwL9+d3 z0(Bq&yy;!egX@lA2FW6Nb{vyH^zu5d{Zxyizg8W_a`sH05W{3rJ}4Lrt@LybP%|3I zUmO&s?+h;>M#N`lT`Gd@{=*7^Kcr$9YRK!%s|MXu$_}E-;<)=W3Y*Vir=W-uqI+jO zva$H`PpmRSZ+3Z4$S0`FY8TFf`(W4I=8t^ek5h1K$Uo~X(8oJIFwhpy$g~^CD)JJ2 zkmEOkz>=-Hg2M1)*Eg!7=u3B3LXOVzAc;S0;^je^z4Or&md{)7{Hz5Xs{vZ89HIgU zV@FIUa1^2tK0vwecR@!mP!vnSQUsYtB4FFKpnc9lFtSl(Vq$n^LlySI%5~(!uQmVu za)4Iiju>G4YzQH$(!?|Q)c`!sBn~ZETLuBmMl`sJL{yoXqxcyIFB!`T@S0;ISq_ZN z?-EyT*~<MQz)r;VNd-I}llHHtTyCN&U25ZBEheDYoQOQf;LvQ0ERF=3_s05s=VRn# zOFySZJ@ao&K}{jHf)IG`81HU!P3z*mtYBF{?}U!v-P2#v<(kw+zgj{v0^ahoU_8=a z+U1%iNB${3MAfFff0wP}?|uCWocifo$V2uQts4Gn0nZ5d5OtHhb;vX2hy=J}27QaT zjNjkmp99MS{@((x9%5q7HL8C!05jsBbN-9n{Uh?tRsR*PB1-vQ)Dc-<%>1YNJq?8p z_ju><@&Uk6L@BRAw3$JjkWKgR;|&i*mRncR4Zm}r8zH01n~9MYADK<qD*DZrIA;d0 z*#lnvt)Hu<x>To>KMQ3<#g=ikcPy7S{EWeKCqo>s6C=T**td&LQETnla4rQJL1qsm zx!k3|gT!#JGtSm6lYjqX<``Zl=0ea_G)O#f*!xs9IDI9cJJT0M7W{Z6tS=5FScdI? zs1aZKkWiiL$SuL@ELH>g0mza1plbiI%-#gJ$T_q7OQ!ipHu_8c^N*}s4j@B<{w054 z0m#x@|HxT?$!!0~U4O}^|HuSY0CL+uvJZi_o`CO_z~Wt|bv1p$lF5-Xieg&aa!J7K z^ogp`$0rNl^FILGiQC9e(W~11rT>|}Sk2hhMUkK7(^P|DE{}DYI$S%4Q%Qnm!*U@_ zDnp#g*UE5rtQrV4ZGUFTVnoDHacFsHs6iQ+qIQ~F2@)DHLW)Td6;(n2Je?E9v2^Ad zA0??UlY$~v4TcersglOe;~}FMCYF)LGeFbd<%Tk|^!XE?j>g5x<RC5YA8~e-Q*q!8 z@LD}PG^D@_@cQOks(J+<A+^YbiZ;0kR=$Ru!P25@C$An^u2IjaN)a%O+{n@*3{cSL z=)a_bVaQQM8bF8W>KgP<2vW}#DZ{G|I>Pj14+N$JsN_rJ;natgpnJXqgVTaG2qIFm z>BCRb-3LO$szTTX5KvnF#7WgYCP%@jhPwyhdtUTsqwAZCBB4{q#)9w^Ed#bvk1R+N zQm<!@hjT62&dB`0K}pqp)*pz}INKgDT=mF>Z_pbHJZOwgUxp{3%Qb<kpPc+BKEVAG ze=y%$Lcpp(B=*zC3gGJVl!QziU@_5R@GA3;Vx<}$*Gytm!l4CiCOWeJY&C&RnPgnM zm_SkH9mGo2KdzYofM7wJP_FDhZ-6jp()8*#lE};5eVOQb=CqQ&DPtdlcYWMi`x|je zlitS~qoQ}=YWPQPa%pi44i-9&gL|E3f}zXNhaO-|(=_LaAO8NnmdWy?-Z4_QzEFCb zy!Uu?Fx98Yb7~}|;QnQR(2VMX@N3vT-*<fX(YCeytM%^necuGISxzB`|IMTG&e@|c z{m*;rov|-RAKmha*Cb`CrN}K|o$QxF4>woN3Pg7%4d|6w-|{0y()gwEmwrpV(3iy5 z?BeA5!^6yS_2YmHyjI1R%jcQR!;4ePl7drPw$3d5Rh3?r#DA%pYy6L@x#**%Kd&AC zvuZAS`H}8Fs^-pDdpA3>Rhs;^Hnl5A>nC!`)b7M;tbDzi?q1W}?0iqySW)nP_c$s? zQy#*k0t)AP%REV((G}V9|JM${i<h*P`Ng|7%fM##^T()8{5t5T9Lnn=7n9eh7xRy& zw;Q?6(2B#hQ76a9!55z;%*R!^w$-LMz0@zZzE?ur!>`KY-VaZuJ?}zap`aZ^pU?UW zWaR~@3EBArn{VDuedjH8AihV(HcC@8w`ZLme0p0PHwC@!50hQvGmB?0qr=0yS+Bc` z%iWz?Qv$PBM}AYO0)`NI*}2_keDdcX*A}ce=v4g8_mq3%0X=qW&*e%HsJ8C4_6RmS zp0G#YKk{C{3`E?|E7l*mOZ9<?Ktyn0)v5Kx^vSnzu%FIVrv`NnL;k?6VfW@rRBN_z zT`$JNwm**Xjk4vz2C6-TtDGI+{L>jAKb7Bm(?jWQkgC?Gx&4$Aq2C^n|DA<&+u^Ub zgDNSiD&ymB*@|oWLHHryh@+_Dh8fkFZ%M-R<=0dtLjCRLV8MLiupZ52F;?Z!vl7Qw zeOhy)K;wN}*^x1&u#|?1pA{r9{kv&lVnmt$yaWq66$Fbt`WQ;eS77Bp<}-}375fMX zbr~n@aX4E8#@LE=H@KoK6XqPU)h>N}&H5T_arQ1lKIzJkK9N>i)*xDuBuWw3qPlbg z7hS1+RL+EY2yWhR9vuZ}R0iCvAq-7Oa8vq#w|_km#VZyD=#V}F2B^AlBi7I8mIkb! zE8cVv^0JLsW5{QQO#U@5>Tvnl#!T^~E5n9BT6Yatf?VT<L=x3e!$7UJTv6198qx_9 zhT>U+hTq%PDk3#IZ!|u~4VmlH6}UK~uobAuvWKImAfaFl3*!u!1mO<#nf%GgqJXE= zaif5(r$-He4#=Vc@EYhbK-V(khJhxeFhIEsb?6}K*$AV6lauHm9EUp9;q=d3o6^?` z((e<};c+4zU~`~kIoQ9*7`dRP%vptQ3Q7n?^_AOqPDr!+(K;Xu-`9+wqA>%wj-$-L zrF3~wSHyLAdG3%g<y`a$)G;k?&Zi_ac$dixZglGDJ9pPJ;;P*%r7Cy3^mRmso1D5V zzTd0=V3t|WZoo*(LUCCvne&Tn+Ap9Up4+3N`F*{QETqI7(+t!gv4|!B!ZL^U2PU%g znW8$X^x4(4h`QG@vJ{A39aZM$VoF@edpV%OUWRqJjRjZcMn#Dg+`d24JksvSbupPa zu{61Y^}yapePWH;k%WF!Ry+2~m|8=9!q`03QL-e~KGD&fUr-&1z=KudW{&|>;-)5_ zGtzFL6L2wOkKL^*<%HP|WOG3KGh>Z6tR~}t_7mJ{m#Q~soinmd(*h9?3cx&abxL+1 zlfW)>Ob&|Kp+YSiTUOw@F1n(W)t3F-r_$h@C>Q-ZgF^}k<x9vj3J8C1*8pkUA(ijD z$u?ClI-10dj5?+SU^pWR-pgnbz}oc`vAY`?F-6an)UhD#`Z9QbhbI9T9^T*K{g0SZ zWD*(0j>#W@m|e07RY6zV5`V6^9Xj49CB_F85ahkhPaqwVeP~>Qosxa-fCmDv$BMWj z3H`7E_Ta+`-l!{p3r~{+njYJ*0uW|(L=j+m_ox8VTl9B&|BwE3Y~q=4Bo>{QIwto3 zVtN5$8d>iEVsbfjJON@3C`=R_c|QId<KzENW9*TPZA_a`aODUsI_D9qMJNd)^y#}A zI{3`PBZrmX!pbZ<SF9k-E;`RdM8XJ`AedHof1doQ;7v;vyPH){|Nk+I|Lq=M98$d{ z;F$=d6iKs(7U6M<)z+p55c>FS4;}Oa;z3GKLkI|&2m_v*SY{?dB08uz-pr!so3wzU zXH|K;VGaYG|KmRWw+R3BDcYD;Kp^3o5TcR5wu6)4a*ov2!GaWc{hW)K92DUCju6X? zom~`N@%Nb-IRp&`-${^gLKFC8w*UKuDEj+_`2V<L|MdxS;^CYaUS2kA)mBw&n%t<; zM4guIE+c&o?_|1tNi*@dEmgG9At7ETytpF7%M_dUvxJ7m_n!-aUWSShJhlxxG@K8E z*sV(^(SL^RS^+Dhe>r`>zxpNoaAgjEb&l7zbn~V1N2#(d$@i=Oxw1>%)8_D}7-;nj z<;46S(fU$HV?qCxN<jm_Weto-&|;g>e?grgyuvhLF%=(1k4OKgya_L5$p0q-ge(y8 zX{ew4c<2&*o25L_yU5N8_`u~T;T12JZ{O;D*VDn3pJ)R(8m#VOfT4z*jNRo%Exmxw z@846kXKoB;PZNP`-I+Ip@*ECdz%EXFpH2h6iouMQKUksr)pG_l*qQXA4_0gx=k(}R zDztSOq|Yh}8r@e{e5!|iIzpe0Njd@hntYz!c6JQIU{ENM6|&ICVI*yS<Y?4Zz6sFR z9;qp!-PE>hdH58Ba)tRGjAto62$V(*Ulf)fat!t<lvsf~HrzMfGcst4S$^!k=xB^8 zmn3OT_PgYbepPgdINP6{pE|eR?ZZ)^FP(8z?8;xNI^oo}Wf2=={{k~ZZLMG<uD6*+ zdl&h&@;LRO_lrCl(IV?t5*VP`5ZFU<W2u7$05cH+lsJnaHdZ5cVXf0s?`Kj&FlTqB z>34v;GT6u9x|MS5^;&eXY+iJzdvN0U5RmPqLc9Pkd)mcb5wYg*Dtg`zC|xGZmuyhy zOphM*@;b!pMuvmJ!M~--#2h_ZbY>k!BpfVDF40eKcMZdh7*}>HZ!qA;J;~a1)P{7g zw99W$U*egQJa2s)#eE?@EGW=oYTFdJ9E<H7fq97IX<Xb2Z=3#f*c5Reb(v{>8-d3s zas2&r{k0u97G~IcFVC#=p!cS1Q~u9$lC9Pyu3sVHLyW+5-$5^MtFck<%~OnBhn;_o ze!AWJha~{lhvq)S^~-rreH$TU5%k%M!{T-Q11JNh06NyAeiO>c>GR9|)W(;K(<PLn zJ(%sxdNlQ{#ryU7aK})$mDBCPR@3LH)$?{XzHxe7^I7A&Z+sN-t@gF0r~MTc^(zX6 zW74GMvK~^r8jCfTJ>IWJ=RGzRQxoc7$C$ZMb#a78+Qo@!3?;52mw_U#YihmF0qam$ z&$CHeldQ9OY+KPKLL*Qv<=K?0McUaiW3b`^J-k8o8~b!c1poB*LNnuLGnEY70v%K4 z{+vwIoI|F_C^p`&ElP}&ImbafZYif@vLKOBDTEfvc!I5hE(?Us>FqhGL=j5d!?_;T zuuBtrbFXUaVi7lvse(5EI=emh2-htcc4?C?g1CU^8Q))U5x|##u=$5EjG&b3o-s&d zV-cJL-?JVXp+_5MP{y+Hms{q`7=_$UT2asqa9{V%e=5AbtLr+gbiMATam(fWv#P87 zCn?waVUnjy=9(^G)5yzF`}6uF<n`z!3bUVJQ{Z<V9tP@45tb*Lbn(ig`}J~alSdP5 zz<^y+G}Zg*d&qdyxbeptVnQJUf|!XNhn~XP6NSzCnP2Iv-|A%;6AH*)O&m}R9u!cF zchA9}<d2}_FEHw_@s+eMf<SM(vxF<sv8OhI7vR8m{j-F#Lj=KEt*#moU6vi30c(Ym zl<mmFjP<S077DL{?7XC_WiG;pp^a6BEfv2SJ@;29hK}x!hu+RlM?W_vJ+vL#k~?>W zHjHvim#luzc>>;;Tf<FzehI#xUUq~jIzdk(#ZM#IPmROdO%<;KOQ^V~VlIOI3FCMS z7^jSkQv8!cTe<6B+e{r0aX(uc@5VAMO`bOwvH5^jt7ky1rziFTl5rl0G<xo~g73GC z`L+hFkj{F--#VE+?b7bIE<L-`ki1L+CTjb=yo#S5Ij;`ZeIH5seTIo?c&gv3iFyC{ z4Fq)a?u1eLt@s^arQommfnW6PCOjji0h;6&eYbL7^PfeVPm<kF@;boW&jdft`I4We z3jF?fHA4G`J#7KXeyg9R0-ONy&fV3jS6A_qD`CVFpv2dp$4pk|c`Hdq-@9*%rA)y4 zYMerdV9N`UIbPRmHe2|nhcZj@#TURD{L32Q?S2Y9tD)|r+Ys4JE|Qcm#u>)X_!|1H zrivFku{pTV2`T7rD_xXTT%_b=Ygq{`HiY?Lzb8C_y>(M#iI;Uhfn=hK`KnJf?VKO$ z_TFi(mUo=1$75{so-eDZB495?w8)EuduJV7ZE-yLq{E|s@fM3;HG?KnCB}0Rd<pte zfbaSE@iUg&ERq;Q09OPwb`!TYWApliTx)^6^of*s6N_W)-O9y7i_{u{>EmC4;qC~7 zpT|0n*q4W=^?IA<<!|517tFJL)*s@w<=l|vEY13Gd<*mm8uciiMw9Os$C8#66}_f- z%Q2l{plz3&1Dr>J3`a3cp_P78ESnp#-n|`}-VGqJD?ns~qH7(Flf?Yt^3+eLG{sx{ zb+@9%IdAdW>!!N+2|06(7q5ou&v1~D5D9AU1NA4Cg}>ys36%=ku&_pz^G7wxeIQ1h zoY6xKAtU$==zwrkqdNC-qI1DXn$KXRipHsx*WzmX&iYCo@~9<`%|&(vm5%KP54qtB z)dMu~)v3B6=o$UFCoyT2G^mqIhG9GiS1|L5)bJr)FbxU{B*+y-iZuA4-oPvC!Xe05 zvrREn1<~2g5r=#-B1@4D^`7dduXnF;?TQaD#k-9<UP;twoMOKZ<?P}mk8y%aUUuZ{ z65Oi$B}`H)=7Dv9g`+Zo8X8MM3_&X`qb0h~aF5ym!~2ry|HhD1rfWkFjl<;w1&@1- zV+~xQ0Sm<f%230Du1C%v4tfbRhxO63|3-{Nkjmn$ZzvjqY+HK1XPgCn#<^}fz$Dw6 zVH=fXLy@&>oK=CLo(io_bI~6FBCe9_p28k#U{gQ^N-_?2%bVz5bFtM7VNMT}no6$J zMm@8F@)j9kd`ftLmmqym8&CrzLokKy&JLd4Z>%(jJ-`?e1N3cX5B3PnY6*S@d8HW= zWJD1JDyrQhH24GM=a#hVN`hh1vhCN<wRFn{mo4r$(W7dcQltRq$v91*T8Z!cf<bK9 zf#JZzhYj&S8l&c=m^1Jz@-ZxAv~jBWepI!TjpYxsj)WAJ%YWRn_6bpETciTXhTyNp z*oZuY@&hUm1dRq%h1Wvz`ygqhx`n{MGj|J#MFLYxuA$7G^CH~LK2r*B2pkBAm^&F` zNP0MqK>X;UhOIzttlslNTA{$0ML(NC2RE<aenMpgr?CW$Paw_^`KUr?E^#>01z94V zDFKTXvB!v?B>wb)$-vvy7Wd~K>WJ`n2rr)?=Njr0B~B8_#)Xm(<6K2#L;!ZwHw}B{ zpp?Yl{i7@m1nkb9IF;~7uz(al74UnnJI|;O2--v{@~jYQ_EaC{zPgx}c!E#z?C=+5 zF!=9MnQfF5tl=L$tHbH*x~#Hm(EHV3JP&Wdp(_urkF#A_Rhn!ahhrKzA!Hz~k=7-% zzI7G91Sl3c-I9Bh1vA~FpD?lQG3jm1Tp<T8l(})-n1C+fz%NtV^4piKhTFraF7Xa7 zFxr4z<sA@#x}?MS9QBPR^|Mza4dQMGXZ&$ANd|Ti0;ac)Q3JfPW3vogkSxpaNfn$V zBqjWFi-Szt`M`b=W0RR*65T@0b)DpA5D<X<GH3)SINzY=yZ{PcelSl4AXYbOVX)&5 z=ebXNL|9J&fZQSub{qtdugPIwiGc3O1da!t=ce#*z+M@F?m01!OPKF&J%xz*F^OHX zEUHsdEt1+^g?$Ljh9ryR^M3Tq*QUznRnbdjS!rk5ET{wEQqT-`3-J_-B=+yjHVdvg zr83`RjDHoMFU%iOk{_YKt54EQr}yCi3QM`aeS1(L`Fp01lE=wdt4xepgO(2-_7IQl zZNL3^^SD!nd|nz|y*2UDQ7J5I8uUZQX<~E7NXa_Iv<bkqHpSxqZ6mgIOnc>s;?4|E z0jWUQWV=#<{9ZYF_``+v^$l@E?P~Jy(TR6+JPnhmXekz0_-@(jbV)O}y8@cRB#%V{ z{Y>}HWrtz~9>}DK2iL3>`xq_0M%R3?a_MLegrNsrGw+-J`wBryoo^9ozt~Q1kS^pb zRMOctwg$dWLgPNSyLhTL<m^}?K(ij~a{W`(M3l9~TKEX%i?XFFK7vgDQ~^)wa<GO8 zn<z#>bWc=J*|}@B^_>WR1}ua{Dp*8?^J#q~5zhWmp3^JBz8r6xe?vVHSat*Lt*`q? z(-;9ECH1!%?hodlT!K4zWCI1vC2!V7QV^VBRM~@~oXWSZc{X$r{!RXBW6@q9`T_;9 zl~$L^NOM4DsgrD)eb8FGAk`j>Cgb4i@r)p7Hw?x7dR>9vC`Lmn9+(A#qOol5j+zs5 z>&a{K^uOakne6*Oxo1E$c3@V{k*AdwN~<MQ6K_I9T8^>G*K|pXIAPdFLR3nnTI6dA zWk6K!Bv|A-_ULD5s&yd2-%c6U-LV2HvPv>c(x&NNp(&ae`S6&_7#jsK%hJGC3`sbX za%?$BEoA=~<<#a$I&p74gAAOH2xxo*?nd9S^`eE`u^n~1bmxR>HyQ}n0D?0|LV~0c zsy_<__A@9UbDj^>5QFnKstFF>|Ivtl2EyoZrT-In3k?nLk)oi$l>2(TLg+l2u**qt zFVX)i_5L;bq8bFH{)l#|$=xHJAW@v_!n`Qw4*#3QuKy{m1k8^vc9LN-$E0?TO7w4+ zKVT}odMTL=m=k5~CYHdb>|oF_YK5I)6w2pvM2E~cLtu1#EKarWP-;-+94D3{%(zTW zhZeJ$U3NWWGq`U=%cOJMOO;Axd6!Shqq3u&RyxHj9dN6T^vIHIxz{rU{#I72f;x6L z-R*yD$rT}v`XpVGGN@EwC+|J|HinL${<b3fpqlHO>+{K}wS*CQ;$WA{z5CLBsZW+6 z;S%6=HWZ^^r&CcwyI5V+`3%^08NI76M~>gLC?4&ygd}d4lfL}4Qjt+$<cbmA5Q|fI zQUjZ7#aqkL)M(2w&25QP)i&cRXq=N7%57SfjdfNo)VbBEQ0}6X5-twdq8Un7SRpIA zm@eAvKQ0g`-9v?x&@NQj;ThwjZ4@T<`(p9blpv`8PB(BlFxA{|U^d9KKKDu(WCa@O zjGNlt#{3+Cu0jEc50Qn|IxKmOK28*~z!g1c?V$1IHA=86@KGl6!f_g=#S*GWTQ8*3 z5=rjmBag8t&{a(`=GIHWKpgzSDFNr;X?P$S=9dE*+r-s;2sV22(+|t!KMZgzH0GGO zOH_Ss*rv*JzLFIRz9M*l*36Q7k!3E5^craLnJn%*uQXr#B|hk!&HfpCFWk*5qfEC| zN+E%eX-$G>@H(5M6^vpWV;6*lJfB;LCR?yVZJn?VTX-4JDYEfWXSqbY!Wn=pUK8aB zhTTH{-;{BlU~wbhRI8+o*LRE$+atEv{<frgZ8u`!t6>(qI#p;7P|Q{_OQch^LYhkP z|H1{GcF-<W5=T4wpr2L>@q)|85f!b7@dZX}VgksPI!mNu<t_^URq3-t>R$Oxup`$t zBrmK2l7ndy$Qk#gGlnq4-6x%5tK~a@-?SphH*k(q%m!W|k**WOTAte_T!S+}wW?N7 zi!-DI^#ADmKSh+_3tXMgc7c7J5mpV)rY5-FkJgz6wjqPpop;0NExeMJL&#}R;?MR8 zBe@GqyU#fRE@p964`-2UEWM)hmid!Gkzq#XvOkqXcgh2sN6Nbx^*XaA=9BG_sN!R` zvlnjWsqzQAt1=E?P<=($UFT4m_K?#s`O0}mcJ?|maF^f^oH!vZrcp+**A-7@k~>no zkm7-XmeuaxBLZs&PSg?C=@b}I4i!s*8a!b^0ma@mE{Ik@6lgTVjX4S2e<+9zB_(1Q zAQ>T@Lnwn}U5XYE-^@-CI*0~E6PAgzW=#`FWRV~Z1xbyhoC`=BV5@-%Em&qCJt`5E zYg-HJH#I^97MrjTgWb`h26AtX2LH@GAq^DFsR9y$I@G5Cb19624&WP=01L4Exrxu? zABya=LbM;OUuwoJlmlwa9l-Y?u@43<!$=I)B!n7>1JSHC#(Uvy&XTt>;Wh1D>dKEK zsz_T0fn|f_@yla#iWE137|Ei>TL%RQQU$lj$fNvM{5iylI-#|Ymqar$d2+0!zeTe0 zj>DeH&W^BZC?%sYF0YhB)pdJ8)wTUR?I5b8A}((Q@p;lR4x)s*m^;WzWoXin20Bh! z8x1R-8+rkBaV?GvijRu9HcZu=J*#RkMf|WOtCX~Afx~*W@F0^Wa;Bhg)jU~(X2=M6 zD-67Zt(ZATM~!&WkR8Cu9>B>gdO?9XeJDjlISnhlhKf1o0F5x}m>OFq*-Yh}d$$Tl zfd;1#9J!<!7!mo{AT-G}84F0<v?VV<OH{Dz?k;}4*N26;1cTY;)-?Rlma}?9$xwB7 z5_NjNKD(GxHu*OEe%O{niK(U*weF~)Gfi(-XBtJB>MQd8zm};~8Dw=&Kp8Iq>r@bz zagR@k2x_J$U&nyg7_P3~t&Ys)H9N~@n31|WJ-e#eyeg9tc0`6chR<{r%%>;Jv^@S< z+e_StH#;{^g{k}Z{qp6P`?#0$v)HfpS4{z5Zl9-9z~Ou;2fx~f>oC)ra?r6ZG<1+= z-|imk4x`o&KHeWqj~*DVw%!-4%*i;<!w&j)KG*0H|1MI|SH^qr+A~ciJ*^#Ha({at z);w4|j0g(_p6c2oa>a*(KhVGpE*1WEn%>9aY4m#4N=d<b@T8y@(d*mUlk4-|_+Iz2 z{Iy2$S-0T+_E6e-6Y&aOqvv<bUmpXJ;@r>2f#9Q2KDZT(wY$0AS=00BAyfC(Uc0*9 ze>)G2@$s|=k5OJSSSO7PBK^dcO`jhk?rxjG*->(W$Il8zHCZL{v_0BS_XltHW=`<E zkx2j9q`?&FF@RxU)mBlgMo(wvs&aUGb>$B!Q?&C{e+f)Y{7{wANwDBxCLxaS&Wbn; z;4;i6G?x>Mo@nHQwJ67(2uLRWDb`1zM<Z!@4rwf1D(pnuIw?IP){g#q_mp~`txL1I z%|l(WJ;FFP<6zP&XQ2=Nn>+Nv?nW9MJKqnvJ*jM4MCz8>`|bGTz`c4rnO7BQ9>~cx z%rxZHdZX*)bUJs%Odm(^s-jc;ds)NHw@5?jZoWu%>5YS$m0Ofy>r;DkYl?q!OKtKk z>MbJyry$Q?RFYWsiPJb3Cv@Z-w{E<beeUD(BfjpfbEQqE`piY2dqpODbBovp-(-nG zg$rC%M=d{AzfUj8s>V%Mk8X7iEW4X}S%2f-;Vx)g@3<-~W}Avk_poXS+-+(;R-X&e za-ae)M)RN=W@=?DJ-PU&*a~%jiX%ljvbyr*LfQM*gKd7Rgd<wux}EKd{2I}@eu-~& zaI4@iw)x%CBC=s>`uRY%+uGEcuA}XvwYtGydtyUG+o@i@ejJOz=65n!dv==>9_3z? z$OCm(1+E0xo5A=%CBk!ApsHAI75ba-UQC44n#yo*r;eYur@JP^?lP_Cv1}6rsk?2p z8v5bQb6UqOq*15FLH<n0*7RxBNz8s32l`>SN^k1|a7bycpSCt#Zv_Lt&U97R@cZ4n z@8_uX3n|3?{{6nrRYwotOh(;uKLiAXPCo@ggBG8^=QpaWpLIsZfe5g|dI1R>Yn87Y zghjj_iG5uG5)VV~@{Ydg#cu^`Z##?Tetv!*YgxmVK#z_!O!*UA&t@OK<`~2vzF1N3 z@zfB?B<cdL%ZlX)6!#)L7!ihk4&MAR{k2<cd@5lacxrZk_`EoLHoOd9IuxCc%M4j@ zKJs4U>Y`pg&dU_$-%C^j-j%-;%LNYARv#E1<jPm^D8H_e{m`83nQ$=QAWK?0xqc5? z7J|PP<ZO`fzCR{-v<njAuMAo$;sI1Zl)Ud|aFe{%+(C1QHhR}`h(CDWZ|~FXQ$AB} z(aM`H$n*60y54n?u;0O5tW*~4{2eyvD{(JST;~60ip=@7NkO-*Z%b`e@A8WNFzOa5 zW%xI(&4H%eyAScLXu@U0ZJ3<<fS0^na~WyQ6TQ7^&QmSXN|YCK=wR;_y|2V(@VegW z+((b$tY!cr$n(ZLvV!NUTIIE1j*jQs8XdCywsLaw`RuEzWB_F|dcB?Z>676X&@7iX z7Q7s;O`MDO`s14BIP*z^fjkFpo%T}FH;GN_TqlJqTdvbs1<yYSVw5cQ@q>dmTm1zs zV(dr_hVEN!BJ*7_g4Gmy-F6!hX&0i^kI)`}T5$sjSava#n$G1+=<sBEr|zxC3bY`R zMNIGJJn^PQ8j&VZVcForJ$HpjTh_AByo+lwQmjeB4V5v5-K}Lw2dFyXnlcBpw<;`G z8^O=Cc9I7;@o)cT#lyl3m?}XXnkUonqC0T^-1QznJ`vQXhs0~idpfM+pq|}aD!`R* zZf@QX=gHy8<7#7v_@Dn|r3{EELYSi7cHLPs4cywGjo!RC&6A(=acLH`bM%ph+$7A@ z57_#R@B?A)qn`}p*tBA+H87OPj%6Q3*62XBtO14o*s<#e&VLAv0xUBiJslzpT!K#$ zlN$LPZujJn$Dd%_u=j@uKlgz)36;$PY%%ogXpcX6d0?HJaD26do<_Gc^0O|WH(+om z3Jz40<cl-5OvjAUgJat=gK^Bj)bjzK1J2e}>sZnwt(j5%%GOmGSkgnSnPL5`)>Rr< z(gUrTLH%gfZ&+BIN5<Y=>x2GXZ*PI?(HKib8BW~UP!sdOEo=K+l-T)iQGf-L)`bX7 zONHpj8+W%MhDqCgYx{~hLEGrX=C78{?ivTSGVymW!0AfLia+CKeAl~#|EB7&+yAEO zYIVl-`Di)nxj^fg>h<Ax7kZEXz+H%xi=$h&NVZEN(<=p!3LA`V91qHLHl$I3N#R7$ zh|Wmc3wNk22j%%034FE{ky>=~sb!H&p=RDZI})gr`DQZc)RczFI2VT;x-9p@Mw&)B zx`5(KE!!%o3M{Z4sv~)*hd9VCJQ)Xgxft5BM};6|PiGeJayHBz*@L7Jitf=-XTM6| zlzHi;RYB39bujv~PW|Y2Q+Kz_w808b%iP53P9vN5C$~bNv~Q7sn=!ou3v5~!Nr0qW zskP?}pw$34tqqm0%u^lx+`(GU2Zt%fq{lXB?BpDfO$wjzU^wxPA504*g@M*{yaa;5 zM}K@X3;!MLL9GI@HJF3w_^gQEUg6f$_vQaNvxR)TMSW^fxZN<IEY5Fa9MH-)t^}_n z;b&$?Xl%ud?Gszy;}I|gTx|T#Q-RxVE_F)5Eb7=&K>Qu1<76yFv-b6N>~7}ZUKdz# z9#F+@LIv#-wgoMW&tHVw%^JvEM$pb0SPNB8a@`Z46Av^!_!7zaiFb>>YTjSD&B1fR zn%5SHiznSyl^IR9s*&Ju(8Hpn?BN-9i;S+28)vvT?q`*2e*0h=N&dA9Op^K@1zFg= z-^U_H_wtv6{G&IH#0c8mDpeii{x8Ru7{GZ8`G4V*z`3D7c#Zp+<*MI4!1(Ovsm2;P zdIelc@z)Q3;(}~<4j`8&3rH$&H6=V!8x;6hiW*uF{)oec;>FW1pu{&a^wR8`8+klY zCv9n;o2ZQx**rI$TX-dRHC|Eu!)n2ZV%Cn*NZb4d2@fW?Mj~P4V?9uyK32<WJuMF& zT*K8y0op1B$F2`Wj-|zt4q{*>TQ=@*!vTTIIEET6)1!^p!?r~5nNV^ow*gy|Kyf)| zv5TOxSYay+J;pNg9Bo&wRI|r7pDl*?wMIWZeHOvoM43&7bQKX=I4IDV%sYZAW-7-? zO}d2&-yiH}3~drkxdeQ`#kWI-HGo=EO|XU^lcIyh&e>FhARkZ@(uBjCOxN6e%FJp| z)kQS)gdz^_E>*pAK;B>)lQx6{FUnjYKQtZv#@s2Hoi@=){z+?SLpxxjh5tg`T!Zw> zR2w=x%a|7}9#2(KSP8?qY-F5m-;&7;pOCXE@Ebznm?63<NtB9)#7dppK-z2Iyxou^ z7;Sh7lzRL)Qf$2U0U_NO{Wj?ERLiqvEVUx@nDP%r^;iFWgJ$Duee8>T=FgmY1e%Zs z(0DXeBtb=W5uouN^YIV!@gVc@<LxN=83*U<n4%yC?!ZD&DsJDxK}v4V!XQdIS3_Jd zU$HjY5xQevD*=Q=pqIqhk+DNx^K3kP=&gul*a*5qg$O#;B=Sb}VMk*h2omoK2CNV9 zYMQ1%b(K(X?8bel1iE#P)(BSUhCuLjPq4@gbOLhKZsYih)M7?OASpV5o5Y4ds)k+i zy+7C&M9Jzmi31a0k}y`NL=i2~-DE4Qw~6W-V?V?p^_(3Fha%IW5qgiIA`$YBpCb_R zj*lY{a_iS3Y>?ay4<ea{Dit>5iIrlp!%l~%@?vI3B$6E29+j#mb+)z@u=FEQ(OV;c z8E<Hlqx~ouEvS>hO2N3K+=JC<Lg0cr6>UU!?5Hz9$X0!v29&WinJ_TY^j_MXRf}PD zE+rdgvdFdt)=h$`XSHIX9Ch8$*>-r`&ICzE?I3ntfq^!l@C9QW3fz?)DS#cMBIzjF zgfr~OC!rFgpGaHI#xh8+je$Q!+S{|TLj*Y{nXB~-+wOmzR+z42-~^s08nQ$MHKxco zDyw&yP{#n5Vc|1{60**UwHGvmXHAE|#<jrHb5EgG4@ZshQmieFmB3d1l-U!$vG<tD z(X|DK*Nj3Wye?EvK@Bg&r_n_t-|aSUCgTtN8AZ{}w)Nwg5riSq71TqanRG0wP)A4? z?E>z$(A+H^GbV2E*>E@v)qVb%Gdiy1Sw1qZ_*pPAuIQN&CYl6z#{suUHPLQ}6Gh53 zv7=Us1~i2sne|4|rf6f$tF8pcW>rIxGKemQ@SZ6z%3j1s0qw`04X6grDfED0*V(m- z8j6qpzf|X@!3igV+7`1M>t#zKy5`pR8{#8pxL_l=*d=C{XyD_AF<R#3JGKuZm(BOK zQ;&<#B#z~ItqHf8AYv2C@1a>PfTQ{*=s9A>R>VjYry|8Dy~a#Abf~(If_*c-sgw-! z?d_vFYA!F+vYMqZTiyT`@p+0DRMgtyrn%sM%Drd6`NywN+7pzKf;O72f?mUR9RSBG z{8BWCamu%JWd!Q0-@pXIF8PM8axH5h8NscME53|Zj~{BufWNGZplnlh{<V->fFDZJ z90Q?ZELajd7OKEDY8+O;Eh*s=51PUa4lu|L#t%JZ#9uBzOF>b6Q&CIXTPW3xgZO1W z27%@FHG6uyI6OUl-icAI^(`W$UOK-c9;IS4lTT5#(+ABqv_oY~M878Tq=a#$qX#ve z2Q}42<;=aTR@@6vzKQpgawwr&sYth<&c{)^;pW=igxQ)kg$5_4TyYl~yB-w$f6?~V zL3MoF)+p}o!Citw(4fKH-QC?axVyWA03o=$dvJHR;1(oUzTWwrbMLvgzE}0?RlPrY zR*x~~m}@n2Q_#J8t@)&JHniGAV4uCZ@6E6|;oZR=**L-Lqi>!~ZaB=aa$<nq@_7*p zsY!0;n@JkmT{YbLj5PwxT1k^RarA>Bargx*2XwHVis*R;mDwvjgoj^NP+*gs+Tpu} zObh%ZuM*x{*_H94d_>_dP!Q-jZmqWrP`#Prjzi=>b$xKMKc*VelIMP$8UT1skN3TX zYpNG~m`9WK%j;!OK-$(@*_@A4#xA88zzhy1*_|%<q9<~tS(Z6d{~tt<cJ1ZAj3n(K z?A{PYg=0hHMJFUU30hxN1soum1W47tS5Rt*!|;}R^$&hxlvHKHUt(hGMs!M{E8Q^j z@8z8!+I^$dUs@Rq3#Do~(OG=(plw`qk;-ez%i@HrM3qNLyL%<dsl3x=7t&FvbbtmZ z5`dzL1}G6>fT{&iES0}B810tGUiCzYW}VH6W(}^~;PiV|y~ztuI5dq<6-_#o!tqa6 zcty2I6oC_y{}&9!X@dQ6TiWX5`wkcmJ9C4;Ut7MfPNiDNdalo>C>dHos>u%71P2_Q zX`)`0ITh<A-H0AK^$dfpQyFdqaGSb2L4nOCrM*fp9<<{RJk1#OJmDNfI^UqPh%;HT zA9%Pd>fu2|J&0fghzIiel`w$#00R*3K?FfPzu=;)?E=1~w`rd3=Fz&!xI2>8T&<Z) z!ttO{)bXTWzioFSAZdop@=q{sb8%q#xHg&<$4Cezx23?k(fTJnch*#HSe+@T1QxX> z^U~$w+U$r?Yi>sDvf#WI((w@S?mgC=r~5g)gP&xy_SeR5`pH@AC0}Iz2%je7FZ9`| zMemzqZ}@>}=g(K+*!OEwHdp-QgqeQ&TGHsawn*OUB<7(Uut?Kb6}r}eGkQ+nmI+xW zQ0B+D4$~xQZ!~jGnQ$$|XuHE%E9OiPZEqPEqhM`<*qq3iq@(33gKX-nOs~^2VVk%< z`6b(%bT2t;W!BDoY~LJbLmlc}{``+LdwLz}=DMFl)FY2y#hY9SR@hn-#hTTHhiDpW zi`RzIM(r5d#1Pp8$|4yzsGDT%jc4SHK|`LM_$|QUcUt>@7Hnd^LLeAr)8C1+&OBK` zXYv%E@)Qf0V_3H;(xc|13Dmr5(e3L)BxU04_}XFI=b{_~9Y4m&&;e#`(l7Ia4+w$R zx@z$q073+Tkag2Om)R5`L=y<{Htiov?OadfMkHRcG2DShqeawTh0BGXI+g{}`a>f! z2N<thB|YI$^Od$6_3n8S10oFbewmZdjH?`!Na*;}?3ybG%PeH(vV36dHU=ENss~^; z@2DECsoczDeJ_3%LMISO?V}H|r8g*vA(BbyCAG?oSQf>y5O_IBklBTd{-QD!ofXfB z?QWq+<?J(s!DO?xq8Jx9TAz_6Fk*{@f49<rZf?SQ5m6e;k~tg_<F5@@e~ss%IuDaE zZu&`Fk}+T<Bj%&CHKgnz8(GmeoE}@<AtJp-aO(QZghX{jLr%`UxSmz8<lnL*mKD$} z1i4NUR*V5>5sauTO&=3uCt4_4IeSbwG1<P`fRBrtug~Zc7_w!;zx&+?X1>RE5mAH8 zk~tO<gQ5dguk_Aiei<f1)@-O&l{#(ov0VI4gCFU-ptjyx_0I$fO25d-Ze#w2Oi|6L zNfg%o7u~?6t<YcxdA^fgE(u1b?Q=G}aXNA?F&^GsB4ea5F4~p`L~XQIzaKM+0bdjv z&TBPTY00^?d3Z4tf2}kK_fjd21ogY3C3Z%@h~%>nAnC@1AaP3$qpc`evi$07hk;xw z3`Sa300>-wAZh^!i(sUPbu!y>$)4$l8GIz&W+XOJXPVkda&VC?WE&Poe&&&Y5u)Ly zC@3U9h4S7Ww<=Odau4dY_ZTdA!Trc^?m~EMWFjuPi`qUDZmK@HapYx<oG@NGSghx6 zVTZhxja6e11<BuC&}8Vc<-<iFqEK1qm9x_W_1(Q^2@hsuL*J9T64=b{HO~QeHL)fD zOaZ}G5G*R>_zw5}d!<xqQDv5>EEA(z2Kl!#PU_seCTk4V)(>h%Q3c;uGhEwNze^45 z&#H&g1+`h5%pTj6Q==!#m|&N{y)TcIDy{!3t^|oI0rBQPVhupt1`@A<#54LES3@_E zvaaO0a#(2JEd>%`)!4GHENjKF$7tAQ;Pd2O9+`(A8~X;&H*B5$*d5*d9vuU^mwe&% zyT5fkI==B|?fzW}<LP%jT+!Cj-QDu?%gf#6&wzIz*`Iig(m&tyb93Z@O@OO^L8Cs@ zZ&@RvjseXDu75CrUPV`6wpd#Fs8N6L(wv>;_@1zP@^fb;s25MF_CiHhYu?t>cc3HB z(&07mq_#d6*!=hS_o!0&)iKHK$`a9$)?Kduz3bD(!swa@eRiW(v;i}d#YcL))v~9b zGe@uQ&NOZZ&u;wv|Hq1glP}BpXYoGEAI*(EFrV#y4^!d)Gj)9I<o2Nwaof!9;@3;1 zH1xtjMcb?M7E;qCUm%I<@ecE0@cTF7^(Vc;>vmKt9~G}}t>}e}-SJNdw|8^rcV~O) z2cIXZ--es6Kc^0@RdY2aD$Fxmsy&J1rycYNi|M(#p_TVvHLewdVdSjvR(6sY>s_7# zPnF*M+-nqvtKsZcz8MDMbG_(eko6&LhRucY+KtHKp0_?-^}B`7{9v?$z3F9biR^42 z2lro>xg;X;btLrHQl<DX^=vkC=BG`9@I0=$<HPm6s!2klvPu-aXk?qeMRQ@)MjeS( zI!4_2ctA)bm4r}aM_mE|Fhg!eEt(8KKkx#=)7zZSv$YjNK_NiT9&T7%#6<lUV=WEu zUV8@3;r4f^PpQdQ1qM7z2f{QB8^ny$gZ8^!iou+pZaLpyZSd)*M`20WbDb7jm&YlH z+|An`tfCj=w_{~BDqV2!-W*ME=<`P<s}PC?IS~BO)7Age6_&2Yq}JHgp<l71r`KR8 zAvT7z{O#%|saN`+yBFxEQ4@NsZ6xAw+i4ZQ2(O8lt?k3c#0!rHSU&@g=lv{wkJ_e( zKq)OQH~04N2Coz~0<~i-3^(M3SHbG<&u%<R-p6-?&P0sDK1&CnhqpiHeusZ9_TXRM zcF+x_cLdk|m;wH>kE)AgmZw)X`Rf%~(IC?MkGLAikDYaFPqr4i3+k9Xdm>-Oy_RTV zl6me6`w3W%xc13k!m)ey2}JAM^~jnk;`SdMLEG6jH+Ej^wdupweZ5zXPNR0?vi@MD zB)u?4fJg}n6H@#!)z`rbzGg-xBqxgj=dG?fJXD4!Exa;Za*G*GeSF6GONxnP1 zpu7C{=IXpxJ8gcShl`aNgU@++T{bxE-#ADvlw-`LLY_i3MRySOrUaRoaJEv`m(~U^ z1&z695Oi>oXD$Y`Ei8QJZOm2QbS8xNqK#sPvn0;3S*qw6WXPxvFGJi+NwSF`dUk|9 zO?<P#ViDCtNjMYwL^|T6pU~@3)gQdNsY=iLbS_^v%M_66=`iP4NLvbLz!H;8epFQ7 zLeCimp`SQvRb@PDRy9mcblA;aUe9+Y(i)W!cB5yOmzWho?O_YfJhI2~c{478@$)9r z551m`F1%wJf+^(@%Qt%1?{v0x!re5gmTz#+(P|HvS0kNquO@RQZx&Dw-jg@^YKRTt zJT4k-q|!HGEWW_Bk9wuA>ZZ;~#d#Nge(C*8nEuB$=66*ZoQRteJhEM$9LI;Aq8=<1 zd%7#fn6kVqJe@Tjro!6uxzR;y{!cD~-sSL4CL0zeDoN!uv#NJbU?$OgLs4^IYlR@2 zXFX|$T2fo4sa--5>{EWL#;A4rW@v3VLoC)^^g`|7V;kMOoq}sVx4~kb*{DLTEFllL z9J);n>MU8pvCIE#zi5Tp>*HUV$Z#A2Sb~4p)?d=-sx)UtKK5g97QW2+hJU0Z(LT{r z{c`XwMk$|reG+R#Ppp~rXZgXWBG%$}{v@3kd0ro>Zq4{fq)f`#KNA5NVHP(QSuqWq zoC}p0#xV!o;Oa`?Ylu!T8Vs|$EW~0eIC1kE1=6OzxTg=#wv&xR-?msYaX}T*Rg0T~ z(G?0?-^UT~cpVoUm`fIl4iP#&D%;AeuXatuu^fwv7=~0G?Gc=~X_1Wtv(!-2Vl%b8 zK5~qGd>4Dcg0|<Hm)zVqB;VYT2+1jft*qffOKASYt!Q<=VZW6L6^g@<z`0gfB*v{A z7Xl2cV4=8tU#x1*LB;{iEB%X$&!<=<fx072_IQ&tvtQL$@jLJwY>!ZRCVs2SwU55F zCflmHiWI@9^E```M;TNf0F8+Ar`Qka@1kOI+>fdiL@?4jR|vyP@}q;kQbHEyxbZwP zK>f%Ja~}G1?CYDB6Py~RXvqtkAL(+X<&2_^9~7&~^C+7WJeA~9GmB>X^+&J8F5y3M zh7@Wj0+>hJ_4|YsAD{@zoUbyuVe_eu42#K@B~yc^27uH&u=L1*;+#72?V{^1*hzgg z8|B>V`s4_w(tK!^?Aps!w$(e|%{C7`$O`0m_Ikd!7xEniR5dU}E8DacG4%5AZs-Jj z&D^RZ<DlpMp$v8x4&M~;wKBv^#2Usar5Nlap6cS>d;m;(f-|m;TWA6Wsa@dYlKiA7 zjs4W5zL26ufD^~;dwgyk)KSJ=EjX4Y2>}7y!mzv+0%?%|X8^>hiHS(b(=we5xas_` zJE{^=f$Uwa3J$bneyfE+ba6LAb?m&Ae~Ss>3hn1*-Y@IZIUCCD!VZyaU5F1N^`qY( zL|ibCj$qqm30!{6Ges9MY_f|>TW^P$eO(OVqjN820FQIwF)|deW*kX2)cAq1&8>kB zV_pO}kKO({uM1i%thEwoTB}m1dYqVKaUP815E1^cMS60J?WbZO6CQb+Iyo%W^>j;+ zgUj#RffzPZ_a9gdJ>8!`j2MVHF>#N=Y9Mu+o>58z8*crXk&6M%C`pv(3M8GOH<RT% zd%~Lz%jqbP+=C`&jWB|FqAaluNXiIwLKjA)4I=<cSD*ru-h~O3L5ae12V4J`3Pu}A zgvG>9Yy1fAc%TYVK<Tg80#z7c1jhsa2}6)pH%M`SB_s!mERqC^Nto6c?~{B`!T>5l zIw=+_5*dxK<SuNe3|bUkSVA%><#q7v0jwF4;D{7z(z5VzT`*f1(LN=t)OvwytMI#i zWM}1IN^`}w@q!p*n0Gsr*Fm!f&}K-?BT|S-n!UQry{h3{;6+L2LqETrsuSH^NSami znvc)UXS==3UP-J<nmdsCGpUQF)dgC}YEfT(JzF67`IQyYQ&gZHVC(^gZ;9Y1B_F^X zf|y`{iCG3>!~rI*0bnwyulQQ$h<rE#B!1O0Z1YH%B5zxn)OQBT(~N@=&kz8rv#iXX zWN#~g@|XZ92M{#?P){xZH9QGW{UGWB6y*<4xYGcI3nV|o%L1YzIs-2(>jL@Abyva! zkUV4v>^KWB_Zd?=4+vv93oVQtF5nvB`BS?O2%7=8>u{mj1i;<{!X1#;@#0%E0Q(OJ z5xD@b<HchO00)spcG;Y`Jt#Mz@_y`wkvU~@=X^Z0hfpwc>Q88qC7dM6<q9mVYcZ14 zrA9f5+sp1z(OPGrWWo%0k$6GgW;OYPb7e%UOAT{0iJZ}+@@}04iV5?xiv_yucG-&^ z-cwp+XX`C8^_A&8C)}0n`b2IfOJJ#Uv(Yyl2A5mh<kM>Jh+QcJ3)a@R?A!!L(;`BX z(+Fn~mm}SC7{9rkYrPhdto{~n!4JcMPX0BB&8##kOZLUUFUZh<j1QRsQVt)7KkzFc zpFg$MziPa_Up7o{2JiU9u+alAGZ72z)uxtP1;yfbXx9@0+>ZwE=@rXsKxA~0tOvlK zzHq|qAHTV_Vf6g+TZ56+3~yp3RY)(HO9d;rtmZWA<1LE?_iCg2n}DPN9CPv77HMSe z?x!nN!0~e`az@RhsfkeDg=i@~cns_IRslTWp@Zy*6x6I|fCSW+3?XrDDZU=O42PWo zmcSfQ(pXq5@8iTVBeiC#wBZw`Xc^|H=}_@bFCHGdQkDr5;^qYk&DtxrF!xdx^gM#y zHdF#cW|MCo2$(xw>&<X_`13l+iIB}t7`?5!nt{>V{i_)<IV}t6aFYi^2)a;$i$kXS zBb8mEj!YWr+vJaRoYWK+_IFf4F0J(txV`y}tnYYS%bC%0#)`39-~=jTM@PzZ{35q? z?JIl4u59dN#p5<kWT1|m>^72y*;3a<sd7uP&^jzjlHTdFDO|U-gyD{<I%8tzmHxf4 z`1s1-S3RYmuYNR#yKr2eU-~LHF8zA<;;%k&dD#6Wov|n#?PGJ;De!K`Oggy=7rD;X zhxo0}?Q_jpa#IR%+M!R2W`6BS*A-9lf<Av=+_3w+)6isdeL8qxYY{5a?ke%8=F!>1 zVN?ATSXcb$wm`ezuhi;e$yj|M$iZxbP}$lo;m+m$H1;cUn#;zM#M8#pCrMGqp)EN! z>M-uAR@#WIJ*<PGBRDLoP4Xklc_#%M6nx(K=90cMyKhdASeZ*~HaLlga38nLtw5FI zcfnt+&W&PGjQpQc7?bYAPa$D#Twt_xA|`5$>?&3ZrSTgBi<dY0s6-RC7gJAjj0tJ2 zdz5XbLeI40?{j`g<hk;)=u0WXVeqYWPSKARlEX4ccM^W3mwJU&Pxz`upq9j<$bS35 zMz)Fk_VHSpTrwg*^^}<DR@S;nE!a-F_V-?5G8j^EqG7vWZPf3dwfa-`NZ;-ZE*}UA ztbck%J2D;paWDr{``o3WV*g#T8S!|SIr^XzT<s6?(V9eXBkJ_pm|F~{VOM8I%Zq7P z;+v05y<z1h62;vSMKvougMPi7uq0g30%E7T4{aV8ZV8X<%v)nIau$!`%-h{axdrUO z)0fGUPhjxi^PTn+YWsyYBND+Y{sR$=R<q*J$PlwX!x}&Lc5GjRo!5BoNPm)q%ir`u zUB%SN;ID<osY#I|P>aEnZl`G?GSS0RFQ+MaTb;~X?z@OQ79`=R9!TKjuRV0&-V?yD z@Cy*IRIuIoHIUWa`~h)Oi=XxNUvq5#k2!t;=D1>CG{FfBpGpBSdtz^YJuozowPN`v z2=iqReAUS5V-96dNYrfSlnk^;_p}T&<U!t4KF|%d`LW|s{Ww%&;XM8Mhqm7btXX^u zwP7{4KU3u={&sJTn{7-qI?Q?+KY4k2>vkSi&Z@LnE&UqJ-fi_Qef7I6uN-^D=_@97 z{MEI3;+$7zAN#PtDWcvm@!L3i$6xC`@}@>SQJsAxv%>}M66z9$fq<lp?{73<F*Z!Y zK)S!oy8qpBaAwe1)4;ig&N_y{6Qk4ff_i%W97eN&9lL2e)uMqdyKXa;eYf{nLi)kc z6zUp{et_R@;F{>Gay6MgqG~f0F&rJn*eX;znZ6(NFq_$ycqm)wvVFLQfzkPux}m=I z#MMc;9g|r(w!UBEV8n!Y%d2tp%&Hy04o7+3?HmKk;QfGQ@C$<H_Xd}Qoo~P8+-?r{ zzxm$BC!g5WCu*KI<!wzc%bdrUQ`9}hEw|YU`2VYcD29OpZug%E@cP%8U<QeHA0LWm zYTUgdhnMJ0TeCjv%cc=$3G#)<-K#4@RbtJfv4Ej&KK_e|uFMUCDYdbUcNL#GY=66Q zR7cML`|Xq>Gxh3QV98a8{A;;tsTXlix4Ih~<K@CTluN;Xz9E0y&HiI>uiog$AW@Z9 z|2?}zcH2Z@t@F>up5KFq?JQPeh?uP@#yvVD1pLu1U1W%#WxQyg0K5db5eYLzV$GCo zqU17cp(N$moERm>eIOA;<Qq3kS%^zpx+wW0QLiutAw@441|eB5I0hj}?+!X4k(r|~ z6s&}!ZA!119t^JgJKa??N2iYu(bANCh<x7$uwzlZLowj|MHEA50~6rNBE8^(p03fJ zPlrV+s!1eln~7B@Ft=3-W{Xes!wlyk$Y>jd;N%$tNTH!!!^xq7ao~Cjpg*x#f<p!0 z6%eyQlHA_8`<bxL%L^ejVxozhL!o^u5RxpmCPu9l{Hn|mAHG~=!am^5h-Tc411AiU zhNz{>lR}ATx*bEIA>C`M+$5T>VLnPetlld%3P(BxUQ-5dcqW0{@kJK6<<BFMhic!| zM{Hia6WG!$mv7b2zhhb2sV5Off+jg^^SbXxDyk<jAIW)T>EAmGe}`ZpI9|i`Y^1f{ zggO?~%y?1o37*crYR;UWP<fRslt_7zOpHi*mJFImd6H~!{I35j3DJ}DYeH*W`m3Yl zjME0=^G-tF9<SDYzwjUpgP>D;abC(P77p8{y_-T!XZ%HPKv<<$niuP4O{0S3sIXA> zn%Z1|g;=c0Lj~o}b_*)jEq;3V-xpd^10GY-<d_Uzz+3(3_yiiTU>+uW(CnOVL&OAs zfY)p6^6RP!zows`@j{N^8d~Qt_k_eT2dF|CY`@16p&=cbhL2!%g@A+c<S%29&w+0` zDZwXE?sd8;@qIJXlEaN&3vCmgj!RvL!L>bhe$zoNZF_Nba$!HMP+LMfp%XQbvq|}I z=*N)E8d_YYIUzOiyl_+Xe&1X(LzR^=4IiPR_g(@oL=WK;E`!C#i`oV9J+Eu5u?N$% z@)hHs3!lbVLC7qv+>L#@JT%Q@moANDguz2$8?}KDQ=v9T_Ty{$0x#@8kx*kE5^Q4| z&+j1^hX+&`m`&5#mm+{Y`y)#=^_A1gbNIUH1s9Q1<uATRDrxY+Rn)l7JKr9>+7^n> zFwNu7v=z^$iy<u<p3frV1lZ&tE_w>7CM&8ww<?~aMnu_WlBp)^exru`Lat*6w;;@< z3JW@Qg<H^PQuP6y`oJw1F{y^PDs_Il#xj+8a`x8#ujglzrOXPOUD<iv3&)SnB_H`+ z?DV>14N3<Bm;$jVSALR{(x8a*0UzZYB#0#2{L&L+$!mIO<6hZMYm!Zkb`lRSCo2b+ za+<x>)QnZ9`aIp`I9vwV&cSK}S_(~Nl6a}|c&X%{wS}SXw;+8fLM1q>;5zyypmwg- zL$r{ReoC|b8u-aF_US71TN;+HsbiaoBpFOiEnIojcVjbIq$f3`V2P9@{9B!WIvdJ; z3lG^A?f3m`us`mP7xk^Luq>XnPQ(0EW}eXuzC381bU+vf62nA*AYg(V4PPXU%uFVL z&Bje>!hu%ztzH=tLM=k1Je!&4+Wxs*{`=nX%<0~-S229=@s?Yb*RJ4ihjN-f6P`(V zwybJFaFR4NvtHK}J3rYRhq!8R+%^skWEmT_45_;43N$41sjc**yFFZ5e7J&}^+bfy z2e@^<a#vR~r=O$(jgW?N%a1JM?@ol)q<{qy#_Nkn#`U-OTzH;%-aJ+<im<Z`UbCz$ zYj)?Ka?a-x5}gY+A>hj@&$~VsR-VsUHDO3o7VlQ#kQIC<3O|3X<o>irHbRZDEUj*d z<_<w_pDUAs_9m?j->qiJ<}L(n#72&8%T*z1-F&z;;no&XIi#|4&)O%+{a|dQ*nny| zwTAkMQwogZCUB@$>vC`{zkDrwrF89?w}AP=f;R`UG_C`^Hsi!li!dT-B7HJA!3mC2 zhHbp!Vis+kVfuHVh=YDOU+?dMq^_pDGk#LX;0D(=Sh7>}t2EODtqtUNIcgg&z2Y1} zMx5~c3;ekDDlPesNXCM=wko2b>5C6PPl%P)ij|0o_KjHeZ<BfHQ)nE%7d3xXx(`lJ zbKTuO80^FlS!%UD4RLRt`c$2kCI1ag=aP8hi&d>Y&>s3LkK*Wa@g?mWUX{9>7f<;5 z;#Sv^L>F2dByOTL`|z?i&usM9F4d(nV3#u!^mufg3B2eTeJ_I0S}4}E8N9Ln4!qn6 zMkTyq(jg~4akpbd`IvjAAlevAv^`4VJ%96<`-^ben0C^k4bPZmgdWY9`>I&l7$4H1 zP5?iR1K2*9Hs+ah=oP>xD*$H7q>X_k9SR2U>Y--Lo@-1v=}<p_e%u1y&2-ur>jfOJ zx(Ey2C%m>CS{D|gkdpzITsHP4C(exF!jMsU^eOkKaogdQcO>B$B_GB3w&>Vyi7b{( z38gcxu&!fDN5(yKUh|x2jee*Mxa5(uA33>yA1VxyQb3>bjvB8RUNIp6g#2QBmw=Gi z!ov{|K4M*u147>%zX?Ei0toZT*&m!x5F$Tm^{to290`b-U+fWTV}xTI3YhUd(6PCY z95tkJr?5QG?X@bHpCkwVPGPyF+sjli-%SpDNMX68+w)Q|Uri3YOJO;s+tX4opG^+D zO<_5r+v8C%|B)Pc{f%l8ZOC&dIrGYN`y<u3#}Ci0<jf0GL*U%~hi6lA=9%d>uSv#f z3}%bGe$2a!Nj!7jJ<pQl%%4!VIqlNbwOd{{U5{mt(=Q7qJ4YM@@cc7NzNQur+VHl+ zB=9+j$a!aWOZf~;RaH1YVA};#NyaZf@frO%mJ+^2y!I_HLr)t?2!$z#yE+y`j35ue zL8HTNh#di^%y`xk!aA)Fko=uz29=f+6aa<EhZyn29SA6^kLkIQM@uloS&I6+u<PzO zw<R{u#b~j}^(toRXTu;}?hqUI^Jx)`^+X4fvZkw1d>;%$XA7TH+|MQeYO#4JqTy)~ zBC^r}8Lg;`LkQwj$3#@y&nEEY&$*tB%i4(ZYgc#B0V-Yl?#6*g9fL;B`>YU3b1S9v zke87~Wa%_oW)msZ#0ILP#n;PTKDhA@Ec31p36kHpQQOH!>~rjYZT}kY4d&jQha}_} zB*?xhlFO2+;}Q@YeJrUYar=0qcrR*k$?(mB?R#|VqE*7$l~sbdIR5?-&79$I62DMt zBI;|4ocyO4_R=T_kKK8t8u^@6*z1n6FCz-s?-ACuR45E{IT}%SrBuWc^V!v~T2xBJ zdw9*PNe0+UqR0h}zSBA$$;1Y@b<GPzl*(X-YU;5SUFoT_p>q$~$zuI9qhv>@^*0sY zX?HXg+tKbH6b;m;Wh+9X7d6M~k`YnIs#4Pi!iq_OFc?tSqX`fe4hlPCElNng%`oyb z7%>^#(Y6^J2-Kgh-KCx83hmB?V53IC`8+1$T)|C?bdeH)?zGL^S-Tt1->-wv!~(VL z*l}euL`G%6j^a?e)Q1)@cs*N%4NFL}>0A{`1{S|Js6&s!Ezkk2gDC<=ZV`(@H?FOu z$h!_EI(gzy{L5NQ_}CE=ji<ajb@w|qba6kj(0;|6`j1j|tP~V3u-N)19lC^n1e72F z)IS16Za_d@iVYpw3yH=Z-CZ1GCBDOGn1%Lfnu{J`WOEav7@iEwOQp5F#gvZgfYq~l zA&^Su_wUh0-nq0!Q?2_d;!B2+qjj@~e0-o#it*!PHah<IU2F3p{q0j&o?l7_xxc<o zD@C!QgU|@wGua_DHbGY(46;$ehS>Bg>cON)>rhdv-Nsjo?O7<>H6i1yc%Rl`05Gc{ zrW#<HDgnj@V6xN!rV$iV4KN-6qpblj?Vy+%fC&cW(FB-YP)r@b#DnsHm|;*%J-}px z@@N6f1SqEQJ&wtYI&`pZ!vbCqtDxJlx`axBKf=RDDr(;k3>REDj&2{Y?meXCep-GT zYvIM0{IlsHr;QhME%+ucMUTG>=D9^=WdyefzI_?d@FkEj;6X0|C(Mu^>}^s4a6@@+ zom&EK=<Q2Kz-<S(V*$4x*qgjK;D+<u>IAvrw=XpTcO{r|)-0ZGXTTC~AEv<7JEJ?y zu#sZ6AgtC8FdBk^qY-1U0u&W_0|{fU;<WscDop(ndp9AYjOEp=AzZoW(gv6nl}<dL z_JbGj-XY}4!xpn5EaJ8jlFjy>R3OnQmIlviLEBX5#-SY>HkyZ(e47)tnh0_;XOf6; zt?~XSk~4o?@XNhd`(rwVD6i&3VWIPht72BaVQ1kOIyn*Wmoh=djhA-Atmbg`04@<l z-N*W86)#cB{rrL!`4`7lA&ZoSY<!3+X;|eRH8fp2Dsmzw+@G#B_$ngsi^l~YO2FHs z(h*&Guk^}Zz4^$#ac+H818+Lgx<*s$C3rBMSXoQh{XTncaq|21nAN5Dv@9mQ13|HC zarK~@;q@`+JqHdYn1&?_c-4-GT)>z?0o2F}iX@nQA0*~BZ>}=t_6;sAxU)YbMnLv% z50ql$LMm8#w-9^+JAMM#`d1k0fK|&@jDLbqKtV%55P^dRgzg&zmC&TN5QHNpZU)$i z==i^Mw^)Eq6`DGLm(_!+=YUxeVt*Wp5{!!{5WawEzU5!3m>_^uaX>2E3tf1}--sz- zRE@z%qzCR95I4qE^sw^bg-MF5C~VKd8juq5L1RYWC{r3kB%QhwpfoW5P2{2_JZX`^ zSBb~vK&AH!NhvzNlY~%aqo?d$7*9;zcE)=TZ*~OrRa#v#sJxJZruTqF730SwyrfYD zkVWO+S>&)2oi%|hLw~a<O3`LBk&D%Q!qA-{;(=!Z&4bfSRN5evvIU>d8ABIEgsRYX zH3F-{5F-bdOF4Pl6*P>B#Mf~(V3^*24^t8^=~NC36a4RC++rl9{+lSEq(w)h1gA5_ zPTID<8j*73K}rcp>$p3YD=(O*tmH%WtSnJ$Qq67Xz%75KWrQ}eCxMmj`>|LsH{#nK z<qzo+B$5FeQ4vB3V6D1%WkIw<aB_O1t`BSmC#OZG$RS<<Bho(^V#m{`o@hHEjEG6= zFyf>sZmG%yq$7ktQrlNH<Ov_>`uJHqw8O8<%2ip68gpXKwVJvwvi&kvGC%%QHc?_f zKMU%7R>;z{rdtuKn>32_**63KEk@{Hq2#I(KnAbdC)?z#C8YIf(MHe=zLydF0REaH z`%5+i5<MAHbs%P)KJ0rLIC~yig$NP}><uhKO?W3S<eUUI1)#;|)+7@3K>TWyJpxNb zNJ|Hd{773Wy-b9I2rTCAaD*5aG@kk=U42Lz(@u5OG_>_3r93o2a>h2go*InZ8+>lE z)3g=_vaVQG*$9$nod3v8qX*>n$EO}>L>iZg7_-?9sUWzj3wdnXVIixcGSdGi=|*!p z)j+dPIJ^@h>g_)Xwb~8R&@W3!N(Q|Az?o^}n+uccYW1id%BvQWGO^OvrlnTq>&TgH zSdw<FnKPxFTJPk3L>t7Ad~G)vzbuVjm=b5^Iq4!n66DHb29~;QEDhC14_X8J!HnNn zzLUkM9TOJ(&HZzj24d0ri37Q}3$|q7>)K^}q0(>{*O!6sTe0ny<@^m=FLXTkgt1Bf zuIA7Sd<~Z`bumK+;?LXmrY%MK9<!(SMf;Tag!u!lxqdWY>zKXPMDr_382K?pqobC~ z&BpZ(gS5@DKWxvRyGiGfKW;dza^a+98$WmnM4B2;nHX{N3UbB`g}scBzsnh#dHl8} z!|y8)-Ep?*Mw9sM@MXC2Q26Lh7+9)i%_9}BGjmdN>y66CGg+6kpu4_PeZIKay9un0 zdkfP}ZW`RMUQ<6>2z$+QJ&??zyzb$KAxKIh_*E2X;CD!U4E_PkJ5zS|`s3G85+&C| zvpN*QJYmk9y_@M7adOX)L|S(z0U?}rVu~+^u?W6551pQTzwQOY-g3K1Vqu3zxp}4K z+b=1C{ggR7^Yo^Y*VasrDGL?)=M+XA9r24xqYGJ0b;2j^-;CZqQ2!SEoqv=*mz_Pd z@Zmt~+Fj6wi*Ar}0aab_vNU$@=LdXL@72LulKSI*agl3CD^L8v{$9#S78%Xx)95iP z3Pcf%&m#V{kN)}YbQ<-8znnR;w>ZR)u2;P5EB!m;9$80os2*^m8)~Hl53HU);2j>Z zX3p5+_FX-hnPB9~!(4ColfJFviIaRO<YVrz%_;U2n5<I&%%MH$n*A|<LvQN#RbbNI z+#|kQZ_;5a0!nYaWAy&H<W2{(z)~_|@3wxqm3}GF?y^z8lWkb^EnT|IdVq<^p(~Sz zC<jKi%rS4|wZqnxCRxd0I=0CF=E9ea+j>N0oM54jFc&r4VSwbA?mf{bzr9QS`-exB zE$8G}J*?_}P1*Fhg^ldBwR^T!gLNiRt_*vr!!3=-O<%8O?RCxU^z#N<#BTo;ZhVQ) zGV*WicH|G)H~E`b@nJJ9K3TvMO0%|W27V>+*h7aK+|C^msn5T<K5|b)|G7R<U&mh_ zSAJ!abM(H5N=6N?7oYP|WW96oV()&TwxLmI2HSI;ti($_sl=WzZq;5IU$I;!ioRYt zFNS254l9b+kt~Rjpk`TfGvQn5i|}D8rTfh%Q>@atDz{bG?thf;x$eN5e-fb;W02R) zaf?UtmbE3@?Q8J1baq0*x%xRuYV~D@DfC&^cMs`Cq8=?Hf2SE(8{vNUSe>hm06~oM z883`$Z^UeK^Q%;QXDX~ho)rE@{1=y7w?CE0J)ByG$PQ~@Yqh;isQ;X{!}fHU2Xb`S zL7oYK`Sd{8c&@=LYk-~6<7oD;arO{{)jTuQgf-g*_#yXP)b`+SHUSOP0?upxo;8`( zB#W+@7jkUaK{JuoawZQnjT<uxnb;A>W?nQw;G$_oOPH3lSN1@wF77I29@_&iu4FcP znwqz*A?ONOFcRnf6Q&(4Vfi;K1qjRf8&=ln`4tF*S?4rt#ED;!_0S1K)T|sd;h5V{ z--b}Pxz?O;ZN_d1SOCJj*ATRYtoh{GJMEwuw?7aUX98=fa1`<5XJj38gc0##f$Ytd z1<)Zwd+c*->eCPcdo!8Gb_A9m9g~JW*?{CD=6nr0)b%K&?8NDR)s9+5=v+FZ5{8_< zI2x~1<LStI<SFvcW_z}LQ4*aqHHrSMT!aHkWt_=nlgC@+vi-sjMP%5~)x-A|R~(P` zM30;&B|iA2EJ+VV{-(bED`-i-+i$NaaRjkQ!iqLYPP@hMPP=_JHl-Tgu9{~|UyrzX ziDTrK@&%+TyNX}qibhwS`aNHboc-N7@rRT58$J@p3mp0g@_){kv@E*y#PCpf4xTeH zB5k7owH^Jiq40zEop|Z6RRv>KL+ZJvZq)3#!dWgpfm+rUvhtP1Zv0g3cmywA=tR@@ zo`&5PZ$UR*#hJ?c<tr@>N4?%bWn}GM#gqU{1Zijjfm4Jk`WDUa!t{9W)f|(g$_)E0 z^m^T(ab-9xp+$aUF!3q=Pu*Ntv{DKJr|%-Ru7+c+YOp#>$22&TqVj)4Y4!Rz>R~f! zW4>Sh_1`02dDGIG{?BAr7(BH0O8=qM?!CJC1D2I@Twk@_78G5K-w?FJPX_*hXYRiz z)o)V{Y3W=t)fRM$z?5b^jS!Z;20Ohko9_m_gssc4P=Jke*#lQFn)x4>wwZz{l+5n; zA9Gfq51_Vqs-SPF+BPl=tJwDQJk<x2oBYrDzNP=KnIL!lubI$fLjBKyN$mgEIMe$O zlFlV#Z2_kcOzPIt5HLx)U}BT5TDXpSJ%iH7+C4&vh@>N#Os5c4h%K6Bz{eNP8T=Rb zoS9ya8#pdKhb1^9KT`mqMOy`83)8l7aTvq4hEN=0!O(w7y6(w^z?Y15^?KUr4LyT( z10bpzTiOCVG<p=H5fytHu{3b6E)eh}oxoH6D|4zw&u@c80tga+U10Y_-z{B>#THK_ zXZ^aoc+R(JB9x~|VN#Z4{iS9Ub5>xZJSp!>S~`5E0fF%Nl3xeGHymfD9;iQ1@5*7> z@s??VK4Z}+8(d;{d!dD63wVJ^pK(_#bLni?^!bbzW<~W!`Bg)s(EIi=V@*10);cuy z7==zbhvLJd(BJ3ca93QF<vQ{ZhGRdABND1V`ii&QL;{k0kOYH7cfZeQuxkP$yzzt! zg?y$D)|R!*rhQ%Q?inW0a;Fb0zH7RgK=ex}uIUXN(rc42rS_u`5^-pu0cV6LB8h(w z1?#VNP-N@{AHGPpHCiOj=KMGX+pla8iCn?=UZmR+ExhUE0SQP>Fz2Zo=08D-!Po&G zIc)Z`86ef4lY}Xkt+!YspmfgZVG<3?{rX8UY#W*JM1v~tUxOrT7P|D&V4HTE5y^W$ zq3i{2FomkU*!Pz99DcUbj+FQKO{EiwL-Ka^E!491>G_W@QI;FubR&f+gTbZayDTo+ znbhDQgwkt<Z$Bqtq3__SaAC1G9{9kq<0SYG-OE<&H0stWjhj_^FUE}9It=ou55ff- z^)1HS2q53Oz4P{CEzi7^-@kNi?sz3}eW^VfEiLGqojFj#n;|HN2n!x;Ss;5YX8GFe z>F(V;hVEC}($c0~5#I2m8hy2|v_TYIp6I*LZ`5gmO$^_p{SIV^K!yxts6d7eWEeq) z6)@Hu#E8wtJj95&le=CY!WQ>x9d~5&Bup)ZLA)5qNP&zT$S8q~8pvo7!#7*&0jjFz zD@|m^ag!bO3Xq|n0Pe5LL56-3bOti?Q=l`Dp`WIhQLmUbnfb)ISGd8Jsa7!~7|Ho( ztnIg*(li=prEq`1G->m9GF=7)@;*-J#0$@Kw!XfOWCef6NH_wMDm5stvmpiDS!1ti zP0=2sN_7#C`2;e;AR`1af*>ORGW>wi<`eo-sreCPctM5-WVk_w3uHJ!h67|ifDC(2 zVWl>k(3emf20kVcdKk$DY(&5;oInE~iyt8K9b}?F#u{X#LFPR)cMUcoaF)>TY1k}d zggpkO5IFH?wE@d+vxr=9{^6S?*3Vt9-67PmkGkQ93n*=_c>xGA66q4c2CJIbEQAb0 zl_xS{URKfCD@_B%|Nh6vpZa(tw<_uPgPOAhuh7b^_3d=jRDm9Y2AkJ0bJD`z!q^b# z-xJi`saShvV&90Qou3rGgk{5Bx$m$Qlsj9zC`^)%p}_F>q|VkMdCG@SlCV4XJK3_- zCD<Z|rC!SZ)S*XXdXp2w(dV7<TAfA8%}`E~)DUDV+{B)TUq)GM4b@FAD)hx3usbgq zyrPR)tb`kRU_|Gq@-bxG7_J#TxO|9v8hxg{h$6+`x~wW$B9rOG*Ok#i62HK|PLsz` zXSDsDqJ*)KHc6na%7r_IUDh+I6uFB?f@SGYftR|ezpPq*!GjcUU%Ia-FcYNR9>6$t zU+lrOoqKwz+w&23r!~N%YQP2jQaA1hVzZTc3cAC^C=b!|=!6f~5gLP^;O4^3n{$67 z`p$NwUE^#e!Gn3Np6pV;-9luuGhiyL!v{Mb+3P`B00_MEW!gs&_2V>cshThmBryXy zZUDz5hd<|TF5;{0z(<Yel?V@}-}UI1x(^l<o2`#i;vKj2U@Z|IpWlHIu$uA{?-C_! zUNFP;P)xr4c=Pn<QPA70Oyh|=s&79y*}ZUH<rX;S%KMWcM}jkVI<(>H#*S&-d+_T} z+%{orbG(az2}f}7sJqI1^wrq?qt1lCY&x@kM(u&XRCepwhp>HbcYXzXhh(!0Zp`e8 zOZJ7)8kYMv76adUcdL?mnv~_veLWA1$aeZ$u?K^-%Ff_U;05;Ad(0-9;JsvJ;D$!c zrs$lKHMC=VJ%wF5maTPaYXA+}FDB}@B?l^RHR@_LszGa|XzYQsImTxJ_LQuWwTo}h z{wyy!>34(196o%GD1rLq13&ma>pzdk!T5a*Op$b=#w4rV1)37wu+z<Fb9090F8Sml zA8@{<{Ax?qZziFlQ99{mwkcbf*|tpiRm5KJe6K?QGq`#v+u82w%!ZHuZtH=C?>&bt zaf_T8#)B$&(ECf<fcFy^iLxEob_U-H36kTqbp6Ngv$Df3R^j}n+4^UL(=J>^<VvWR zPjC2enu=~sATPqe8Z)`UHoI}lVunTLFX3y9;l|!|l5VpJw=b;wbbdA@qT8D~qFXZ8 zzVD`_6Jyqx_<DUd)%_>?>3Iu!_|Jo{J69*$J$<p`=(w>&lmmy7&STvce|PStztjwz zy4=){QS#)mIhUC8y><D>@Mw21T)C#`MY63yo33ccu4oNk+-G0hT?pusXq2tc7USTf zFPH@lkI<XDMcYeU-5tLdCYbI0?QUhV4OU+I>~9f+43=K%>~G8KCYZ-KyP4Kr`fP9M z>xl|Jcs26qRb}jncy60)V>e&*yuVn%W=1UIr-ua&NZ@#Lm^<cYhMa|@hXoGA*<c4^ z1{t^B%6P5gxb)o(or+&lsQ*5?j_L2N{4(v04uri8|8-nV1e=vz%JwW*5;NDAFZ-P> zMjSA*`<yoC7tn+?@pvo4jr%35*~jG}LAcl|K#bSE!m$kBllKs=g@d`+q5^~oc7_S; zds=Ptm8&Qm{?YmgFOD&KE&ah8gfxxn4(9_k`fAM?Om0Ox1{?jdFEn;NIU4pqNAX#X zunE1BV8%14B&u4Ow{SzW&{=5GCk_S=3{;*RRSx%;Q+asY&E(^9S+GCYjVfW51e7rH zY-S%5<tm1-dif%n-2B8D+OK7d8HLrtOOCH&p(ZgmI`heKDf)fDC79gzc7f~ivKnV6 z7pJEE45L${Klv8!1d+68x<9*$>t!CA!Q00#@a-@HK{GSCG+=d|Yunl721_wp<s+e; zJpUgnmy>q@m<n9Y@y3}yoWCvLEJb0{YZ$NF!DjSA_%{B3xy(@&A-%tsnFJ&RE_0u* zfD^b(AbJ9HnLL|7`sA@NF6epS^1M`p?0~Cd1=@=5e|x7QWF!-p&JLY2w0}L32_bEA zvwSEEUd;NN)}<t1cKLz(NPM4e8`1{hTg7N?T}c3H1zkZMH3f|vI0+h%=oXrc&^q}} z<la|SNEh|Q`n|Dw3Wr-(S*4$gwzXWYskMzUVsT~Uk%pZdU$3>z?mKlI;2ZkYEYG-w z#ewT``cw_Z3h82b##D}SMJ=}<?^V=O>!MQBiYhS7)M(wr%WPsy#=k3^xGL+^N5$}F z&M#K570FXIa#T<I(%adEc9+=MNwwunu-y-8Tgz73%-`AA6qRN(?K$izc(G55xua{i zBNsm3=O>XkESImv1j%vv<!z%e8qdT*D3CxYcq)+8A-m^Y4G<Yc4?aA{O3XhdqGp^O z<>BeZC%Hp9YdsdwH{XT4mq_-#x}x?xto9{6=pOD(c;uo+ctHaf&m_I(<9X>pW%XnU zwzs?8_k<K2*zS#Cv%B5S&c8!N_e_Duh<EVkTP|9M3bo)L`;36%%^{?--Q#&^{`wIL z&)3`+7RO%~JuLO|TOaECzKk0p<wtZeJjJd(c)=XSqS05pn<4hQ<?BAK-^d%xSN51r zE}llG`8UUh1kSbgHi&7D#m&mN>$X&tvo+ekYJ7d}W0{tX8fE&ellwm@T*&Cv^q-`J zEfj`_=02yl8HP7%;4c#MGn{=9EkktId@eRRpX=|dN=pG;ci%r$X#A?F8RISnQV^wt zxe1{nws)zX1Q9Ks&erII0;y7gKsQbNMKU1Adks*I${Wriu}k)8a=kJ{f5SNO;7zN7 zyMATdm!2Owu{fPeLNeLKOpk%7Tm+2X&4KEAGJ02#^bTCwzx*K?;nLf-VbwPs8-5bO zYL_#)^`cgE99Yiypftqjp9GO;dd<yz@gTAc?Vg7gths3d#`!pnOOo<e<k|2u7xt+w zLK*k0XZ0XgB74)TfVqwKZ;o5bxsRy7?nwU*>_Kejebno~2l0#O{HCi&?(*ZKokT!Y zk=~|T!?7N0_c7eGOT(B?9B1dzb~7P3Z=Ac=@JxvZ;Y%2WQ1=YeCD-aN|KKfqsGppx z2OHwqn|4>(v0$Cz?Hx;&b3Vxa<>&=-KT|yLiNs5LQRs#8XXq~3d4CB6Z<!agBNY!E z)96>EesD1%9-AZ2vZA?TcL{EGH5dL=Za$rrh+3AaMfOOnO#pRY^T|dY?u%K&rz<-g zMl?$fByE>ZXy=94K@KLC!9ARz(^;57me7@GzS%+qP)*<=i#cebYZjr~Yga2k<4@v- z!w^z!K6<FF!%3JxyR!lg8mO5`AUCHoC!8%NY$Xp_#Nq@(Z?jdsupt4b<pjKNgOxg5 z7jhOd#9EFpFtoBqo$*y#jx8R8{}7^_JDOM!HR}g$t)RsUQUt7XMk+0q>Gv27=Fm!{ zRt_s8VZFvy9h#=FN(EL9kpSAY>R<s7skH+S$Y<RF2l81v91jCN>yB*`z-Qx-2_R#e zjurqF*f>amd^Q~kAfK(n?;*fv+hJ(}O?HP*59d(!4;BKvhPDn`{~)}75d2V3FS#wT zfy~4pO5nf}L)&3(<GjWhnxyRnxec*~3?qnMVEBH>+Bh!<(6STc*2D@ju>$}-$B=bc z+b}Nz&<qpgR>TrA=luXZ!w_}I+Az;kf!0BVf(RX7;6;Y@xS}&zqgeAZ^T*^#rk&70 z0>Ld@hZvHTMHHShr24@06h9^L%s5a*l>tREQq*rS!hBycfggO)mNFUX8sjUApmBGq zmdhuWCq`_Dx{X=Vh*x#<3HaWh&8l!C?lQro_d5R(jvTb?U<On*#$P5hz_$4V-2WG` zEV{^G05<CfBpktHF(e|<!~!WKIc!!o8+A13sG%r41Q@k}kqRwgEJ=KNV@o`SH0o$P z-UtXe6EP&@;K4albP-SyD<TSwYBI7IjDM0MsK)+Hr|~ykU_~u?7^sGI5rx6D(;UDL zfko3-4u{nh4Z06Vj}H@FJ4zmg(1*pUil&J!(r?JhsWmVyjD<(=cetT{hBE<%1Nw?B z^L23olZ1$@)^eRNu~8YACQDe(5?kb}`iCypQk^id{!3?MiOuun{X>^(eV8z@`b%qO ziOurG{X-XNVNIA=f=Xd1h7=kkG2MZN@DhW~iiLo~kGb+2bPJ1%FV18%BFE!v04<XB z4kGL&W}X=ff3;9|ZFbI35*LB*g7!UFLvTwIRiMF$j*~5sw0%E`sFgXP=<j!4XxM~b zU{c@Bu^SPJLz8&maX_p(jmNyZx)s;z9c~;&09W$)0fN*ZID!veDK-g$Fd#S#K>ok* zP!oVd0Oa@!hd^);fQ)}(2?!1Vkm@fC1Hpa(68(kdAlL^$Y!F0tliHDplEH@z_b8i9 zLMagHz&fNlk<MhL0h8>MRFX0xwf+ZmNeWAuY}YWW$<KldJ&8q00g}&U%1J0-7%a+? zvv}M{vZ;x#U<pBq<Viz4JBHswzCs32#$Y9UfI&f2IiMf{`)c&n^DC>PXU2P?V=z*5 zicpH!!2SW%6-j8rZ{qL4zFGp#jEwj104F8|^gqs4Nm#H<JUSdYV4altbxk3b)gu=1 z;WSHhC~~S~e`yU%c!(CEEv+cNKxi-z*0`~u2+`~n9nv_NA<iHC9|>U!I|eAPb<0bN zp&xt^o}EY12Rp;azwW5Cq>FSi9CFN)LX*(J(7`e6n)QZvL}LYEL7|*0Yc<nCj>_?P zIzWSu2;H!&3mc9I+30xV%f1GXLehZ^n2aO_&SwkSy_5XU!rq-aTS>68mss?1#~=t? zuvkMi>}9-T-##L$35vG~xU(^|B62hz56JiEK@g|{Uxc&#{~0=r2q6e6!vLk}kVy@6 z1%uu#W?@!>`4PhdE_5RHLkI!#U*HA+KsEi!*b-2{W|{v=Uj9lF0utF0hI)()zas(F z#EMA?1gZ(EVn#{?MrrgF2`HFm#(PMhV8|3^q(C+MRo?*xi^QXYv_c}JF8Lw!9!vyA z8JzU5bKtKNsOG<&ykH{(lJ6vqw$WIl!;#+s^}J#U57T0>9T5WR8OlRBzH2A~1Jsjn zywDH_l7J1Ur;#BFc>Uv2LLgAjKh8hX2fM<MfO;;ci*zwuaF~)J=l69Io|v&#lR?rw z0?CC9(U}ni_Vs|tk~&%f`wfjP>_(@cIYSHE{^<3ghwB?xMH{fF2qK+98%}5{F72I1 zrS#lqO#zFbkX&QyA&5<eia*Py1lxU_X+pXZU!&}$Xs3n`;P!4fSJ^grF@7O+Ys%PC zz%9>@E&tuWu{1ci;OZ{ep0!zNzEpp_&_B3q@BZMn;+f~I@W+zxnMY5c{@$p?Q<mu{ z+KR2cJ?{txV(sqCJ8ylHdJO0n4|HicjCHTyc&R*ao&Ol={h6{~n3*?MRO;n7!;e=z zx%B#K535L>xVh_9U#rU#l0U9b=`7vymnG5B3%35SXwR2BteG46jE5Rq%o+}rBl=Me z0<xD?htbXP_1P;Mdk*QhI<0Qp&vh-DFTNk!(@7NO5WH>L)taKsqR!4Y9qfL$rjrvZ zd>-E)igR{#dboQYTsmGuo$+KL1lk5Cuh=!czHY0`e_oM^YrBN)pK@TvDPP$We)39k z6+}+@*Y~Cl4|Q@I{+}zj+*el?{kbl1nl7+8hx3z)dS_^lB`o@^Zm-nZoNVpZ9ga!v z|8-wIv;9cvzoy#Fu+rx4?Vd6~vu6q1Pv3`Lrv274^=)=In`c{fh?=giE|_TjR*%)^ z#n6S9OOikGIz|dx>hwY2<X)BP$N6PjvZU#$-K|q~Ch_$zgSI=$K|5Q1=|9CfW=%-J zOULm#KZN|vG$X4+GOrd=z49op3z$7J9ezq+rsn#pAbdvRlmos8t@%HMy>(C=P4w?g z2n2_qixb>^aSIS6XbA4IxVuY&!(s{U?!hIvOK@3SgS!NG?mWL+x9YwB+_!2!RcGgW zrn^tubj|Ga9>g*T#;4E4M5F%E2l1lr4M_iBa;pDW=K4-*U@fw@ZroCs+<ZOu`d0CF z@(C>boDlN_V-We$E1Q9=39C~5hu1P!uBmOv@=E`5xtY9o(AA<ip&4zlb>QaRp`e@= z?YS2In#Sw>-(%4I7ALO9hk4g#YxH8VBEE@jl=EidZH!y3WFc?=)Ry;qI=5%U6Am-s z1Y$lZ>>XB7Ub1Il`7~tt@!k7i0g-@LHsZZKVz(Aq%=vq`2!4{-7wQ8n^q{r$^@-$z zp^e+qv8&~a&D)o!i&o@-`^Tw8C%yyafTy*@w;}>Z7)70itb0S&{@De4G44(jw+8~0 z9?za$j#G<<`fQ!<OOKt0*`0Sg{p_!3U*z8+;K9McA;WbimaFNUzz3-U-(mnL08GtX ztSsyeISq|Htqjer%pDBv><nEDISe`2tn4AqXhWl8qw=vHpIJUfd5o|}-j2$%$&D$F zF@GL)8X00|muKag;pXG|%AmwLtS~zCOO9n|bfT_Sjzw<#4~x7y2Uwls3&&fN4?XXq zRXho&E#uJO{_pZ=<0qn;Bg4T7GQh!+|G&%QY-&8FGv+}389zDyM=dP<dm$l$4eKu~ z(vR<Nlb7veT1N!kGce*8$b}Zk`trWEw%R5at*)viW}=Tcf0RYOsr3y%3n6jTw!(UP z^TfQu@BFu;jcKrk{@>uJ<;>mt!d3n2wX<8FDuYf?@h%G1JS23vK~5xUVlY_1OR|-9 zlLqvY6Qxef&T8?S<5FyOL_1ofPd#XB<GqWm5%uE%U&F+?%n!mDD_P>TIL9kHyAfbp z_m*O@9Li|6tKSC;XeO&%EYuE%Z<=NXgFeg%Twbeu3d@=>@$1wV=+4X^*!cHGv{Y?* zO!$|0n9<0mM2i|X9h)(t7Nk%X*YkwXvaz~>191`xKIR4ih>X#w^sEKgU8mi=?0lvO z^K{GlvOwKx!bW|*k>zcB^AeshIO;K0w|vGrv+lW+))hXE)U|1897Fax-y3&+oM~`& z%lvwc^w)2=q=*~MbX^3SpV)N_a`NU*nT7pbg6meJ<(P{`6=R`0DdZzx)eyDVy!{$# zNUDu)g{iCxuCAoVW0b2t+g0IOGFCLd%^%nIT5Z-fMRB&uA`*Nji>MahEeVauVqs0H ztE~T3%PZtlQe?OI&U@>?ZVVa|*9arTu;_Ofal#+otjlTh`c=rftY=}v#?DXXoQb_r zBZ`e$rtNK%q~_gLX{Mm2V;=b!MF-CdjhKz#d}{nu(8%{K3A(1!7$8v5Unov4;d4T% zr(BWW)m~)Avdvc45T$#xwo#9&Tj-|l$=Gne8Mua^!Mmhu6-oCX#g!nofaR<2+}1XJ z$+-mM;&o0U(vs+p4I82<t+?Q(y?)AFMZ!e9T9RXSAvKk8l#=j|WBIU%s+?sD#tD`( z2=~JYCH>GfGU`6pHoE%(eTc(6w(_Hbs2pLysHHCA+h@V8vjd9^j!I35i#Q)|)*Bf~ zoHwD~N7aEgroS{(a)AG>P>&5KKPQyL4PG|26}h3rs&&d7q~67iQEVsKhut8<{rf&B zo1?q)Gr!BVHw*{;G#)utzUkZG+x;YtBd0`<#w4dN{zOAZR43W9%HnBaaI~%A{)udb zCO<qQe;&V)WI`@NIoYVeu>0%j*uT&I)dBaJP2Vv|mj*S%T1nitNBE8Y$wGaNo8o0l z5@V%L-Kyu568rA(2D>St-qodRDshYza}njq=C&~fg9KdBifGcI?tT@caZ5{w0O7th zgI3MQ4UtK%(=<tcZi0CobdF1TqDY*F5420kx#y&vKKQ8pCpB74?@>uonTN*ItF=vL z>lIM^Y<4<A@<y-Ejdp&2jpusc&{xc`ceoJs5nkJZC+#ieD5C-8&}e3ZxOgM)BGr1C zKyQi?FzB;hCy#I|(|2ksK5bKV6=}2R<+^O6K~yJcZ5akg>0z4<jjWwNNam4Bt<W<t zOol>0h~#MIoE&_}t7hmesH@q9?o#|l>49wURZ$Ow7oK$44dNF=^HqZ-U-tdkcU*GK z9Z&_u*$|>Fh8IbSNVRh6Bz2cQxCUZc&2+LT%C$x_9pz-M2*E1b#P``6(i89sSEUmn zllHRBVv?FG9IjdK74k-2=<}_t{bW1?EnX9++_%xHu=!;26d(2*lLVxHD=dR2$yi+i z4Z@|33B4o(8yghJhB$G7ThF-9tS;vJXT!Yc6b&(D8av(Cr~7_R*xR#XFeDz9J-_y< zuAT(WW~?K@CVzgfqSHU+E3@FJR`M__#!ZO;&GGNTvMGF{6T35ufh(r{0=)(X`h6^2 zKL)jYvyve+)P8z@%*4E;9(%<1-5@E>vN<p3YO&gn{`8OOn)N3-#UbKwS9MX1CrypU zBy0~Vr|l~~AJ4pMbU#%Fkl)>ZyYa>m`>RCN&EY4O>?0N?x&Y5Yn~z}pG246^u9x83 zcc0KtSFg^Z>RZa}R?C&@9Qt3jdXuNW^irqL!cl#%1JOkAG@lIS%l@qa#Te-e4hz3| z&rSB>Jt<<}cy=944G8Y@=O5P}r&SOR70=q})$~RY8K!?g$RgG1;r7t<imAFx=7Dz* z7`$}aK!VC40;Fw-m8u)<3vN2UKyq0GXCdRNkdFP?c;ZW`aeS9W@+U%KzGcS5C}*O~ z;z=?EAv{YBbCF?^CA1<{_go*>xCR7-t208!63k3w!bbm(34zW5@Q)ZDj0x=USQcML zX^c$%@_R8<Q$#SwioIDxP11IhXY;;l6Jd)}<nfeiDhTTgK+J#FcM_=2)XK&rB7mOq zPNpqYq2fuB_so6D35xmP!-R2pIP^)xkSAHe$OZq}c`DSZ@wH9G5Gpt@(09Ps#W*TZ z^tSZj5U2R0wE8ts+hp0kW<M{WnDSUJO+iT}4YQbs_HjaYY236dD{2+l%7Z~H(pP#L z;Vgs!l0#<Kih&r>V~d_GT6~g$N%#*wi^K^hCo06hWc5NTo4V#`@b3pq&M+4WdMb-u zclJ)rcSs_{KS-Z0q;nURAMNoZ?PSB1eG(AXM@}O7hjx;bUz=C^AXLe%=lT=w!4r>- zJI6W#S6(Ml(s&UB#-c4|+ZZ9uX;DQfhI8&Mlxq3UcM=uN?Id1P^OktNWbI|Em<-e% zIgr3Oq&_%4GKn$N^KUmui?NOMt(Q36MDL>>Nd43whvP1C>7U-9Fo{nRxup+nlMHxR zet1V}canA?Cf;-R)Yn?#qauuRxHhu1I$-HZ(0!ky_g*?sV-z7-wr)wELSiml$`3Cz zx{3FPyxrq3caoJ5<FF9iWy9(BVMiV%g!L%0{-n31Raw5;k5m+0(|4rO{`YNEaIPLS z;oj(n=nTg6*F{%eGRvpaqAVF&h{zaJ3A4D~;(;jVL)^&z6|k=pr`SKcPLIh>`fr{w z-@$#0f5rO0S18Q#LACC{$|Mbd=Kp7fV&>xD<_s}&(TCPx_Hdwo@(75A)l+)POTgaf zR!mEq9DRFl@)7;1Gx#kDtlgt{tK|oeESdMGzbzqrou{!!0gS)tDakUuiRcfpOZmnw z#1EzDw`{pzxgFjGYX!zp7s6WV`Fi?X(A(&<U|z92do{mW7BD~kWWcEA2)KOvf0z50 z`qVADG8|mSz#F&^|L=0USQ<N;o%kVrQ}3S@b2&>I$;ZGNsR`9Gi3ni@LuLw5Q2OEM ztZTi*KS~6Ke`9q!P;?c?R++>XYE(aVvs-XuT^L#7X>9oLA@4QyGJWy(w2d`(@M5j< z)uGeZdHifVL%^kIO~_?js97?d*KmbI<T&LY?trpz`_W`gu^ZXHd6oD9_Y*$r!;&o- zMIxV%^Bwexf{=?lr-00*hH71Lr}>{KxGh{lg-6>pssl=*ZpSG>?w8B;R&~E0UU`wM z%l;mR@vIgpp1qs}GH7>5b8vpyMm!ShwD4=y528594tGD)<f^5abGXY{zoj5Pg1!F1 z6i>HY-k_B<$$u5Je)>cdZuyv>O2%V$Rl*4oLNKVe>%^AXa4PPKZ6pEzQnsC}_J2l7 zCSB(I6Cs^q(Wn|{Lms;?)@JyMof+6>*=n4lo+PRt_+(iuNdD@M5@<;;Iat&9&vkd5 zP5e`#WDT!A*b;OSp11YI2XWCfD2z;?#9=Q-vOY+$Up6@hqL=i80z*(rC^VPtyTTXH zM4q@s;%J$9PD!9vwc@P6KF*2JhYvzX%TgZux?Fh<pF3ZkqnZ!N?Xu7Rl#Rc-qPTyF zBv;RKz7p{M2oyVp)KlTnXwb52;RiLo<kZJ!5|Sb~qGLQehb;7`)hXfyfu*&{j69cW zC|Ago!DmwNFUe|~`U-olT|uPQ(miQJY18V~pG5Hf1a{ded5}pS;?i>eY^ClAHwumh z!ntBdb(nWX*Qu2^`d;`upM%{)Tp|0v)!onh_=r9-zk6ZgZ{N`f@8djCt*NR_Nrv8@ ztTQekiHx658LhXF8|Scf`wxW5#0XagY*cqp%fItLxrud;tg}tW>}GGEb?wQQzFJ}9 z|G>26eZx#Tx3`e*j*c1Sj&e=&R|o+~DnGaH?K=j~;=h0QZ|t4@Yax@YOSA@cDgD2( zQ1dc-`JwD;o09M*!{DhL*;%)eGP>KC4(c$hHA;pU>BmwF<0jR~%nC3~s6}QV`DiRh z8@hLybmK%AnaJ07?SvoU5$iF$WnAzbufCB5N#^N;Ik|WXO#A-4^hQUK1sY1_cgdi$ z-TMW+^}xhb7a4Y4vu}a8WBQZHpnfAIILUd5J~+;(baC_~WZcIg&DFIv`gPXzGgtBv zE2h-_5GSE;uvi65d{s&k<(7Cbk{EGK1a|KGEAIC=l4DrkZsuCN!nRM5==N=Bj*~D) z@H8j4(}Gso9Ww@9^ApC!_l-yf(>RUCg}%rqx~P)KCk@SQz&^%9kFn1VpDj%4j<bCH z{=C^+4&eY36FD7+Q}%`)W{?FLPyOk_rhT4~G>@IO?Zg>*8Lw?I^gszc$_wiYy``A+ zICl{EoNV+7xmTF$t1?L$AE|!NLjzWfhHA{|x?I&w_gS|ktq@|s7m*>$a)n7oe!3+k zl}7lJKuG?5P-uUQfOU=zOAJ&x6f6AbL1t{g?K$480rN)=IIS3=CNcoCO6NK3#)hOX zuQ@1|T)^n#@?UlZ;GbE8RHkke`lX{tMN6u_?%r9pcF^{Q)fppU*pWoV?2>cadags3 z-$C-4C1XU*KUOt%Ykd(}en<c9Fq0w*`am6uWe<AdNn7_gpK3`SF%m|bmxp9`_sU7{ zA_YJntc`6co<xWl>T?D;5viAua1RO18gN-vOR^N|6>3bBY8BY~6-<gs;t(_R1{vPO zv*sfz)I<?*%yP9$e+pF1^BP6BqR6mzq;2(cB<2>0)IL9Oi^A~@HA7Xu32?k2@0VOc ze2v--I-aGx1D&CvqWY8`lLZD03h=1Egf;h^woH=+z77b?#+U^zo+7qLkOf*vB=VpO z2qD0_opgvs-%q&{x=PADU}{3A6mlO4noa_>ty=@Lf-6h@xOdxPkM+WZzjOKIi-0+! zIyBy`m%FRSZ4g>+yduWyobzz|=R4jguJvv$aLqUN3n<szH1=~x-i2CP;Kjq8k+Zy? z%2c}$FWcVMvkT&u0$Vh}3nHP-7L~@9Igh#~$vP&5@7|~|KTNW9FH$YlnD*63K4P8) z(73DE1vw{PmvjU@c%Tz>Yn9P@N8j}-b(>(X+ApY3LxMufaAeAUswFdrV-S7_a!Dwi z+(Z<4z&LC0bF2&>{we+pk-><;h)5*mwhXR4zv=$TFW8gE#jk9p@zcaSc}i4^Deqne z>-l8TIDqi*$9Z6`S9e~bN0q}K;-d%J@Z7}O)nRUJw6NmZhpX3{IGQt@3pYx3?Almg zy+6<pVYSl_@;jfb<3XePu2thL`tR$1*p(>G^CrDdI%nAkde0kO9UJVo{r$a044qaC z9e)j-0x`7=E?qQs2}=9`^3|`86I2~^?%}p!elB;)-S7c+qn(jP3yP($jp#RlyolmE z7Xlm?u@dC8>v+z!zRG`gB*-b(u`fKF%g{|5;*NqdsOwMNG}iFVt?xB>;d#0vc#NkB zzo&6nMSWCE0lP(;A~@TekT1@1n(r%%DA_j%N{G3;(7f2KLT1bQ#l7%<m(fpvF1RT> zaWCvCi_z{g;@FYBqT{lW7d1|+mUVgTl^4Zwjc=zLRyIR<to(^tZ4s;kacz1EIN|?K zP6=IxpKeh3<evkWv_;5hB4qW`&}MIwO}J)%V4^e4os(wJ%M7D<_vlk@i`HiPs{b)? zZd#Fd{~<I)b)OiQFd5`rPb48yLQcj0GykaQWh#XBIX{~b12w1?+Qhs!QYbM_aN$CE zt7cXSx=^BAC%C|-EJo4(5F6imfMWA0kl2SFKTS?%T<sM<!3KA4sjr#W>6G_yD~nYP zm#&6U4fmA4goKD`UKIOf3AUXrmpl`|ifyqJ&KkETas5)5rsP&;FMiK;s)l_Zy!O%d zz4x^)%Xa6LZ3(e{*016rJpqFb_U&;LeWtRpACrYq-<P!Ntb1I!AR3AVuyfrRqllAw zeVRj!=ID3EcYUwmgy|4sxI{{5;F6G+|H9{SJm<v8*HxPBW{DToHJ0r}2@JW0b5c5? zKQ6&->WhNiNp6s8`~8NY2v57iXDmLQ*{}BXex?=njI$=`A$m0R+nq!4rt|_5ia6Ha zg>(i?n=K2bYK5?7cx?6a8S@O@9!blSav!e0OQUj&qrXl|UyOSkUft<TY@S1Hz`fJ@ zgLZ{^&VOGTH#p2_4fD%sRPbI7d>56x#J?!_*1{}L(W$AZ>1xgn?J!jUcYmg^`HNow zBi+{T&@z%{q?2O$F~4m&%G;!lL+;k$=#}W101Dj0isQGrMU#9ugLyMWvJD`+SuOA) zuD5JEH9PSSdklQMTK*jGuZ3{``qX{i>K?(h<7<*6cka)Z`|VI{hry?p)rF+BM_w!3 zdw6*U)(F~CLsI)Nv<g%UBuh{uW7FTZJ+`T22`SuL^NN4hLBrInN^-exFwHTb-2J!w zB)Wu|Z<aV2|44+wyI@AL_@TMCfcv-Yb=!vhWD}x0^cbhs=uTM#!)@YyZgvY1&P(Gi z<8Mg6N81{x^hLKf{ftb#ycGY=QRMh-yUo|5PVe%k=wnI?8q6o97Wd^^GR4ZG0iQit z`eFmr&tU4fZLlKMLcXo}BWV%6v{_Dv^G|;>LHR!pA^!4TGasvJ+XJDqhiP|X*2Q*q zS$6Yd(HRfk9(fxc5y#tvEHA}bw7lZ*-4iR@ZUG0PTl!ySeY1X=SZBZL&gLQwX$C*+ zX*JO5l+9P>1OH=EgQaqoigGfeJx@=4Vp=;tFMREO%=Tm4o@be1l&5%L#gq}^NttbR z?4CjVbQ$9N<<G3dNz+cgFlAd6sLt(a?0|hb{`E7*8s?nD@`~r!?2zJ3bs=MI5Cn8t zOddRj5lGQw_3!r%)+EBbBW~2Z$n`{7w!uI=)H;wk_yz0|T>E(a^f0Tg*yEfXOt+6r zQZ$XAYq}?GtHq~M0_K|L)FVTjS%liD8%EN>9oLXU;uTbM(Pt^Mu@CLe!91aw=Q4S! zZtG9MV#X8|f2UC1p`?Mst78w*zn<MeKf#yBQ8kNxz@7A#EqoFeKhe|hFB4tmR|^;r z)J{UVm*I(fp>$DWeHdO2KK_*yHG#XA=SMah&0E@TI;&2Xn4I5&%J<@)A8|g2EbnNd z_}?duG@XBtZVrL|e?G-S4G}JO|6BP_6i(-#`2UE%BQF29==msf(=awWlPyPlHX8kE zF;dX-MKVA)E}{5mnNRakX`<2}A<yxJoX(|V_A{7_t<7Ef(Z^X7cF@JASw`FzLtVS< z8EK_enzg(4)*vh0;*7k8T+jCcp6&I;u_8<kJHss4&fonneYN*rMT%nu*S2>`KUFE! zT^$-!+IXm#xVgKOK?tIxHFBf}ldF5n4npV~I4yzK$j6)wslJjF7Zq*1d93?m??zW~ zrYur*)Q9p)oEI%i*@+hNlI9&_-jSBxEb%Az!DiM0?3QM&*s$TL7%<YJxi8>KOX1yK z*eOH@cB!ni&Tr>+dNUfk?ObzcSZN4P@sMosFAaE&WaL`>I@dYez-MOUWMal3ASY_y zfvUN-*q@P*u9c2qhSn|Q)3m2^tEn}#;YYmOIy%<m3AKJx%Z6A8og0$$YOq}+`VMG3 zjf=M|Ejb3}kQ|@^{rka-x)U;YF{NB;ze#QC;Pq24ePQx6xhPoNCQe%Vj39axPexzF zFzhOs&cdujOda*T!32ewjXv#mh{PIILd{FTUaQ{ogMiwrh2e9JRbt%pjPCw71e+a! zIh>e9;{EsHG+2eq@GfptoA2A!)bu8Z4a$`fXLJL-_a46Np9S+BRo$sx@!q^|jvdIF zd%IJQfPd?vwo>-}rw*UxZg+x?2Up14?;xc;=vUR%ueca2?>eK7!;<7TlnWam>rcz? zVbZp_dLZj_2l;7}%S!~00Xa(g1G3&+YyX$8`?Nc7?FA+GJ524-UzQ^b50o3<;tE1E z?Fx1eqr{?P2u?rwd};~B$a*UN87wGG^+uZB$)!19EIznZ@|yS*^A@@N%<t=;kO#dj zKT*<`)+g!pcmW;JUz)cfJNymoO8c0dlh;ZWLbfXD^U)h>OH9pV3Y!n7H7R`pyfy!= z82)F`5YIpVe|mH9X9&*TVqe<d|M_rODQx<tYvE?3tPkBpKlRv^JiMy)w4A~g0$e5d z9G(Nlvg1Je7sa-qN4Y8oYnjWqI2jWG7Fc@f;)57A&(V{rf5Jj9)e=MLHOCL|#>{nd z%Zu8)Cf<}NPJ~S<p&c{J?rHox!b<H~Gd*q|QGYg}QsR{^54WX#{EmFvVuvHW@=lr6 zs#tV$+Bw_3iqb{iY&lgDZ)qbxm}y?2NAxl2@3hBa@}7(RiU<TTSJ>d|bU=yD>E9f0 z&fhD<FYc|e!jfxjGwu(j*Su1-RS%sTEvo)i^MA%7PLjqEzd)jPm;GjWd|X&P-Nx4L zDoZ2eQ*Pibzsd(%3jRi;ec}0+V)&yxdym&In0=^?=Bjbm<00c3*{kVA_9^W}w#q<l zefIZ(|4jOcUBhpyjv`(&Gt)_XXuD$g(D_;sLy1?KQ1H@h)DLB+fVhW0Hx3uVxwoA{ zhi>~U?fv&qe*(dl)x2~u#p^J0hofDRhoVWf2T4^}5M7TSUH>xAKeX;4Rhf*>*Of|_ zbiL$W1h@Itlrlr>CF9QY>qn14FCQ;6;(}&{W~eA350I5~iS|#luGG_wmNly-Q*0*N zG*?l5>VA930!d|$s<9FnAP4d1KZ<s*(>{Hd{wFy0Sr+&5FZxfYmkjK1Z?6&4D{I4a z#xA!DeT5%`Uv7R*e$;j3Wtg`95MTb?&T_grOMnE-`!+5i52Xuc5rm&ytzv+8;i=8C zeAF9rFJWJ$*&XnQJ(x7dz2q5K-<*}x64G>11|dUd)En1`8=|*Kc<@4)ESX|xRfPM7 z32IuZ4kkQ~?zr?7lvJ2+XMH<w5JXg!e-<CcukKwj$ba!148^mrB(S}qG_U33sCAD# zwnX20DW%W-XNuy#Nyi&-AiSZOeh6(AUz=OqVd`M$2z!~xI#jfaKUJ077GJ`(YY=tC zRP-9a&iiNZbNeCgDRw%~=Zhb!O>U#D(2?ZJ;MG;xtvw&=Y8b`tL<^^%w@1oNTslLi z;*9AB@aIAc!qqf~Ee*}*U7eDn(IzQqHTJ@v!MK^2U(sD^qA3OLzw8i-E>vh6@*Su- z60z7z$zn;qqfl7<&(c`h=&j6t(s=+bk>%jr+zX@15bj6sPnXs^5(h6l`3zZu!}fbb z+p3hU<*A#v?dOWKdNtk{P5Op{&4v>s7Pa#IND*~1LaV-|W8^(WR;K!;9>mA*t&(%9 zHf<ljp^4eVZC!V`)6QRVw+{pq8T!sL9a>?9AwHxpOGl%BWj>Vivuj|;O<U%3;89H_ ziOyiv6IHCNe&Z2kn{5<+m)(Qg+CoX_5r46sw7Js2v|BwifB9VJ@9bfBl6;*!W^C=( zmd#$&o?E3?KlMub)9CVsL#J-JZB2BJb6QlzrGJhH;n!t7w#KYZgQP*V`>aR{anNN@ z*}<NJ=ksKn(W13_jl1|so8me%l!0~`k>{urR&TB9V3=FGBlDiAC*H&d1;kY7wd^Ow zH^sb%>|m7sl;bTetFstQV&F9<TkaonKv&@QIYEP)``2=8Rfln*`V7}<aQ%41bQwW# zL1F;Te8pzFn(H#78jQQQMZf8(bly&JB-LI*XYh+6D70X9X27ld35>t$E}NjCLH<+Y z+C)!hF|ky3nNvmQKCimo0(a?ZOszmAuRgM$(rVU2eP*#DFU>0V;9@@Y2CVNx0_$Lv zYG(_PlyG!9zgO=+$<or>5!f)-A<^u3N0x)K<ALUu>moVL)S(pD$cAs#8r(AZv)bN) z77Viha^X?YIhn4uzceT(VKF-^=F-Z`HE-cyIT=YlDo|hj<BB^?m8+peo<(5ZN1r-e zrJ8DbAyH9H#bT%9yRUALFg$tmo|uKXm+ayx+O0+XwW)i*Nbb!zS*-~HOw?nXea=QO zOx|$RlWp%g=5m))H0Ymik_#s64UB}}LHAS)#6>>kdQVlf?4*5}YCmE1&BMrBbmXk$ zpbScM)@R0Qrc?WrsNi8Pu*XHVbH&W>0Aec-{CA?YSC_Juwd1f07IJ)`jXL{tVZp7G zaVtFFu~{4EVWBvm_e-DunO5O!_>MNigKuuncEH0zz$N8{Xe4#WRZ}Up<u&7AC&L4) zD4DZ^zBqgE%7A6hU#af5onOO{9I{cLA6(c@8P=1+_4l0000Z(Y|Kk2fi`nGTtBI${ zrVcKa6Xv9@X=@wR9|XYKmB-ks-0&Ak#Oy66(DF*TPJa5bI`>Ea1d4eJr5yKw6GT}~ zVuJ6_yVVNpK*aNW!G-OE6)0AQ%Rd%U>UncDO3*)-Kk-)T_79fsH~Lw+w==SwTzaN! z1pJUo-woE;m^&YRm1|QRvHx7CXjW3>5o}RC!4@?UW>z{Hb|T5s&a4N!-KzNV{F$lZ zFTEUdCm&74<~@SdL?M-8u=k&5V=FXVjlvN_xo-Ot32Ga~rXs^WH)bCZ{MEKEiWDfn z4_Z92N>j%!KjTh2OY-;$vz+Wex0Vai?b4Zi`-ks%t!8P>(+j3ohRXw#sJSUCsmq<Q zkQcX8&k5T+rSzN4TvB(PN0K?lc9K}l(ncy;A`TLUSAmTS4jpyd@F=i(%5jI==?(06 z+W$E-XK!A$p(Y1(yX~nr-Pn+$wV`ST^nt5-)WtZ)${kMz6)u_d{3u5Hn4XYfS|Rh? z(du`8MPB%oY(DzQ{eZ(BOPJ<H8b#`^deb4#e}Zq8l|cxzsEGiOZ2KsX(oWn&x#O%3 zpt1Rc9fhdhSta!X2cQZNeE$@{*CxxvJ6U=O-h)9+m$YQ5rz$-&3uA)bGqc;5ODy+H zJis1$$ga(I9DdH!XQ#rQ4s+C<X&bO=VztgPB^JsPN|n}a8v*~8S}azO(R%fz=3Otz zpHU{1y;j38j8>a%DKFcZVbz*2tG8B9-%@9Or8H<Lo3NWbESp#zRm*NoE%gt(DvQPC zQGYgwn62{ekW%Y>i1xf@?ugV}PeIH_&rgxjp4C;jWZ>T2R52e7X=fXq6%cWul!bE5 z=SJ+7X_FY(XGJK>B~F}o4HkfpFcj;Wt&=#Tha3@M9P?5NkgqLSm$l8qKgf3lv#oeF zX9W}>A4ZLd+s!cc%v@$O#`x3A-6>(7Jl{lZD^dSu5~m{kEzdc8S?>Fs^OX2y)uwWH zcR&J2Oz*a~|6k?T7;wp>n?iB@`(u(N;`XJ(R?Cyye{9w*%pOybe0Cu9yXKXcw)Zni zkTg&rD)7V3l+!V^?+0r_1@rAryyXh|KNs3Z*6&|?W(J~ctsw(!R%t&ZeVDk(lPm`! zJ?hM*AylI{C8Ft5YN=zEWh<iXOw`CxWzG|mTn`4Gzi;$>O@2K)RTOawu$YqKZg~$h z51XxXjHy>XBw1BD_j@Qne&4)>>FuOdrAQ}snx>WO>5hOko1F!dLspjr2b%ZP{Kvs` z1B)4<K1w_922|4s!)TPEW+{S?Iv7MbHO3;9qZ*jE#`K+(fQ-Djt|Oc}`yy%{ppJa4 z+(4JT3P!oV4@U8Vw5=>v**1HF`&+f|%@pd!Mnuih><k{+U<--uL*V5$c;|)PS!M2M z`x{J{?d63-ig|i+=N~|LkT3G8*AN~BOCK>SKu#*x>5%=3Z7-mc@cQWux$~3g=AkqM zmZLfg<Hnw~ilgU!{_lEmpB<rL^i(>Gb)-Knla|<f*<(Tf=(*YyGKawFh1R^VeWots zB+yni*yh~peKz>t0Zuk}j|-zNfje3`&2Ui6N<$Gss*jj`z#&`(A;x_a${=rDc}OQW zrdA_srNiv4t?G4a<9=bxIaYc6u9JvJsyazr^e^y9urtertQ>T4BvAArBOv!+Bz0F% z!*JY-lk^lqT*u~E2^q6ffba{CTr|hb0?nXk2vmMbATM_`Xy7b$K;!0JQZH2VI!NJi zQ{77hc4zBD2J<xbjlbLsal!?xPt8v~THmo^?`)y9cOf+wTuHs`QHeH$dlQXjcO?xr z)F<%2-DcT*LV5AmgKtMc=N3fhLui{PUq!{%*b?OdLx1d`2HZxp&OI;OrOKBS8kPdQ z4eOa<#e%W!WN_7<`p>;I4djr#M5F+*YD$7ZWIKoqiLHw{W84@2@B=ql|8p1MTVpfZ z>t8Ok<=*RmIl&od*0;_!%UCWf3f=iD<xd7%VVh0=&vB^>{97K;6|l0#!2wED(%N?! zF|~It-ea(+%e||}Gi@c!fllLxy)?F03Yd?hHU)P({Z`4m!LQ|877K@Q-GMuHt=+Fh zw;OG@&S`!E&qyp5Vnt%c-T{j9l@<@lzb=avD7v`#oYvm*=!bff^&R}vJ~K*E9e3p` zqS(7a)H^j#QvJiVZqyQf{rdgDBuRVVptWw?MOz6gsqWiBR)#+TtX(VHOySm?tmAK6 z7{?3o$P+${n@}tPrZ;+3MU*{qWz2F(mE^)-tUY>#{cD1>NS^<BQQ>yuirr<c<JYoq z(PPbcUFzw?U7`Y(k$@(rpD8s2_xI_{!ivp$FeE0@O<R|3>>|vLBuT7o!sOm2dF>+X zk)$k5M4}buAUXT1<wM6);#LBN{9C0TO=%4%^xkG3=f4dr%@-r@E;O5k0aZDcJpkVf zWn?`+12ntR2VS%J2)XZdjw;+R7*N%D@y}{{Qm?=|ljz)>mj>?~t$;0uqoeX;Mz*r) ztUJsyj<o(^!1M-dTmSoh78Af;;U)CaUVnIVcRPKdu8?k(v;#@gCw>L1G^UzefOVT` zUaay+1^=#|*c5rCRrqCFWto?YhIi6w`r!m9R<<XM>{vDKN&p%w(iqJ4-b>*UtSb~W z(ho-SJ8!>I7ywRci98vA+0F_o1zFudia5t!Vu3_#3{BID7nhcsSO9y%46qMfQU<`S zX$K-CRyM&+>UJXhR|7SaHoc9x`lpSa$`1SS;i+s*6=SY7e%>%dlP<<fa%}I21;Vw@ zYr}OS?mx2))hyPmOTsd@(Ti&0+fuAjJSbs=a%avikQTU@gH3DTC_*%-94%$wOIb|% z?+2=Z#WwY1?&##jLWM2(u2hAs{QX|1ON|K&;X+WkVd&b2j>jQ)0a)hb&SYlZfgAm& zah0M+H_NweUdt(%yFEUFWso)4RuT8CRl0%O-|dPrRnmu+YsnJ9orT{<oc;bNXFvD2 zqYpENz&Do*<&JLFRpx2ss;%FwDwX<iikbz@s<@)3zWdP;z$gd@eF)abI0UP22Q0!u zGTvpART`!FNQ}`cKwOQK`$ckhF^X!k2SwxPvk#>dwtQHI`~Cd|wmc^UW1S2vnTAt$ zLyByPV4f2?qhNOMEJ+IR9sOn_q=?kV$iT8pgJT>`@#Jk3L@c)DTtc-Y!3sEO!Xgcn zhPn^D1T%IS#n(9bH(5hX_bhW^PTg#T_^_o&*I75c@{gTe3snnS3l*=@eq{53n-l`V z*F!gXwJL9&phLUaKTDCHOLMD4%&B^q#T0@+qtNvfAm9#NDFpLZ4}a=J9b4v>pkoS% znrDxi3;EaZ%NifXF{Q3h7r~^-6;05VZpEu|?_5-qq~8rrFS*buGS0I}8PIX(0lY#K zAiADJFx$MySp~>i$9JnJjs1-2u*GLd!(eker&|tvcwG~)$YBg!uR;i2Zy%IyM7e6{ z{jqAPKA{e~xkKjC{?Ptx349|(3B3D72|S&a8l`L%YIti&*%v(Gl*`{usLLisbXjHT z-Qf;S9Ei7q5L9#p;4!dw(MJU*v&gmFvF*TW#`Bl(H+RA9ss%H;!`UK>c<SXTp^R*@ zcULVbR<1o^{Os@k@<c(ny*OdEk&z=f_VETi;CFu;{&`FcCq_Y<_3XvkneOFN1~eaz zQU*vHVlGXp{6)6f^peB|z-uGqrfJC|!<s3(JGGmV<0B(xJKRxQb=!&xz?r>Z_T+~0 z)c+)map;607RUIA8LMSmvPd^LX@vei;eqzQb7sk+E}gYq=wU#r>pj3(iRubxLkIIN zYwcRQbx~(yf-As``@*C<awQtA@FhH|{9%ARBHM6yLdh=0h~IIYV>RnB^k85?E|&yS zkWaE#xEQ@SqE)K%e(5?fOpV9-Zzi(!NA;5KGGd-5=~4JtHTAjf+zB4&SMCwsERn|k zR_T30;;MSj_K?-{enR(Uw)Wj1SPIv{MlQ;I#w)u*+FgH+wMq{tC|DkER|1juq{`VK zD?|a;h(H4Oi?`x83gs_~lYBKO!$~gzs|Y+UTZ%?$LFrv!q!rXjQcL(5GPE~o@Af_< zJFos*3pVcw8DO=idonGtE_#!@I)DE<uP`>LP-Nw<RAqI}EmUUp5Vj^=_1Iao7zoTZ z-HMPjNbyzLTh4!KE>~#nIvOvg>G|$&1Il)GOP&&}NSh{1GHZ(3Kw?fDV_{G)OHnXV zT5NhRm_iyg4P8iglHcj$<wh4-V_-I3+E0&0o=YvH41Qa4vg*3b5&kn<<{XkU+p*wg zq>)d23*7DY-xhSsNYGK+|E>VBd_Y_60yBHOh5ZtfuhPaA(c(Gk`ck*{8Zed?Vs4i; zRWbeH%;3Nc<w>jN5T_HxE}Hyh$UQ=BZavh1O5t)t^<A3L;MHhMj_dHT-=?`H)<s|< z)@pl4CWXSp5T8<<!G~Wy1#)o?E0p?p<8DzsCow8bi_HuftArsWr1_3ME-FoMT&Q~= z|Cm{P1cg9ozPP7;(&<p#&2#(_WPU6h)>2cK0qrr%P~nfy2fLGds5G6yn++OBZ~QsR za~wCrvu~Y@au}h__2r9G`84(oA?D{so@0syUgg!~cl|wmJo~7)F3cF&5zJ^d)IBB3 z0sHLgx2;|+45V9>-}QHYB<XMK<LS?%xexLEU_G{qd$u*}tXjsJyUBsIKo^&#ZbaLz z8;1D|!%oareO;m;nLjSZwCmhts@H=q#u|{0uub;zeJ`DQJ17=3`5y=DJQ&=2t&@4R zu-;zM8^||>yP)-Yk-Aw}IDtZrIK63vX!G}~aciMeFR1z9qk(H9KeT>+!4&IefLHy< zhLk5OAs~nD%|00Wy@Ql}Kx)HeoFQXE1>(*NgzYN{FBBxn?f&Af@<~sem7f5O#_<>* zBqfZm^^>|Hhk#VOUkaNwM5Rwfe3jom5=updksq%9)^Cw@U23Cgf<f<rC<Lt&N~M58 z;|Pa4lkzsHi6JnqOOP}R!EMWthAq;FDT-<ob$h_($2Y2RH1HNO$7B?BM-zidXA?tS zR}({z8%N|v@{a<JVNj}IfE0jV09^nx0Q&$>GC0HEKkDI8itFKZ0~kx_;k}UrLL}SF zL#6_t2Veo<1mFb_0{93Z1t15Y44?*}4PXEO0k8zH18@fL0Py`8?2Md1<Ergvg-rki z{h?oKgQAB)ScWj9I2_tz(I>SbvYB^I?WE$F(8S;?uZL$q$A%h!3kunbfc78(-qC<8 z56C4=3~cz^kw*j7lD>U(IZc+wc}>m$z8S$6oVCzR2>@$=p8zcYn*gXTIK*c{C=8W6 z!0kSrzbT{-7d1`L&2KAGPHLxl{9q3i((G0SOkhhgnM1b7-fNnK*hPIjq*J!Yd=F4R zWgB#pe@)7X<1CLq4{)7pWr#Te<U2t21@-54KsP<trJN=K`9fLZ{v5C|x}=;aKcMU! z!Ldck!?Q&Kdk95B-?BwABd|q|0N5b1MWVf9i!29_M*?&JC^Dd<u%Tx21G}__)gP1X z<(<d7sCbSxGx+WS_2CNChaf1#A`{v(I4-qe2gt2}{1=e_0kRhx>Z>p)q&N$x%?YWE zc0k?&<SRga{Q2eP!bRoov6(^mRS!?}8yl(vFmMfxaE8eP*-#C|fm)`>KSv2hxOwBM z;`z3P;R#+J@Bc{iInbVfNvVy``+4V#3KEO094KaDlAw^mTxicNa6BX>xK=C=+QU61 zwUH0_Xt=7}vC`!ps{^(f;9m|LUk7Yg;J9!LLmP!Yo_`!0YV04H`Zb*{`Dv*Q_Jh3h z3_$$?sEmM`$cBm~4+^O&g!UW(asVLr0kSEOXeMC8fHK;)F!=K6;~8WCm7)YxiUrQF zXciEbGALxd7~1o8Mrs4?Fz+1IP311Eg+W+KA5S!&4fRX~6hdJIL|w>+y8aaul3WVy zSqEIb09SE070-Mi@~=STWk98<gF@!ZfXHX1Hne*fe3iZpoTPv2Sq3_)$IsvadHIhU z(4WDznvu{RF`##r0=-lFv&144&<6qA(n;m6ktX+;2(UeXUJHy#U!$Lso`%XZQO_oN zc;s9_7DPZ6Q9u^Jtnqm(@4OW7n{Q%xvIS&5pnxQx5Ks)Xhhk7_BNdRR0Qm@z-SzOk z8xTq%6aLwWV`q!}%g&}VZfpdMSz|rCTmW$(`3->Y5MT^bZsj4<0Wbq_0Pw^IJ5LgU zr0xJdq|qcuP6LyKF<0cr)Ja==KL!cY7KT8x7KR@476y|_&PYq!I7g{=hCyQ&l~1NF zDt+cIDhON>(E@$g)6TNgASp+H6o4*jpbc>H&$Z!)Mdg5Ipaq)YC$uMMNNQtbJMY|D zPGYeWunz#+%UQ)!teN2{2Z&Myh>`(lh6HGj;jq+3|0u&#x-rs?g}aI;Z!3duhCbff zI2&rG1t<im9@+z1lG?yO$vck)WH~@C0%RB)s+ct>M5Y1S^Ba)O0QtAO3c{Hgp0S?_ z8s^qf9`b&Au(PEhNGc9sUr!oa4dk}<p@l8d5umH(Geg*dn+n3R+msGwE8yJ)&<`*S zFaa<Fun4dQ@IR>?<?)a%%}Zf#1Dpcf0l+UvVWR^O0DJ&o0ssSu0>~~%IU$XrxevcG zu4Z=G@v`S+f3kC=m_T#4OkCQU%K;rPlr6*ElC>K>&K2^kk8Y=bJx3gUWn4=rTM158 zG;CE1G>1sP)x7rKtSgJAj5#aw?sl)pOKMU)LYyKfB|E+K{%(uC^f$By<T@&M&;&b1 z)Yowh=&`e5-(ShA?`d~n-%n6yb=+D(6Ev+?Td{jBotSgnK8Np|Qgi%g$%QWz08T`; zr&KHq<ogb)p9shQTlE+5haD9e`Fn%+U?{k0$Wz=vr+MT12I5I$smR9i2BKZMI#WhK z+lPSif-bv1XwJVGK*DPNhjnlCirc!%Zy7U6iM>&8%R;kyHp+5~9lI|AGZN~9!zPv6 zS^xSE7$W1SytL!x*tls&Z6>Sg;dSP2=H2n&gZj_Pni%|z`=$QrGP6Y*>N}}Cy~yDV zclH6YViHY4Kqepwu>z7UAh|=Ko0@t+e>WtO;@8R{eVLWQM&?D?iK_+%1pr44TjV!@ zNq`TvY&!iLruul_&Ghjo&GqrR0gNs5@!nYK<K+T~Tj}F%0DQNO|AMIvw6y^M1i<pY z-lGfj9)Ko*zW~T9Gzmc)z$n>lVSwLmVUXCN%OwT#q3oPDvqgRcx>X!NHnlOHm>PWZ zQ!j9x&Sn4#tQ7&>v;eMbVn#qbjJTlw*fb#AwLYnTlJtO!FyM0cpUW4(B^+?E!UJ3i zf>oY7a}*8EyW^j5H|?H`q+=e6gPI)`1s*K;g;Jm+322z}&5RSmTWHG(SeT$}Mz}Ef z`I))xEt^@qH(^lN%y_{zbYX^^v@%o`i8`L$RaM5ZiA^z6LA2w&A`WRKovKAXk)dc& z$&a37&r;~npr(VBkSe+1yP}imEMJrqcMk6h9>b-n?X9CfpNbG1g@_n^H`3gBTh2o) zm--XNHS4W3RgpS66j}knF4diH=U<`CL#o#RD6F*n$B<^w!QL)WtLY?p<fUK=bhW;? z^sSKKq=Dx<B!(pt(hTN(P$<NMc4<)7IGJP#M4AD$6r&E&*RTw5bVSTEOtUx^mPAUi z@Kw*k1k+ZNAdn$oo+7w%@blMv;iC>=zf%1^G>D0zX8E<&<_$g(<1w;gf8?bQp@r2U zCMKw(H0(!@{p1X+V7q*8X5^1)nC)S-g=2J)BwjMCTQ8#6F!f?VJPnhFad9KfAEPpq ztm%Nmv8hQpjGCe{2!<_<DwGUtW92MFNRNJ)-_(XVpc3>DSZ>V6co>%8_&xuHj-oYS z60RUv6`c$P6O$VJo^)l-^8H1(NQ6J6pn3%LnShj3%d+~%P>eXZ?~kbkJ#l1$<5sS* z=ev0t!Qp+BQxDH~e7wZ=a&Ua`q#03`G+%o}B4T*C789ZV4yJ*RK~rg~HT#5)j^ufe z=&a)pGJ_jLD?9tK(l+il`C{LLw#XCHaJrrsEyvg(c-%Tck5I<g)fvkKppNsV{uLp( zSLRO@1z)C;K!6<PW{ink=yQade5d$T;ajPu3>Bjn5F-YHg3u_R#}WoHC9gb$gH*8< z;gI%71*Z}Q?M1*-Gsr8SWl?0r(@d@VPW4>5`1w7iObdcxq>7x^kYe#PYdIqeX2E{T z0no_7OeJA}uW`20TO^OSf@GwX-7uoR@9n?6OyO^Zv**FYT1^HJZrO!<cRU{Wvbwvo zUxF-<F+RS|>dD~Zd>cm4>Lbjt3hkfuPOH?On)1Y+MDL|4cryl$z$W=-PDGG=ZPoZW zD*YBbSnWuk(;ONy@n(#hg%sDaLvfT7)AIXlWH0q(YNK9E?ng8XG<tNw5hj!y+e^F{ z5;c3;I)`_xwL7)C+^lo9N-|sJihG2O8LKr1db(_n#xR^qyzvRwEHBgmV;$=SCincJ zOJIB5sXHGS*Cih2xa)WIpCUyE63mgS30BP&A09<usE>HZQa6k~zOa4`3->QYsO8)X z^60ulZ0q%3>%?WY?iG@k`i|+8lC_79wH1?>i;ur5YHFz*2PkRkB=H%zCYrl3pDV>w z^0GAg)DKrL;7;>|_Rqyv^02tOyJn7!=zeKg+ud1ZUd>r-BpaiPVX`w=OP!8RyB17i zWn49F*wp8$;8m`vDM-hF>Qk+nG;EG>uUe1nG`)3AH*YxL4~JW|*IJRy69Si$B=llW zW~KqR@02lGM#2N3?^f;H%-7Ld2CJJQC2om_?#4gf5)Cc9s3w}-i=wYqY0o|&dw#@9 zX={pC#9DH`mlF^%)w+XB_BI9{FMPE5MeO@Ki@Zjz_6SkLT5IK{cn}>8^u~K-cZcNe zDws8yeGwMl_~C{tr`XoSJu|1cLc>=%JF5n<rVc@Ux$PJvF`+YbY$QIRO}kkBJ?ppE zy@^P>QQ~&%W&K=r6Ihvj$$1eg#Gn@OU%#98qTKFd|5c<S`i=V7VQx3y`~i<Hb;l>A zs^vc4v$Qpi2&cu=HDEV?B$)0Eqv4w(;>QFB3)pgKKR(2JkZxDE`Kr>`i7a`Io8^=c z_Nal^$})wNHg;lp`WF@^{aLTPDArb-G&@AJ5%FK4(L=VelT3<e&CZ3C=fg~R4~FN% zXmwMbQg(V@Q@WDjLfbLZr-n3j$a{bCt3qQZsnm|zh{b@w4qoMrC5d%Hf)3UC@m;f9 zvWGdk-N(WDwd$r9WfFAg<7xdA-7a+NDign-9IJkapbu1g;8V`+qcUYaR@NQEyl@pX zMbtramG6bBNyv2B)k6_cL_`oH0$#0d@>Ajlmn$N<7a4DeN!I;ha97S<)WBO1073yx z>Gk$FKzE4<*bKqz)#vYW5kvb!yaZthXzXY@mb7*0D4AwbHQ9ujc2YGYaEZDqMj#>; zN9o-DMpWP8%yCZTjf;<a!~{QrEhEgY^^k6ab>I7FO@56hRHAV00LB8Tj=7fJO);8+ ziD&#|hz6bz=ae(h&G7;2bV^FY;>I!uT47p^4_GNV@}sK!Unb=)@v_KWON~bs$%5JH z)Zu)ZS(7u-4p4hHlSK5b%HeL9m{T2|V6z%rLQ|7W>B%Df`^4q=3pRG*$7G-9fhQt( zj?#rk7-(V|E#1|Qdj)d6J$v!?^<Inm3HDqpRG+4-b;aE+3)9C`JrAZ?LqmetSFN>g z5(<}p5g$9t{U#ykXmbr?O<w(Wpv0WIr9P#fHoGj0IV0&o!t;JB?6d~a>BEPXdC?s$ zG1qMKYv<AVucdNz-fMsBtXkHqoA~9i2nlYZ_QiWQao9ynwMC`!!xY7v<fLrSq3P6D z;jGEA#s@0Bo1$<c<~Uo<G$Qu1f4RB5dzgj>!B+*W$!Erss&wpZ&C@Uq;Nh><kgu%F ztOj%Sgighs1d51-)(Te6o}!5!O&(}eb!8^EA+*0i&3h^V8ZYNPwB7%Yq1{$%Wxhxg zT&~G1>RqT=!O)SaP?cKTG^0>OLf}z*fRPS5PUq#!MuF){<6-8M4#>TGY#bX&E&+c1 zwOPsAZlk3)Saf@=+M%d)wj6k;!|P|1eR1OOFj?J%u0)}or0l*A89OA{4nls&HoK<@ z73E~X%-mP)-Sh$bnQOlkC}-Pf@Sz5b6tkm4u~yY=w0t*;*zHVS`jib91Md_<JLY~h z;!{vg^6|1~=S~WyCyV`p{SNJCM5y3ni7~beGIk1-B&jfc`K7#0L|}Mt6>sc>_i>$s zpxCBfyUy;~y8jp}ZMGF#bR>@g11d?tbg3xr`}HFX9h%PoO!=#*MiztwGWuXxHNQ~4 zc4+?w+8A%1qrIdHI&_OK%?4)+c&kBs$Y^ib`F=Z+V4=;IM%H4f&zC?Jt%CTNRLO#f zzyig!5x*Y^Q_X&4rw(5SXu)r}{Tf^gF17}u!@0l@E=3#iXdCrJvvMwNO+?Rf3U3%G ze5dYj7_(ESxOz94c=e4&c7zsObB&!!)01?Q&Qf8h%&F`iFsY=S)&tiX{Qf~lHDfdr zc8r!!b>2TWdL4AE8sL3O@%xjh4uAxt#q{lQFAM!zdaV2Toc86?QzSvt>S?nT6TgoR ztkaYA-&q24Y$cXHWMt<k*|j2Z^p^KPuy^w=weq)D_nOgVZO|KaVuIV-18L?+N&0EU zv{^KYL4|@@V6MW=OSi+n3uRa}p}pjRZ<&?bB|&jbPaFkpAGlMa)*u~VjvbOABIy+f z@svyR<#A2x({W}<?%R*;x`EgHU1QC!FZz_UU8}qzeWqQrf1rDS%WAzAtDvWRETsMW zZ+d$ypyXhdjJbWv^py75edEW3)pg5g;|)aVSV962^}dQK;vM=lMB1XMVrmQMgy3mh zUQVI1srW`|qikRzG>*>V&HKwLGeJmD$*NYNEuvr7Nh4yT)zmcU5*p%wCgOlwCyCN- zq;&^BtwT)|Lwl9yg{sW!JtQ2GPStM9{HG)ND$?9--3Wx?JzLgI9&wfCg=&H2y_z(# z7J3!x6-n*uty;G<ek}CaVX^HP`7=*wNGEN(ofd!8q!2LP&Xxif+P?!ceH+=n-`L^! z1ZL*dcWgw%FF^Nq%r1yUM_X5XSSWjQ6QF@{Gg6=oT$~1Sl@*)MWeX<&`!Rolr~H2r zc9lVO1kE-H7Ayo0?(Xhf+})kv4hhc1-Q8U-?(P=c-QC@ti#@)2zrH{3ZPnJC-PxL& z?V9TC-t9eSHJNY!2)?&RHrf&q9ti-RNgDm(c$bLYzu|t@FrS(Ud5lvA?S3%L-P=<W z(>Y(Rg|R&@embt){5lQ&X|+pp5PJXTKd+ev+XfQppDrmud@~)~BOcAZ+IJQt4oJcN z2Z->RE4Q`%;V5C^5wkKaBA0IwBswILW~<RBm5&t^2>LZXSB}xYVayt?S(QEnkDul~ z33hh-SK822dD=bL>{6kg5XH7eqvYz?<p1&m$6m#H>N6(ANWx{IWZ&8Pt1M68FqLq< zYk0D`l&=W-;CHsP9(nSPh?K?Wxri1mU=Dsb!=qZ6iGW&{GNC`u{-pOX!grD-F)}C_ zE8?3OT53~)uGh!LYD*qztari2a!(rhejYD2pjS|fcb+abfL~C{_eLBU0aZ{7@x~h& z(O*zYa-Jx+s3_{3r(YPa?DSO<CO=-eLBfpsvn>6DPmx-R#4Oh`p59S{n@cryb-HSH zxJ1ju{UlLNZhqM%XXAKJrExvh>+_He^Cx;yyz+f+X0baq7komXbD{sta0&Dwy-Lbw z@A!ojOkr%D!u`{FvG{++YEzzMwZ|y-dSN+95}Ob*$*puW$L0!Gk$>XCQ~Sl0la*m4 zkIzoU?zIDJL?MsQLykei>FPnt4xHN?HXGtQx?+W+QPB02=Wcf2+XC9NYoz4u>#5AJ z)jIIC1mOfe6!L4+Hm1Kq;nkQKHv#RjYPiSw=bA!UMeX&mr$o}ewGiA}5zD%?01&N- zWpiK2?(K+WYj}O4cv)vaS*(%l@>MfSt_4TFth5Ce3coIYz)agI)q3%UHxB({Z4OPl zi&-~Uz-FTolZ7)s!YG@U#h9_1rL0Y-uT{X9j<Jn>I~^{+jm%bYeWg-1G!m1=D@ayZ zs7Z;EW)l9&>{tYN=bov{LWnF?H<y|57sJI}uF6`o{ktC9N%2ONIkSWfRf~xzU%?vR z$<cpe$C#-Hiw`h4SsG@VR{%{YXwGef+jxC{<444U=XCLXMSr{K9^${>Ys4zTCE&5K zw>}e*^Q3$uYrsxDcw<W}OxmcwFt|H(JTa8w$@o-hammGc5}z9KBmq=WxOlLu9ETah zj-$hfJXBH`^c*eFfy9*wxETuiM7jU!Yf(Q~=mZkm-gw<@c967j#L1m5XJfEx)>kU} z(gVNHp}dGan6omSQbp%0E60vB5J-flm;NkD^gpVS%|D$u_7eUuFWrH<X=0T>TXfZx zseqXks&Uyfi!7o|5H{C=TR|Vrb<|R37jX)n?jwhM*h<3{RZd44;*))klCuyZoIxx? zO_M0HQX&+e!jfGn5QxgJku9W-6Q6w|AE7;P>?-o+V0w2vWQg<5sV(GAtQ4u?4SHWr zxNrU#HL?&wB?Fxjh}Vh0!NTtmSePnPpTvdlXCK+geh&yLU@aQn4qZN;WGxAegV!{S zkh3Muv`rLx#^kOEb!=6I+oR+z33b%ctKrRhpB0I0@DjCW9sendcrU~ibik2Xsu5Md zlAiU`wTIhx!m@PxZiqHv_vntC48tnayWpm9^kCVWH#1Y}k~+y7v`i&?MtgthC?^%F zxeV)Axl}99ywr*|jA|i++Q2GoL`-J^9HC31nBGh`hgp{m+b2z%2^e>Lq)nojtxtef z_>}dGPp8y$+55&7_&zT(tko;Tl~~BJ{KXo3{Y%kQ;LalAWhWM{n@rb|k03-4p2yBp zXpxK}kDGP|Z{k8xW?MRM<U*)0wpW=AX%lZ|r)1mKH#cZc8nCEWrq)G%q><g(#=9U( zM{X>MGAi>$z%@k%8V-l^j^M5wZ_S?(!J;T^KFb~R_1iILrT2JKcZ*Zw$B&OZtnotS zCZ%V)v^I3^RNV`2b7k-VJu3s^N5OcW_lgiuNFp`ovPme+4U|rPB+kUjOvpwRiPPdz zl0k2twJ5U_CcTd!Xy$gjINgpuj>gL8NhMmyF@aKF(?UC6rZR!Sx{0&!$x)o6GJ(um z)Iz&ir!s+FpVQKJPnmcNnYpa9)0#Z;?1xdfnHP9)+V@QmVBP<n+Ao0xD$yp8{$Slb zH^*Y!gplnci>%m#CV@G;XW6gQs*=8{)x0G~bX;{XPpL&>YI!3^Lej7KD-4Z+zqHpT zK#kenSaQ=>7>tBhlH>LE^5b;T+jX5Ib(TCmcN|qDR)@BjouOO<E-tQ%@^3U{!r^yd z6Ib6gnl9xe`kh=|C{3I+WJe}umTRMqj$nzNQcHXWh55Q#S<zmqiA_lk;y6)M!zs}u zCM!!<OCS2MiC?GXkMpq+N8dExG~o=aDoi+VBUfMQ^WPTi_wgC!G2AAhsm1Z!EM%A1 zoN>2t#`m_-a464ZT6DH?Euy3JgW}?42R&kQPd&-}$E477W+!{=n}m`z8}-up0xmJ% z<u1L_Mxk*<0KUrlzKNeHYp}DaV5g2vM7l6fop7hnRN=wT!9=<w_0n^pcWdNldB64q zHNgSZR^*zm;yYL*GMW6xeZMGpz2*{XYOYa>WcZ%yhvUi7{ct^Js8EXr<nY+G(e7oe z3SCarVS$VktH9#OgLyyviB^2e;8v-rwDQy3#>U0(K+;oSG2UK-AO2)3{t4ePw;+;< zE>gp4yWW(M=Ay7op54zokqKPnXFIifg)kMkR=^=Gaw=JE39NG@7^6SZ?_v}Pfu1~) z4#}71+4h@m&RLW0Xd|Oe)Yw_=o=KaeVrty4w06_KEpbE}k}u{SA9UBOIu(y~%;fa* z5<dQ}#*VBBRx911Eo3dm%`+ipDL>Tm5ZDu-xLepK7R@h##lZfsx3tF;Zx}B()!i&< zG!LkuGRr8=ip=I_cb@5kHS3cz9k;{0vktG$e@6KeoP+5wff^f_x@fkx#{@!3;|<bx zG*qzlSWjQd^wS&SBC)r3uw=8HJKinoBY*RxMpL9yg^5rLOy57Hzqd>XjGGTSuoXP5 zRj6IzUIXckI$WT{rq@ovH=6TCVtMz#O7L5hpZ7e%0m;l_L&nD2Z|)JPJmp-nbCR_Z zq(p0_rba93L*0j7pgPhV(nEAMXA-k{o##^ej^DouPVT>wFt>2c%Na<Vu7Z)^v|`c& z^XAdOF*z+Qso6s+1q2xN{P4=fKzJPzb7c%It<4f|k*NsUls2;x50xpG<zmN2rRS8K zW6h(DmC@b5g0r=X^~_JGex|0Nqm6<aNx`~0#rl;jUb13Zcsw0du!Z2J8OXm3b{|Wy zuGYYg&08HFOaxz7oHmk$UeAQ{pmy0j3A>UJnKwW1;U)+$%{4zKd-TiU(mXO*d9$v_ zaa*R;lBHyTOUn*~6{XW=fL)1+EL0QiqCFb3UecBYReh(P<l|$e5*EFs*Xek?OR9p? zijCwhD*&?znwfyi8ywr|kIu%@+lrGtgr}Z0DVr5KsgC@{Fm<M+JIRiAXwlY$7rwWW z-a=vCC)mMjB|ZDW14msTbramR_VULt)|5MGj%LP^SA{z%j%sOtwHA5kD~Ot^i|g<m zQ2~BBy0IU~G~B)_O!bUuw-u+qN=)6Xb&0*tWI$#A+Vv~U;<oI0VyY1o7LSN<iYI{A ziVMWX82W&1WxRzbPJR`c8lk--$Ftv377YJ1B+%_eW@=}Q!{IN-b4$)Gv;H4NyXU~1 zf|ie{jJRCCikk8BGA%*%!*>dM%OL5di*<dtR`y%}vZhz5sYK1<#{2eXttlr>$_;#Z zFOs7)T1PI_0E5h&vRZ}Kmb2%I9MzVO2$^Ap<F#FtYn|JBKQ*)5<qErbXhDv>cN%6k z;eHCWj^s7UVrHH|OvmI+*j6T-AddJma=}HjqDl18OQ`jP?Q_P(*0wd;qvf%xVkoE^ z7(qJ|=NCirhl?9=!AG-ZbJ~Lb+A&Zt^V$?|722=`K40vy)UA{nX_5P##KDV(fp5n4 zba*O5b8%b7@ib2=JNsibG1n*vhDC>UK(!|0Tv0VBTnv*Y$$=NhN+ar$?7#=4?G;{m z>Kng3I<`*Gp*?h0$uw{<N~Mp3=WV6AHEOMv$U2^GORcO+ZNmgQY8QsHzxdbjwo>4D zmP=%vPqSdrFP|Ze@B#U6b<2x2=x>e^%j+F#bk3&NQ>z>Vb9o0rX`jnMhj8-#sA0@| zo-#(7x0P)+(i+!g^7g2ccBxf^{{ARZW-;|}LddOC+=@lF{yFIL9^F~&E_#IAe8m|| zS|_Y84Bc|Yn?4P2=Fv8~kI$E}4dqX>u3LF^RDzIf-MEJ7cBd00&5r0<BQ9^+>41qo z3>U38A%#SfknDOVfN`=WTzPji|DTCaGTa}X)1v8+GTa{BBQ!BL3I*QSM_-Ixr7-{H z{v0oG%ucd(l!gz;a$BLZB&)YS?fz-cob>h;&?C!w$CzQw2#AMShm~IyZN!RKD}Fo6 z#@S#Ic;|YNP13aqj$8K$Y~|Z`!mrpQ-$Ax~1V*#lv0V4xKu3G6eV(AJn*kxN%6R0q zPlF;q+nV-akZg;0M8p#C8rIiNhV*70-?KwjMZ9}Goe$jUrh*i{sMggFvT$1!ajt2f z2VuFbj?jwUut$3>k*kUO?62~HZC5oq1U9x6mm6z<vF)><W4A%kAiIt;L2>j4E)%Kp zkG)|ES<{Bg8*@3mDv2h@>3gwDuJ;70z+H-da(P}^li7|l+i11Ixaowa;9)Y*#{|~p z^-&nz5T{4PxNDVo@*y5Jl`S<$(P=J)sWgoJ*McX$ZhSJVyu*3+vd`(ko+8D-Ko+M( zY3TG|V(HBhOP7wwfw5fG?0`ALOw4YZ+8}gF+a`$N^_iHN&Ip?f#<Lnz7ys&L7Q+w^ zmG@LInt!s@Nd`A8m>1lqPf5(eQYh`Yz;uE(96X<PkWEF*Qd<^vJ*vMu-HMTeh*X+& zgWN!VSf5aMLPwxF>M94%Bp2%;b1w8I^R&pp%)xP>mi-Hrko60};}H%3)fWSs%}Anv zg16L$iaJ&DRWiEMnu?^R&0_>)_ogkn*E(iV=ae~4%Pa4Grz%}zSmPnqq1u#EP^6$% z)^vsO8`rk<;zsixWA<D9cHs$8wlV_}ok=A_!lZkBO~F!OlgB?0fp!>s$DY<bT<UCE zA^GjVRi3zhQ_66TM(5siAqMDE6Q@>u^O;3-Pd{}A8jjO|N&njXZ}_s$LrfKrmB%b8 z<dsKxWzHy}N9j3D+@ZE2$TDM*FD*TOonA?Ydh_b&jMhp~g?jU@xV_(TF6)La+O*-9 z6L1(i+SIfqvNds}iI1CNwO6d<I!gSu);c1q;6^ukVyqUULu%h0pKhoY!;;@~6C|p+ zkmpkJ+6{XC1nwoTQ=q!qa?xm|=U8!g7L;q%5~h+IB2jC!6QSoC@x#M4Dq;>(h3vm2 zXZH7i3;M@^rD4;*b0?!8ZjOQj#G)MLqpcFtfj6kpNhV!?Z79!$o=e1~Sy1-txNsJ| z3c+Kb{Z!zK<&XV}$Av@u3Tsaw<_H6CD=UejYPz)DX`R~X^nQiHwa{~cxO#nS%i<Hi z_x^NXMQQSF+O)LS`E;0CK~qcbCpms{J$1)8;<yvO?iw77S<UMLXjCil-*{&KJ-+H^ zS0vIhbTqxCC-5-_hp)9(^?3X(zvy)WWTmy;eMZIM=IBYI(w_Xf0Xk*Azoiqq+)MVi zEwHZ>cQHA6w8owj_GSS81L4%!#(Y{$JlfXQQ@FW__V)NhjS~epWWm24^M<dXKwb2r zl6sH-ndnbk9T%3LPJaf;cNR_y_eYA<X3vh&r#P{Ed)C>NHlNdobMVkgym!9Tqdo*X zS}ojD(kI?}w9<VBVb2zw@-B^~@5Vxkv{%Qa<*QZIDp|*~c$$l#Vio$k;|JQ;0KXNZ z%>CI#uEnsSg4I?S8`-83?dZveV~Z*$^D=Agi&>TpHsadaeyg&i2T^{$Rqg~;o|}Gt zzQs?Fp6K8K>~x|mQ(JQI1m=E%q-`?(3LCOnUoGp2+vAc21Fidknz^Erh1_y2{>jJV z{{Mj9r02WZCMgkm3*-@Y4^5S--<VH#24z#K%lAUr{8yD2v0)gMWm;CrFL!^f6jUqf zX*|ICpFma<^Z8Ek6WGAgKODy|Fd39MmGu-Qx4RK5HC80fmomk^Mj6{y>gNGL0AZ2$ zmPode%fZ4^^0dU0#Nkgl){mhi6iW@glUZ>2Ne;N*<a3c?52Rbxj(V2bAeNSPjG^;; zX3Zz5GJI7B*H4cI+`joB3mYmAWO<A%g(?q5r{$3K$#I?sl)yyto7@-|8Y&k?rEy~j zCc^Eh^1m%%rzv)%>737+RS2p!3~TStCTpJm9no2Qy3<v2QXsk1%4RoFg_*}#e7^Hf zo`;f?JzIRaQ&mIEWq06ss;^{=ATX(v%I-A(oJ#1MST_GXM##{eOz{ZIbF-q6V1y7U zde)rN=Q|CzczF}A)R2rUD&06%jq_PnKsugo2i)4(Y*6_R_6EMz{-W{aOsBaymooWH z<N2Q_{jcoVo%cy$Lz-AP1eQk&c;)kl5(CNi?jx(7T3b!L{lH-!5AHH3YBk!+JB!?G z*u&^26^?^BSR;X2p`x2fs<h)mfsOrH9q*cuvDF2*`3^Cw@t#vrLlGL!AMQ=^1jc>Y zdQ}QCIf*9@;>YBd*T>8sO2{pQMk}P+A=<YIu&9RdMb&B6%+Q<@zkS;mi{P29YJ=R} zV%sN+!e32@m9n^O;$+#wn($W?Kub!kcK0!={JJwe+I0#Wm$S^?1tGLnHBt{TNKf_k zCZ|i<{717l7|Td)qro0p%fzZQNk}h_7U3!tMLN66qVNPA>w6^px+$?kM3IyI3;L&u z+4K`<GcoOHT9fOxH%!^|cFVJ3^3TokhtEwRLk*g9HCZl4&_6^@yeQ6Kv(@UYXPuMf z!H!;|3pL3eBSmEI=)|>ofhU}){+$@ZMV_rA`b5Nsrpl9hZY!ma5%OiP=^}e4w595k zl%<c-36U*o=d<@-(3MY%0M)b{5HQVSp~y-r`8sUhfq#9f)sa4K@<nCY(viJ}LjUS` zVC;e+4$~3!LcnFdfnRsIRYrqW|L(Xj3DA!@n(WcaeJX2166hGu@Zu;+?l;AjC;OR) zhsj5S%S4S;2O{FuT&Y|*akTGLvuz()ex8*g&mK=MS3Nu0(bVbIc&ry`*V(jvy7?e^ zmluxkAvU^EXT%PSYGrBp=R$cGW4Eyf%0#>8JAtHzBUNfEkJ6D^jCJY#Rervuk%8Z_ z9)m@zT8q^Bl$-a*2WqBA7dL#_w!>$KX}~W0>-XkhkIf>UI$p!i>>h@nFTsQ^Olm)v zpD*Lwq&P>#Yj2iMlU;05>A6P!(M%J*f%Idti0ywL34KK`T8nEMq=0)1rzE-}@QoQi z-;Npa-PYdIwMQ-SLwS>c*Trl+7FU*?N_Hzp<+tZRd3m!<frnd9-}3uoDgZ>~aAYY6 z`aPMyBhHC$F6xFRJL@ysv<!S*DN3%b491??e7dt-xK|jR<<8a{`piQ;U$nFJMnA!u zRfoJ)U1c!vad~St91GmNQH>849umvW8gCVx+t}vG&icH}(xGj*D4FFZ+!2vV$>m9r zu<P+S^~?o@E#2m!WM#58I`w(GkiY}nY_dLkO5}Za{C2RJK`0dRPRYL?5eh<@p^m}_ zanz!Uvy6;b*pAF8?heR>mUL7c_nL4y#xE+}DLRbR<F*#`AAxp*_NT__#0VNf(_@9p zIxyyo!uQ5-!Nn$#b%tCt!Tfit;(o}xMNxQe1Nk*dBE)Va&`2k%-XUn2#OLG@fX>S$ zSkxy)?fYW8;j8UI3~7dtJ%l5U_AWG;h$DtoYQw8(5_n_!5TRp#%D893Fq1ENZDU`j zg%92U|IMU#0+tuM{$$V-LHK_;id~FN`xE~~^%5e8T)w6GTsLpKpb+2JCN?T{c?X~U zWzZh25dGmJc&lJN>EHpdeXP=>_o0FgHatCaWcwGUi)5vy$k+`1W5NZ^Dgy6U>v9j? zeu?+y@lSf{LFx*4<MYv;%Q}Q^1S`zgGII=H8NWD?9q&pZm`&J|rGdO4Txxu_>h>+r zB1Mc)S4$4+^@If?;->}J?46>MKojo>;k?*dz-K~A02*p3(&XLW2YV|^!(H+NQEw|Z z#2JD?ID^3TJ2Bp87<CHk<;SUh#Uk?TmrXc=^$MxDu|*ML4+HZLE9?OVX^<fJ*CVJ- zTo5C!p5VWYm3nIAbW;5F%hKnL_kYt4y4rpr6<F=kuy$2r;uIAk{M<|p7#aW5GIKF8 z>ei;Y6I1{oB=-VQJ>rrYx61hnUEI8qP8W;ic;3?)1fK6O&}~lcqt9|Y2vQ5l&_jvt zY$fLfZNJrTC5q>!zZyJ{MTE;xyKMqU>wNkGJtXEi7h%U57R4ar5R}~rw-7(<=YdkT zc>7i6jy2o1?Sh@&SY)vduE&}*8!^AXQiH}UFd}a7kq<p&sAcT3j$qV?%;<)Q-r&=i z6M>ep=$}ckMDF4~!LSP0f4^{{fbwjI>nm!*6!l;ZJ*ic9KLj3dDY)$=(zjoVP=^y{ zNRA~ATNboOgf{fP*U-h3(g_Gsp5lz_eYUl4HfXmc03+1s;*_iaEUDxpks{J!6SKz3 ze=?@Lj;FO&3s+`~_9cbn+f-#Aai|r=$oU6sB8jn0ckTTn@$b}_Z4jr%_xPR!ZDMd9 z4Q{KN15pE6b{a5zmDwK|b6g%FHZinc=E`^?aKUhhIxN%AmePR(08Lix9c>YxlO=b_ zG)zYBG6&+?Y4St`MAaSTAA0s*rvL3dB#O5y2W3iTk(4n==y_D<`8uejk_4ntR1skE z=tgNGi&xn)hN^2+?{gBc-teY1@*w2)5v0OXk?ZDuq+=0x19yYZP`WxJHXPfIE)NVQ zDbgug@vdM^5*i{I^GKbO4E_%PYz@6QjP*VBbobt+!0d3=6~ZlbL@{Z!=?&#J+tGPY z6cPunwE2o?%Pm5<`~sizrv_=^juHR!d)^%GC&7P3O=Ur&&QpeGA{bDv5)AH7*edw7 zC4)3gY}W|~|1`qgr^dq#FYslPYQLlNr2n7axSJrGCvo+%p@wp1>ZFi(;$rH=@jaN4 zq%Ap_NP}{+ob@jsF&g`6oEBp~Et3~zGBE@Mu~$7qVO`o_@RNAnG)rCD0kF5=>xa9Z ziO`*^mrkZDg@(gpC6y#zD%D$sask5VZjU-hnm=+Xvf}B%Zd%>~H9Gwl>}^RNy>3%} zrSBV5Lf5%JD?|GQp?<ri&G)RBH6=~YXwvFa5*g%ehcAk_qc1iMY^lTnB?stD*Ao|n zSzE+VNt(ha!?6pQ{AxGGA0Eo2)81$839|wo^U7h%w3F1X8Ur+u$1Hu|#EV!LM(shS z1>L7AGJ#U8Vb&aEKzuum3gGKNfx}RfDUKFZ+(qb7ec8}O0?!Z{NxmHM)&dPWwV(gQ zx0|_$B^qgm5WvIJnCn;|tv1DsmDt>^vgyIf0Q$|`47&QSU(2)pO1}MZGq`K;@b;Ra zJ!XsNcI|QEo_jYu``>I6y!02)z9IOo=28a;!!cvZ0F*hs)7pLLd)!3L^i-d(WwX2s zK=XZ&jZW#PKT?wssx)s9o`$!L2P?$g0&*C-Vz%!bb4qA@RZht(n^nGgvseO)hhaj> zdC->nxJ9&x<x=G8q@`=liZQaV%^o%Jv8neF_p{{r#)n5Yet_m!$q6|e;3Ww%oDS>A zDn=^>m$u9lB^Vl8QgHWno!hV~?)3cz_>aG9(2oDCUc@yXQ*2;yd9-QfLcu3NK#C&U zIL}bdLQa#s{(ht<TghsxDkmywiTa?eXr}Un761dAYB0S5!$<VoMp3a)QtQ(s`%#bR z$DOM&TCXN7`0YIA#};Ik1+i>M>=mu|W^vfEl)dzZqTcp4PBjgDo$!zab!H!nRjqf9 z(ZnYO=R#;>+y|04{1fs8PsVVfZO1@Q{hY}mKR6M%|GR$J;V%iGxZ?R_Q{RFS6}#xn zsi0Lux*6cP2x*K+U%Goo!EOj{;e{a@r(JVz%(L2n)~yP63SY$4-85D^=s3ggG;z|X zV$=$}4*KLz9C}Ola5uf=Q|7t}_fbVp8qHbR<D?STNo8f}^NG%Z7%_Kz)P#KOLG90~ z4prGYc4~EM-&|x7I1rdhQh69BbxTHvx`Ry4>>cAVSuxhr?BShe;rxZaF&kWEo`|s< z=|VN@_C!LhjzL0|F0QUSr3=dl-A$NOqOMGB8h_@#gDSLes{qS<7JeuD*s*mvK_k2Q z20C<tU(|OTR2@A)^VE}BtM$^$oMSsH5@e(j6&3Av54jxlj)3xawm~0%Pa9!}<SYz! zF@h^HE$+|G$b&7*MeGxuul7io_rCd)E!y+5!&-ihJ?kk1?<(C3C~<7b)NIgp%lObo z`Bk^v(eCgv4ypkA%P7AnBt}@<<nwi}SU8EqAbi?wYNk^>qGspc3E*$c2h-8NuBN0X zIeGUxk^(JTQww~m|H|bD;P}uAx+hf(@2k^-y0X6#`<_-u&f$*iJ$QKzWyp^L-}50V za`x7+4pJb7{`URD_JMg8K((qZrS)=`;@i6FK6vE5zP2{LOLAA8uG+&f&g~NFXYqHA zSn`a`&paV)<vy?wVIc#g4g2*mj<0IP3JR>#j<DS+mj3ujC*{HvmAk_c7K+v?Jt$^R z;ziXFqR?A?cs`nTVx=t_BH!jK9ka(|U@V0$=lhFN+GX;}l`4N<t<ZkL%I*8Nt5>IH zBY9XRB9nWF^3}9bN~%JOWc-;Os5W7^+nuMU@j)7GDizv{Qhv&EWOZ<!mxv|2+`R}q z-#0^eXxMK)gtJXt70W}BRO`>H;Y>f(h7gnAMVOL}y8h^k5aP=5Ug@Z<Y07U<;QS@p zVpNDG2rhDNz7}qvA`m(dCQ_+&86vr!U$2?9{Yq||xvJ3w-H(rYujs##@Di$(60>O{ zh4P}4?ZWpuB5mu4+gFbOJyTPNFIwg+mJ7|i5o3Jf#loy-6DZ3NM(jw2@&(b7NwNvs z!I717sJUghs$BI}r$6A;floKb=z(%w2B95VcBkRM*1ID~$9RZA+tMp*=nMkmtVid& zXYILopa&5dEAd2E{??8?;PUd1Y3<o1Jh<3M_z!Oa3wf1j%?460YIB?lY*j{%U%R?G zWc6{HlMzE_raEmocfT56RtZL>tj~%VPrrn7UqGbhHwRhPXT>3fUxEVgs4y9XJC>ZS zI+;*ZZ~)fnfW+WIy(`#K<@#=YMZw9U!sYQFI(4i90ch2LG$d27X&%;;$p!_u(q=@o z_c_I2>tU~JauDhfR`KCyKqyi#X0n5G3SPo2nd^P&MD5|74*c~Wwdh+;SVG^A^a8i6 zSH9mD3}YA!^}PU|<)d_hJff5(6M)ma9*#b5!ZgQ`JF~jMn^MyOu{P^K+8*U^^umrg zrPf5)f)Q~ffiX^|+xpr(2Sxd|KLXzi9oAr^PKI9zml`nUz7#eLcN95Yhv;A@O6ST` zkP5Y(b?5A;@j1ceKh-5U&RxCE4<!Rw=*G~`xJ0AwOCs@m)O;4SWz1JWqKs4`y52vI zm=#t}%riRG=ZFr)NQr=s4OLpK#sFEI*X+6XTArtDbuu?gv2VS}9vz#Fx{)250FzKq zB2;B51OeUrF<RR?YTxAXdo@|O*QTDbZhCgc?f9>53%~l1%+YQcP951vw6mWRvR;?& z?^N5V%`y$_vLn0G;d#bI<zHtag$?udI(Z+w%@q_gGCv(`r5BF(iAh7D^)$u9wIyJL zky6loU8Ga{PMn>lL6MZM)s#T`Z#TN`NQSHew(~L;Zc9<wZ?jj(%q{w$w_SR<q*QNX zX@f6E(3Oin5Q-%YkF&BON26Guq-WoK;|5SgU{{OvcWLfuWS@wP1rJ;5Q(_LP4+;=i z8WD!eW|K|3vkG)167MFR!}y5k1v^-D|H;<cyM1}<T2dn~p2(UvQuu<@1WB5a?Izyz z9K9?VIu^gHk*l}1GkHesn%i*TLD-~ZwBQdd`!qc8T|Y+TQ3LBppE}x`mU?uW6S_(v zR3x%|RRWf1u;27KqR~6U*h+re@M2^1(ABuZPvpi^jf4sRA^&kAJB;%1wZGB?&e$Jg zSpvz^`k3w4EYmm)Xn=vQUW=gYB;|ek5$cp32spzHRxN&{9lwDCA)9-TOe}78TS)-+ zZN$qu-RUpi?c#>CA-IW`*PRt~LZp>#SnAP~J1Qpz)H3pP7y~oY@|;dtYtetR$Uw#( zxzgfhyYI+)27DOD|HQvh?Iows5C3-+<X((wF7Y*P@3udn<<mzFkI855%kW)c=wXgd zN@=nN2FrbZAD??$6@w^hQL!iErd(}mdE>6cc`l$C`KkSqy>f)T$7T|5j6i$Lz9x>E zv(NpoTU?(+^iuZMR%g`?`lX3#2ycfuF3lW!(^aF}06w~Zn&lD(C&xiai2l8B+yaO% zr6|*ReHMy*^6kSW&mz?&G-K9E6K8Y=VWYx*?%AZz`osu(dr@;cx3f)J24|vd$iI;z zrpv{zZb4JAIdj&73R;$uFI8B2vvaE)9K%1X@HN|Yz=U@R{vj%$cllZcYT~{F@{1mk z8klaxXs7oZ_lMX~;BzHM_t;APU*2;xVY<?lC+shWY0g~Be|5raFDSa}QU+WndPKh& zdzkxu>qol0>eEy+3QldVjaB)mgRSpCV$y*w`cwa-WK7G=4(!;oT@3fq)Mixj*xRc7 z^~~9(RjF~04EiC^glonKDP5QZh#A%Dy&g+-QQ_;NKEkik#6AB9gp-Tj@R|ckW(zv7 zJhFoPX+YrV_&Y|ApHhOK4!Pi?8q19^!90OuND8p7g4d1EwEk1Q8MG^el6lHBGIQq_ zXMMz&Lk4EYpJ7#gK-E_W2w@U#Q*qf0k6o13D_<DFXNC~Gy?H3h*U_ZZoV0aU^!}Fl zXG8zke86V1wT;)|(SZf0@D2X98!G=cdvI+cF6c2`7pC99Av&7v)l;})u1;R5tKJN0 z;lrstopCPKy}Om;&sW^vG%l?OTab+x$RQf9&-7M4h!*eP^lP9U^w^cEJ(@3`s^qk` z)J)#8w%SXQAchMDM1LP1G>!2=ey`WBbGAhW2Q%=-pj%<C?}em-C#UZN{A(9>1w6yI zT}%WT?!Rz5%c;=uwUU=Q4cxC?&`k@R123$RI-N=%^TAsKnr-T;9cgQJYRYG{ar>}{ zANOf3IokABZxf1aI!Fx@x=)s_x*;MlLqpAr)zEFI6hXEZ`GqZ0y{B+r9M$m3j);qm z8KVOlB{TevgS=D@(~P9=L^&<kUl&w7>^j9_h#<<0Vc*&l2UQ$YRk|&%&3zj?6Q-jj zKK`zE%ReNq0S7`bP{N#@z}M^qnIdlpE57c2C+4N8_x5uxJ3#22Mr=nd&cAaEJ|i%_ zwga_oPXzG+mOe>r4mz-yyMX|!q82f_ZGuT-y0xrvqL9ZND00E?^xv1hujYzTKIPsw zz%sZ`M?LBNVryV@b{mn3EVwS-y*mKtmO;>Xq%-}Mo)b0~$(p39{sl!TQ~!rL3%`3` z^RB|OI5V=7l)-DvfYpo>EhJpw``(CX!UNlccBFK1;g|4dxM$Du3a^XTqKW~|K`N~z z;S`_ItfnBV?l_eA6#&&8hQ&vF|Nf9Lty06!xN%inAc<$fN?^LdbV)(?dhm>17$=}d z4RFhN4v!XzqJO=~1*w(Lq5F1wVzxsz?!Q*K^TXiMteR*q`Y<rULvh)Pw^;E5jC6n| zOxx}2b6PD*BtLcS*p_dzr5_IAIp_BK-{$ichQ;uDqhDCkcX=_UotyItpacwhhNNrE z`BwFO`JxP^G)nxAu)+>PbI+fQV<hVOy9*6Fz<WZwtf9yjO{fcUxURh_qOM%>&=1^c zE_)L&PaAel<P0y;`FCA1@~BJo6>lC7t>Y5f#wQvGa$#}OlGjWMg%=&M)ZYeRx4}=w zQ0S57wIZ9nimG|c4_V6vqk@#9JAjqn__^W}E1wt2t(wg};Eiu%xx$BZJ+frPD16x= zsLO{>nWv&l;_p~PEm55fo_QQ8n5aiJ$y7b1n-=>5Zpw{diq4`K=GghC_Q>{@5}AC_ zxVx(y!CQDnGG2m$ihwxBnU|^SAA)tYP~{flo>&<;-GSIXQX}=`VVn)PFW;COhfUz~ z^MIapxYUpo!b|60Lt#SR)h(vcRGB4(w0>qleevg82Xt=h=kFcH$99$h_Wc1C*}#m# z=H$Su>yJjHbH8+yxJQWtqVDov`82BYaK>h4<Blr{IFqys|K6t%UuDv2AE_$1z?ma6 zf}6f@L!@|P=jXrCU0dNxp-o6^nO8!Gf3MHfco4UIGue)@`F@odLseUcL;LVuxZqmp znh{405DzayK^q@dbsnwemjK7mGTO|rRHnXY0Xz6|IzY=x=;yVMo00&q4YPtVBau(w zB?gm{)TKNSYdwuN5)9%lR?z@{ZaMU8sh6(+V`|CUE}``@)fD9+x1z*a_xNmX-*Gj= z3#TV|Bp+<@yOF`gjCGbnYHiJ5S-2iy$yseL!h-D}xw~S8m6S1;;_pKrLk$6hFLUZY z0zMA-_Dv_=P@i5)56F{C2@_klrXPtW1*3_7&6F|W#)*!KEz;=p!X37W1u&I^+Mg!t z1rG2xiLGE2@HvDJEc8+ZsJtEb;^8XMt<|GNcmp$8Gb9QX3(Pzea|c)NNxwa2K&W=q zSWb`ms33ATS??u{A$3c^yIjx{E>W*ssP{iulM{9es2@}BlJhyZpR$*Ptgv&>t(4lq z5|t{oCEz>Zz~?VmL>^iFxUz;*hIzIct0~V+gMh(4BRKSLUK&Cm0OW8BRZI1RrhOHU zKm)LCvro<K2Y!jq??AgOpNMW}R#zg9cn-@wy#@_l04HtN{@_#L7c*fnD`*pZ^!LbV zQV7Q+CdW@>s@fxwLP-%7VD$KNN5u}*GrFJzPPK$ee1Y)CmENC0nKY>eIB~Q^Us3%) z4(+Lb?yj3p7YLmaJfIm4;7<d7tPzocHDnV5Z)_*xApcyJ2WGDB6D4mMqDjUcf&eh< zrGASaBH@@@BU61~f@CX|wM9Q_QslpL_SyNGzro;0YxZAFTgH0eq<pLowkr88`GY@S zi8e&{Fe#fLJ8yVv1j6RY>=7R4E<{pI3Ehr0p&l<8dE`3PrnW1loG}l4T|u-@>t6tu zKah!=U19I^xW01HV{oM0G_eGdClRrMitc4YsO%k{`;QOE5#~&W*?fQ0-<f>jv+})A z7&fbFLtKKq7()s$pUD~-#7yVOcAUIrHu}?N0sSO<K&)0ou2Q$#eC4WKWT4u!GIiRd zXa5jL-;sHqV9zz(KF{M?+4eAJuO6U0l(V6eE_#X|XashNWG$&&MHqc?`Imf_oZRFL zNxToIDx~luzEfj2I-NJ?+DMO9(K^e0SFu*7ce5?dSKf@Luh^v3GZMkr^zHBziza?s z5n^0Os_#8%J2)G9(`)c9v(x>ony%f$1^1lPff>9XM}{_JAMjXYw81bN(}O~dr8Gze z@uvEKPQbFR0~CLCb*y-<n5V>$tPq<;zaEHU?qq+{)`onx372BAbmZ~*QEzBHzFGQE z39hc+FXKg;{*@ZlXFeS&rVSQE^MO1PzP<%~RVcV8NJ?yyyj&S=;%9u}R6ZJA7jo^k zv)3#+cU+_!2fVnOjKw(pS_p!Nch{Y`_1`6h0H6cA&*bYG;6qE}K^MuSr|P$Th)&L} z)%c};kV89U;(X1ajRtWfOc$p2GFf)yd6aj9JL|;%Ix}NUW_D%74)yh*6=N6lx~W-w zj13qVO4^*@e{*D|Cl)A33>)j8_}C~8@x<L)MWyn*;eN9ya{=M9raJdJX<0VvU63uL zLXiLXFtK%pHmK$))7E5b98E)-Y{~U++MOZ{i=GKxmkFa%O9=^A780yAqvUZdXG<!A zqGV*xh7gksXR@47e@Jj3v*3`*5e#nqQ(1U1S}EH4ki7TxS~h&<D{e8qj;v=1Qk#CV z6-+VU?!$qWl!o!cJBP_Qumv`6H!dJHuJPClWQ0HmmSQh*s2kKA3vsb^j%vyO0}Q`c zZ~ApGUu&kUl4ueEBwSa#oP0;NYEZ`Oo%{1WdXh4Sa-U<Kl@h7|HDwK9`32&UM|}u0 z0D)i0opAx|FYu?F|BnGREzq)xNL(eL<0tZ4jUHR72ThJqk9f`IZw`51a}U3#rdM|o zF`Zx+3&c!cnNrRp$0+G9KJ|7Wr;TDNWm%QoB%0s?iB|SiWuAqe__9ADux|lWzQ(3A z?m$^af&M5a#N=kT4Ps-zkZhuwJFD^?4ml!~7|YIc^{R1kb=EyM_2-5jghwow9<R=H zl!{chOJXvwBqqw=4A-Te&sCZ2qdBwd#z{Lu{Z?x~)VlP5=$^}6J!4`h8E96B*C)O8 zZv9<y<0yYW@IXt4|Hd}SMTwA-z2}o3o8IhM{ux&dn8BXIa27q5EGG@BdgRXH!d`fP zO<fVv3QEWpKbK=`lbDG?Y8`WAUZ|5-5D7QM#yJXQ4tb7eyBSoEbIpDgupmt%;5$_; zEV(9-j{~wWrlookDvZExdo4)HXhQP&=s}8@n=X;}1F}k8#2KWLiIqz5ex)7~4kG_h z1x&RwMI@_H6G6S$PEGSWPO&zI#Vu@~-Hfe}VD|T$j|{iQp*ZcRQOb6R3_AGbx**#0 z9#IjtW&V!Pjl6n;%#~1UgpS3cciPV2I~p#}%`K|Jk!Q45$AK$aK<P?%Fye~da51VY z&WG|FWFOXNQ_7(ztI(~Z<|-dKXd@^!<LIG96-LF2mwxJJ68GUPd0L$3e!)M#xhLex z>@KI$a=}Fe9Fxuj4EC;%LgR&&+9?$NHW*P0G>ou#_`QqgG(2%RgRXGUY%>>SwLeAg z^bQUG^3g0ji{sI~=|I7|c-pI!^muQgk}KXasI&C-8|cQbi+YIPD!wFxLOKqb<oM-2 zBS(Ph(m8BcV-JP})nO~oYA}s<HMecEXC<S9c9beooQHO;%{x_tw3t|uExbTPPv0ZD zGcZ1r$f}Zfdmr?A8XDHi+z7lx%)IjpX*H6nO-KQxEDTRP^VaT0NNhwMZ69nraO9tD zm6QBAIZdk5jp=~4n?>6dm87k7rj-3b#f+FCEur;j{fso!=ndJjY%X^}gX8pu+&k=% zc)7T;_S|q6vi_mk@tPkYr$N4zmac-4HYeXn?Cp!}NN2!LJ>n2J5y+?#wAhtS+OVWk zwj2bd%>`jCn0nr-wNGkFI5nn(S5WM*u)KZst1UR=%IHx5Co2l&fP-eUw5Q&We)VT7 z@ZVqVFHto{II|In1@^{<teh1uEf`M#w<kx0sFr{7?(xWMU{_#FRzQd<a?%P>caTP4 zDaHsYkCH0#)o!bcPXEhY+ZCUyvUunZ;mKvTW9hm}pJ1jghPbV0TbB)97*E0F_iytY z8SKF$4=lD?+38PlU#M`uM?s_v%@i@(yPu7Uqf0*tz@!;Ix!d#5DX0=-*03)Ri2t}q zHi9EQKT@l$xE-iaT8qAs;;pYyrU(zm&!KO{74JFrgB9r=Q%Jwa{g#es2<6ieP{S%9 z{%c^gzYq~st=A*Hkq|KmETV&!9Ayg=u8htnF^ID$ja;ZT{6-GdRjt3?(TIj{5%4}t zi~Z$#*4)i}W`YbI0F9E-3tA(Jj-ed4t*9k>yI<aoYkRWMv70vyi=w_jV+2T<#|Zz( zGm5jrfxBFf8N9R^hhc6W#R`165W8AgwLs%=pR#bf1)?4n5upO8ZaQnO`@c@%?4Hz9 zdu=d_kg!Y#A<Yo7x;bSBNtPV`74!T7jP`B-YbfRqTlmU2N#}h@gX(nv!`w1S(oky5 zHTkP3U9}_oADeFn4F%yT7taqgS(iY0aAHa*_F=a7i#ZkVKtWPcQfgdmYW{oEUs)b- zq$lyW^UJICoAF>2Wpj`QExHdo=ZwK8h%P1}Z9!O{?hOyf&0s)UlYCTzPgtx?V{9P_ z)u!9c91_i|pb0FtC+6NuW6>_&$)pxF4tZr+)dF5;&MUQA047p5`3BO}&hjAAzVM7B zu3DXrnP0+JCk~=hvpc~~`}iBf+Fe0$MA}jksaEB`%a}OiOAaXmG{S~<dCY;d2tsRf z#U5WC*_F*H6t5S@|G`_d?XT4RsUc3v+@8l|5!v3iKrGCosmKDn^iBQD$$Dd5WCk6+ zZz(G=cQFl>SY3&Qt^xg?^kxyC!7u{1jt?1L8x>~PcuCzx-)`nbS@Tb1r)0WNMv6II zQ9yL&xrbV$#(V6}?kzup;bn^zdyY%49C0H^29?Vh^)bjW-s5xxuD|P>b3?KC^5L(7 ze5+iH2XYZnLUpB|FdA~`uv866eJku(4-F;R{Th`e_>Ryd0L3Uqdbs-ZUlUFP=BOJ> zb-Yg)8&Xc`uzqo#z{tf^^UxI2Rg*JNN%FeMAH(3oz(f4LL;@8wG``5|0A)vp1@8<K zYVaaFSVnWLnQw|oH4iPR#d{SGSdH_5;qEJa={RVap^O@>tRhMQX4Gry7xd{x@YQ0n z6QW{tIhsCz%%(`=J&`&xrY?lS#UbqHOD^xjHi0rY5((qTAh;15cG{TTZ*DSgSDx$# z!@F+tU+f^q;DBoNEXc)J#eC}0aS(2;u<jp6rw^|o23qFEy*+wK>GD($P(}t4?(a$m zzv~5isd!pmiig?X)vb34WB6|006yZbxv*8Bf_EIjz$CuByECYESc$r|5I2-*%khg) zjSu1D#eiKEZglUME|%#7S7WjrB*d`&1DPid31p$DZw167*uHN-`OqcYs&##|C^f-0 zXS%bP439xwk%1GLV}7CD{6F3gvA+h5$L>ZMcX9`if^C<Fmgx-Ja6<$4Fn>y`-zUa6 zf_|IO%F|qq`-C%S;rasc@6wjzO)_3Jn)$w2{|o2=e;v9YyXLgU{1k{Q^%vZ3o*9tJ zqc>OI;#tUH=I_(~(bNz9^r-neW9E5`$o<B8ScM~}%dq&tVllt&540wE-_}<<BtbnH zcVyXV+JPXV2TrHx@pk`+0ZMCO%+q91Ic4|H04bv*l0iC$6tXq98r>ttCg#w$iHJxz zj1C%qJ|TOjI8RsBCpLPm5Ld?!{0*}HrJ_zD%=7#AV&x{@$9N$@XgE1GDBB5JwZHek zbeh_h3*OGY_u%Eg{V8P6@s3K{Tw<Pol5;sj2lZoloui$Sbbirhf?!O<o?<lXdnY3m z=Wm@XHb_=eqW==kUMBg%#!yxINIy(M`%7<RuP;?PX)(h3f{phljOQSL1NTMcR0;gp z<%QQ#8Sx!5-%s_3^Zi`7%<@D%$5gqW&b4IhVJvcoE5PuPdgV)xHCRw~DvybI!l_rL zoUT5gj}Rno?uyYyw|0Lego5;eG7KB5-(N=jDz6jUxLwB@xQ<-d&{pD64`bPO@gfK5 zY33BZVWxEc{A8`D$(C|3nVZo4I(>UJU=KG*LmhJ<+|R4ep?YfX%HvE8*695*6%)Kb z?WKic6@Q6zuTOjSmhT^D$!>jp|BYj2Y6!~NQ2=$oiu+rL?msP^_k0_m{d{Axj!N4o z&CHN<M`-+2wC74)s0!!foeoLsZVZ#<7lVMo(=$&tJ`e5(F{2~8oHj10z!Hk!Z9s^` z{v~S>6juvnb=cSf3Bq5+Q2Je%z=#>!K`A!}$b8(>*B+(QF!TvHmmP7fr@y0?P~HvS z2Z9N@95(k@YIG`lTM>8WitSJn5eEamK!(1OrT`PDO*<i3naHPuE$vE{2yfca;0fOE zrl{8(g|y`dRsF2rlq^+NH|33((=AaG+VMH{^OpmnCe}tH$s0LRvd9*%FqLRVd_*3v zj%oGDg{l8>=basoCDj!RsUdtwRhS=O(CC`Dw3bcptc9a)EZmo5<FWG-SsvlqVnK*! zHs7{-k05CP^r&d0nIVMkU8QcM8kToZCH9~G68vz`s~^)>nDl(iRzj)g+W7h&!CG#c z-*kMj>8kKc*JAZ<-LA4nxN_^oZ}@v(VLZDbjj4J|DC~6V)>);8W;yA6bEzJZro3_I zXRX;3)Xyw>vs0bb-e#S{c^i&gu0^hoxN3G4A+{=^Q9q6hE=Bto$%@aS{<)ktF`#>} z1NpB7J_eLMiXx?xxrtv;%d?x_M^UT3Nu>SnWB29(d5bA#_$LbMep8}lxx$9mhJm5t zOs+i`B7Ccol2SkS=L{ppZCK!>_WH8?2FhdMi}Lp%RNfSSFFJVc{)-Y18<6;5ymbD# zSe5kQQpYiUspebVAt`lq0+VizAZ%?Jt-XK`pU(5C`H82l*B66~TO=V+L@u`eyT6;f zdN7EP|Fq>U?SP8m8MHWvWn1t@av8YF=A-^iV&mi+O4&{?i~a`tjk6DLYq*X+CKacP zgE}t@ZjZ?)V~C~P8GV?Hp`K^;XkckAi$7WP=!T=d=cqvhoJh@TpEKWkfL*P*AL`xB z6M4;7!eS`JzYQ(DmO~j|lKK1+`==<q)RT1M{6SJkwc8UkbCE|Q@7;L!_pe$}EB8M2 zLU~3_Yrhc?o1$-74bHFJ*c5=K#~et9+10L!JLS&>>wy=^<7d?Rdj&)>mY9T93Dq5B z&J$JlWc;SARGjRQWYKH8$)B_qvLcFsc+5vRvd=(FF+Z6xB2%t`HS?~&nocaD(4pk$ z`@i7C>H1Ay7+2-I5WXU*=eL~I_xbE~c_sZ;&w;l8MmBSfPfUvyR{%C1DMVGDrjng* z+IVHNq*`=@xkX(7+QApjSD}cu|AcYRtg)0-%8WRp2rurQi1yMAnO^yY+bth9!_VdD zo@Ne)a*mKIK$PXnVKs2x*&G_z?pJva7*mESR7%UqnuVE?`Qyq7No6oZHv}Ntep4ey z;ve_u12kczDfK?dvpYnkHJrA+tFpFETxEw@LPINn(Au5wv}R>bZTS_yLph&)MAyWr zds~P6H6;K)bzrPfo^^tM4F1B75Q8In+uI9c)SVmIE3ZeIS1q`bBkrB45^F8bLRt|J zI5Yl`LvsMl|0~Q<mhDFDJ91AXmo5CP2?5BO<j4}b$1JfT<MjCX^YEYMv>d06C-qfW z>{vK#x5S}e5K+k<BpNR0q|09qeMOSOyC=lfh5gX_<1CG*wx4)Zj3XS#=)7u}OvnaN zn4f;vW&!6KhZoRv=0?L)HxGFqo5WYAnfI1HN*7-&kAI+QE4g@jdb#zuVmu44Kamh$ zS@7=ti~4P5S(=%D9<R*kkKkWk$)Iyw-zlfl&Wp&mqvCh>9*RW%2Ky@TSoQ}<P|Of< z9G-?D<ul~W#3o3HADHoBNkJ+mE7O@JbKuc)Y1_(VZk}a9kT}iUnRH`$K?l>&5W6L& znP~6p=yBHxC2)ankPJfS**X_jUqY9O*hmZ0J_XcI-Q?eo{iO-JGv4PGZ>cc&|FPud z%vMuusi~(Jr3}>dX~>D!ektN)lVm21d#g1x^sk;;Y{0o5i4~UM*Y1(=*cb|~i7l6l z+je~A1;>qGc-5wWqvo}_aqWHF;zLihvJ!E{KkK_|z-MyULL#NS2Cecth&P2#lRShf z^Hj`2cYbE;l7-yA=imush<+!fYxe@7@7p&lS>tPoCccN(hr32;d^hfyXx6`36{Of0 z<E6gm-zzSy;ELLb_jkqV`u%tCDQYD4Z`}ih{?sUB5tsy6Lvs{I)!pkGygXDx#)Lni z^cvAF@^2Dd>Ts_N-#lG75+2L@&lXn8vDTOtF(i+3t{5&3ino(*AzInAvaQokLfdxZ zxmI3w{iwRySG}b3={K3F6u!gaoFl!b&XUd*{z3VRY6bB#hW`O`K#aetgV4?ez)=+w zmr|?@&BMYedy<{e6=V3i&k3ew{E7UjK8n~MvvN?)8BuEIF)&+9h!+s)Vu@<G{+ns% z;3@^!L;N*uRh0$`yl6TXb?6btzlk`Lmc6FC7U)PzRD~5F7eft0*+|)dw1h@cRYAk( zzWa?e+Ru1wP=YRjD4Lxui&TNyNeclMsYaxiUYI<oAtZ^y&)@*b-x^7ped|D3BImcK zq=&I)uPFLmCJA-pT^*H+2XxHgn>68qXrlC9N#oZ+X2suFrw;IXKylcG)!Z-queDy< zc|_2w&v4h6ouan;A#qIUk|v3f&QmZEvgMLkY<N~NI7LDrARr)SVK6WtARr(!Vl^<B zfgz>51w6yIh-r4?$HlVg6R;AUWwUL9_HG}gW#q6EcctcX<pJxOIz2kgCvsvQM75e$ z8um%06z6iHi#Loer*vc?uZ4$cM<gYWXCi!;8)E`q2=-91b<{tdk|Q1eK3yV0z&(h% z$R!p#4q`^ftO7|JB(GKT8lN>Y<Ejj(r(S*ySF=kNQ4$^|E}Ay*w6HVcmZA|M-vT<$ z@uQZmlHNw|L0x2;_Xs`p4^x%GZ)hb1>@X?VD|S0Hw|i6at=1kcSw(?otIiUY{`VAO zn8mdcO(N?q@>p-CAZhCZSZT3#^sIG$u~T=2|KA6A55P=pNM?!mJuJ{1CCQTgJfcd> zOE<rdCZq2FA7rvhyl*a?rVB_=f;KC_YTc&85y4w2)>>PD&5?7$9jY<phMrs_w(FzW zhs7XmNl1hxMzGN7+G+S{8|{IGCP#(2%Mq+i?D{m>+}~{YxVT&g6J?x%g>@oF(V9BY z-OLrzupM_)rTPILgZ2Q+X419-xeq<0RASj}6H;wX^+cf05Qno<g}x#gWX_QD<A0r7 z6!|WRC*CtmrQTf;<0QR!e_H=BqEx4p%PKt?a!Z^}g&ZP;kvx~+30fbUK|EWpTBFJJ zM*TH`Et1JB0HcAzIwpxlJ5{}_57l9>X$K{E#(pWYp`PI7ON*!c<b&1xed8z6Mk9h% zSH$CskFy5}S%y?H0PE29V)!j%(i&7?DYsS-Jr}4N2}*pjG%;|`&f6qIU7)2D4r}T7 zbW6heakY<lvbdl-?%C+YLla-joPAVdm!O>_U#4-`kPJTsJ@ComfrIfh?xxhd2&6zI zOJ=va^JgF>B0ziS<^cM_1~=s)g}32=1^|ybkRr360H|cXFkJvrI;WM+&>4p9RX-eD zL2L16c!0*AIg$-0u(Bb&$Wwe&tInM{{8NO7h1^d0Q9kT?3CadNT0NZSwj`M2YV4oH z`O>GHD&>_AdMp^07+-jQuaVT~n%z7e&WG5omJXSPk#@gc?s?Krlz7If$wD{H7LY)9 zsIcc&jS&2#jZU`!U7L~FOk#Gqmb%ESF~E&W)6&|H1k7L3Y~hW4XtoFp_?183&LL#Z zSl}(j>1emPOKZ$!Fyy{c`glPuJl_6AM03$470dEeZkSobc!_;`Sw9<Oa0yTZSe+?= z%!1WcFbm_#^L8uz_4qF$UW;4Xv`V`91g{9F?a}468A26LUQ!9F#Avrq?7Mav_EOWG z#yPNSpBio{_9T<FkOls2{y(1RJz>WuB$gr$HQyI#V@E;e`6%sNJsO+N{o{o_PY9nT z<_QQTUvS;+P2jwODjbj%zz@R1GX61b0znYdb*dBl*d!#ky6;ejXZ#_YHR9;9TVOky z09a0whYeFzqk)%$VTX;K;=h`__U?0^_}&Ejb�DjF!)Ln{U@bc|xEYfn&0Jfh-NG z><QPb+&l!*r(qknC+vZ!xBV8Ld%eYZ=`c@d`C)c)eE5?AIoGR%_wK1mOi-kgWNMo? z8;@<`@6<}8h1{bp9G=rEsV}V1<<Tq7M(@NrN<>)Ei*<0<muc478>B+f+1sr&(C;<L z&i-|fv!B(+?<|E;7XO$4MZ#^AkeS=oau>GmTt1ix0d%L7U!JGtWHgh%57)O`bHc(@ z`-**oDcwo#S?rq9D~2tzuCBM;RsiDhE#vYIFo_QHm{qX{$$1mWR6=Ee<qi3!TwBUp z$5cF&q`I6SJY!^ynxe+KTNF&WDVGzx@_M)BnH<Y2`Gdv*fKlgDHBy#*5^K_J^=2wR z&OG?7f8ecLwBYeZs@1{vPR)PrpH@pYy%by=zGuh>%*zPe(dEl&@c6g1vMAW9Rmi72 zmxj`S@Ab9oW#+Zrw#=QB8?~esbUtj~rx9+)bp-v@onM^mBNg4rrK^LP<OiHn6e)sB zdK!l?;Dac74^$qHeIVqGklcNCLGxzp2s&9!yD_I*k_!MAom{fa_^>g_RA&nvLl94N zGnGDer%7&i=AWNZR#d0-JhHNI|4Q2t35$8h0z~eta`>bhcgs(JaErP{wDeYkmL-*| zV+U%z?v&fF>=e&w=io-v*4=u7b?h&p5@01W#@yJWy6_f6u^7A9ktT)!=ioG_zSV}` zW(8<AFj9+PG`R=OeUd}Iq0Z?Vk0anZyqyd|M0W)7;kOARbA>a{<z%UtKZAh~3u4fB zqn-a(30r+?d{o*hp{J;au=gUC-ri*w#~U;Mu%;9?;N4;L!A&Sm+MO<wisVRwNx-yR ziQ&1RK>!4lm{&uGTni4q6$uRfs}NeQ7nvPsUpfg(%~fn9u<bUkT0uan3DSEF{fzvo zE$&ZcDFkt4ws6L07k{Jq2kXlfek6ZO;?>Zq6CpOT5-?#?Uo-90of}{eqm$)q>B=VO z;@`|Rqh!lZXR5Jr02+%M+iCEi{W(W8`DI90r0rO@0jY%#7Pr88qibieOf;j$s;aDa zWbY!wJWKs`%pF^SWgd$AlgqS4WDafi(zb|#^zlxI<1em~V8hQh%#FvcDz!za4vDOK zlaZ_h^b2{-5SO=0v}aT!k%*<vgtL8LyIj^dC4ejl!7Q1*#S+?r&9=e<QPC^fQU)UF ztJzaTq(rv^Gi@D!foO7MEkx8<%4S!<F35nD#D;#}g3#slTg28g>>d&lJ)v76uwH*P z+2VdII)&4Zfz@I*lm@9y!2k~%*>PDVN7Qk!N_Uje>&_zFa)VKTgkifFnWhzE@9E_e z72N9xVO+z6CiQVt8Td~)Tozan`h{GLWE8ajx8(CDxTkzsH+%Af2K9g_TCfPU)RRZ4 zZ)~n%1Aox(o@)XtgWPoRERb1ISW+RO?!Dj^qZZ9vRK}kcDR+4en}N-A{Ae|OZqSha zQdkA_b#Lo)4h2fhn7VSjhcJ$iZU+x5O$>1VZ%i$tE}4oSMA^|@xUf=UJ;@z?X5=G% z7+f9Pu%iS~so;Ox{}&_?4ih1T!;l24Sv*ycF~0Tb>oQ`gGwS{6bQ==|88~Y~QMIzj z?r$QuVpjISanMxoM*^U!PM=Jqs=EtLmM{br|G;+I%4?Z64`ldsgNt~u@#nUpWIJ{c z*A&gTv8vCdpxbs0N(VSuDPn%B+BMNJQjrSje0y=QYOH#gr_vkO&60;aY?+;qAl&-o zzr(P5ym9_{DGyE`_0K!YIXd;X7@1N#F1h<6g;GoIAVC;vW;Pe;9c}0I>|nLs=f2<U z?mBpMoqWV3>+Vg|waxx9ZsX&*8j^P@4%JVHoM)t-JQvQ+*FYWeLRDsb&z{LW|I%b? zC)N(u<yI2T8tLN!#^$kkDNJV;e0Q37TC~=U9hr9wfQt`HgmjOuy)+Sap*9caLlG64 z0#cbyze(M|g)(!x?ta%Bb#0rz#36J5&2m<WrP-kl@l|{7LsLelk5H#FCl!#T%{w~z ze!xEf85dfGhm|48%D8|7iiTwm4<+0|w}mK`*ZN`FyACsN$)LG5=b^d=pBOj1t6Ye~ z_*8ropd{Mm3DX>>=f&9{MA(PaBL-`BVw<!>80&VPG-m}hKra!napr4ky3~OcpR{w# zmjXPzmrvBb<7C23w$12{0m00$CJ*2wJd;CUEU*-+($yz_L{_x|vNF@7aIK4u4-DRa zYl0g>pY`su(8hli)MxuvLRMj`aWZr=k<!I3s}O+<)ZnV`86P_FCrHpiI960Y3bbm_ z4Dt2K(#cTQfmExggJ#vtN@7|#&$!Z<an8Jk1P;w>D@A>PG=(a(v)I2H<wN|)Rq!3M z{=<90po}w+{V)IX!7rkL#(v-5T?XQ#o-vs*Xbfk1Bx`AXojy00EIVnsWrbgecahxw z{6%;?<n>a7pGizfaBvxOcM>nyh~&A3+`3ykd>aSo6loycY91ee_qExYbtT-VdDuG2 zIMg(NT`W1eM>~GJ9}ZOCTMUZKP3m5W)pBN!w#LH%Voyi35okF<|KXZ@FFSxpwa(@b z!bJ2S35LAhQ{9^&{F?3j-V?r&?>JWM6!>DtU!S_?s>Gp5lU#wvU#xZNlHU!l&TDBu zAS+r`Ag5wykRg<scOSMDh3P2vldcA1tvvCjXvI~xJf)i&se-Vf(Dlp5MQWSN1bzpR zOFC>J;GE-Sz3f{HxYvSf>+JX+vny90Miif#tgfB>+lD9&e>!4i91XX{`GVofJlWSG zzRw)o&?Wx3noh?tcHfM%0rgRWouwz(1|aPI`f%|I0jQfLBWq^67=qmEWS-T7FK;{E ze0B4_zLD(Mm>>q;SFA_(JN{P!Ih8MR`gfr-Q%<Sr!TB$4`X*=STm5)2C3Jn3Q9*OY z<s~dQ{sKJ_c<9}xfhzi@SX1x!&hWzUF?<k9x;xtiTQlZbDD34C-Cy%6iU=iq)U{Re z9`^5qKbO(Uuxd46g$VDBgv;xGTGOf1Chmkg6j#|eCWHwh9xzYfZ5w>*u;IHhnCHqA zcV2y%AhAym*z5aw3RI~54TO%iQ|N1h<0?L0k`RyWb|s^+Xv`6;!mj+(#1pEbq@I(2 z-^F*R1^(quC2rBNu04vA7VH<A|IL3cy~yOe-XA%bkiDmI(P_v&EfJ9CpZzL?Gip3} zN#+$clQyw>nb~{V=*ALV8!45n9olm-`!Ox<!+;s)XWt)V<PfQxBn7cQVoNDRIODkt zyH;4brPlwH5;WU#z&Q*+fpkegA4tIxoP5Qz{89m_@VPQg&$-Aeu2OH?Q{Hej&z67= zk1lxrghEh%QK)XPHEMnFql}HOeIqE=9FM{?QR7^LGwEiZwI&1Nx9aX2))AIiMC1_H z)(TW!TE#fM+pg@u7KY7fZ!?)(iQa+!j<_}Pz+&1jPtvLsL9P(7?@VRXB{EgF39x)9 zWuOB|p7rJrDgCpC&x8RjW5H(sezb+dB3vHf!^+gBzW;##2b_rbnPreo&F`+{i3X4# zqWvU+^~alg=~A)Vo>WGBGlGzFT6MwWRchqSf(I)?H<_SKq&0)?W&(k>v#gqI3=A=H zMU$U8mP31l2&=A>4FQf!N)BO>>8FtTC>p3c!3Im$96pyZ&`PWIZi#D?95(hkP+rze z-GNi`1}X&{&jS9RY<EziiIJ~QFT?-+P>JxZE?>!S1D3l#!nD<wh4b=iNr>kxZQs<= zgi(M!LrNJ@3C<Ixol#&05dnPal&z(zd^Cgj0+lswC->sz1m?=$C?_bkoQh=B<I3|a zMlVepwk%Sf{?m@x)s!(%`r5h*C{^M5g9$OW*2FbP9p;R!8+0s7Se*d`goc%7+i!)6 z8dJy;#t&8PsOr0o-%*Ms^<?#2(>dO0>26EG%LJ=%25`;nBX`&)trtPvxat8o?DyM@ zuDJbrDZ0;g17HqZUJICnHX~?=Px~z`YK)+_U;2&S2L0U9iHh54>K)|cXUXg6h#;dQ zb6c!a+xUS$&IFy&nZ`<HL?<R$M)CT1Zi39C*2I#w-(D*+1yRVGe?&!n?Nvs?LEXii zmNGaFKmI@Eb){C*(5C=a*#=94mP=`1z*O(B#<X-@iY|zx3g;-|eBw<+szPe-GLu7I zC&d`iLk0gG3uFD3qTZ~vFNBQ~8uoPT&hU}k1mnqF<GO%IPI4g8k+-=Ko1=C8X12fn zm`xm{^IHPB)o-yToXS(>H4m67Vg0oRequC>i1L5>>?n1RyL%}i8+LaZJ&K88u9cVQ ze+W)N8hNr-GGgiKX)$B`ot(lpKu|e^`XrF%M^fsdL8R*y;%L6653TN5N^185-y`Eg zTvze=3(<F*0of-Ra*3v&f&t^<EUsyst~2v+o{bTEB}+?R@7%97a{C<Re9h0d>{{q7 zG-)2wu2!ECfUf06zgE;;S_5{4wbx6z+WLnjJ4hQbFt`wxNYu6?wxxq9aVbvsiI8u| zGdJc#re7WHqkYt4I<{t6d3)CflA4m#)2xk=U4x%$lQ>CA=CNu=6Hp?lUCOK&0+wlt z3gOKeG3RdBDJE<?TtF$!|1D86Du2!kowtF{yyKn3FO~1Vc;r$>eMC{$mVd}+UMj}Y zGui&g1&m6qT$6WTP1)MqY@ZbaYAU{<#)nZL`=@diDg{dai`gp|v~SX|9^0BJL+BAC zmL-zZ%{t(4X|b14lhP;f;}IrHN6;#6j$K$YDf0gIRmATx;n&0V8w}a}cvbqvnWQH* zGB!wZGw}_g>}WSOU411v1D_~m^W6gzMFOSC%VtPZBW2qs(9W=u48lf$#6U_&OZ_Mr zz;5esd{g+eA^j}&T)^}uLl#kDq=dOE;=Pn8kqEmUThyL}G%rrq_9qMkWgiPO3_L}= zP_oGS$}qG^Lzi#fQ+)9fM1dJMQRZ{|VjQ2GyqC|ee8k*jWhJpuU?%Q{L!r~h0|I&` zuF#0-B(3D8M|)JlLXq+9cwYlWrEDOI-SVm@LcK6`Di%Tys85X}qfwgUr7QKfN<$|R zWJ{cqHRg59$5}ZpYXT6oBn{FR6+<AOS(NB@J;{>U00gni!X%F8d3Y(znze$9yk)IW zGL&|boaaf7QMJes%g6X*fKL+D>Z_e^M(Yzffq^UaR|se4zPNRmN+Aa}Z|5pPS}^eO z8wGmniQ=I^_k6oGYa7Y9WMf0<Ml7s>inN-i`-xL8-qyH;%r7M;n5?H_^VC99=xlp| z52;H;2d{o?s~*nDek7pM@SP*_{=Vu7?Mun)aGiM@4FQo<KGo!-x01O~KZm-NBWDJw zZUGmg@GXqlyJ>C{ftf0gTdV7Glr}Qh`9J64ybN4;wW*8Vcc3{!?c|STkr&P{V#^T( zOjrv*+@t~W#3%)_O8WvB?!Bzp@#2TBL*41wx~`0RI+hpQn>H?j<H4$%Rp^D5zv5;( zPW7S7q-B+o|16F-Bz6QDq!+A(y++Gh9y`RZhUj|JTF$ic4F5ivXh#<Qp9o{{<Q335 zYv$H2-KamRp=d+LcIF5BC_`PkSiEt(6K|n}o~eLD*;f5(Zi&;xpqwfXacE)C(GM#< z!8jIas4GlI5Ng&8z2h=+!eVEBY3dXG2F%TEaVqPp!J@tycYJb!1jPOZXPi5OIWyu< z18;#DHce?=zpaJF-aogkKVYsX>y&5K<j=R@4K)8Y_!<ZbES1<=+?6oz$s~)F7B(Ia zaKl`Kb;MlF2QU)M&r$X7|38*zG?^!=KHmCk+`%6I1gX#lEP)T%j+`Xs*aAbw!Heef zxMWtKb+np3H>Tw4of1@Wt0^`^rQ~Y6-^JLp2{}+pC2H9E`8n-+duhxZTL5u%4LQ|x z_=46+QNruOUVBcvuOvuWbngbw1nYQxpR;Ve*3HuTNbG6M0zDGcd_5t!A~FfYwqM(` z%PH<XH0>D?hO}^cCa`h?Kkzc&$)w2u;sKKjm1PZa$sdnSf%7pgZ_sT$bn}1JvG75I zpjadH&6V;)YYuGt^5Rw~WRE=;uw0Dt?-JYx^2s~3WgK8t9PLiEOLM`E{`kg{1D)pv z??L>7PoLksDq;(OJ3xA9&9eDmAJ9U-^*2RXE;`m9w?tCs3;U+?OB|XykRs6T_mM6d zRbp3JTK%l|S+?EXcb3^J+8fYaU<n)nF62=k{)*wqRALWg_q3OPl#LV+X$Q=zs)8Pm ziWPw#BE|kf0D&Ny8modSixB6DXtaS}_|M1*kj8a>m@2H?t$92NEb0n1Et%!wTy=>$ z51cYFE}5p^_57T5ETfL{usmbOnZ{&T4_|5b?=1oo>0xgGCP3N01~}FB;?z`P7{=)E zE<usG1Auqfc_6FlD&d_#A^vM8#8>6J9tvp<QwtiE9?!Zl!xuHNQGVTSJiSE189yU} zH5I>+mkvr`S8N{kzNMs(p)OMI7;U7!u6c{~ANs){RzID#`aJA0P8ki;j_q$Ic4Z4M z82P)|hUBCfx7S$c5<g^*(T*Ahv@Hv8>_>Vaf>(l)BiJ@l2{G{jr83(}-Rgol!Bvj8 zF|<pbueN|-&z1btQv-RW*|G;*oS#kH=<%wN8_G2n%NBsn?2)`C$@=sHWW|8l?TOJ; zL7Nj8B0klTm1OPf<Gg79B&IE7t=h#hjayXBj&z@ACOB{p=(4INi^50fm2R9$g`yOc zFj|_4A^s;0hVr`ZO_Nd(97wFao|xhQnIPq5h{&cN{4`GWp%&E&NZOpoZ{0J^>R$m= zG}Q0{T|ozWegDx!rNY@OFAJLM&qVwK!k`JS0eXiFWT5|OBMK#1{q!6mqw$$ATJFut zH!%Dj7_B`=-inbEjMg9OydtQ-)Lqua3;R^GL)thOpi2TE>=n5%_`j4r=&^-?REoCg zkkwF;N#+ZoGXdhnwvYn5z_wSflM_8k3*~;mS|WK<b|i%JkT}7Fsqy}Ob3Jo#a5AyQ zS4<ox<Cq5#B@UFWSCq<Is)CzooY3fM*hfmB=6I01Vmd<ago<=`Z9TShCTeGMVgwEh z&a+j9@Y21%hV=%-b+r^*x!BK<{UO)#d8Q2D7j0|J6ma#$JEiuyxVFyJ_d0NE`EvH$ zhOMbD0dqS=(Q}!6>s_~(ZjkUriS8-CHV8=ci9RE_WFlwTQPU?ISLQPF#>gI<R+IL% zfOtk!jv&xNsie)e61StiYQxCIcfj5{Pa=A`6TeSGFBi&HIusf&kK@i;yj$2oj&_qj zIuc@jTWVpf{GG2${MueqfJOtN19b~rxI$LG*e_1jdNapZ>^);&nrnxrWST?n1|8>0 z6O2L9`YnQJB1+)(uOA+V1oEVj%+<G_ef@7_4G$Z2{z$JoEvn{{Tl%Aq+GfbO&()=f zbAhcoa;5byX$7)!K=Iq{3im0-LRpYXAuHTlx2A{rF(fKORs)=<&lLR*?t7x3EfucL zQ(vFEvM+=KHFr_f%sR1!_nwcykLe7s^tH`LUM+4LMK|Oaf2Sf5@RhDJ?mzo{*Yr2< z%Q{4tzoW*zaB^rQc7)^YfmA+1a@VtO=Ys~$x{erSVZ$HS7!i)pq3licXPqjWTT+17 zN9ufguP+l3a&3L}`t40d2ZKMmw}ll;qa623{X@&i{VF-V5?+JDRXoRVYIP}sg#8+N zR%RqV!Z2d>?f+&gu8{hgpG58o{RyinfHXb7mk)t^4Zkxot3_O8B>ktUXS?M*w<K+c zF5l^q9vxNjHydk=I+3o3{#llSd(V_ES&<2WW5tyPG#U$)-Xj;*+zW}{<i8r(FH`7n zbWC$Zi~;DckPzP#JG{RFM~C{u)XMKnu=G|(DGd6ch_ou}ZKCw05J*zR=-v_3d~p)& zEdu+E^mN6U(PeId`=Sx#4OI|75pkz2kN~!!_Kn7TS)5b2JZ(rn#rXw@yq!5OhU`N7 zz5gzOW=5>jo+PSy)Nw*ZYv<)cEW3XFjuj_qijVA*Y~KC1KvPa&glob)BU%Gr613*M z`O=i6{Li>K&meJKj5sh^$8I;5AjB@1h5f6VnxtRoad2NDa`(x+(&hxtM<t9DXtV~3 zWmq#^I$j3Ghs|q-4~(LZ@u#7t(U=H<pW6tOmLlE9jtdYoWR_NMs0#iJprJ$TIF69| zEO*mq<Orzsy{oTyi%J?wX{U|(y0a15EIb2*UxuqrFP-s)X{nWdF4*_O16<OrUP+L9 z7|HvCrV;WMrWC`FICr->$;x087luN+oEoN%vjLy^g6^@f%Bno|jhJGkUg-f%oIcg_ z%CYNhg9%@HfxpT<V%#av|9~S`y%W)jdS!Wt>l23dqOx&}Y*=yd(Wm^L$i{$PRj3cb zxy~Ue%y8`bg6{GLg@tsB1AbtwlqY6@{!5oW$Z1JS2y{#!6Hk|8gZ`&b6>`1nWRetu z*U0>S8jE!ER1v%i>OPYVVqGiqqKnZ;GgE0+a@uXgSrG})yv8X&WJ2mKrE{DZbLPh+ zM*{dA*B()Uq(plEaj-#DaU(gf$(YC#vv3{f0^;Imtywq6L|c*g%|X~gmyclw`FxpP zeBqi=)w+`1Jm4|O4PR1L5-@-;=M&EVIHaC!O-~PF84^P#B)d3YwVqc;Vr;4N)nqvk z91sYBqF7Wq9{)=_mh*_411C~hoXctv36+U{F3p8Ff|7W*;4R8^ow9J1#Y9<tdGTGu zAgY78ht@1;YM(_J`OYv_Zdh>EC#{_*#+sWRxqjX<%1H7(@|x@2A>Z3Ms;0x{Z``pU zptjmQl0JPw7@=OuCW+c;s!8)yn!pTfXc85Y7Z@vVWaQPNwTwjKG!F5ewt24?s^QlO zQI!-ntsidxMqb(1zPoQ6lmcIwKJpWZNjo#d1NY$84LvIGAl`$~dIynET<B=_A!2Mw zZ$C7r+r*)z$;**w0V$(p{7goW1}mRK^-nj^V)MBGrsLjdLyA(pwjrZTBgaSRiboT@ zyg_UJ>EC*f3eUG*#f;2rh3o{!B<H%G;V*qj-Jc|s)ADBr>OsFq%NJsQsYyD1^d&%b z%`G~MUTDLiRcAw<R~oURg0amVIcmZ!RG~@J^8*q2@b@wGep&ld>i7pHg+$j4iI=co z3vcM(!=tVkzCb0NZAq3y39}ZLxG($Ig&UQuW7tZMzgV3istE^JQp)rRC@3!jzI{?c zxZiPcSlW9b>1Rw_736(+*r(T!9F>m^&;Ya9wvTa|TgNU7{{B83cDo&5JV=FHYBXUp z&F#zquu@ysid*R|D$D<#9~rchmV{<!=u<*nl23tii&5Q(W`?HP(?2fo%H3)}Oz5e0 zDjOD&RdW0<(ilf9{T&#<`953F-wCL46GYTCi>LMT&Xzln`+_%&96V5q>?z4_!MjBu zCZ1}s*{@&Wd8o3E_p8r2Sn~Ny3D^<HEQe6b?$lg>rriC#<i2Lp>`kYxM|z9#Qi20s z&7lwF))yL7voksMfH19%PG8q6d=XlVnY~_`eX*V>A(#ggS2E&3JX!mO2-?jEtQM+s zWj+V#unhazE@N2uU1!Ba4)eFtNBUKY3qpNvPYx(;8sPn>v*$m}BUW_beX#}4(s>Ro z#l(>ViO$DH%KLy@`8lzj>|>mREHA!h$YblJi+TwSYiI+ln{|VAOPiBDed5%SRNH|m z78K4iPm_tkNys+Y!m<a7`Z&0`<(n`*Lj(>J!hX6ur_Dvv=WYMaaW*5u{;;pG7@2qv z_9)LbZj4QV8S3C2&0Jl$5m7Q?UY_-lS!jRpjON|WK}aOqUqhfpb38Y3^a#;~m9Zeg ztll1geoE8EaiKZe_hhxykf$f-z7pbL3^=0t4X|n-yzExmY%nYBzyg=+Tgg1V+E3wT zSelbk$W3g{=3E{qwwms+2)}^c6f|`rJyrKt2h|*fn&1gEE8B<<!Dt9`+~bZG5C5PI zP$zyahc|I=aXxQ3d<rvBuE!6UVYv;Z9a&PH@_bt;6pcbe(R@BeE*R$ss}>$G_meEV zs|9L8<waO{2JB7)9gteYuK+2K{3x{QGQSWI6`Z$U5apIiILdfS()Sey^!Ti)gwqxL ztbfwT6eJXFYk`wyebBbtc{VW{M)l0Et1}*cq@c^DH;sULNO~KM+IH!oCOAr!Dhh^e z+{}6SJ~9vsegVHdc3(4j>+gN3)wc=OkjJdiB>8#2>T-;C;H`_nkovjYw*?_Fl$kIC z8^em(uil1glk8vGk*_&@21Q_#?ED#I$*Klf2-%3Y8Z0Lon(!Fb=meE?Wn|p_xP%N{ z-dcpZt7CK!efoe~A5Jq%?4F|)LLC#$@|uA$#Vo5>L)HWHDCdvm`I(3j8>HV1|LTtU zbiD)Z1Vw`}{DjRv0^?Qgf}gH;hj`ob7GCza>*iz;y-r-~&Ni&x(ymTxARR^}TjMYh zNlOB#&{x9x{toxR*H`TMXie|BlawJ^`-Pm!1JJ|P6=<EIdf@C&ea_{Bo3n-}>Xckn zcUX_IuX?|BlDvoKRDw$0{_Ut8h^edgwyTWBU=*`%Ev%sK%v~R0$z2X);7uQr&7@DH z_*(EupSMA>R*~EwB+(k<_Y}IH?uKbB4YldO2WdJ$AiganQ1{aieW@yyd8|n4!%O}2 z*<l8upzmiRg+!=k7lMU4hGH+-!yKh|fTZgWU<3VF$KA}ZHbhUqs77^i`LK)p<}bC? ziDK+EhE{8M+Q<fzAv1p&d42mUw?;K{bv!5fu87!$1i-aHnHdONa3ad)*Dh#DlPssg zu`%Kt(oKISZ|u7=%1Cv7KQZGTb7lD7yk9MbhKYO8Ja*QwG5_aMMA1@rpu|GtR6FCr z(yU`_oC_M@WTX8r#cJ}a<n#+tG~U~cVLTa*gwtQ+bo0N1HrmorE#cWeBfmhK?0d(N zvRidh?(tIj)Z1&))%J}O`*ge`@>4<ywjFpBQ|4iPL2YB!)Fl>uY5||Qn&gHf6?{34 zliWm3`d*5c@MNxIZVFt;QGJ;guc5`2v``(#uLn<-)@s`uWc0rWq1b9?WrF#;wVP@z zmd3ZLw??<;b`E#e1Cua5C=E{t=zpg^1dR=N8O=-;e6=0YiOLK{h#)TbZLY934jl&T z)D?d7f0x%#;En2#iMQWA0a1EbQr3*PL(368)fPHD@j>nYy~MoysBIbv?mklTVyBj% z5nTF0>`%L}u2Fuwz7gH5XuCHw9aqb3<pD|yG82-k{1-UwRZ-54^LkOfYdE0v3|m9+ zGSUwWqlCpopHX^}|9VX%6!fcY&AN#8+KA|9%b0GFxllJBLhQyD{Tq8~*~pA}Gdn?Z z49TtWU;@K>qh$1AFrk2|ckIOVH*_;9grOWpEUagp@!W)1+=NNj^=SMTt;#+`xCC6= z8&P=<^F&Cp05Ko%YSermm^&)}b%}(_iB5^<xQu;m31IW;eHO|r=dW%Bnc__y!UP+? zQ7Z2sgg2lt_I@*x(UPUCtjp}jOQe=;)~nP?cGtaa(+;$zVuxY%BcHaHe)NB?tJ&m{ zL6%%OAnibA6Q}k`KHTrK2ZEAag#I-mD)KEA2;>D#nwks@xYcQ^LCUZSF7?>)GgV9T zYGGqy&s1$L2gIz<$TBajg_Iga7ek^6YrgMzHK@Q}>{wIqr<nMD$2Tu0&Q9~pD&sjM ztM`f!!C3w}f^a-C0Bl&cW+${=!XA8jV1#UZVwG*gvWkoCJMVkoahy>=I{DN<iHJw( zT6RNpL5}rr{<kj*uX-O`<nq4)9WIUW9w2`Lzq3Ij$MA%VPvzJFD$TR}n_jlg^-+~2 z1XLQzKi-?nqL+2SzdCUssbA#}xOp<eGJmru-iq>!t_O(8Pk|8Ot}hbSyi2t?a)%$6 zO^*kjh$k{FD~KA0j~jHi$Lm$$X6E1o0pYf=RUVtTtGuLp@2<jo?ueA50z5C9RC0H5 z^I;b%6RiIIB>~UW8R1UJ$c-H-uEODA&}-VS4Ylm|Ed}wlLIA9Og`{PDqXX&pa8qo3 z)_bMlN7yW5b+W8PH2^An9<EH#EHF25hEq3xCm=T+)=BtnF;d=8LLzPK8}dE2_rF}D zjrpXY*KLglCo5bX<FJp<Jg$>e0ojtvZ5Zs@cs!m3KOw<&mWwbBSvkFbIf+OWppWAs z`UIeBf`onmBsrgRd2kuc1@zomz*^7-?yueYwIa*y?^TD>Jg7I=)kArH|Baq6&8ZEE z1#qV*$?Du_TpqR{ny0nQG(N#B+992J4fox#hpk4`50rg=NFp|uWvQZ@bE{ZAjqTA> zl_F!((^}|17D0M7Ir04k8OR`eH(mm5S9{jmjQff)E$K;jW*n-*w$%*2%1y^8e;mO_ z!)sg%_5!>gd#Fzb$=9-O2-Jv*cVG8E2ZpQb{?8Ds*f)feIFk2d43et;@dgVXxchgC zOi5&Ilk>o!sK9z4p0Lc+)$7FVga);nEe7CmdO9{{NWi(=;OI(FfMWF`!)5tArPkF> zX+2R$wK;VEs<>?Jh4biE?Y5@S2GG*jPbTWDJ5wE(2wjtUbn!r;1+bqRvhSGFTB9QI z1E9cCm`uTU+(RxSt$4r~!5%yMR6FXXU?qUyLBS|;#O|w-icS?0;a}hXwd@8ywI$~& zMeX}0X<4~O60i<H)24hfzYw#Pn)5{IDS`l49@!U3WUko@`Gb{h_+U>-)45kZXF<T( zbu>ivi>!<)bU(8(QAXkpBEAfUf?BD>3;aqcof6QuS0bxMyZ;Zr*WCE{+LFCM;+=6k z33$FiRvQgPB4pW@V^w|MEOBJx!#fxL&@kegiVno?>#8sH&DaK?IP0aVOU>Z$*qaIw z%F9xDQlO<{1<wt{)o4acSQ>OV6eoWFONp<(Xp~oXi}H-m^@pZuIkF$&upn#;9I@03 z6EoJzfiJ?o;Y#pzrlmjpz5$6QNg%4_adh`+j=hYiC}$n7EUr;uN}4<02Rhqq<bo}s z_kI@$wDh*o;A2~b6;3fwlqsI9Vk!$kpx?ds6t1S3SgSkPO+gp{-Ox(!$UE}zAHb{X zRaPtKf$LShx<`J6<xH1`eHtIV9zL9wr1F1q9pmNe%yr4}pr1t75ieoBiW;xvkbPBe z8K^IH1^b8+(k^h|Ei`GZ_h47qLuUbmu?cj_cmueiNwv#27~oRcMQnTnjn2g2yYHj^ zPrBhn!2aL@mFcnY)1J$>N`90o=p^7}I?%Fa_Bq$Lb`pKW@w@56nENdIO<;l3aKKpx zqYqhj@pEvLCmm(3u8X)`0k-`mn?VBDmk^9c{VopC3K(-Dg~(dw9D%ACin(SxMU7us z0-W1~)MtQC$`|{XGAWP{TS%+*1K|!Fw;|!nApnhz=G*loGoX#Gv{;_L<_dAEfv|m@ zFaek`^9v6#X@bmx)xXC}zmLWQwVdR`IlVsbZBajqp}AA%JI8m>nht*#zhQSZlMQJ7 zIIj(1cZ@&b<2rTy`L=b_v%`hkP1NZ=1XlL_@YeX=D!g;tT$@l-SK;w5W0O&eXFkpI zppt46`zoe2TZ!aJMkjrvxaZPnoXZOzpnFkTRp}6gFU#v_y6~w!y!U7RbwWXvD~2}% zMI8UNW<e6*CYPvgWd|mK0-wiwLF3lo<!+Q#^$c9Hj10NTjt(qu79f|j#>NCpJpOAU z_R-9!O8>)-^rgv6xTk{jv+62mTRNv$*ocVo@9pD=rN2OP6I{0dqvW;h54Enn?mInw z4E@_4ri8vB(}Mm}3du(_ATlC4=T_!zLt0GN=t_?$(hi`=)rX6#ISP|Y6xA=^aF*NA zbiIYKDRsB;TB~l-Fo~67{YF(Ri?-Z?vdE9$%X`rS!B&;!a`mxDFsGLrcTl%l%Q!&b zPjJI$&G3L3ztQpv9q3dkY0H$iXTcrx1D|064BGOCCf2wZ@d)ikyAwE4euq@PQ2l2U z1i!?G@lnbchoT>4D=e9e4a6F)GV74+;G(u>XUNpT=%?<!1zf!0%jC%TO)=Id2t(6? zWiX7pWmCH8Gq;`Low^>#zry`U$&Z%zi4FR5fi<_ggY^m3tFqidN#k<~!~aNwnItaQ z;m2X7nD0w@iULPt$b1AoO%ks*<Utcop+v(sN76~#L_A9W#?YEyQ1(iq@47l`!WR~S z<xl*CmY*8g;V5rm#R)BZ%+Lt<R7}JrU7x+zgowyVlrUY`vIceAUY#zknRd6*lC!Ur z(U5}Vv{$+CpnMPHkPMJkV=tZ4oz2G!v=>V;ou*Uge{6`j@0T|Gp#`RpyAks?Ai#*d zG-7?PvoB_X&RR+W`x&=0X15COo%P<irenEej$4Clf~2oTGgG||lpZz)R7`N4ES34F zFsKS?Nd+K$Sv50qbR6xVyade>mdHTz#SxSUjaE|7bkopE-_frY+Co*SKigCR0;+$n z&>k+TY4hI;;fqgnuyJJDr@cop*B?J8h;k82iPncY|9NjwuY?Ax(p!qWl@p;S;ss8C z#Sx=I1%6tM-s(?v8ae`1gcT5bM(tVzLeX!4R%WPwtxvS4x2}j=;QW7S8wb`U*9sCn zO-$CGW9IQfsr9Wj*dx&CH68{vDaD_%Ffo*M%{@qd#Yh<*T3dAKS<(|RaZAYGAmdH` z#?HEe+@oJ5EN0(apr$nM+M3+V`<0IEW|@`#^q~*!THvx}wvxqVCT-mJIwQS~sks}9 z8uVSTn}dL4FeJU{C6fn?_E(L)T<-WfMl;n`R_cH%wo6`>NOfTS=y4QM0R8?(k@Gqe zb_gynvaT|)U6(3xr3TrC!=R{2LW?OGTEv?1DGmp{-*|s2l#?TZm!xY{Tn$_|+s6S> zE=j>f+f3Ai6&TF5Q$Z?&h2R0S2*ba{t|?OyXlA3?btpPnWAj2Gc504nz}@E|_EMfN zwhB_zM5wYGDytqaGnhfd{KB`hO`Clkq0=+l4q{YuBgFbTa0vM|_ul9>lnrohElTX@ zX;@1@7Qh1{r&>(_H@@F_YH35>^CL1?PV&%7<tzguKhh^woJd+pX->i0+UKTZ1$s2^ zDZpjd-Z3I-g%v$ApH3;o&sMPZ7X8lbi2&W<a~GStDNpjt0pH8TfITQQ@}R$~%(kgR zt|}S7maI4Q2~Dv;s1ixZAa>2kJb1zi3!rhGZ3kjM6I%sJgL={N#o9Ga#E-R+2KI)# zwd<!v(q*hIE~#WA!A8WBE+cB$<5zSq*__ykTUtlbL;9(AZ3J4VkkMSKA*?R>FVx@E z&tfK7dPt=J=fJNXh8GXAXvN_|rx&*@y)g2C<@a2N706I({qD2J2#*mWk((vZiTfJ= z(2g3I8~nV=)Gh405PG7X<JdHm8)4^=(M}qjb2KLnr2H{>J}R1@@38?0I*;G%wFh1$ z4Q-u8h>z>zMO1M|P2*KM)Y&?r%+|uD18k10AJ=^MwH<!oIrL+t*L^jne}HJ1R^8SR zd-fh%E&NMxHJOZ&JyV@ve8X}yJB-VHU`xu_T?Rkx(TX@REvP5{LPS2VB=bugEc2w$ zk5<{%s^4GYzE7|+I=_57;de~&P6eAR(9h7`d{LUr{Rl|UWiI{x>gA^!g9ZsxsvDD@ ztnHU^X(b;gP8nef(atuRl@y?^50z*I9E!az<WCMvk-*P4;io+05Rn5`w!ijlqP&SC zT%q7LjP7s+!N&l&f7M5c!iHCu_yr8*b-UhTUI?%PG>$n^h0bslJIt;N*7ppU^x=-) z(B;OGs03c&eiA2F@ciq)y_u(pDJe8Vcfr<*){qLk?Ss66jPOGBqE_!q$W5MzxrJ{` zM$yl(u`_|Ax}+{}<1!L|ai3RI!kduLtTx{{U29TUX45z7_TpBMOpsq+^=x%u=N0vR zKQNZVyI}QgwI_NXn6)KYKLw~JH!U;hA&(j(F`@j|d7TC7O6|Nr1%K@*k{@e&Z}@Y9 z^8Wfc58-u5SKe!&pl@9d=n^Bvcqh@Hi!5P&L{$MQPDPe;TqMd;?*tX?&xT0}uXe6Q z|0W5aZyHP|Skw`)_`}GH1rYj77%Ybr@SNuQ|6V1Yz_ukEG4hiL#22iPR$Ce#zZBUL z^9UGq&>v)t5P!uGzaJR&b~o(XW42kv`KYr{;+7QtsKj79zT4uh;l81JKS^#fh{j5I z@VfzutiS{V<(<B)>(-guzMKO$mpEJSfLiRDsyT>2)Jc|MPbrsFakm#b&0WU&tFVCG z<;cfZ&#~hLTWlPQHCa&9KZz@k>vm@5MFLV<hvX@*(w<U6^VkNf^D^uAp8?7n8Ww4Z z7{#DaVh4Dz1;uDm98LE~!1GD^OatV#4Y{)He@_6h56IGHExRXjiy|TxGeLc9Tg<xU zFa<<+ob(7~44qrwsQe_SM&G&aYFej!XGXu)r|f^-eM%s7ihy~0M4<+po&+T$II!aw zFU2X-af)tqfUs9uAb>l@)W6%9WKG^qxo8ukTE!OZ7^x$E@)E)#sXV?cPmQZ;`+u2d z6*D65OE0g{_r!}!lJux8=_O*mVcZEHbt7_QDiL@>VJLZ~pK&l=KJ^vN6x<r%k=_0$ zxvCZxW+#ZwO^M#}lz68-I#!|O@Cj+>c~{aTP0y_CyHA+sSzmW(nuDQ=i>pGth~=u; z{>Sr6aS0>W+*#uMR;A<yDbVl1IB{*Uuh@?^T6VMWqdu%}-8%iCbpcqxmx<t{X_^K9 z@nTnVWk`x@(6;;1HC6Rl!y)ojeYm8cf@#LnY(~pf#2gNy!Y4vu@y##+kJ&WiM~ylr zG^iBju^Sn}Y{k&`E_N?QzkTHULo13z^ph~p)1+vprnXKizA>V3=fr4jGEfCE*wfb{ zWfwk8XJmK$fuZ`~Of{|Sv{5)#j^Z`l*UlQmgf$Co5`WC`Re`OF0P31LIlaKF{vGC$ zH_5s7yp^-m7>5mOzG1sHFtfaXI5inm?lj8<Vq>H*1@ea4g88&K$13{wL8*m==S^6I zSe}KB=RInhc<1;eQB}4dFx$Xf0IM}BvKqI!cSktxuSEgLi6fZti2v$*N=sZp_bkGl zx+(s>)ocK>Vx0c5qkX*+5bb^l%XSNI^^2GTK1crKy41{S*j_H_TxB#gqwJ62zat9< z0Vq-Py2N7Xyk${2kXad?L(`q-{5Px!jHfA|mb1Ww+9LGtI;-o4+}1`3IehpNEmwFm z=JFjGLs{@K8bX{Mk7J16$n3{6A|y1%X!q!gkr<Jw&Z&fl8MF*mB!QX1E_pC`_88zH z6L^WiEU@*Lny7;dA+GaKU6c^T+@%h2?}r7RqPWWfo@X+{(9mu`1cQ+o2L;sKd#ANU zttw09wlicrxgqbMH+GQi`aqXcdf06)EAl&o&46#2&Z$qY($JkIk~CsUOW!x;s8<{@ zG?(4$TDQ5Q+tD1a1DSX3@M7V=khRWg>_lAfaWY3fCYN>=@2Em;2&Rr>%q&C2ha48Y zA9F)zgSSrADGctmEMk9zFkDzB-L#<|68NN-42~M~3m70HzHD)t)lKyGCV;xCdxh0e zrqs7x6Q7|UOpur0wTrn=4Ko`-skUuCyzhIhiSVG@4t5Ux{_dleXBn%w6ltBecvBoh zF2>E>1zYnOi6MdD4d(*GL?yejj+Hq&Lkv{h9AjzlGa2j*(aa5E2+%i8H`m#BH`L=Y zKDj)e?#2)^jaH#bDZ+TeLcQ@hG~fF_D2$7_@ZPRBF%?3?vr*XU`8?y5p~iuskt=|J z)k}pq7&dqv|9Bg4;EoruL?zYN(DxvlXZnc_ScHH+{#l<_IlkwJsbr%Nm$iqiH$c)F zFxsMl++$NJg2A3oS=GOJrO^1m737Y~-I+ZNOf;)h&!ei`B*(SeIh6BlkXbu()6Z+1 zYRrCV_G12S$}{Bk13##e9DnmcW;-k0zvjJ*xz#&P1Q%FVE}GkSWeD}qtILD(KNECk z%oml5)nVBKRQK$A9pVo_T8K}{wig@n6FIW9t#wvz4x2mAa5#TRy!S5`Id?1*AJ|}Z z_&OU@<I^P|r-RoprqzQTXc%n*%r#)lm^3336nDTKguNY$CXDZz8UQJfGZAIdPmfxN zp~p!Qma;{+I%nR-&xdRbF4csG$PMx9|6;wbmXeSRi00B5lBU7bC3^nNUSaa$EKe>c zg0jZkRYPqqX8Xxsx$qu*m06$tqz|I!C%|*l>$|JX8UBJ%B#;q|dg6ZK+Ag54Ij4Ww z*-k^$Ktd#pqSHp&4wC^tSq-2kce7jB|5|45#hBO3ucnQ>x~eQj_za+1?--=rSq{pO zaH(Z+_d<!4G2*o`nYhB<xpSP$NjeI3>QNM*biX5~OJR7$1U7qUGhfsp3tYBtCJ=1R zm-)(V@Vz6DVKFr46oiqe5sm#{^Y}zPU1%=^`PQM{qK?Rgu57z1dNi|y56CC%&yzgS zhNV0%-YYUNYB5;9A-8w%u2w(jkP^|Nu2WA`pwEE$Nh~X-y8?$CGtB+K4FzD2b6qUf zE_Qj#r!EV<T@(MzMmyvWv%`gQHH?HV>cBAkSgVq#0U4I;B9ev`<Q~+rB=qc{s_EMB z6cq6T*GLzdi5oH?sSXKApB`XD=~trQF5X;VB6Mr98)(rH-2-(M%dXd4V?NMrmLSFZ zz1f1#Mk;Hsb1{E|A1aG@#OAv;;_2<!o`aioj1|*2a9F6sP<vgK@o&44wi2e^kwLUX zyH**ASZsJ!F*rp+ARr(hW??WeARr(hG-fz3TPBw5SD;4Lg$n8&(i2mm_-l$KQJ8+K z`~Rn992m6}6yw|A`Bo~5DWW+3Jc;GD0INZsEKkf|_ptoWv^()uUR_6s5N#DpfA(+S zBU@EL=8<AU%{Yz7YdxuNn=f3aJK|)=Y{_?|_Kmn_B8()twlgT>%l}sK6tX#czKDtu z1bDYoM5aIhX=q7G8~Vi;7pOF_hS3Kac4+5K?7m|3VQ8+&RageQfkj$W(H_qK_i;w2 zA>!|E8ZyPxy&2-eXclDzsYYkK7dl_ez2)kck^eOYd)Mj1v-bd74lJ13IC*_iBuD8d zdRPE1mknT+c5I=|W7l(JNIqg>%SxGAQfe}#aE@*)!X!S@r!#CSo3l=Q&1$-N|9@>E z_SX(K2#VTzLdeRW9nc@AV7n|<xbp>P6A%^Qn!qf%iy4F>6xO9RKM0U2Ct|28CggF1 z=h6alnH8mt0!+r$qXtP(@nq(hF9P=23`x#M|2Cj9O{vh#CrYYx1AAlk6CHl4x9a{} zibjQ_-JwF!Z+PrJ*Z#-aKxrK95hoGVnJ)6H$}4>Um<rEfzm^+w0EcuD{4RMV?nucv z>4GtJ(x2*>YXZa`@c~HT6YZ1^-G^4k+vj7Ij4%rq_HG^P#>$82rBIDobz=R1g8<?2 zf=8_N%mmW)!g0k#{041G5K(-t;Ik>?ngQmYBuNg+QKKLN*1(~7ZzTe2B6~O2FB<bM zvUn+6-a?#dn3QR*n1dAw?@`03!K?k63hK1Is=Xc{MfhB%&YS&sx5KnSgNM6{#9O)% z;K<TtLNx(ezTzyw>js*BaLCEDm5M2H9=d6*w##z)6bgC+=L#PNLva(|-?W|?T6mQT z{h?yUSU_-Mn~rx3U}sl?loY_hrvbIf5ho70k<Stn1flj%(E|K+wXRA^2e(9wIx`0J zv-c^1T~Q%o8JpcFxR=Qlhh68I&5)isdvr0n!cN&R&n{E5LD1_we3U1ik-@}j&@{v! zp^9v*7_Pabjo0H~RHynQJ0gK`SC!^28QPVFpP=F+K>JjoFEP!9&fVI_fY~x3P)RNM z(915Zu*~zXz;G+e7_7p(t+m0>u-ULmMZdbHd?WhN|37pXuwl!V#5KQeDT>Hrv>bXp z)C3t$l-OtMxvc;*l=6CI9F@8~Wt8zEdR;N42hdC{AgT^95dyf#0Ura&i@r+dblGjf z(Hnm7roSa{Td1GX6wlTJkbDiQr7Ic{M?Z3_lLzm<6n8?Vi|eMLICgOO%(RKoLo$pu z+9qOBDxIzYw6Ugr(A7b6q(I2xVc}DIKqdZWRB}J}@K1<W0a%_A?R6_!uQd#zi6{73 zhu?ogI(h(0K(xQ^=hQAk5dk?a>LZ=Nec<`4!gF*ysdK8cB?k27h-e5P*EFkra30w7 zP8{u@3+Z5ZWYTz&L%>@T*<-nQyp8<VnXSw>`K)b2?_t{J>YR+?NN9KbpDZc%wJqhh zqfZ`t`xo#-4l$T$k3%K`w_6l&Vj->o1fmPcm)+GMwgGHWdhMHbSt=zVj0}QyYqkq$ z$A@^SZ*$-C=sTNc_Ybq(#QlTlh2SI%i{6xUL@EvT?9XQ@2{LLjDA~N>lUHI|aWPhL zNaDui53@QD(t(<vEiuWe`9d~laU|oZfpXexihmNAXhF)KK}`nE4^s17@Lt&0`NCFc z_{fTM8L;fgT|MW~)zEs_PRwpgoJa3OpAWsh*LNfygyXBjL|_-t>#n11k?`YLAe@-N z*eBj<{j4=XcN;eY`Kd<EuTjb`U9{D~#)l+n+++H!Mw`R6P%y+1E)QJ%edMZzlCtsK z2N(Kj?fIGLu96=jg&(&96?!77=N7_wtm84(hLL5JhAUxI;VcxBWhgNZvHi^S8L`7O z2B&Z!e6r1={b}MA;-l3eHC}?AC;^pt*BX;rbn2I|(t7;%pct^sNzTCyntOhNug2Xj zWIBf6|KQUa9<;Z1GXO~HHZ~VuUwS1)5;qrv9gYvQ8^oes>!$;wCI3#bAh5haCiNB~ z<P~8ahVLNG-ZDDm0_&fsnpWHT&r?a{ebV3lJpj~#MdohEW-}4eL%kV*9PQnGq4&r^ zd7+=e!xJ{RFBK}6)<=O})WU6W?hy1dBv7nurY0&rsv@RN-Gqq6p;JcpylqT{O{XD` z`#|i29b$i^7eW&bn^}jo(S}RraH7gM@%|L&PIT@=0JWfSea0gVYl!%`C@U6g@Dqo$ z&N2mW<@)hGKGs;j^Wb(A;j19G5gwCxm;Ki+OLhxtG{^y6YkS&{Su$poGjh%_T}G|d z8LyytnrYeMcQcx7eda5pA&ev_yRfArMd#cJ&RF5GlG^5tk;4dLK!Aux(9Q6!Q9rX? zF7>YvVwr%?D-qwLbRJI!UVyXzxXftm&X7@d+CP+sF$j8~KrOdThfrPbYtOY%L;Hrs zh)R8_=~mG9FqiZ@GOscR2#iJGk(<+k+h%_U<>>v`O^UK;Pay(9f*i_sY?i76NDDYl z-ABDe$%M>jXQx(3g1~xla8M9BFf7Z;k&J%35~w&j<NKW@+;zLl`w?&%$rD4k&pTyD z9C`xi;0HAr|0aRx7qQBUesD9>T*2zJyD~zlG3*g##&JEUB@%$Q+O!*qz^g4`!Oe|T z!ncLJ5Mj8s?uoFd_=$0?j{_QKqCNV;OJuvFveHb4z=z9M25#I%02N{Xh(H45SdvT1 z`Cn%T9;u6D<B%5qA<jW=&fW*RbL7)Ti?PPQWR(TDkw8pi=#>gzjm(IK8TU8;Qsd!0 znN#YJV`o@+INI8R$DF}iigmz;MBjxE)Mk$v)5iXl?{)B=r%%*z=ML{nMXWLv`Ym9m zmf$21T6(+&SWMnCOH1m-(e7bTmPds2{dIM|G1b+c_Ds5CXFLU&idG;q!hoxiH*Y4M zZ}2+bDP5FMGGc{4jG5UZ1ZuywnaY|!eCrzm?K!G($5z6NsloQDK3XAS*xfVrJk>}P z-@jn$^yQpw8V5W2lw*kn51OFs;-+Qf!Cx=oZqon8y1gcgQ$wShMJNn8fgY#~V`ip7 zrNrzFKW*5K%_v5mK6$^=T<@ithYew<DOul*kDN}S(2xK2|LsVxXdYxN&kisxnY)gV z7-ou)rL9%8+{P`_<9S86)eImpsL`EZ;4g}Z+w0l-@EhBw#E9x<JC2i+&P7l`9(bc- zMm3*|@Qw=ZO2^s`DCAtg(xP?toO2z!reV3$8H;AV&zR#xZhTo@nWdQsWQ*)UnqCn{ ze6wJ^3BnK;kmDybOZZv#Ef5n`k7yt4?`x0)ST(oq#{JbeQoHF{bssbu)sH-!CL|RF zUZP_iM#=Y;n)9iZo2A=rJoQ9BuaK8=G#VyGmsc7X)AxO4l}Xg#JB?P1WVaRhrw0R3 zWh&ek8DNY)C|l|@j&p6gLQn^g!qkgPc<u-CsXW;7Kecd-VA|y~UQx=>@E=}v<Xe&U z!Sn)@>-iI*Ffbb(lkO|;{kQQ$frgy^mv*D}YKALB-@{2sUaf%lc;)a;%OjKB4!^hU z8@N0lKaIA>f*8JOuZUs5Q$avX!n)FiKy3oAnG8d!7B|W*Vngsp%VfI-QG8&<S)a68 z;mHl_VqbxQij{hT;SX;g^)tEb>5=hKlJ#(Q-eH+_M0Fg=4^XhLnK|LDh$WE$!%%IO zZ0d6H>bSGV)Z6LVvz0^sX!Hfv3xsXLXXO3{nTNfLUl-oaRi`nn?)|QAo#c&NIx30e zs5zp1`?B^Vie^cR3Upnv>!+ZAy%xrEh-J*2eQ%J4T*a@Rc(nua22LXiVqbnOo$4_! zJ3$SJ&XYXgUW}UTwz6S-unoVv!G>OOjseiE^nUU_#>^&LQfFyh_ihqS+Ee0P(oD-# z7oigScp4rN1Yjjr#TPH%M&q0d1owxpB1aVU7p{75mg-mwe8dX{@SF(+?}wR#?c<2T zqD_`%DS~)j=nP`}y#lWvx+B1xZL!;$5bdN36rpT~1AE9iBL|_5@y^zt{qVMp@Wgd^ zaD|_s=Z^=j9-D{(5@*thF(>t&$WU2*_m+?$<6Cdz^XGn6e(^ocjDQ9y379DEwmhYs zktlXZ<yfL8#!EoJ(+Nd=5MG_0#B?ow2z7q4f#>2QFQ|GMZHLOCEy*DuQGZwV$uWSF zPZX4LWRTKg!4%lAMqWiFQUZyr-*QG3t3JWXIkl6NM`SDU>)1T`?$?}K;g#e2tU^x7 zBj{^Z5Tb~R7%H*-nsw2cIZ$ODwD=}}dhkng%O`M!EoS-!Y3-2-xK!9f1kO+GuEbjg z-_dC4(tTP_#yDct7+>Jfb7)0UR_VC~W7|iD!rJ)hB<d7~BZ@KT5CyVlrg+dQ&`LMh zWPunIm-AT$Tc0Jo73Mu{HM5B6>Eo0{BhC0T0E#?)6d(_x5fN0))*f`BGIUA8e|B2s zWou3Y?TrcBPKCam3hh$Og=u0wTiH3GL5rq|&=hbAl+5cgtK5g(!+{c}(mdCI?9_8X zwL@=o#_vALR-(9^kD^efc8_3wYBm_)@TV0BES~a6XJ_wVG)xz;jhNLPwPZpQAF3`{ zWYV2rCBvd~HZrwZ;vun?VV2y5GUy<2KajJMtcAyWSK-;abKvv;64a?lf!ivBBmvb$ zv74Q(@1}BV9~+N$E4n=!W-U8Df=IN8^u{_Zp@I%53{+F*cH+ei9(E?TL7^=N;F(lT z#M1c5IxlNTGkYbeR_6KH+RDAC)keZk5!w%`3~^xj99mY|c4umc-4P{VfS3Rw%m!^0 z=lHVH!_P{FZ_c)nBN;(goH78(lI6Eyysd+%(4RLz!Y=4&JdI>CHtXfLZX@7RuAWXB z7dH~j)Sg~rqU<J)^xjNLg_uhd;qeg;T1!rUqxt6W3t%|p#9#JNFQRcS1X_T!k1xtU zrDxCJo6y!*YUN8IqSrI>1Ep>=pv=Z1Nv#5-NXAB^p-GP%kDy8EE!Bd6=D-mCF?nQl z2KvbdUK9GyEs9o_70t3BR1-|I#k_>mT3pU|jET<!>%|5;GS82XUOztPZO{exmmJ3_ z$94snf$jp;en|;&z*7p>AG{QtP&TR0a=H}opbdqetfX9;_=7j5Jl_&`N6aKIP~k=P z;5a^#D3jF-r}xT)8IyaG7^BiUk1A>l0A2;{*01ko*wQXyVJo&}?r?aR_7<*30uNa4 z#{{p_4)9p%w|!CDUB7TMyFaD4eGqkn%pm{w%Egst7t}>PTSJ2H)p?F%3*t?P7k`kt zbVfcNl4x&$Kp$MSl~GXQ(i3%~prZRbMa#X7=t$R{kl|{R!)RGk;dau+4W*=-zQCf8 zR<vUlO_sNYAY;DIR(nNIN80Se&n|u}kWK|}y2zq0iWZM-y76Y?-bSL`0J}QCZKj&= zh=Gn#tE*ayZ>f+GJ4F#9;$fE|N_Is~4Ldre!AUF;QX-Wo^CZ#%ReEAgPNq~%*GY}$ z;JQ%defLJRaFTTlwbgb#7)ochXaET>X`<(7-v90VqDM1yYd&f!6nV?FF?!4I{bubw z!wqrP&D0i1hMVFGE9fNsfIC$mCX-6uoUL-HBsbRMH5l1njrqo^GV-o_pA-2qABb)t zE1}&Sd!iD7$t+f2dC}WS0AOLN2V0;y-K3pGD*~S3P1pl*wqgVI{qb8&`u&1C$y)9v zKNc#oNrs38%#9%_ov|wnU>#_E{XFqs5UFAma|O%z2CT3fuPqOP^-$L_RMTn^D>nqo z&IMU4upDz)ys#TltiDt)N38vExWQ+IuTADIl+ZgZ@q1bj2C%Kf)&8h}AiT%W+EV-> zR>cZD-Nso9KMFm_IrA(a^4bTyAZhio@)Zbn^N@^26Dh>FuI5Ym<hM4j<~`+vO)N`V z6EkzWO7YOx6turZm7-gP4)TbpH&l{Q3%Ga>(HS4;o~Cjx*@BePRd#11N1uDYIr`$k ztxDNnF(-@^q?|jc527J{(_@Xi36KXgda*NlXD%iLU!fcdq?o&r;KTw-v!;*}evJV@ zUz~N^LXDk7f>hcxeMCb1M;9iRT(UQj;@VD{prI?=;<6h+f&<;Qd~*TaQxRCZ_7&+C zRi1pdhu9O^Q9eReIm&FtqyE@+gsgL2n8rI+TD%uV8X*u-&CbFuP?6g;U@gzMM?WCa zm&hg$8VAZkB9H8=AVLi@RVM^S=P!mrfbpEsi)jt=GH)_^g(Bw`hUGd}1GTS-2shax zIo7)6$ex`oPT<&7Gmd=-SNss=K6QFpk<wts!{U%7p~Pi7OGHPt&3sFxbxP+v4wF>i z0iZ0_utoRU7<yw0(<9Ph4xyCUOXw3w*;&1TOSc7<if*ysG)9AM#l#$tl&U|Dr{0F! zcO=(rvF1`t)V6{!Ggw{O%Gv7Qn3e#^LPjjw`0%r02bBECw*I5|_-AJ9bzqLf9sX9{ z+zI4BZZpyI#vze-j<75p<u^~h0Sg<{csyxYX*AtI1&ql1U!70VfKMLSse|<<7#2%i zPK`gb?_c{q!lT<y=!8udppaLRWVmOCNha1+OYp0j3%Y8O;+~I_HBBI|DXXoN+=)p3 zW)V%piH&V^DL&41`42Coz=0UwM8GNF%@QQ`_WwcSb8B3H1!M2g6;HdfTuWwcij0_r z@?Y-ZO!Hi9!#`$BimM5k#Rfid!KDLo^+-y!T3KW49Xt?m-rPj8i5doJ)tb}T!VB2= z*x1;9Ic~J)ne;uY1!@l6RV8fjDH#LIeL<#zGks}53m?kYd$PVFB=|{3xp=Iz_r{R6 zQOf<U%o+Y7qBiluoZjhQ83#72do|Y4fz~`c)|>WJ>3F`MKP&dfr=u!<?_t74tv9Xo z-h9Z%?VR;GX&vRuetpl1Ww@r>=>fn+4U@S1ywEL6OX^sQA0o=FKS|l>K@gOAfKiBx zxhracCYy`jLZl+oM6Rb)pq?MpJ)Bq?T3K*cXdPUP%Gi?%j$?oqeoRRxAesV>3OYTr zWfdt^Qes67B1boQ+=_5t#i^Q^DrRso*igN@__$u1srIKxMghr>v|@}7(S&I3Jm6%Q zLZx^Q+o?1E{)P539{F$0LxlBWC;eP?SbcQY@Dw|^AS`{`Hfy2hES)e)p}Ov$jQ8?d zvan4Fb@pYHNHNGPr!eA!c5;T_gS8vn<oxKwjrT%(ci@dLX*9#P_4Fayrt|msiVy>4 zv}+OEBn~eNPkq4)<@M3$rQm+IG2+DbkHGN_PIne@V^%^+`_tfhex9v1FE_-nc7({s zgp`gg4XA^mLPKZ8?s714Z-cvRq1buw9nurqS8-oq({wF@yEpCgF&V!P%o#J1`)P{U zC-otPE(QOyjai_eR}9xFloQ+2S;nX{QE5c-+lPA#*_^Rbe|8Or+Ya9h6rmAU3`%IC z^cA2Pw<9(IZ6wm!ieJ~UKhPFDf|g0Ef>fG=Kxf;h6X@4py^;YNP?K-ZXxnLrQgdD0 z)Xjl4j_cW@mY?Hv^6-T|9)=fPbS`D<{QC@Z;c?2lx?<)#RxAH;KTSxx^IduW;6{h0 z(Lth2H@L<gsFN`jJP&_7T1(RGj-{iA#ttJ_wp7y_v%h6mf9<cWupXg1$-{6*;bKUr zNaZCj8B-Ukny937kH<UpM~5df(iJ>&`6dG4J+oAHY<=^2;EEoGr@FB96BDG#YaN)U zuOQuWH&30>SMf%4p01ON5w-^J>V$ptGrCDbOts|>Lg~)gV>0B0&SrELb9n2u11oL} zSKVSC7nbPy$7^Cw1XNglJFW+OflE)s7suZmu|s%y{!+XPTnf<Gg+$+J(O{A2pPp;Z ze|~lPcY_|_<ak0SAZ@O?6Ti0Aj8SLzr|aUeUh?|46XiZz&VDqHc4V|mf0o`8U9U-e z@$3r@OOMOj2_#kg6?fX0#(gplm&L6{qc7)wsGmnn;r#Ha5Dr5I2u!9oX&j1BBS=h2 z6xI4qaS|U8u?->=IO-5tk)h8bC80vs$zExLB?|W*AS^DYncdej(!h?(QRAtb63Z%4 zI89p;*HQ|Tr}$NyRR1eVLwMW4H->@&@ntyonMlUTf2bs3_cbQz4LH*{k8kFulZ>lX z<ZBI$JX#E(*d_>BT6s5f4F!(8MrE0!fsW7w+lB}9fS6C<fHRvzB!r@OSbHKE32Zl> zvg`(#RyM4U4eor!l;gr<YU~Km2QH@uPIok+Len)e)8c{j_<_joOerrMk2>d{Zkj1% zmEWgNVt>G(^sVA7lI&E3B=o41O4GGZpD1)W$Dq#tGfBo4??I0`lw-hBlVThT=mDzE zZ#0;yVTNWuyvBr`gq4dN2$E8>pBzJ|=nUhh{IV%EGhFFgujbpG$wgGwU_HDvtNdNx zx%ZcUbiIdlY_URQDnd?ShFbVP1RaBpN5J4{n^B*EV6|3K1d`J}G-iv#@LcJz`=8u) zHsmiXSlTa_H#0Lo4Q3<mX6r7+jBsjXgM@>2^$@D(h8|6Rcnmo{dLzT5W2Z1__V0}I z57ViH2STysf>fb71`f@o@YysvI;1YnDXG4@yZ>40e3o&A1j8#7V~zpd{rN^858gx! zr2YlmSDXcp4LJdC#UC-vgN`4aTPmvN8(|Ru9_v1d{^tLH5%H9rR{5~8vna5x9H(j5 zV30XRI<%2<EtrBoBKyQ>MRwh|xLL2BzvtosiX>!GEigBmlT;CpT_MF`gbb9ahY32^ zB9A~!40j4gG6T!j=Ox1kjz5wn>p<iSl8L4oU$F*N^?W-J<{?xfVJ7XM$ANAq26lA> z;=o}pAp_kN?y<5Sq&NyxeegAQeK_H7+r-q7p$itXVi1XKT^?-H7ew)nj`XxulytN% z;B2_*($U`x*jL{Lk-*ayt)TP)wUQGMW55V4TU9y<M_jiGtgqJ=4I=?1)QF_3Y;gce z*;cn3A-2?Xe~ZV?xS<j<|08IkNc&W<-Ow5F^_zOu*SPEsTbnowZ3R@wrvCUkt$&=e z^2-)L%?F0mY8me+zXJqo7^tp7^N@_0!Gtghg^NbY#k*(2g#y<O*?O>l7$A}P*eTcK z$rJP0hWf>=A?jX!%>V5A=dlX80T>NEUsOO@6kE&}n2M3IrvqxBO+cL)Y2f^lzu)*! zyLs8K7FJz`rk0Y2mRuPVo5Z-R+mkJxG-u!TWN3CQ{DJ2@lP&RTd6dq3NJ#0|4=@yS ziUMk@qgJDeK18Ff>i88$_b&OLKQWilO}EN5CevE-53M+c6$7#UlfGB$!LRA$DWgNT zq<rHM>6pazEfvmDw^B!g)EE|G*;{H=4Ypg_M%apBTz2Sm!$up-KxWUy@j!ApRO|E_ zL}JgYl^c(xJ7ha-bIO$LMgEAr@zx8@!>+~j4L$Prnplzm89?U0tqc-g`9@iMwo~h~ z87RUk)codw&u}UV9XmK%?2&IY$E-+r^?Fn^N*ka%4;hJrj;jFT^(q`po+=n2z3+2A z%qyg@1l{2@c(TIG`z+$TK4PhjF@#2DfRPZ=-A_=oym@Oec;ST7Y~80c&ogC7-BOW1 zpb=i#Mtp<04!A|xamBC3RkFt9cUuqqRo%O(QKgo2E}|Ige$bD`Fgj@hCH8gJ2X%AM zSYzC>%%WqP(WAsFBP)VW<u-TcDl%ixYrMG1Aif6-s+(hRBdqgTO7%gXj`~9Pj?8R; zy@VLpEr_O#`b5YHqh8K?NpD$g(W4{g-pTaZtVju-3sy8O1IuyymV&8hh7Yt{@E9)C zn&T#!8It1&c^@}ajChwu1F2^_`|;JA<*<Gijy3Y9Bbev))bZ(}nB_`TafZ4t62f4m z?78g(cQQQMNOde+pWxfs-qaEC6rb%l{JE>?+nKexfAS<pNP2lLEtFN_C4`d+sstmK z$|(as8aa%?Y@d2KF+d|vFGtv%d#eo18%ua62T1iqYXPs3m-3}>v)N=C^uR%4FA4-B zayn*mvxNx+)ZStMpp6q*nY(Vo*;HO(Kx=29&JETni_T4e%o90JI$B3Mp1;WItqi2k zMi)~p>}R_o8K~1amvJH7JG}7@KR)bFNd;M+=<#;xcQ6Vjy_qY?0Xt|>dwlJdueV(( zRN^+JN2>zIm^%m5pfb7mVx0*6;ilq#c(9RrwOLli9>|gV@lU3`!0<Hrs@!di>W7(E zzj^XFhUK!ZEHln@y46meWz()r7#rzoXrvb3tNzT4#6$sSsU&Tg_pIiZ&YS5pbYn}` zOw{&)LE&~ilG1AR`l8GVgAr|oaL}EI4*PZP0qSaGXgP#TVu;70K?A17TRHE2Yz}WD zz?GBD@~xX3a-uVzBfNdQ36QJkqT2-=G?Chqsd?1EadqxntsdX<G}DLdKLAIQ>my)J zxGITb=HPs;iHnYMZNcOAX6A(K94|KX=ML$`2^z78dSCS$D+!?aOeRoPg4I^P#$7Z# zXrxydzz)PhA{H)~zLOZ4*bBStH<TJIKQYlt92gX`h+Mi6&la@;I|5zNB>7!#8ER+` zM@b~OWCQ=*FaryohY^8OC??KsxS07yF$DWv*6+ViYNKC7?Y6)6FBp3$QrO4TmSosa z$>xSgeT7t_t%sG_cI=jupeqkEI=RmD2n9A+iyJwSEn<nbDYg{WJim2CaFAcX54eRp zV`egnr7>PU7~X@7U_cM1$&`L>p~2^LT|YB60(~YA)Do#h3_Jic6=gqPo(5tFRZM+# zzRMB6&N0@smi_;JI>Dk?$I~@Qd+%b1;L$YhOpUnGreV1h0FRD4;dKIs<jO7t)6F@` zAJ=gFQzm1M@NOey&o9l7iTx09zW`CT>9~H?=?p4YNDVPvcG%M>cAhny8fqrrlDG^h zxckHna`c|K*-h+*$piTwW~{saPhVZbL?CjP@1&?%lp(LIZT<wbY(zdW6ip~iMW|L0 zxc;YoA7DN^o2VpUWZ1qMzH;OTgWPG*jZLnLTvrWEiL_q!Z80XN+=um<he4J(5snKP zlLDUP8xF$dv<jLO4q&h2!S&KOlYD37L<qerutgo{eBUOiAJ(NLk_^=d+GbT7tJR5y zRoe@2hrua8rV#*DTlg1wDsAjqC#P~mGyA`6y#+gJS~IJn+|BN|E9c0zLBb^l+%H!_ z3u`ZY7ci-XYNd4gg}QvSD_wVfO?GYttUC?t0LE^BVu+c&Av>(^@JmkLJx^lvTV&gA zA}=@(!Q`x)TTS){X0}ydaZP>H#E3|9q#Tgsw^Kmt)2uTLol?Qlq6sJlxR>du8hmRb z4d0@U_4bYdO61d-qe56`ilG42T}GEx#cq!o_SHnXgz>--HxiG`f#3RrWa5Fw<D=t) zL$lXJJ;6}4>1X6>oC5y9`|l_70jd}wPo@8twD@~{0&6ALlItqcmu`;k#G&p|^l7#1 z4`(*Fae&w?&LlIFhG#KRkLce^&DeEw0jyxhsm0YC5d4E-Q436#8n>gGFgu?6b~EdR zRlPC5mPp9jc_V6+UUu0>jbG5gUNZ`6sOR5<pk9}jvOU%{fiIO|DRK!aEk?v(QK3)+ z8d5dB?0tjA>+9&xoDK*nPvguG<p+Ij+%Z518rIkeDg^z;H`g?(;&T3}2?Tc`qHn-; zII+5GMj$3vjc7Wh3(TV<0#cu_Z9$dM;(>#iFzM?*T)gizzus$XmhFwLs5tqkp-$gN z<T)tF%-UNhH5d}S^&Gb^)TE0NW|Ru9{_{?R*Z$y^G7&sI)#9wN-!C}-qwZ(>qsbdg zQuMT^h1OP#=Q+drK;PslEFPO1bBcpLN=PKm=8bmTXIyIQ4j{K+h%{4D$c9yc1{2nG z=MIGMU)=L2++^r<0C<oI$mIbE-v98gbsM$ypPCK~*KuJiDBVzX>S|*EQ?R$x9Ge^> zVsPSxvs@eppS<5j`L{z??=!oYp0sCqh4H$C!;_Xd6l6B=>AHnBWPf%*ex$t$Ew@<p zLUO!Knc}fo6y9wzv@vJ!X8Hv+R?!su={QQp&rjMG^E4EDoe}A&q2gz+h0z^e_-?_# zlkP{A+9Bj$ZQ>R*DSTb@8&Lq@PEkrMV_=)SDo8|3p%JxN39CRWgrw2zo(@j%vf7KS zK0mI^yg*5`u7_fa!6EKu)<)L85FqY$XB5i`t>Oj4SQ%J?oPJ!3VcV4scz_OOggtM# z-1};Mi1WQ)xoBxG9G_g!;d6OfDB+Yyn9*P|)1M%LQ<Ncgz|FDcdCg`tc5pSJ4vDx1 z&xF~3!{jdeMgExXRejsXOqohK?8?|<Y$x^%v>GuW$HbMS$c`HYjBjIQ27lqzII!{a zlhetg3;CkK+!ZGZFv`yCf;{Kid!o|5#7AmkDS1)NXt#nE*VxZJ9VO)m&;npk%p#A2 z$*QmHwuy__;dhM0u|ga$1Gn>&<O4C(>RFhik0@1GG=eJVrd&ZKc^DlAPIX<FO4sMM zWgFwH;c1U^1~_}wrcgDVl*5?idV`5dSG5z(pFw|^5VbrPHW0b%@*qP{&9>|9XP#Fg z&kk(-rHcnIT0qluLbkx|-#VZ}TF?NS8RY<eo)OvHb%mBpMZGm%gwMmASne)12hvYG zH`=*0V`_!UWa$yyIQ&Txq=J2`<;<nmrNuQ9Nu$4;p<Xcur+qbC@WI5_j4bvCt>T^6 zhB%n=8)Az0Jpmw?Q3cH34sr`<q6i)^>sQTj9JTcO`P!DsRHHHbF?cFh6CKfTpDci9 zBJk^o$R|T=o<MUR#j~Y+gQLt!*CS}U4w=rahIb2MR{zN5^K_Ups^9LK*#+UARS}RJ z|C2!l@*_>xz9CY?ya%~E=-;YZIv4%_5;noVzaFEgV|?XVn8b-P`tF-QO2%+9IX^GN zj?61UH0x6o&H1WJQ{-Uwk^e>VVU>$SkCFoy*LOC)Gle_65)tvKx&SkXGu_od0JY z&?IktEbq9;+ly_&i4AGunwU0PS$)5YJW1B;%onLeIm{$5eXzJknzCwNJD<Z%e}1MV zUctY~)bPt7ip;ByU6xGxF#ZuQ0s}T}))e5WIoWB5btkMqR_Vs1doNx*h1l5^m^^H) zA|Q(<F5R#OpX6??@hg@_fvxch4~9dSX7LX37*3vy>uA|)A?mIyU$no6TGB)EC9!gI zT^tk7tXTVaJn%oU>mcOMr^-Tp+md+8KoUFfhoQXR`1v56Y#rzqiE<^QfzHcTy<R1k z1Tx9gs%8IDr{TYo$*`v5>U#-78NEM@A<?%Yw`r{G?de@mk+FR!j(3TFMWa`@1T0}# z_1Hk_Q5|KmrWI)K1)B9$CKgID=8xbiq(j;(l)#70VOI)EW4tz%R{`0yFw5)l3obol zEPQV#{%sgg39aUCGFu_G^tNO1j}7a7k6eltF>Uf56RB0sKb@Bc)J&4Ajz_b<-vf4V z3iMMl_>JwDZRy<4u^h~V`_=cMQ3PmX(jT0GeJ%g_8SEmZ5>e!yv;emwe{DNy;@0AU z*W-I4g+=#|VQT4RgBjO!fKEiRp-Ta5Vfa1vNn8Y|PsJ{Iaamd8^WXA1sY6n1Nzfr3 zvoj-k90y`?Gh-fz9<tyGQ}&J6Vip@Ax3n>k*qcuC0CPGT(SPMoerO^b&utVmO{p_~ zW3bCZdOAoh^=yQ8)3s4MDBxKV^hC+~JPg%ny0q<bIf^p8)(j%e@R44*E@O5>fTRK# zN*zu#3JjDBlO7KUlRFK|%)h@6qXT_uw`e@7nhY8TdIL+*9ckD?qX1UYBaF8iP2J3} zZu?9Zb6=kvesCBku<^?Sfs8>rA?`qJv%oQECNqGGznjs#S@m#vC;n<;56b$34Do!W z3!>4$W0aAT_XLb(^72_tl~UlZvKCZ`Sgn|*>!3V2w!mc($jsJ(W~kJUkL*s?*#W+e zKNK;XM6ikS$~p!BUgGeKXG1@^Q~KCnOi~SfH~c*isSYr@X8ZcQ+b_55YvwJEMie>^ zv1`F3UJQqT+qkWC7!TnJiXd6{k$%Ed$Kq?RBtdjyyHVm^)l2Rs{$hZRUpl$ztJ5ly z&jz~KH&Q&;{$|T|OH}b0b4-Pc@VcBOn)i^|zNMmPmxah2f3~u;IKr$34`r7!JPvzY zK}F(q12gnM@Ng2`QmtUX<~uj-Wqh4IPi&TS-p?g*^UEkG9&wQu00~n11~816{QmD$ z&mYL%6OJM4N!A~`vG_eCq~1(VCP&6QP*-QtM9g9X`fVQQXpLzj3p`N6xBS9V=xqGg z-{$LL9sRfW8MQyc7V}$zM9drYZ@eu<0yT78(Qbg$+F7bQ>3ECLCeKx^xku4h#41KI zc!xe=$y--eKDG<3jPAP3vDv4OlC=>L{o6nQdYNI@kS$ur80$$O$G+lNTI{lFyNaF3 zSQ(*<h;^Rv4zXqLZ1m0)<o>vz<oE&->}=5rzSVI;uFfM}3tyPiY6Ryn_U^A%3URDA zBmKg9FkTi8k~vdDkMNY<^Mu*@@>>r>>$|W=dXM=1Jj5>=f?zi!kl$}C(^TNj#7Xv3 za#X<c0pF3sq`YE)-$(nPW=hdlUs^!qz(%%5j(>W&x~k#UBE1m=1NknLEeXNO45@~3 z^w8Tx(bA_{Ki~dYUQHoqbSoA9>@c|Dh|Twkrzm>ynyYmvD&m^`UEaMjsu~fi;}>;w zG`3yi!0cxONfFK=KL{z>&Ye=HqsW!4*WTb=EjOW2=Ukn#FL*_O5n<4IjMZmHPayx& zhD&qpc{Da&MNb&D90A*W0pxsykP@fdJC7Uj=J#ucM{!EVFhrhrys2sYD@?=Xv20f9 zV)2*?7ESgM6Xe+2Qk2pq13P9j5)tPcXVWuyin8MyddBb|j}xnu<+~)*YKsyQr{~y` zbQMP>C*`x5fZE02i*9P!4x*qxRn}**{<@3#RG`o9`#Dp8+<#a-6at*8z`ysF_}=eH zuS(td?~<?5at_WxW_St&+)T#_p&QKdpX4uiN?D`WlTzTYVnXVROhU;$NaRN~qnwht zc!TGal_`?S=4_;jljA>VpI*W0%_tDST^Iljp`hTYqz87Qg;`IitAG5VnV0(S7>3Vu zA|s86D<dw*Hm4;}>~A-JvlXd+AyY7aogY6t(Ji$knCtJuUIFoF?vKJrv4k<fH#vto z$O{Pj*#uR5bjQSa^nG>*NDkm-<y*^@1Td!JDZ(rHq{a&hU^$jDDRWtiPCu@a*SF@@ z+Xm%~TROy^r;peO%KzEf>)fkWM>f@177_aL&!IErYN0qt?gW#aT-1|!JdIPdV&a#4 zC#FKR93fT`Ro&rEqcci8EQ1_}@Yiw0Ah!6MQG+?#y#A$Eu}4BF1;KV|Et(0|-RqO> zbSI#Bv1ptNvjjf;Q%EBAhb3G=j!bd2W*I-N6EWQ@8Eo9zQI_Z~Wb4w!jyL1aSrL}g zJ$h-qL@$J9Az8jcD%T@EmB&d??>>RW{^i1xJ<8-q6q;0*MZkpd&n-?u)O8}4!6#UO zY*)c{aBsc$K%so)%>jibm<nJV&pbwqZ?m;aB$yow2>`^OkKln&6iOs4%ZBJCoIZ5- z?_|?rYmgFoA_X3+lq0X?)%zM`zO>i7AKn2z6plG)p2Rwlh9<A%K6Qq_V8Q83zqXa~ z5KrwLDVRwf&SmC<_@H!ZxHtM309C^2#GmT5&zsRSZhhMT)mlZcFU5q%Oy40$wmRaQ zZ7hPJTB^#hf0doo<2GV@9IT_3NPHS7eNv|-bWyB5m~EMHNDF__oS0fG<E~S*jy~%0 zFni*5IAB*9$*uww9KKepqg|Q5rkj}M;U^LVg~)OG>LZsU^Sivsi^IbuwaxeAAV}A> zWU1`_@PA>n6F#PAo?l?89Nrai@iHMD%)j>t3T#>X5}gke7QIE<bT^-jaaA|MWAY(9 zSm$nE89Fi*T%&d(NES`DBqE$iFY6lbQWW8|gEKQtmPkl2)Xoc~3R;S`O-}9fU|teV z_&30agbr392BA8O{&2N{SMk{>{!)D4Ihb|p8G(JVVcM@rT4$kRqu?Y8RPaSP<HoL* z)f%QP+tc$Q%wNIX=vQM=5SOGXjQ@7#j9=8vcTIbOvB@Bb_XwV|mw81(DVI1Ky1x|g zI8jd2R;penE(Xd8N95Vbao!M)+>7>$uI@X-A-63pUXRWoS8rM$d^Uh`zQHa;XO3(t zUg-V`UpG;5Z?!HdK#tSe_X=T|dpx{-YoQ{Iw;0KfGuDwy#_ZEU*4Hu_#geEs&0v&^ z?wf`@jX2NS>Oigp0^OfU7#WnXb@^)5lh^hU#jnp}%eS+&Ha{L#M_0=Pu35MA6-d<h zURC%)vzku<@xJEe<+vf&(Ga6C8<&C+{l{)dCn@GkCcmgSC@j-IkZ7%nFi3KcrTl50 z*AAyL%}dmsl}lpBm9mWanp*N5z403UU%X!a?*8DkBL5N!9&(rZPjn6XA&#PkUF+^I z{QCrh4TIZ=@S7ZU=T@wCEwKC>vyF7OC{I+w_)DzTmra^51<Hn%h2@)H1JjI+gq^hF zop(w{9D&$Yf&dy|6sVyP0n{m#KyOb<pR=^{D_kjF%{!O-?7)!6O;`^#fP^cB8hy!W zzA_&zzSDenn(72z**!GHmw&|S7~riUlYW4e!Q)+cg-5(e+vbwAKGkX}{==+UPAzy5 z?Z;g|ywhA}9co2pSfDvUj=wkL8Hf>y@h$y{eV@ty--T#AG*KCM>?)LNlQgckE|lO& z67zd(qNWfB?@YTtIuw!=p}m8nItJh*RGbFUyBM;S)1F1q{xEd3`U~Bu#BQ3MEXee~ z*e-wvEx)}0IuZAo34wVy_DA2<9(tt0nl##(LJ}57L$wO%3pFYAKl&Jo-gME-?rLsk z_}#pJu+YA@4|pIviY%pL`1}xLR1MK<?XDnD&mwa#Gg8U#^><Tbj}yPZl5|U`WhPUf zTsvoxdS6lsqdVz%<a#9~+7S`$+uzfw!zPbiVZf_KJJPGexRc(@8WL=RT#%S>ISlv2 zD_pJ`OEmT!=M=~mA!6^FT2Z`lOv9UX+LBt`CHZ(Lx~r=*(p@S<TFLt8x~idc(*y}s zA1zMR6Et{~f^`>?SER<$E7p<JllO3_`3@;a1QBW$Bfj<+@^6~$uH5XiEotkeZTcGq z(vhhpY{r$)*0)Kb+&3c7^Mr{&Od>5DJKP_WWd1U_HMPj}yoqb`rHJfeH8Sk~1$C<$ zTpCE)%`&D%e?`IOa(-~x>PIplm}5-O6{cBq7L3G@p4pR8wm!`c<@ZmivLOI2<fq^L zXI@&RCZo|%Pl>z|uW5fz*R?>LrxbV~7a=MQQ#q3pWXIFvFa1(hGr4!Ru8Sla`s>fq zvHjmT)2KXZrRKmSD&sd$br%7`<l;Rs06r)K&Rl05c*oh;6Nv!v*uj|x8a0<aP5lk4 z(Mh#zywqv1iQ7ig`}>mhSSs8r04=r;d$IL*b+wE7GnwS8*dI@s5LaUW?r90Fc9F=0 zvJgr!*eYt}4myC?&3(gLU#XtGyH~fS7x+->4#WBc5<N?#Kbb^{VQD0vdHYrz;GzYL z&_q@<;R9jd6w|z>@7JZeYhIDHk`cUOQ?nzoz=t^=mYUKrzW&xAALJzt84l9<+}@#h z<dNQL&+wF8JZsj>f4IS$M3)@T)Mi*c1`Ht`)MAk}Go=@o7TE`nOQyZO*wTdDKp)Bp zv~G>lmbDwtWTSo8q;tJ#elOt_a&y<t8D$t+I7KA!9)mJc#K&I%IZG+o$iMuB2Nbzw z-nIyxk06KoEfd){Y@LQ7qC1RMm}Lm;@~&b!Sl9-r)k`39>4;GwS|(iy{<Ml}n^8-D z=kUJXWRc*DTAYf=1xk<<`;o0U)K?t)6IwsuPvrA$GMo_wlD>SbLEeIS#YtMKSyE;2 zKC#@3)E*%sD<)v8sL^Zu*QC_?qZD@VXfFIXs5P1?%~GW`4AM)z+OPe@81U{mE^wo; zcXajlW&mxeObdcnJJobx_c>_2$_OoP9=b!n_VgZQ8`J(>wt-ul4gOWF$3lFyHDCj< z$B>_R0eq(F>S1N|o=nLaR-ok?DXnd8v!k+acE$W!q?7|&-##T83SNi^-(>zPRS9PW zj+Z3?J08d~Vqj_*m8b#MO>VIbDoFYsElq-3rGSBQtz?zPioWNf%Rof-@3n8f9A-^2 zsk9%>LJF*g42#0!t9A6J=65YbOK}y<*sN%PV*<k;@%03y-nCuONN)-~qJ1{`A<vID zf}E7(CyWUw00hsi_&OFMix=&oWaB%w*$Tod)^xz`vcpAA%GH-BalMtl$RymO^J-|| z6Q8+t@T*kfrkYq_Z5(f@SB}ZddsqHWBdwnh@|dqLSJ;V9tOQ+;mxt-139mRM$gY)U zfiyU9m&9Mg(|LDPr|d&h6MX%_;{JbFr)WL$f%4Cu7R&Lr9doaqX&kul_~r8p1qL24 zZ7IIE;=`kHG&kvJ(3l{~0`UlLBXpy@m{PVvAkhi6K0XPhQV0vEqhF24*r(ofp`7je zNw54qs1G-u;Lk@(CX9w5)#Y$6M;6y#m-2gG!$e8Zo_)B{?KxuRvS*T03%zr*@d|b7 zdQ-ez<pD(MwX(=O-7kGwd?WxVGw6J&;QIhY*K41=+~%9(smyck<t^81U0V16U}T^B z0~;Wr`NdDd+5P7s7q$XSo_9APb8Ep317<(CembNj3Pw(xPRBOk2)jL)r2JsbHboao zcWCd^Umo|W$T3OUHDjUp{^@>zj|Al3FG|#HZ>VzHzxRW<&o-<7kI3$E^H%unTqG=Z zWYh~*@Z?97-e@N&j<(2Wa~q-l{Y7QQ%Y%hu{nZ*+vFK48h2-KLpNT#{y=xQ!LPutS z;tRUe!_{ohWQ3y6<!g9Re5SI!EEWqD9LXo`8T&KnofO_Glm~8Z_~cLizB}Xw3@<T+ zwUVe1l~%UNL5h+wV!XoJOg18igU2`D($z0C{Yyg_%c8^QOpT7sz?l_YIK-20YOm7> z9)z^DmkDoU{^}Cs?+UWfhjP$oM3W65V}FKwv(ch})dl^45T|JB1ZvzMR_gsmHcpUf zTxJz(yyd8s^BnMU0mN(d$UaR~8rujz*RO-kf$V(ual~(}Bj}i%E71e?A|^z3cZ*t& zSX7+}Yekf_1w~7-J*I(=5h8-whYnU5pFy-*?GVESiXNXqYVb;%k{uj^ofXc*UwBFC zi`#6LmdnTiE9d4NK;vV!>^4GLQ{X-V8zjP~Uf)0iNEdcOpLKb=fPZ^~3yFI|w|Thv zqW}TMd=B3fLfI!teH4{dUeMfgtg>m$?~Fbq9UuF_a(?ir4{Ru!@S)vF1{rI>m>uQm z<ypySpIa#;D%4?#vIY&NTuix(V#d|R##BM~ql2m6sr2VO2*{03)d=(Fy->Eaoz?h! zrB-n}Ggl1?gRQCfcw6N25#^$0`zWlxVuMSVv6J^7U*c9@C0_?tYaR`WJ>~i+0mKiN zER=r|u`F>=5q!FKdg{+dCS<%+MUv?ks~+q)@ime9I_ikEf!*UY?taOq&J4Z)eIJ;U zAn}IUec|U<h21?HRz##W!O$n;`_h#g+1y`}rztS#n~pOjgr)+N-P&4$#oU|D9h!kh zfek0yn3(;(bM?Hj(>t;BTK%)N9!!fMxksFq-iy(&u|2}SJe|ryG-7S6aU12GfRL}8 znmm^MGg%QNS<5NpZ)_WrAEzo74IxZKl~YBltZevpLDQ5B31%}dM0~5=H3ESroLr+Z z$xvttK7aYeY(7C(Bhk}wR42II(~-`2S4W>|(s~9=U*owSj2w2d>T`lZLaH%tLv8rR z?HBWM+3>jmi*Q1|*`^&n{xEhy*RI^XI8!Z|^_xgS6x9G+?QeBz;FmA8nbpzW=`?mC zlz}`<sAUIPu!?f9N~>|XsJ8U<|28P8`}9TX5;2{Q;ZG+r)oLA|zct=E5jT4AB$R&) z=%dX8?DRZ5^xb{bIq3GvmePYKP8gUc^jh+z77py(&&E&dP495aZy*xfg6Cus=oYJg zQ3vSV*>ZV7CAnO4%`N=c?ua0d=g)VJwO6i^HX%qJw%>%ubi?pmMu6~78-NHt{c%NF zu+@>zpm@ubvRVdyKc*EXA`JQf4rY$Qzn9Kfj4@;zjg1l*iHA#X7?cibeV|u%0*V;u z5hImPE$eX4v!Tu@)3CV(kTGk_G}g7{PloEg0ffV=vkfp{{FZs<?W?%2Af#%fnVxrP z(5#gK)0?W?Lcu0#06h#QM<v@waAFf!0kw`0Y%m>PZO3VJUU4zfZnC9e5>SeL?*UvS z$yxC!c6CLwBA%Tr+;GPgEE|R3*_8j-96~8yyKJ5^#;xY3G>xEQcew%&6vuDw39*Ck zR1cdyzUwFSKF(+#q}<7tsteF)mOJ;KO64YYuxI8FBcp+I8x&U%e_<fj<t#{5-t%rO zrfB9@?uc7CPK`0g-=Hx<F<S1IMCoHbBsHUfpwy@wD0moE(jfj0VihO3^Zr6lTzL2x z*6i(D|E<i{`c1@!av!EdxHLYoFpCWD@>M_=q}nriVHsS`%8`YW<k5YRO9fuDU2YxZ z=nU`7+L-<#!b_RiOuX?p9`_T>gySPXCU&4-1s_Ds4k0-@i|HHfh%-!pwVSQsGJ)Da zNig_ru>k|AMzJBlhfE9$ZzOX%?}NQr7@F3%uE)%*EKb6n@-j5t5EyaEXp|1-kU+>8 zRR@?$SNV7%g1+s~7^M#SNd+Cnv_cT3%`u!$hVbQUHN)dX#ZF7_=M?NQ2K%n91u$Z3 z<?ZFNMu7_BIRG*w{z@`_=jle~SeP`gDn+sEr%s~Yn?GcdXa9X(mC+~5c%%`R|3G#T zOGDtyCt1;(O=D9mrG`h;g*boes(i|NX|8(Q&VbU%ns#FAq=G=k9oNVYx(Eq^yS`;i znAYgj4wmci`1Zz!v~*72^>3MK-})=ch=>$nDaXWcW6Xs7!grJW5HR`v@J_#FJm;Y} z@@o|{&_ul;4f@P6ayF+kLu9!X{Wk<$PN=KxRik<k)hXMjUB^s2+V>YCw52~Js3=Sp z>!wzhNlN|kR`xHA0i+%1giUbKhb!W)hs!tI_?T+=z0P>`g%cpHwf|;S_=m7f(jNa5 zT2|1254};=LNpx3S?D43dKZsxPumnAd>+YU^uG15!33aYddF_}#>>Bq=<B~`09vj9 zQNJs%c326-T)x)IAq(3|Vm3c1hst=7qQXqS#<3YSAN|^}^-O3F72jmC(i(`hGez^8 z2RcS}Idj{YWaOvG5t(TSQ)iXKfm7ZV*bgX32k;(>MV3nHK6XZDcC}u0gyc32(#MSo zgD~yOG*ArP<nqman<oCmv+=}7$~-yR&#S%XuHv$#l$TJCDR-|(G`T_-*l!*1ssPw< zNXD~o66R-wEVF;anPtc{#^#%4LW-oB1@NAj0+n3qzWQ%T8=J*#2J!<t;)O@qw%Me1 zWP0sc^j2CQJZDqk;{uL2XdT64iP&9i{{hjQo5pAmEihkfw<r!onPy>mMEiSO-m<n; zx(PC8GtM;y$BF#cCGu`KPTmDU#9o(qWW(Bd`n!@@q2HXxL#Lf;=lhMa@GIIf#38DK zkT@hI6gbfh<kZhHz9qw$3ZlTHvw0)#Pd~ID`{*bjp>f*vh7oSEIbJ!N<ifet@q^Q7 zf4I0m&fQ}tnJJ|?m(|kK9`4zxBtGh@Dbik%B%s|;4!1kBy$E#9daiL83R8;gZA@bg zg4k&OhS1VjiNWtHcGtHw$~OkOr<k}OE`O6G$UysMcs>TCPBbFBuxR4bbvkSdDcAQ^ zwVZD}>`3vE$DY3&ab4;g&?%2WlE*cEB=y{H|J5#QUv-TMU5<EzB1_lBu~F&L0<!<D zsA)c+V@KaJ>=L$Lbq5BWbYpl_n9pEj*nK1@QMyngxDb<lDGK(yp8Ug!e3H7S(cR4E zs?^4lT)<LIcZ?9-%Mg$ct=4|66guL*DOiVU)|LbUuJa6iY>L`pfTo6MX!D4W{rj23 zl*n7Y%WtGg^p~n}|7wi0&AW>snHFX&nE+E`TC=W)pKP8QrF1yXG-B?$p3fzKnAkdl z;|z{=Op^BdfOoPyr{Bt5#bzY&n9Zb37Z0<itC`OdLYNFnV8A-C$ZV3QBb#-!OEa9~ zmS6|6X?D&{P=6CYjaGMllvH(YLrEXq03$ju@MgAHgLyX;f6wm@1sBkT3~1Q=#Z32= zEh)vUK}~FE(Ad9M^ZpVNJ_Z^RTd||0N(ONmR!wR6HWs)ojcNnt+eeI-jjC%gS>zA- zTD2%$UySwN<<)xdB_X<iQpq3R6~R=vijMS-?h0GFF2mO!I4qm!H~H21o^L)@?@_v7 z8m2DCuGVda^n&NPWA*Xi?NW%}s@8uvgJB~w@rLt6M;we0@Ma+-0vmi3r-{P#jKz?- zX}3y<aAC1I;_7K*7pGp{#)N<Ps+MZ>)djQI2amvA)TWYXF<>rnmYA$DO;#agor82o zJON^FZa3TD1THDtTW!jsh&PCC32@6;*AdXZf>AWy(Lit_##66vu_{)C3X3>AQxN&5 zL**g&Q2J0PHM-o;RDJ5Rn-E*SIq_l0y*!_=isB-3SO(gp&n!As06Je^Dm(cg1)5o< zirDJ{mxoQBXx9alde{{XBxeZJmt4tLVZ_S4ALeU`HWB78Eyi4dN)>4gCIEqw_J5A2 zIwN<mmS`vWYq_pHYOtS?Onu5a*s%4euj_ji)at%kks`Tx5z}$}WO#nIgQAX1J9+9F z06zG}v07oD&wXE<_qKi-l6Gls9amJJ<6UmMz!LS~ErKIA{sNL;i2zQ1iL&@5wuYHZ z-I~cWa!3~~I;ydIilY47Q93y%M*i_*pXtkKRBhoQZGd?KX<|)`DUh=7wA<blDi4RL z{htLddM6WCH%Dvgtbg&NdEDP;J})H@Jdl2R^vCK0%WqYP=y0c<E`zevbal|H>1_GV zUH3GLgcMmvw!fg{=gghn$Zx)`_H?LIg;ltc<ML(b9X<gu&0dk^+OO%pml?=2YtTAA z7Wr8npkhJL6KhCRpRYr8rrL`~6Fwx_ly+W4w!_J!KJ6k6N1uFDG<3ckh$eyg8XkMT z41Id4LK5&8=R80ZDrBJc2goROGM_cw>$R$yG~l}4tsDb#N6-LIK(N0+Givrwem_Z> zBp$B9ktbi?0Z0EH87Qx~s(;dpep>rg7#duf*vk@g!@?MMcaUgX-lqjAd+mtfKUj6@ zO(J}kyY_b^_84PBDGj@^(33F1?ycsg#49etAs7}8uQ<Y#)L5}BjLw>ST)UME#y_c> zXuwh6TL`nSsxSqTP7bh#D<iJJAz+~8VTrD;;07#q^-E6>ir!0jDMmNWvJkZ$u!VPg zjLikzK5_`S_dz2K_k!&y-4hXD!!e=hN9f-64=5RSan$DA&bp|s8N5YoJs6@==G_=5 z)%r14EGQp*&z$9)Qe=PsUz-AzD3WYJm4)M=nn|%N`0s<<XWGRMb=?ZKX|S<>*1q4Q zb;;^dVPY=fK7HeB+sUcp*|F_`B;4KIL6|5X@`o)qAPQu~sk1zif2OoWGGdf3WtX@B z_mJZYS0htBs3#t}EMsyqwqU}8Izo52{{cHwiv6r+anDMF<e-RbM|;h1!(G4GlrsqV zoC+|}anZ7<$KGj2M)kX9@{`1R=wLwB_c(=xgg%RyFL<|9*Z9Q_HJ9IUzemBX!8l?% zeSw7jO-OXV<K&9X*|eK7^bG-iVk`v0&Y)ZRZJb(7(}W+Ot`|Ho9n(a0q1-%~-J?}q zpEyXuK6<&FrvJ~C7WT9;;80w033GS<^_yU*J|QSA<)Ttxs_h)ft|ze7+)u_ixqf2c zjTtNUI_r!)J4OQtU2WRR*_;Wx<n$&isOI;)d>OEdn<xsdOS(2^xf!i{!U53gJJ7Z- z$;b(z3ppJf@F6(-`S^$lLlOjk)m{NAd>rb?AQ0@NsbjWH7VaF12>`H{D*nyu;qW4^ z0gNM(x-I*NG-;_r=gnp(5KVnDy|@Iv_Y_o>dN7%5u^TmkkQyHDu=arO)sj9(;}va& z2tekA>gY&b=<v);XqGG%5NJ(e2SQLr1=uA2c&9@1OVIrB_~l}e<{anJibwIJvA_#4 zA9~5es8NquKC{-wh%O4U`g*uV;w0?cCt0S`rvE$Rt<--{H!%~qmbDBwPP}FveD?J( z%DiQSl`zVic>u>T4<T_OqtPR((mVeESBVX-t)m84O^a4FW7U_SNmSz~m?-#H>_DCe z=9u8~=<{useKm=BYJF!jMb|eqte_DBEc6nB`0A{)+66}MB5aY_CRAuCMumZ9<6Ve^ zUbp%r8~W_JA`ey>i|(H4t9$Ji+#}XI034vapz0Eu^J$VhXSk>yFlKq1g(x5Ar6yLU z%^WWNZsPQ5Pf)um7WB<4xOjHy!vEqG3B?#@y4CLR8?tmIe>COJNs$MXhnFvf)O2s# zy=&c#f^{PS1j=K$5d*g{4hqWU`hfV_T0?47-i&#D@q3DZ!(OVU4^v`$VL*$U5{$k* z#_(qQs*YCVnO4J8qCi^d^os>&h&tx_M_IZz6bf~@h4)$1^N4WKR}C6$7b@$MavVt$ zd(s!S+&>-B4bjnrz|c6<7I02pxadW`pjiC)1o3-db=!{0=wJFVOYd6|RfPBi96Rp? z84g<aIunjOe$8XG23R<`dv0E}o@-t)syzEwW#)Aho$_yT4m8SmwL>aO#ZFfnGHXq2 z7|guO;J$r6&UxiQ2`uWqK@IBnvd(zubvQj^*S4S2x;p7+J3%wbC6>T~JBmve=Gu`T zYSwRnQk&gp0VP(Kpg|@kO53e0v!eu$+=tGn$T9`G{s~o)xRBo7uzPVtDVUOXmB3Km z0A`*9l-pNISEfiCSrE|wGzE)xV6s*TSYl>1APo=sWT>=tny0pr;!DW)r6}miFEoIY z^b!Y!Wn+HbGD)CKh3W4*cSx6p4j~Yt4AI22kDwG^8!oO5;<lX8yVwkp@1XN`*u91} zGV07hw5Z?P>CU>*Ed^j?IAcA4v*Q#dM1$Eslabh35&RTur*@e|b%u{R9!OHfgNDsb zvj7VXht*w+=-9A!3ej3POfUvHqD-PoUTrt3{Hifx0AJK_-a1NPh*7^P#^Ff^BmOJH zh!d;2fyEC{0_Dv{Chckj$qt1%V%uvP)Q|-=Bwpt;rHRW&)^bgACrsg~44hBC<b|<D z5Wa0$S0}gPG@jc;!Xx>~&T7lQfLRtev%~ZC9~&!1FWR&iOMy0J2FHt;v_w^Uw7<gt zng~m$mDAuo_F+(%{k3u`A7S-Lb*C^s%L++=Ry8hJejom>DEm$axYkQ^I@VQ|Q=cd_ z@mi+|g9ZjOdgf8)z>blvL*pw$7RWp;LfT-X5V)&jTFVFgQUF}}y*4y#oxXGs4u^n` z_ZsrG0(3AP4yAQ2Ux$7HbhQ*E3d6zzhY0PLC}Y=i_LMf?7o2LB{NRhnF)hAAt=EA; zg2COOgg#X189&K;D+iG(9pLJ(>#OK0*+?34E^#4ntZy3ss3Fm<xf#j}|M<VzP?8@H zrz9gLu^`485MWs_`qRPE!4GW5A@_hkFj<xDLob%n4IqJglzHzFST;vYLt@%O$rOH! z;g=>BQkG?E6074yz|x~kHo4!AjcH1|-=cM1UKcsuR4Ok+u?;{fA06tS)lQngCexnj z%-Vkw2wA!3BvEAAzEY>`p^Ivvv6ih&r>b3@V!WG*<%|i#k31o`_zCBryl!4rm2o8z z(>D3l9S(cczETJ|l>-azyMssX(8Djxu+a`)>vX#|E&}aA`_pG^i^0fk-Ik`v2p1iZ zWnkh8%Ks<&a`$<2R*a=D&&N3oeBMITP}EnNJ3zRQRaO<43^O%Zx7CvD>Z{d7z-BQG zi++S~@LP!B{8@!BZfjzIgJmQ?rcR!b>cUJz&i@VZ7qbHpnF!vs5zf}To)$<PGzf4S z>=xJ(d1Xp4BWV!TV`A{7zGJcg*isv>Ut7%&uQeFG@kVh2`K4s4<O7TxN7t{i5YY~l zjjQyW)(Z#h>PSXiNhZZdbThx)k{H5H!(|*ziiEMVO}r;t@o}BKm&=gH@1p=J3IqoQ z@roy-nswfwCV%+G$=A5Lg=B?SOWM$;jIc)-vGg&l@j;y?dJ9aQYG;Fxl@|29cC+o) zrKxPc=R8+?v;=ZTb&$C*W*^=F**+rxo0^|~?bzMBV9eyPR*ZVmG3jC4|2twsMG|w5 zFwmxgp|;{z$1t{vRupg$GB?q3DJ0lz>suaf4P!5_gmgE1FtcLXhn*~wU9}fis{vVc z^L08FNcp1RS2-yP$gu`im+$P1M)K8b_8;cR=c?@0mLUz6UNJLoDt&AT0o?X{t%;8W z&$Rg}4rdh{gm`3qS!&w5tu@M1V&F$<Cxly6`i#q~a1;$j-Myt{XcG+5^w~G=&q(%w z-Qan>oWjq0kG~A~UjV?1lu-&{vFjoT|L@h!-^gQ4$>W2F{|bdaA2nuaxd0bZSxd7u zKk1Yg*ibjFtCXX+sjaHNb1y1TDA62%<_e-}+7Qp8-v7tN2(rl8G*mord0*JONl@@W zG#PrG?b-&=HC#IE&4oN^GI(peD^7pR18CMz!4HE(5XQye{($V+fZ|sJCHvl?99Kk% zaOgs8ZQAZ(ByU&uPSOu!$~pvErIE8}w3li8aDTD80A!Y!K<-59+ht1>41OJ(lNB${ z46`!S*MeauND`W0p@ii4(2ieHQr<3j%T>_;o}Lp1u<mlhQV7btcDR`jC++eH%(G9i zV#{hF|N6&2by@VTS^Bjq9blqQTUxb8`)V`NZr}^%XJr7G>@|l#LUl@cjK9%yl{R-l zTPg-hw{v$q!}xD}0V4F#TMtuHtd`C^J`bZE=`yg#hN*}$B3X~9xkp@srGQZ=@{&mB z#@*|y59DL^iu37MY<N~NI7LDrARr)SVK6WtARr(#HZw4wKQ3z9FJJtPtxON<(lvJk z%){6gJuv9tYzVZh?ZkFCYyoRIG}ls>kmgq@iO*Bw=yd(J2WM;Cu?YUBB}mIBbj&i< zVLLMMl-!`aMU`<41(9PLA+N(XkOL%7grWdLbB6vi*<p4cE)vczOZ}+}H(P+(p==NL zvm{A(@QntSeuj5U9%L`eZlDS0X}`XEuh-`Z3yq_(vp0w=G3TjjjT0G*LM-hzd$K>W zOZI}4U1>*`jHFS*#RnFT8S7+D(@3@pEaRgxKn@^K+$WR8NapV)UYZP++jbv$dONAe zKo{iwbuBd#aCC??7Gg-y2FMQ~bq7v>+<GTW%+GDu5mq}vF<)0RJ_)EV`9<jIan{+` ztSQ)9fNF;TvP_RX|I|jU4VJ_rK%j&(a>2XZR)W%rt*^?|dA7M@`^R7zz&|o~qI<df zN8M7s4g3%kY}%2HRqy)ghwPL*u;#<->-&rbvkU>j!H0>e5ZI_rsJOt~@&q<a8QWCm zP2g&j%;yG{5Y^z_NCMay%~?&WkKsq}A5@Bs-S`l}a_!rp&T^|+BP#pg;E^QkyVc85 zY7<^w&Eb{*8qMOBxh7v*b*~W%Y@cP(T@$g@CtH^6`Y6f<a!;fXF~S_-<xqwsVQ3<# z_h5jYEReF#cA#<T8)%+&{MIfF{j!qM`$}I~3ZQN?DNkmMLnk6%ADuhWA$md64VEkP zKbsil{wvffVcxl58qT)-Yk+K?1dG#63li_rIWZD@l!MRX#vn+e%;3I6|G9i>wO8bU z^PQuB3Yy^}ZY9eNWN%kth}y)6K{#%;*qXJWMiUe+=6owkw>}0@aTuoo6ZR*%?_dy> z5I|`yxZuCK4mXajEhs2jJbh%uQCO^cEwlCGWNjL%z?XjQn<Lo4#gK|oy<+?5(u`=W zR*l5teAxbe62MRHWPTMWUBzxyM*(8&!x+V!Noj0YA3Eu5s5Ds#sxd>O2el|NZI!T6 z6w91JwmY>3nEr)8;eG7=lzwI5m=^%+lw=QrerBmB@3)RbICF?JzD|e*M7j!h)+6Uc zPH{r#=<{&PYvOUFM0Sy)U#++m>C}o4d<L~NaJ+%>A&rlDMJi^m@wef$jEX0f2qz_z zZ@mW>CHTO!-d%f34I<7TRQ2Xx^Gr)A_HE!%Tf<u=eSzQR7qGOnB3gkY%&SjjD0@Qt zkD`4vLH`>q^9)#NTy9yv1^PjO-af5jw<XLYk{)E5_b&ml2!L&g;A|oq+t#2s6gm>B zd+{g&)v4B6Kml&z2v1sGjs)P3kW$SfO<2NtZ$s4;=<x!*d$?!nF`+fme27t|0Z89B z6XhbYXZaiunXf;7L(07%mXs(xz}Ts(33Hj0fFiyPp49gaD`4R;@=9*#o1uRwb)mxr zzK>vV#zx>IT;TJAf#6VDL;3%ul%fmfuAY*dyyGBN=k_}}eLTTbRraNB^_%aAkn!iK zPXzg4u%9z~UZwh7*uNDqK>Zs8dc60dG<dOtlv68UKmh}l&6kwnxd}2;0D8z9>T2wW zrD^8Yo!am{Zj#JskSF@nQsZ4q!7x9EeIQ(Hi{EL>=uoXA4f*`f5~|s%eP=EvG;Un! z%@5USB4kPzC6|G6UpuRdNP;j7>%LFGt>vX3*sKIwH$tM$&G;R*m{6t?zV8VIu!soT zZ;Sp*P>clFpQ?=zyxR4cP{~81M2cVx0$hXq9By_7-|8c^-ad?1s&mP6)v5dQozqen z%8~xuTJV!8X7KgIOggosE83$|OE(m+shv?MoiisUF;~CAmaaHo=!TYyIKvHAdc-#` zGMTy>bPrCR2Th?Hl&D(q^zVL-LT+TTzj~6hN=2c1+UAXD*vDN(UL?Drc@dKp&GqG= zC-f02Pi6{JwKR|bAnR0dSNHvET1TvYA6cIg$h9nQ0>E3G_t7wG3V<D}Priwww^@CM zx^YopvBdaiAoN87L^!iFBbIe$BE3u>{dzE?04koihJpGQ9Q7Y_r>;MbA%!p#_=m<A z#LPiJg?IRJ4*A)1<9=WWSYM*#kJlJFbh--n?<Rq~>ge(O1z(u%<h%qo{j2lb7r35- z_d@b;%joE<!Em^+qpu1?ks0granFuY8}NWmzCgA;+mK9F8wX2cVszOE$-7(oqKO!t zQ1+aOTm_+|KwbZ}#>#|4MRQfYz6Lx^MPto2+7KQ~qrs?Tx<)_1aV&lLQwJ{7`Js4B zFpu7vF_Vz(y9Zsbgbv-mR*=vyjq$tQ^fs*cNm-1gWR{2l6gm&=K9bPI*<1(v4?;GL z?qClnpf>cQu-__8ZrHb%>TwxrLU!85DoyzR)V-p=ZwHEyi06s5_pA^6nh87C7vVGA zHAqlZ97~3&4y^KN>g9`;1HlT0Vh=lKHN0&bQH(grBjs`UMC2rk9V)2e-t&=!p|`&l z*3@K<U)HJT@VSRr*Lnlz37Y&<@Xu8|4=%eX%YB=&#+=0v-1ov^=hj>(D=Ta?owF)# z@@{#fV4w_neVLUVnSYT5_U-IWu7FeV=LRSUJ*g&oQdvT5Rw^v;YeO!H#N1Ml6u<`q z<O#h!v5pz$s^CE&NK-_s`5NuYL6&kp+Y>yh1Ro5*5Dh}eMZKdA{oOF0ax47*r0e4X ztj0K+mRj<6$Kxm2w`duw|L~8MBEy5+q}2r1k7bp@D&DssE%pB=8uh<=j$yA>+&NJx zSxcsyU3daZARC9w-x@G;+QfhtLKuVfdrm`hnlZm>1J*q7yf`YL%hq$UbS=;!2U6}B zy&96!vo+B-gZm$PJh*d8L_wSgXJ-D0u2_sbWv%NXWoETIHKzI&g(i0JHP!nugCAJ5 zo@}l8{F}>d_sYhLX7~j|s8^}gfqf7cDo^Um|LMEGZr+J{gM*t14}rC=3Ek@~S(){r zNuBdynZ2Xu^e#tCN>uKCE6iGI6VVM$q%t2?8QC2=S7?H-xP2<b+IrCCVmj!z8l+Z- z-6Nk=6Th@5CZcg6^j_~YsE7}!GiEQq4nRc7F_o@YWZ7>1LzjO&PKH{@f3t;VBSTPB z1l`X|zNR|=7YFOm@V!eBbTZzCUI9mamT93FhNkLW)Fh{H`m~@YKcAezLvDbhP5xIG z<{RTM?SZ2{P?;o9<uRVx0ULBqezzOG3`RCl!^66x_`hb0=mNo*YyIN}Al^v7RRlod zS%ch3kb}0cG={DffV0x_*aXvm1MEU`U4Upc%~ZHZr@zc4sPTXzXZ95;$k_I@+*hje z_|jFqgEMF(K^hxTrmSuC?HlkLmI1EgZl(qzmq0OtNKi_Ptd)gWUJrVW5L1iw7>OvB z{DOR8e=c1Fs}f6e?NWyo0Dgl%s;I-{W!;G(BGCWEFUrJr08fJEGS;tr3H~s%5@`d6 zCoW4GWV?stI+BeK8Aup_%I|>izmuR#i;1?XercZ2_N?6lR|q2)1MxBGUn^5DM6I70 zcU34iuR|?J096Ics;**}lw+sh;f@3NEN;yPlJQ?$NAOnBoH0f9tSALRbX_W0XJ5I5 zKFLBhcyDGqKE(6|B^-nIZP;3j@<Jmm1o)`9T`<e!0v)C&@d-jEIc(kl^v7q<XAIvD zPO}l`)qTLaOyA_k3`t$K9Kf;OYLbWy%Lo0v&RRbEgOo*pz7g#O%T<2pVP)>TL#cW4 z1kkx>gQ+>TUpjh58`;_utYbcum9c5Te>$&5$QBj2R~)5%F=#{JkRyuD2@QXL^r)8L znK7=ix5pML3^NGqN|;%)$Wx9smU&-2T5E}7%F!4ba!PS@jh^i*EI?b)JgSZ0F&`!W z--fhhk#mLk(+-O8fV*gv`6fP|3Qtv0u|oi0vYr6Lb0%LN@tSKA@_zT+58o)Zr*!Uc zScAZ{*%+|2wo7bG&uM}L%6!3TX7b(#TjnvWK=Bl#SRh=*q>E(QWdaI*uS;LBSzs$* zS<5f#FFQV$Q|r%tZv5Gbfpy5zHj{f4?-F3yNxoMZoA#deXf6&5x5&E<6=PZ%<(_C4 zP$ffG0S*xmjn&0b;b}c8!N3(>eKMBA8Y8J}eqc|uZfQkJG!`@{zgPBM6Wzt}C6PJR z+R~zZuWd#G_fEs@P&rll8zH!+ut5MNK-$0jG=c7Ma7%;B>zGyxE8Z(92W1GT(F-|3 zVj9pTi!&*%)&N486uNxR_sZR{9u(zepwo4g42+~BJMOAhBG`|IwolmyQ?7I5Fo@38 z(@U)kLws*E^)JKrHr9W~*Yo=0bEd(h=Tz;!Bd<HAI*1*CNnzes3Mq;YFwVvuo26bd zfe(Vhh2ucIb5Kda`nb+xeMpw=-C{u9>Gee(r!@r8or8}kJ2ax86$_;182?n%)Y{1F zSv7pRM8B`wMOhz0I*R0)ACA}uQVQzm`WSkqQ8i`eh2PBkF<^gBxySvY!-hYc^@1bu zYJXBD=^l8-^^u|TycheTb4?kxsM++7TubcyPJ<Wv4pv^+@)hGRn2}2RQit2ybLIR2 zxj2G_r(73xlS0+OpWa2!*u!Wm*|dRtR+X3^;f=JQYo(_{vZqu-o84uoV~2EbX5J8S zv|ITi_0(dXNB=cW+x-u4m6!SAwwQ+>_cE$l#tJr~F@oWFzIR<5Io^lInk0Qt8Ua{U z$E<4FUGj=g95EbV-?xKe>q=k$Ksr!fRpH#5?ZREdSiyoVEcal>13bzo4iBe4cQZWZ z*Ra*PLe^>+a+$6iD8eX=8h}lu?hQ2>Wk05d9qP3yJ={))>R)&fLfIaZ+G!rQu!#x> zev;s94?uOcME}0dUw6Y!W)FoP(W4~Sz1(g1UFSMe^^X))XI+?%Q`v_dcTgSOtd*Y$ ze~B3Ewbes&<8V04h|N=W4W!OrjQMwRU{z=L9|h~hyMl+(E9>wxk|<GU+b$qz+Xp&> zhJ4<?b3Xb^?6rrd$#~N&)3vXB2%<x3{FEKUwtohZfMj*p;Xbdn9#sFrG0`b#c7BVe zX^~Q>#RB)@N-p+IqfGjrqG)Ldr_nZWLz!2&0=P0OQ7cFPAAaCUTsiW<05*x#=Imj= zH<DzHVn(rYF9tHyhe>OgF8u-?<U|*(U*=@2SgdrD&l~!n50sg#vIYP^jywixOA-x< z99YH$yzqBw9u%bVz}d!anDJ0ldLOp~5-i(#e}e{5>&Ke6k&XU)WPIayfyDtyxf^tk zr;W+dy$ub$xEuAc&?bYrDY-^1Rvto%w@ug~An(jxD&i&V>!=9y^)cot3)W||xn|VF zy;*aGqnXgg*cp87dmV%^Mv3K~oK|HCj;<#9K^P@?vpesU3Qy90%t?Rd*Py~uLCV0o zegmAtws{_tFqBN;;!M9z`xcw942v<q>hFv>Rg-!?U@|18S5(_EjneD&8NO0mYs@WG z(+ZRM$ri%mDvnv<K7Mn0g^DoCVO%1l6`U!=iex^>&7m_Iy|_bCmwa7uxYnw*-z)Q2 z-U5B9rGaXzJt2f2tNmdN->&?2wSI~c*7C$ZWX7Ooa+pDXyF4v1)b66;c;4a%x`w$c z5|?*N&>6=XOf^?!X>y7i&~i*Sl7YPCQ1{vcV%U0PGwq5{&|XJQ%=e&cmgQbzeCQU3 z{ZB{q#H_++Q^3}|+rY3?wKo7(ig6WhX=DSTfGkm}9++II48{WS!kCFU8d%}k!};~@ z0x01DczJN<;rYDz?oRt9!aKd~T0<N&nfHCawBesfZ8Z443@9;B8sv-XK+1zaN9dEx zWW@%N>1?QkEYB46EIckL(p4TWLfq-nOeRlpruoK}Hz5_`)XY1a{g32gqN$58ZVq8g z!A}tc`<yC!u3SH1ieBI6NuKwl6kSU$F}3H4km$d9oKn8P{groajMJvHXWr-%Z1;ir zeq{;Ia>lDs<oO^zyBTz!*mk_8kJ*7GZ&<pb&V!>AYVY%mT1Yhv(G&kzon(`}ZVg}a zZf}r!1=;fGy5#WD$#NRK7^`$TafCnOH2{rbNGB#DVz%K@#V?2lLPlEr3GhxwcMsuL zO8RR!cD-M8f{9C|gop??%EpP4$UTNXIYQG1&v<Tt#QTVg7OFp<=o?|6HTKIZNzqiV z_|I9vB@?X$t&qDdtWbWNFfC1dCbnhh7eq+hvLTt6SvO#SXLT+R@S<TC1-Ct{{S%)e zKY*?o?d})(w8CGvMj}X_VJE3u=)qUk=A}h^;S01X+FUY@O1g1yv1cUm575b>LD_<k ziuO0HlFi(1!I>6%m42-4W&Qla*rY*7pNmgbTPO3w$GI_@cy+RNtunLG`jI2e;jr6m zI>1_-j5GY7q1Byc2d}m$`_z+>l!ReuacQk{RX8P<P75U1#L-k(?fNKau4RSnbaPSW zp4rmd-Gb)6R`rCfp8rMGwu4BBePSbr_EuRe;=8)7cBHR~H6`ec5OUZ7O%CBk)!80$ z_&I3(Yw^8O{NE;Zj8EK-cZ-*kC9x(}^_tsLcBN0?X<<P_B$&2(_#n;NOYLFRUkcvZ z;wz1Hti7K|c-MW>j%B1L)o62k?Yh=wP;vP1ct31h+U!2BW??NSyY7Flfb1v>{e7M2 zx@&dR43$A7uRW9tqp=3$p;X^iSMK65v>Kl1q+V%32i&Mrpe4q5r5L$IDl3~0Ke1wX zDWDJXaq_tV4;su`KwO9P<UpyF{Q_yR9;j@O{W-BRv`N=*2G51p^7b9l;5RkMj2AKk zl`OUe1!X}Q+V((70vmkDo*epfPFrEEpZ4a)!z5|^dZkk~?SEDAp74`mJD3sMl2wt) z?3jgl_~t3Z=CTfk<sk6E^uG2j8BNyr;+e$DQe-De1P6LSOiD&gL3Z1CUD&#j|FYp3 zRV`wN_C{**5k1{LClLW{q&bmnR_bdit=?#YZ7F91(TF!}M}>6WLNo{z-WZ-x@URq5 z5;aEZY$es-?`!ZGk?I|})4n$#dc)@3ka@Fi-E1Po>;n6-=B-lfHTMcD|6egnDm?F` zDB2kXKcuMAN#&}8&#%1D0{fvOZ6m?7;D2(Z7tKz^Eq-lFJx7{;e%p(c!#UF#Fei_~ zomFU-bxdM&%3V`w46wH>j@xE&Pdt(SN7As_TswG8q7XeSWF+;<H!T9wD?H`Wro>(F ztDIzSmqTBg*>lfVp5-d|J)B~-t<k&U4%SWv5NzwqKKvYKb3LMMZt(ic4jLT#$vEX3 z7frg!pxSxtbCh>G_?Soa<%&^>f_74?rcQlm(c~y&&8C9GKFYu$^@zVW6%-Lr5P<Eg z;%sa>1%6@7UMQ4QZe~dWLU@`yfk5R(<5L);VM~7V)^~0<Clb#XAqu|)L2~(xsT|s{ zqPL6=MfSe#PsR@3)39(`;Hs6kWBIPE0pmNrFD>Rj#9v<url)6vjM4^k13xB_!gAE- zL-5l)yWWO!_FerB_4bLMb8Vi<B1TlahHcOW&P=05k!u(A^(WrJim@>ai!8Uly(_JJ z4C)vFy&w|U&@S7EP{dai8s>)1p!u^f^Y!M2inW*1MOL5H4!LqD=~jrAAy_F!J>sTi zsZkgI1Ok_lPTez$EBpMEcTfmobPNZiC?+&1Hm`w*7EQm{pRrF_#oZi%?4O(J=H-LH z@Ki{Q260bzpC`9RAvS^Hg`Pz5L0jaT$-B8McIykmR$j6K6+vwvw8wiksDf5=Vk~91 zugKhM<|hDd3faXVCM0IvCj*1Oh*0Gq;^QFlH>(1Aj8k{(#gmPr3>ps4em+)7=`n)U zdpM)B4S*PSZNLMu3{TY9)aYk+>FLg@Eq$9(WWa53OXqY}fQqdu=v^Hcmb!L-O7eq- zbmZI)P+6^}5SRoRq-zPcoHzDC`<u3X5)z;dx(pVT7^hU;rFyhhU!Dl6-|Xu*1XAEA z6X_`z@nlC3SfMpE;YLclW~a~OVIyQ@XK)83Q)#A&`6`z>UHGso+D}FaF8}*YDo5=t zyzplW)n-2&YhR+iXq(STI|y(^IP$<Ub#--^CRzRfsH4qKiQ(Toa$0+yO#`8U=(y)T zF49C-%s;UvB}sZo#-&|!g6ZZvpW@H@g}*P1nR%FO9_k66*!%NSA_qj_ilB;9dvM&+ zG+MciX;fXTgjU8{9!H6vOH8-<(_i$*H~dE_RDVL62TBTCd2+=4&R}BmmHPxt*BSyG zkKRdrC~Mi%RB)wNo@cemym@)*Y<q$bjwPb5@{-s1{uHj?d>sC&tH&%li13j~^sF-R zxvXBf?hSU)72BqH|Eygn@|##~4<t0zeqsLF=&|wigCmR}bFePEsl0u>Afua$o05Y^ zx(U!m@6m%uD>jUXeWlhH$?AV}_QZe?NXYMvivyg=)a7wokOV1BuohXZO4jAb1vh4Y zGB^>$5d!c21fd*%i0E%oe|t+0D+9ULk`6(=I#<@de8Ypi!oI5z4{9?)AEo2sbD68c zJ>u~QxeNs1QWi8}lX0Y~G`miB<2xyf;w$lP1!&#bvU?HZaRi95%uagkc7Z+(S0?$T zZgE%lK#(oH+62uRzAqw0Ashc%1*T~{2T@ST%D#E`W!H5$%Y_tuiVi7&dT+x>a4wDk zWPDS`uwZI|`PEdPmd<`k1VPls=?3{}v1Nl|OaRNNfilC-X3pL{aZ!!!>|=fp%UwQ# zCG5+jlVo{PQ3N->m#^OSeFnTX1<+4m63{U>C|%P+sLFwav8NjP{C<X6Er%M;^Hdf} z)S@txJhe-X#+^mjuwv{QvA@+AHK&JGOV3N>0U|2f0z%27Vs}jdhn#=`E@<;i#c;0@ zs<5m(@Nx!RVFN%a)ZW8Y@{_EC5srEwX~1N=eNilTzXu;;VbAPxHwkeAQpSu}y`}K4 zn>ZtQw+*VC1(?cRz{`{xEN7(xsOJDT&yieNh{EJ&sY~8KjJkAx{N_y%*OR;iGWoau zj)hk_zRxtZnohzF*47w<Xk<ur&p?>&{zrTnYHBaJCHxM{V(}q#g;=J|^&nXoe^G7X zQ0`*c&jqKMJdJ@dUZ!5etu#%`cTrrmdAcMw-)^c(zYlpE(DKMo(t;7@QX|MyCx~{p zb>;SmlgB^+(qXUn!bL%TP^@tmZHMHt)B&9WB`)amB_ea3Y40?&!&7Ds+^4nV=d>Wp z;9Hfvd{84^;^Pq!-c?zVUCrg9CWhSCBD?R$-}0EEP0Y@qQyj^drZdKii?-GIozq3m zTAsozPAT2rVwg!K9ey!&F6WI;)Qj%tBzZSTxCe<^Du65Z17W@?nZq8u6j)F}FzuIj z`3;|U#O0Hwrg8lwHP_LI1xJUlL23}hw-dd6p5tq*l*CU*JyT}67x|SnXQ|>Nl3vmc zvhOe2C3&LN2ouw;X^wEc$Y`HB&>Ty>)IbGYnRuSXyg1fN?k7JDWil~SDQbuqh%fEF z**0ClgKdd8hAO*PD;LG(kuCA4ZMcHjDky6fNg@J|Z%}_;nXE`Z$3`+i94B-Xg*oE@ zCEYs98fZ=pe7xcRI>Ox!^4St@2Ha}*1I^LuAHc6QzKC6$0+wZI?~o}^@MT_icBS)0 z2~q$Za3~w2gJ!4KXS!2g#_Qmh0uPBWh@{qDtkkuu7mkg?00(1))b6!$%kKamtNl!y zE%5SpO>#NOK*GV4k}@0L^c@=-3$dTj{-rO@^Q1a}x|5Ny7*w~^xcAp*AsFI6A*b7H z3heMixgkYxe?zP{gnqf_rwNlsKyRtt^gP<PHamVByZQlt7O?(5eqFh<AFV0H9~^gt zxrvWP4MAP3P~eBg+($}4qBXC>gh1c&q!BV|&3eaC>Jop2!A1>$HtT+LAk;_#-o#Dv z6|mD?_L~iGZ0*&~Kzb3`tG}7fLIDKYrJ9C~{9G82G(wJz1`XeMDNkET7VOW!lB8rj zE&7KnLePB#FPxrgvuVD4j)w9s6o*s^;wON{VZ5VYAkOL~utRr{+d6nu6^=N2DXEwL zsNw=qI6P$Xqxz9J`xlz3p5oUF+B^IkIl8e(wE}cOgW*>R%+R~UFF6znDXo3>pGK6} zAY@pvOp}fHF%u(ChqnnQy1o3w*a|J+Rys*)EsZI$hdt3WbIjBTbOqEzjAk+ztG5m} zu*5>8gsu-Tb2s*K8X_6aY-<UJfc5zs#{uwCE^@MMphun^LD^+{EGs@lq=N!R$fic( zL{lDwAVMa~W1Ypl)0b7vQbyGzH^dqTR>e~bz`#;#i_caAh$^?|x<I$wCBB;&4b;-d zvZ8YJHa)yqSSQY(R9^T!sa5y(Csp-^Fl}N+G^U?>R$IT`CPo&hYS-`H_*3VgQk(7a zH8O{hveMlquZL)W#gPf9k}66c3_vvJvx3Qo#6NbyPpj%sJ`o#n{aEF%AwlUP;xkkv zt~e3~;Dy_ASkwi3pC%xr!hej*iZ48Ac*iZ7L4IWCU73n0C^S8mx*V(uv@a?~$6e&< z%{x-ed1Qp10mA-T#8959r>ee<c)(!4QKV6&1U9{-+G}b*PQJy4vQvdg1iw;AarEPh z+WV8=vd~&o`yu=jjTzHLc`+srN*Ok8pw&njedC&`z)^g9@=XhP4fs$BS$Gsi+17Nh z?PS!!pdc?;aFh|Eh20ed3b+I_?KH7!w_2de^(1V*7F9x#fzS(6Z8!${;8umd8q<%I z-OC+<EZ}Qw+N;QT?tx2IB|X^p-B#@&%=HIi)al<HzG@x&Zvts)NpmZ}Iwp;mNs!g# zXWu~fosbo2=p*X$dty>@vZ7u*c>!xi_=$EkgQyb?!vCl>so)DP=P!6gPtM9gn&VkG zmGWS?F_z11h!q>NQBI!WU4wE-C`<F+biCa-_wi70R*wzL9^^4;roU;Js=25cv)6}I zmWG?dNvvX4q?lp?;UeZgkb~Xv2Y&Y072T9&zJ2ff^9|5(=@=&eqiHLO;!iO(%?xj3 zCo7c}z(;j^Lv)YsOcwqcGFGLHuJCT-MAeVL1ryA<m#C*)=I~47nwIYzr8659^XzeI zkFCjL=JW#{donK_xI;fao647>1$^`%(t>+NTQR-?;B$1#ZvE?*WSxv0AQ5IPQL*tt z$#)sxBAs|^+_UEBZhxubH#U6~>97<=5RU=A#m+}r^KZz?Z1MV|h;)TuxmH{hwuA19 zS!5AqlZt~>*7E_D9+UgqWZ@Br@4y|1aHw_el%?4GKxj`BO&TUzak^F~Q@p-&9aHzi zQhWOI5cr%$&zR<HZ}_|PD+P&#nvw{Qos|cQ;J{Rdtj6_DRYFqEwHrTBm~uzO0si76 z_3ZjWwhOW5;zp+RWeqSMC73A*wB$rQ*+?Y7ERH5nH8PX=ZNV|q+py*<vC^NA@x_?z z=B>ZsZJMKzfDT7XjmfClB{8RaARn14$Ep$WZZ(;^$d?^$nxy2W*WJDneN2OOBimG1 zk`S?)1uSg;X?+?!8C?(-WSww4jxTRu1PHSNm!<#rU3B+HK#)z(=VM~X@aYF=mI}}E zJI3K%O>NNqA-eV&oKHSW(A!yq5*APpOl${FAMeMgxQ6HAn<?fo#?o&Omc*Z&U?vUx zOZi>gKuv2EkBj(~Z_*EoU^6SCnx=@5C0b0L>u|#`XUf1-Bfx}RFx20{&?9on{6<<S zq7Xump`;JdVs^f-cnAv3wp?ggp8gtnVCw3}vY~_4JNQ-&9$k(B@&lr_+)Wa&T>a!Z za6J2>PGDc0AF{5vjy`0%P3{h<f4+dAi>X6v;31RP*VujT))S*Mw=OJ)rO3inq9yHs zH6|hn;|i{N>E%v1X~+f~#5F7o!#4N`sj59ub#^7Vi(FkDl1d^(DLt>Vxo=rhb}oCx z7N9ti@iU4Ao22E(BR^l-g`M4epveyQVvyi{?ibefi-7>1RgMLxbKZZ23pJl<4T($Q zfxVbqGX%gvS5cD~#XvV*Lxk`FmPWeNz}wj_U=B%wU5alj;=DWX`|K}?idancEI1aU zr^<@Ri6CFD<;azO8OaOc42OIw6?67S%b;HTJeL5!Q0bH^;`WNZw;o8_MXuS-9*xdC zhHnAEFmd=}cUe&Ej_?jwMT=X3gVoWbFAMF2^e~x1ea?3pO}$sQJLh}y;;CiiR#OK| z2@wWdaaNvxIKe|Hv+RS<^ddZ+SVkiHoPSNmiGU5aY&VJo(sxsW*BhYH=UVFIA?6>a z334XCml@&U+5G)IA<u|SGk#oumluP;!V_!I;{jaZ5aATbsAE)kQy5t%OnPD1vo8~f zn7D7H5Z8XR>al7(g~wZgT)bws)M01+#o0NDcrarh<HCx`*b%(#i1?`{Ke|DBAY-j3 zchaRQh=X`H>~{+jV9x#3qLZ6|c!t=RCoQDiv+F6T>sU`cC&Hm?E}jgmFJb2T0xyl) z=g=``7{84%TkXY`#0c2wL$ju6*uG(%;MYK3H}l0Ya!-4pYJRh6hsPU+RB7^yw`lJc zfnly*n+OWcXI@c)xwPxgpcfzc641^Njmf&w8EAPbCw<Yk3qowh|I@=05>E7bQQMpt zwVWJw@s_JEI2X8rl0_eQ{p9a#giIO6qKNNB^0g2K6L1WDs!{x2a>kBd7E(TBZiS~1 zLKsQsyx2=3`Uwn6N_6Qv0p2uLI{SF}qG-5t8DLCSY)TP0{Idm(2Q%$bjc>}_AM$!e zbzh6ESOCU;Fqtcp;wnb$W$E-aPuO{Bg3c>noexIBby#PJ(A!IILasx9_*t+cne_he z3Li#g;kX6WNFUUB(s&?wKv5#XrX>Y{f3-L!H@g~ThF$jqD=&GPgoo(W4VC6r5qV~P zcN_j{Sy_1t2qro{A75S1`eDjH7po&R^G{U!A`AS+N7G3MK8k`X8bcZ7!*6lz19yq$ zU?p+8-|q@4@(XsH`Ub_tEQAlTu!k~N?77u!pptu)j9{NrT3XjTuh)lkSVM4LdT$?j zl%w06(?`G6@I58ouv2>nKu}PNrE>XvIaT{+<0nxcJBotlYcju59+3T);kGAVekY@x zZ}+zUx^W*uw>hIWxvb%-7y?BUaJ{qIRQvtEfX?R#<U;8AYWz!P_|7zT9K8jj>qn>P zu?0`zsJ$;lV&yIPaCuQNMP6jLw!4=$bah0*23SQrTVerKG1d4k5I)i#5Y{#(i|W!9 z6jr~={McZ@!0{S?#m+(GHcWz?{|vTkb+p~1l9(~enkw~MnyT>Qs7$FlcS*|tB7X$0 zB(9Q}zd}!jugOQaE(upcHEPL@m{<D$Pz5IT5H$_kEK-yZ7KL~pRTfX_Kms`9r7_74 z)4s=^M(zP>M;lAzR{`?rv^WNR6J(3uEOD5w#Yyi93Sm-$A*T2TW)F}%Zd{gUrEFwe z#eNVolbMxKF<epF!x??-Zm%giOjr7gBsXspkM2w~iG?<TH|l(`5v!xvOa*bQ#<pKP zc@n8od%h@hoSr%pxUsLPsRo7;dvc9snWfQn&s%V1<=!<EYM;jT5ha_w0Jg25@Eex$ zV4DRnlL4nICkY+hyM$+(GfdUhd11o&o%6V7*Q6iFGXziO-F}n5caDAD@7x|s%RUIB z4VGRKBVbkz@_^XzRw47n6Bh997yOt^a0M^RgapYfm_we7frDvIwFdVOaiv0wxEJL} z+A_{}x~#X>r2@&Ki7cPApBr@>#nYCk=5<fcVGlx$Sz2wOT^v71B*ZXGeNm-DnD=zq z6|6ENmFXZuW7+Y6Ky{z!(?rM+@^2$z1f}%2fsycnDX;LdG#={~B9aBTE`-EAPHT&< z3Xr#9dBiHbk-m>VwzQ%ZsO)dw6lXS}$AXy#Yii!`noZh|6SNjAkc-C8RBHGGTD|5l zxFr<vRH!~W=JM1b*%v8v=7i;Ks@r$d^HJ}BxxC7>#q#cX20^@Z=}o4ufS%fu{g3tB zZV?JbNnNR(Mm9^09eo73ou+fS(Tp5P3hkEqMa@;?pRdW65mebW=Zb@k8_!*OWhw31 z`81R;d5{-`|D6RO1;2Z%q)VAw1uw7qDd=#iDS4ZuwmKr{)%f1vFsdc`BT;!m0H__6 zl;(bNt&C4LMrW$5PcvL;zY7XAXWvH8=z%$x$asW{D(`ObO&x4L$wROo3vcY_oJwKA zpEb&=h*9<mz98=6ypxB#h>=80pK+c?Q0aI{4l|n2xM|W5t4X2%DiqTZccb1!8KLOB zkMKxpa$&2J-7`-oWTSkO)F-7VA0wF&b|d-+W*>DMNtHsH=@ld4d)@>yJC`gKG&gY6 zsn6wXsaKTdI;-3*F!BLu9tlIHi4g%8N<fGgiMI3p>=11WcIAMiFXDDnEi(}}EJ4(E zpd9!|DnEWhe42ZIiHKmv$iWCxV9fh0ioo?rLGF3~LZEv-dGMS4&Nb$|B?e2Lgs2!@ zNz3Wdd)t5FriRc=AmWsvH(GXaX4pf|R9csM6*}OENoywHZ+<M@94pSK!TEKfVJuar zf_*jPs|>{O3kG#yF{dju>rV3jW`K5MW|NNbfS92EM0p0>l8sgxQUb-_W=l7zP5X}J z$NDO*pwT#eyVB72aB<~T0sA~<KZFcM^Bb)prfo|Q)g$cbnpA^Ux9QE|VAas$L-OQa zm5+@EIvK?af<KkFLM7tt+PK7*3h(QVId~Q{9(^7ZyXwE#2MW)boM`3WyQa=vmvhXq zJ{q<kb)r4fZBI`lCsi=^sY&plSC3|a{5ef)$5o2D*|%8odfXj8Bi~TQ8N4C?ab-1e zVKhre9f^}5iz!uBi}pK9gZYm01Q`z&12NKa#yFn5_+wA?IHS5ENNo|>4Zt)O;>BUB zRA`#{Uh2L*aY*Q0hx*7#T<ahUUCl@{;y7TZ&#&4GN~KX*bnH+$0>UV^*ntHm%k6#O zYzb%LEIo$r1CF~ys<%XS7A>;unn4(f-q-ZV`#1{h0Shs%RR$N1u$RHx+_#Iqfn2VC z%vi)e6L8m>3yKwn@IFGTd#9s;WP(I2g+|nu3!3o<j>GkAfxc+a$S2-Ty#9IKNFD%} zV^n^hpAub}?gh1K+LF{|;Ag6<tCRA?mcMVPR#DgVNEM%p={7v3WJ+gASW5GJB)4iM z9uYRWr}QNZ{W#uJc|e@05i9O_EUnOb?qthnf5BTijrVFd8qnh4n{~*TaHoh?xP%|w zxY%G5N7r%%3B<1X3#AzFa<yRlE!OxPwqFV8dP)2dizK$@?J!3Qb&D|zEYVOTmH++D zUya@S6@{$0*Os*V1rvH}8QEr4?H|EH(_hh%!5iw$L_KH6p(ck}cq|{4>Pd$rxGcmp ztRoHoS>?P&76;X{G-U@sZPK4`<A422J=Xtk*H*H6!>jTm`JE>;(%)-U;Sg}>L1lFx ziNvjIZ=&0CP`w@YGywf`ZNHoF2z8R`RPs@u=)u*=oiZK^Bj6lm3gm<Uq@i_bvA;4h zJ6)h;gMVU~&Rt*P8M69!Lm)Lxs2lQR#*-hGaX=yj|G?AfP|Fa+cZ-`i45N|+@LWI= zkpI5jLw}$+uE4jFJ12Q1=em`!LU(F}DlbmPEa!pU{<x7pKx_8(&oZzCs({eE_Wd-5 zQe#Ng86S*@UP~m5#y*$TcUS?Mr4_)G(J`>bjISchKYo*GlvW^Ju*s<egeSq1;^ULx zw}#3LAt6(71EuX8o43?0LV;)t1bo@mNZGT86e`f1_;E4AtHy$4dt^*ySL3qMlXX2S z2#n(M5Sq&A)+bIKtt7eH7oQ;A%`KDzg-;$FX9rE|Sy?Ah^+l1@NI*}emE$vsjMUN^ zlfwi{96BI?kZ(Kv<5`bm*vGMbT#|*Zuewd!_Y`^r1oG$1FrcF%&yQ{lIZD7=B9{w^ zHeL^i0OSxJk3v-v48oTKTHe5$47I%gFVp0!ld`6Ug~y~p(*O?Hx{^&8(?eVTH;w1k za20#{xoZ2y>7aoEEhh+i%Z#3w+UOsYgQrRb7T^8{HiCHj5=g5?t^x3}UuVaf)7O*0 zGV}4ER`o!FJnHo7TU2<5ZgmB4<hZfCrz71vgjlc*_Hs10sOfNl!Z+<!8if(;PPu>U zGay?aKv_>D-0@jCUP4QLEm&|V&gVCFzNBP;?<5+*Gf1kGMtG@@Sw2gSNAa;j{QDmQ z&AjOvNo~h39X#U3em?KG|N2op83HjdN*dZTJp-4ER@97*va%n2$)B2+W>X5<fz3(u z8$<w>DikMeuvwFxAep2M3YZgfd8YKgdWJJF0JQ~w`;-WJlSjYKvtncrKaarQ+6gbr zH3PxnKGac{d#H>%bjxtGG2<%g;~Cx==(9)4P+toiPKC}x5KY!ya7bz<_={5tC3C+B zf6Oqz49Dn`X+pbNI9+u_hA_s@6!gQ))6quk{@$u$${st(jb3)IBG+S^_9WnX+BO`= zvoolZtAVfhQ*2aaOlT_&^UwLuv@~lkyg~nWgHOlN1%rEy34X19WELrlIYu~VcOvNL zL0&kon5&hh^09$yYH6tY5*Y1=ltfGhX-P}}J3RE)p}ptUvBa0pFAmzpXaaFUlocZv zY3_#t`o<VMMNwXNVi0SWytllCj5=M3Cwe83X@;NI1k*<X1RW@nSBJd!790Lvf=enb z6>sZQ;|sn@%|7GsFB$5rX5eQ{cDSn5!{;DAHJxgN{#ob9h{7Y6^`s|f!a>?r9fOqO z^f)S^|LG;APpi&q;}`k}ZSQ1r;sWW8T7sf1HmkRFu>w)1XwoMsinlSP5O3-;G(*3J z8%e0evt_`o{Fxa;&=bJ~IM4$InX74+mB(snhI32y>pASk`^W}zWQg{&rE=ggG&}DU z;bc&nyP)p$hI6QuJ6vsIAab*z?43wpg6l~ECh9pyO0lKd`)?9TlUIk)5HrSEeBw9g z%v2=QS+Uo?OY1*VISI)kN`ecddTsZ`#AkFwsxC}|##YaiE(G8|`lY2^*c!R@Rs#3L zpx+*dp&(yuu4XT=Ibe*|<Ewz|I{DR8yTbE$6X*^_`(vT!?>rD=0yhl#eQ-Z<Wyz@E z3%F4@Vv#O~OELb?L?5p`Xr;P9+HHN)kc7cN8MRFAAjw@ti(ahe_q?cDQj3W#z9Lmu zd+)qHo)aL4vI^<sryxT_dD`a-8R@gJs><C-<?U(M6*MX6Y#6~@K=la~F7L_?7qANj zI)*!Ow0YfMNaFpa+H99;)p#bpyKz?fIP}D2XJ+BG^yCm-P!3P27AWW+fe~{Wa=yAJ z?Wj)T{B<qNqml`)f<F#tcDtNU5`3}r54sHBwf6aVW3TPiWURTu^gKMkN6yWC2n0EC z9jTi^V~OB5fFoL1nQP+S<xuB`Jl>Hy7j$Qg8yjU=bvclhfe~t-b6_T$THm5HT7b19 zSkXgCt6|O$UZ1CQc^MTf*q@u6TYJ$iIEHBv6m?sW)PooVfM(nUbKDUF8g=<@xs72t z^u*46tzwIJXE?fU2n+*A%_AQJh*|$*8|wBn_P0Kje_j5$(V6D2j&RDww>Sq814)0^ zTF}ghIFbg=?73B*&YNPrY%~Xua$cW&Y7ob&1WK7L8I-v9O6U7j^W>UltF}?<^#+Ro zYm|NY>d@F;v=qcu+2KV-L1>`}$0COo!Pw5=rMEB#w)9Jf6V!sTBYzm6%gGJjyT4^g z&DTdSh`*0`YB6<+xyepYQc=88uFFV-m}r#+!hwSW+I5hvApC=MLaJBJ$!7t%u2q+H z_!UDDv?*Idjd1n&fe7byHM1Wg>~rw|v(LE^1MRI6ZwM!<yA;!@=ImE-Hu5{Q{qS_$ zO1m444T=c$Y-4uf-I7M>_QL1i=MjKdT<f#=r?sbSbNC?Q7|Uwf)QTyS(?1zrNB5<p z2hI5F>Q4$f;?d)wO;(M@I3B_X)gT{h1Azsq2dmq0%WsooJxIuyAg@y`oi^s5+!+pO zqtQC%^fiTxwPH>D6ftSOpMn&`ThUA-f_JWxu5v0#)JXh8MYA1wf3ugAue<JI%*Gp0 zkFVJ)GkAP}$&rvjA5dt7j9?2wpu_-GK&rpPEQg^}P~A*Q6S@zQd=5($x!71j8;QJ? z;SDT+d)Q;>ZS|AA%+%4ZW)&qqVLg%A6;N}Jub)+U8BA6{8XQ^0$CPXIK^lX=_4mVQ zBy-_?sA597VZ=hLh?M0OQGKRiBBJhiBks-(Ikn<$2kc4#@<Ij7Zu2Bav#ict2VIW} z&$p;p&)d%j(?zP*!q%+-qT0f_+nmUaDMds^gPk?)X>es)>J%p|9G8ju1!3Or?XqvL zBIbcj1kP=*k>NZy!_u|hYI_V~p<O?Tm8Io=w@C(8h4GqJ#l~RGho0Xp=EXvz!dQhF zrJwMl%gAsBIi21^U(n2c0~_^zp@KKR8yKI#W3l>26j*HXAGJkIXp5RzXJfB%`Dw*9 zexDS5JFDk&YGRi+^?^f<a}sd1`Hn$9Menk0Pqp3AIk_#Qa9y5E$vf|%vvgA+y{k5# z1Z_>DJ+f7Vs!Q7A4zjAsGe$xgjAZv1SdE!vvC3}>z~`q0oLy8;td>lUnrRdVx4Xv0 z$vnciJE!b2_X_c`h=U3OE$~k_o49Gdr=7-1Kjcm6x_<c$tqz+Ri4f%zxW}si|0Jm_ zgTbSp{%&bdg7=1lZ;1n|oC@I4MvSIBLT}ta0}^HZ&2)MJ3h9OvPssD_7!`-Q8(piu zkM0NvlE!wZtM0n?ng$Wf@1pS7@6;>5ZN$=^HDxGwIY9)JuNc6ABPj{ZCaGMR<_>Vv zSU6>SQciA3)*O%o(|e*&tqPMSQj6A|SaP+wA={Ja2aJZ~gR-z2z<0MA-mM~JGR+fk zs{xKcul^d=R;epz81$NXlROC{FvN#5vqW@En5?~YGgP39nVcd6lB2YEgII?_=R5Ax zru!4i-TU5cTTSd9>T$qoz?o()j&OAM2&Out%9}PlKuYx7V9pbmE%SD;;sqKW#3Irk zwPd?LM?@nueA3tg9b-Uo%UacbTqsW?nMdDAXH8$M?FZIP)tzv{ulgq!x;yyLGc2An z;v+4DLUnOH93U5Bo`GYQ)1Rjz&PB+?09eff;auK0EuC6iF*6uh@--^ZB$Qp)Te?Vt z%Uj@K%@yF*mazRTgT!P41Z$zpNzryeHO_7*<|C_QrrlzoIF3cE)-+N6iT6tQ70@m> z_}zn>7v(R7)NTt4A3O4iuyN51_z<zPgRof>GIVBP0_oh*r}Uh8)jJ$)xeCQ=`&W?; zkW_gr)7<7=yt7HZOzKPgH`i9Gs73@Ns>#r)E{+fxfph!{$ls@9J6laJw$kO)hV^$} zkiu1~l+j}c0bCpADO^qD5U2SH>o`|TPrD=>K;*4C<vN@WkB0=tMg05+`;1SX`~^aG z4Ump?WeuaJ=={Te#}(F{iMXCJsTTC^ihmYJwm~ob>ZXtY4O4@xuy3zjZOk~>In`$s z*`k?3iA>olA`7dASL4u;fnJ~;B$ik4!mXYmrv|d!3v*$mm%zhakE1oqQpruWUBye~ zY_kJN6i<3t96k+JcsbLx|12DOu~BFIm1<-YtOTqALWAsf{Sc(0QFN}&6hFOq?gYS6 zUgUx1mJ#0Gkf-uhunz}J%Wyn)<By79UYSDE82z-y%h6oCEvXRP4FcU}6LE);H<$CR zZ3hF!uBK#N^T_y)bI~vFz07{N^j!_CY$}n!OQ9`W{e~_5?0sneZ2>5(7#CGH(aVv0 zlxHs<bbY%TYY|7(_WV`t?l(oyA;5k}{S*c;wLfh^pMgAm2z%p?@Byc=(Xmi96q>66 zdw`^!kEn!O(UehAEDFGvlYIAi9cP3`2~N-@{f&WRoXdNTjW&d+jS)(-rj!9)mhN|Y z+lNOXlsrN(L|&QiQgDyvJU5F4hm_=@?h2gs(dBJlN?XnFO#x6QS^pfr66#CCU$;rl zIRnv;A&{Au$C|f=glibzE;j!RHV|jN<Gt7Ym2@AuDAiIA_{}mh*(W{%AZWg+{5(82 zq#jIsd@vM!2@~F<Gn@<z)iDriC0g$=J5(wwZv&l6u}KW}oeC-3FE*Bu;g&by?Oe)z z48K^dpT5?<i@r|wq^4cM&tKe3z9lrs1rLCfwgy|P7w!F#v$6#3#H^etosbs6P_Qfo zr!nHV;g}*nJpM7u4r~$AH_xpP!0y12;p9ZWped~Kjk(E~#$aNYqNL{<KoDX%;f&5w zOme^K93?lyMQdig2@k!a<mgED{p26NC?!~|Aoup<c3gx(eGsVZc(rZO3R3+TpU5oc z;jN9@6a)(5sR=dt_kMxj$7hLaL$VSv@5iXak(QabhmENJZD@@F7{-z0_r!P8SCWIq z*MaCs_kbvFUfQa|@475%DWcU)U!9$2)sza-7pnVltEAuZM`39+;tP&(Tvsh<#*yiI z$~)N2X@Aq(vr!zhwVTAiVWxd&I)~krTV!BbnFCm=Lu8nq%CpQ*brSO9b6uk)uQAdG zfhSn>!H+W6GSM3+7q6+kQP5dzIMG>whvlq4v4fK`>sO@gJRL?0`H-t!9R0!PWP}6L z^`sz|HBXa#yfJyvs^q|~eLv7XTOmlRZJ^{CbSLSGn)&Y-jaB|;E<L87JfdCau!o}W zfNVZy9j?&Y%*>`U!-MlTCo<9>Tn%K&=U56<B*Rbzs&-<XR~go;Rk5LvpL?{4V{is5 zU>`$!l>$)96Avi2Dy<&O09ZuA3MUOi7=o=WGAc&1j3pz`Wpi1NW0nC(wumi=$19uw zmQ0mhPMG!!FsKvB9SFcn+pF&<pr4<H%|^lYCO9?|DSol%aYUNNCDf|YScN5%Z)toc znO=^wNPgLh&4|SL{hg9;O=yK2`pNp3V}ZkXB=e*UL)!h3J#l`GG2K3Xn+{%XVtFy; zn|_|PNqh?Sinf4wN6^}ms{Fmw{FR<HsfY2=jg9n&cUU?^1|KIZEv~IcTa}z(opj`- zorS@@TlpaP@wnRMEB(XMK3}l+xUDfYsU<~+^6;Yw&D||7r=&5Af@BxAgb6qS(|WA~ z;jq+IqBu3$P#j3E@dL^px3W6^p=PNR@V8>C(QNMr{n>sC`qXz8G+vr5dz+1b%KAaA zsh|@N)n8_gkgDE%%wmb^IK<MvQmCVOQO>hyC`>6|_v9h0y`!QxD$<m88(y0J8mt7F zk*w!)ZfSu^dvj#BrV&LI$Y8^B*~4}32NUx<0?$|Bihr=Kp>a#oKpp3RoxVPCa>G%U z6+v@}h}S8dLOsXzU8)@=!Pr$%OoB3`YgxvVEU_d%PYo@h++<n}^Iz16Q%Krr6o^P; zm5Ig23C0OyJNRK+hA}=Aah}535>manQcLvH>F>`0HN*5qT?2T6)V#W{^Y5b~g(Pme z*=GojCTzc|To;=KAg@yy=GMS|jeOb6+TJn2A5$D>!}DL%-E%|vxQx}ETgr(Qt(*k5 zsSd7Jrins^i;mh@&Hx3Db-{Y0P9Se6jq3XY^S6qIt;R_r>&pLTtunEKFGgQBc<^OX z8ms>*Figv7=JzJ#a6@0%_7G`n`QNJU<T<mJa7oB2P4DTSW_(B^@5ZyT1qdX@VUoli ztzq%zI}k5%ArXn&RxD!iw6~X;_5E%*(<w$CzVNV3%fnwo{v^&s$R_&z&U5|6=Next zn=_=uKxU}ca3p>uSp+sm`NyvglwD+ghWfR2;)ywTQV3<<fpJXC1=@FYU1DO?mNj5Z zW90e{IEowArh>RdrEYtDHGZpk5%hCfR5}eeYB-R-mUmRvcq6a{5Oh|fd*^6F%5t|# z_1G;*Jq2~^vZjFpH|75#HaD!c)5IM{N+Dx6YQ1i(2wYT%1VlzFhtE204VJ?Q>Cs}` z*Y!TONWL`Nyyz>CRpY(L7{Rg0v^+!PDoo*?p~0{L1X((ZOo7_icVA!i<Z^FIGJ#sK zyy*Qq`mokQ7^vU^-Hhhcxt%kL6xmZ`G{;Gs*Dd89HjGU7ab_v!gZeAr32(+K_&k{M zz!6ILEkRteWnJ*Gl-_|lErgn7mCs*AB83u!q}{pMwd%(iIfpQKV@#yrdK%ZN-nkHk zOfBWdIDzgraN=L#Xi7QR2jjLf(C^YXu}@Y<)!iCWt;kVJissL(^Rn2j#Zt@lUDq(U z1B>h-OTh#F1+L|pX9NTwEL~Fdcv_HTn?+kv8doTyN8`7gyZ$P29&J_=>Bg<mZN#fW z_pSlEX-~b><_MqDBEXR&f+gJ);wh8mp>gDCp`9!T`Xba}P)xvexgzn~MZq`sCaC`g zxfd3lb)f?DN*Kac=-@wPX0U2$58eTzp}u$@3RT%<8i@(PBL~@hl{A@@BU+ye#mgYh zvaPjmX}nHyTdt#0+~4G+8|hUq+7_-`Jqv?UK83e1hNL>lWxvxTYYmF~Ay@Sh^EJ8q zs2va8*>XU){*){oJDd}Wv9<m}de1O4UEj9IaLuH1_t_PS5u5`sZANhu>5J}mLiwKL z87d=Dd4hJfR5=*=DEAhK^{(HB4)!pCvH;)y*YG#2O)X#}_3hOci)CKm&bwv$t7B=A zDWfYs*SrV*!)Ec;M)19}p2qZ16LB5wxViZINXkTM*Q#^U<w5d$?}n)(M|+1l%pJg2 z08LPb&f5INpwnv;IBU=oBxkZ{jZB{c?v;Q_e7bIJWyk8euh69(N4#A2xhClj=vP-9 zN#6cDQ52#H7?{y54({UZIvf)NP*G_qea(BD(nJx7R}i@0=*`FW{Q`j!xNqOTrb_l8 zY70XZV{$O=W2imHOV`}+B|~3ofv~~@J>tQA8V!qVy*^OcZ0s}(4&a1X)%(KI<R)*t zpKqt#CnFN=d9Wm=e-l4_vq_?Ld?fqfC|*6kW=-#G*%}uKiJ~)vI#11uI#lpNz7-1$ z5e0iRAa-2}0QEV|p)MO^8Cnxs&A6DQ&vM-5Wc3l|X97{RZIC%{hHNLJ1LL&a9}KWM zQ(0)z-Na=UA7Xwv_I?EZiwfXNOAezJPX|=@Y(F&Szgs?@DD#J0FTuescd31}wmWzM zigO7aGnca>8kVwV315&_HU%6Cy(U5k{O}ag!S)T{M)7?oS0(I;TKVTWrQ4xIg18}T zm!$@w{suVbk-^T9{lQZbszMb?OioMLpyOio_687|KR+HiPv<w;s6F+b+0sv5)PsUy z_d71Z`dglq<Kr`#r>cd+WD%sp{$<8>7I1jRoL@RpD7Xuc)Q4OpVmKXWl(t{+@5Pr> zu34$7qhi7$q;<~=(PxcFmYTxW!R{}?aL0C<*Hp6kpo5VojT~CP#Uk3Pgdw}?GDKsK zS>Onx-MVUrK7&(1*y-e`x)(8*nk`jxAU1c~4m_@_Y)2?aro9xWTv!dFVvl0km`zF& zdw-dE!}YQUd$b~l=(g5v5xjGKH}Yoml-m%pO#BsZu3<fUKT<RW{@hMU?>+RLv@n`f zln$;}p&qt7Tip?)C>!ZTai>SUk9%>qx7Q#=rY|+iq3MV=h{}yPy8%FcDuZ^5i2m1* z0b%1bGi}NajUsu=-7Nips853@JY`kYQl-%~NVg>PTAD)mq4CL@%Ns#o_#1dw_)^3E zDak`NkuCX~0=mm$wYIWmM@~VDEde{(w)fRrapW{+k|$ftt*~mXr4<6-SSXm5r3ODq ziRTXrr@l;QaX)$2%i<I;916V+fA35OMAQ6Iwrf3S?-tN)&TobB6)7k<_MH+MSd=G- z<NCL{W;^Sbw)k<UH&{V^{H^zTaXG%<!{y4*0G9J)9YbG0QO2#v*qesZ5(fcv5@(V8 z$+tQ->pld|xtBt!<a(g+3^RO5LZrqCnB871Fi8h_>U=W_t%tNcT_YPut6A|>GyDWm zY}hZLM`oQFQDTBFt%mZq9)lHGMObWjRxvn5LLeX@AZB4OFd!fxAT&2-FuJdf;b&0b z&6~19yn;k+NV==Qq*e*sF`DEL<ba0=<HL71fsl0sA!AEMrA&_${WOn;;wkVQW-55k zfjGV+w?nt~QB>9YVy5r7IGeHllZ8hCU<-JFh6iiR_m7=c-SsFEj>!uXuyefsNHEpK zQ)N@gp_kYPdw0jcO^a%6@f-4|;n*$8dj5(nIayUB5PyzbFWzLaBPw9!!$*}-!_%bF zsS74Skb)dFEW)t(a-cd|K||zqjpl_;+v`$03?KzAWA&6edgyT9YSg)K{&k%MRpu}a zxYV~<=6&bMc0mY~3&>lD5?;yirgeAe_M6CcaCD9ujL-QT&SPP@>kN|(vYV1Ebq)=z z1>)Bd<I3-wqE5h64c8X`w}&troL=_Z-nlpFAS+LwO$>}+P0slQp_5~M7@#Nj=zv>9 z%O1d4RfwO?k1Om|WYpda=lJf&u@#~mGr3!yeM%5vZ}IN>zbV0e>?oJFB;FwvzRB#! zm5IP8Qby8lmUhli1rgnMB7x>AfgvU_-QTH4-U94s+FbF*jOnH_4zAZ*gZH!gds_N< z+_{Fmc4>`8+~cdm*ULMq(Wh1f2rk8e-^9<U*cmO8-H;Gr;@pJZQC09g(KnQkh|(xG zp81J$vV*-_;&Q<%g}ZTO&+1A1R%8EK%W7jzjl}s`Mi=KNpG8mXeH@~jS`#je=7slc z2&zrMVgD#U>oluX!OzHElTe<^gvUQwsnIWv>WHaMKu{}ymy=-huh8cJR39Jzg!4R& zCT&g0r?M>L=zUe#^TMt(>blN2yUF+!Z5rGNEaaofokb1^Lu92;S?-MFYV@mQH)3aY zcJ2htPl3lXE@gK-qNSp8EojSrdy<iMbgK}x1y*9~#p<p}>dBdBA&MNpoQhd)85OL% zY*0nM=VZHQm##q^9VN_tE`m!WiL-Z6=s21N^0hwxXYJG)MRV&)O#D}*G`xRT$aVWe z8i6;Lj9UG@ACqWJWs?i(WBbAO^IvvP`quw6TY_ijB?8{onQF`K2X`l{{;98(s84bY zPy1U%u_H|gcupv@CO<twB!7w3p*+UN4W`#sU)z(@guTn?ApN>S3ldR5o*pX%&X=r( zr4yZYfCPW^NG+7nM{NkwT9~w{AY2a6o_V3G+@Cqi!u#9B-acflw02Rf3cBwIaIb)p zPU%bB;qu&+*%4zZY_(v|Y*lInquWf0vFs`}^qtyUzm0g-PH#ElcUcqEK=JM?5<8~f z3H1OW<#S>>z0vMT4cQRYnzJ;|#c(IqFVi)wC2j5#I=o!nGMB+9PPWq}FH8>N5`#vf zx@gSn(Bhib>hzJE@8U-7Sx<EB%*P=<51hbr#YB5Bwb*u`q#QxY_G5yGTNYnx(bl7C zwMO<pu|*a>hYDq=`le@)#{53>es5OpQOf#AR*th{U&}fmoHBQbV>JIjCE5dFsk|if zt>(c}y%LZZ)ZLm08W-W$UE+iHy?brV$sCk6*&$h*W|zx(9!E-hLfiFEuNRsl<_Du4 ztATfM?|=u>YD}M{J3-HP>NdO;-GFoih@hiY*eSKD5Qbm(nbxoXMxV~GyVWG&fN<wn zSRq*MdG0Ikw7!Q18YCX@k+e04va-w>mjw^=KrQE<N^$?2yFhQ>Dj0%kNN6(>P4r0t zdZWDoez6ahu>q4drYWV6<Jd(@)O1{Lz@2iPukF3wzFz1}lxa7D^(LAk0H&I(WKobI zQ!;eZpU<Wok95+#yNFnU-Qa-DQ2ToJYawRz9iC-qUPA^b-7x8BBq<;X#`7NNEGHA! z^lIe>qf&<r+S#1Z^JqJ#obtaa+Ur69FU@a6>v&5H@ch{1!^$pk%{s-c^5b`4UyLH< z77q~jv_Dhb!a<xK|IhOnQ3`I9-Og`WAlA!ES0g3ELu4PqmF*dEn?MuTC{?NnD+A$v z8V=NB1IC!r(J&{_AuU+agRyk9r{$#9mHDp4j5EwR*1S|nNAm@4z9ezI8ew`kHaBmO zRJ7m+v$jh+O?*5tMt}9rhBHDcPyho!{J(|Az9KKdI>|A7lD~qtIZJ+K+qSwb2;hEN zFK_~2S)9CKG7?i}L>U-$wsBmo4vzY{#cy#yEJ@J^K`$ivAq21bXrR0)%_O}2Sv}qQ z0%=H$@Fm-9u88M?2ipj2NKzEctn&$Y$q-NULfbp_2Ebu#Nxp14@j-uTXvojms><|A zd@bop2l?H}g53-(gJ6P8*^7M?F8*t`KRjE;#Fp1N?FM^1^jVE^+;ras#@MWnF+VVH z#lldhl%Tf@VU6l3WzlA_>&h2j@UeX8W7Um%m{dPR8?%oB3@n0@YQGQsk2Z7Khc(-( z3pP=*uM9P;DzI4Wb<!b@6r%g6(f?1G$C;PED|>MSHybJSX@&+SZlazFbf5|oDou%E z@DWiK|3DPP8jxYq>D9Zit1LacL|erT{hATEXzqj(M>%a~9M-98y1j00GekN2*(JX8 zhi5bYOCG_z!gh9=5j#wKPNEkjh3zjD%NW*FmxQ9l;}qKILGFG~XOdc%1-GSU7;DGI zh7KiUTU-|F6W$RR6(BrP($r6f_fxu+=Q35V7m}(4!(UAiu{XZWIml~Knt_xNc{=*8 zOSCrz-L4zFNf^6sX_N2_bu^c<kAMax?d6s!Ri4*f^QGOL^M@}3JT{P5blwcn=UlGh zl>62m56S<_uQ<de>Rn}*l4&?jm(0(e4X3(x`DEZi`K0K#m5T_-c$8#z&lBV)NC*@` z1^J!QZnfWlJqf&2U(exC&vVhRqJrH51@d6sYP;>}jDwE7lNf?@cJMwrSs~qQsUJ+Q z&JD(>ta=f=`+|*_t~y=wR8#GOt)52GLVpfKhd^qd4*LB{p0O>`W+>Ji`8)KbLpdOS z0>G{NAyncSD*a~8ES0cZ!g<kO#LA_d8+7R7LY<yvn-)snZ`#*!eJGwmVf7Uo3GOi_ zdFnYobF}tEb_ghJ5E3jKk6#yDMaivp{&O}!iiHwY+{RfoKJYiVH|uqizche9cAySs z0K}RI!+)kj1gdT-Y{~Hl@;21&Z%f{n;ux&0%4&bZ?m#*xy?FHFAzz}SW?Lu%?Ona6 zJzbCiYKp%#_9%~FNHE$#Z#Nf6QUd2=x$Mwd#^3|ZZu0kBDJnsIdUk}77k|8d*F6I& zH!+8;b-0m35NLq4_9AqiU@7KYKgVk{UBaOVgo}oy+q)cVi&S9e96lqti<P-9$P$x+ z3Ecg5-ki6xZGp7yao>)|zC@<t5^f0b$k<-}{})C`wK#Njsy6LT4E&HX0_+~-7R_RK zutz839Bt#z4wr`0IBz0>N_RmvHki|yekD_qvSUv$U`qd7c??0OlB#Ar5y%^^r8JI- zhyvkP#LhuJ%I`OLe)K7a->rqMz8Sl6^-I4EkoY8i9lz<}FStEZ)jA(yR}YbSbf`ig zopwfa0p-RExhd+MJIcPMQ2N7u>v{#K%@(#A8S<0SyL|VQ?1SsPW4Wthxfo7-SRYsd zkpT4-fhL5_qCI12=9u6>V(7wBP~g;k@QkUyjT%0sPz09UQ*4M;e?a_Y%7zLQ0n1?U zV&A=CsAH@pIrtuY8tPdQiIZd{D`~PR6ozmQ^*kQo@u=i>ssyfV7rWDdpC1w>zk?`U zVRlx?jnID@=4&lu-F}2xkw!U07wB@SV>Eo(dB*dUNcEXKJ{#7G1dN2%9+`x+=G8xj zh7h?;S=f>{w$3J0KG81YmECZc`pPXM7~j`OJJkq`QoF(WJ!WAgT6gmfyx(`g{;BxE zedEBk9&?;>a-Ph6biQ=4KZ1ZKvRIZSMP9$3YuW~?-EnwaXNkq6pPkRTqg94r#>%SA zF)bv+-x))wYeqeUU?n%sg8qxh1OMcz=RgsOF^rUrS?-q~R?q!N6-f?MPqUfEQ@<m$ z#M8h-uBj-{XQ{<_PDwnrXahR}iJT>60IoChN_}Uu!2qsL)QdhRGiLKDklo<vmU6FI z8~FkCM;9Ekx;bJb{T27(w?pLq=4?D7g_zSCQMp@+Y*T<1<^ww`q6kg^(A`)P*(}`s z+_AykVC<S2#$?+v2On{!K2gBA^5|?w&kd*NU-?l2sH52g+8GUumAU)d4Ekj|*m`z> z-MYTJLr-fC-cf52aNf*%XSWN6`Q(iP2I>y_7P|+=@>`m&SmXN(&`bJa9%Ey|mW#9C z*<z6dsI-XQpKMjB-|vCn3!-(FY;>p2NoKNra$Fn-U;GrW@_tFI!>j;T!KPeY!akx( zYxTUsr3itye#sOr`Rec4TDrC^wUFq}ZouupbT3r&{w8)sZQ+xq51cA%g6*`uulg5- z5X)>Ev>#P~3wezTN5?NQN}>}T_KR}ZE2E(IvK15#!bMB<MXuBO5^PFwyj9S1y}IN_ z4bSxY2ma+ypx2Slb<bQBWYZokx8Ej)I$J8M!-MviUfqbCUYQ^lcJc159=bjtPb||; zg-NY`<6layW=w)bPr#-tf@t&|KQD;?X25-buAO6d5?BN)q#{U#(soG9gNj9Z=PCN0 zE(t1wicVK}RU{{z9JH#E2hm&24LoA#+A5_=VF@T*OJTz5udswvNQ=hMdX*9|4bXjw z>0Sk!Zf1Kzz4G-3dyfWwUz4{+yMO;2q^dELb7ZQ7oK{e+s}5ZTkaTBI4oe_K_4SZ| z4W{W%;Wlp_OTYrqP=C!zT=On$@`jjH2QPuP_3p|jjO)|<LZy$ywH|_1D`d?XqJbL( z6_fWX*T0*WL1;iv5cUfcOmzY2vqWlpNE+V!O?s$hi1lW;6Ba{#la2kTlP5#LYcV^@ zX^eXT4mV(xGv)JNEvaPwh2Yj|o0|UJ)iP78)#4Cs6)*dM%(NGh9I+~MMt&%RQ~0uk z(*wRS@&A(e=;7}}+VQ1hz)%0>EkPVT+1b{b6^SWE+ww#Tu0j@eT%J%Mf(I$Jkc(-p zsE7Rs3a}Kn>*m0d$RSO$K**;360%2oio1RVI@}7Fz2{!Vxi-d)Kx{woTm|TN07WrQ z)_4eKr;VsGl!kc16!MATmaQesP@Jl`2rLjaAhbv}E^_T?G16dYo!RhPH*N-Bp%YkI z*21CHSj|#a+%X{*1w*Iq2y*=rq4h{*v%uMEin~6p<8!pW>*?V|^1QfWh^7yCzvGXe zFWh_w1bKLXg@<t8+i!o~Zhs*m$5h6Me%dp`&9$=|dKAp|xG)U7pw~TYr_m7rE&>l0 z=OFk*r^A5~Quh8RzKcF6I@?NW+)f6U)TN83A3E%EaRe!Q=q0GC#RpM}A#NPMcTd{V zQskyV?l4|O^4v-eRy{+tllh#D0PymY8TSb#B}+37KGN6=4w)&D@dSVP@Dj3mFg@Fi z<NsUU5%OY}rCkTGrQV#q<$RkqN{l{WXzP&36EF9CTF*)9wJ12B8pOfYG1x+ym$dTu zGY>%{q^KTE^jK-13N8DW)#{K^Wz&{Az=N=CD|+lBP9UqS7_PRP4!&W@pAhIn4!zK7 zaQAshFkkEvpdvEAM;3t!R}pjNDG)p%5Z98ucxPf(l6!kf+OR;}x~an*s%F~_Mg&(` zoTN6C84pQ2%EU<rChA^7>PL-xo}Q1%2rJk!SB*-vj>wRHObMA8Bd&J*1HxR{N9XkJ zbxs+g?&hmivPTs{+x2oZ;dN<i9*%h771Fp(h_}08FKJl;TeP1B!Z0#6vdZN%CYcK6 z+~W;xd3mRlxSI&w@l8_ae3cb~RomJP9%=jYwZ&BKymT?qRK?3&P1#4$`4KUE917=5 zkhVeQ!_qOAD9Q-ZP4EpJ*RbqZlR|!smUe#CO_?Wsa{3dZJdl_mZDVdz@;8Yey3~+i z<)c~bn=!#lomB`7FkJa&W~t^1HEHG`0W}bQ|G*^s<7={ozLVS{<%z2EPuYu5I1FqS z_iue$WCQh5cG8#XCVZ5L9J`|aW`eOd8^FPu%@LGtBe|^EU^Tp?ts#LL>`BqR49B2! zruYJB=(`i`d3y36dl@dgv*151vi-z^mVzdKit%+3VYcqi9JM_|@hX3$L&tVVY&CWv z6dLdvAt$C-s$)@>_1_Q2)s&%ud75xHY59X^!Mz9mQh<{{+5^qg#TE<SFBbhAGDSp_ z!=^Ppm%(}Ec>@oT?EmL(6F_(Q$6T6;6kg>aLH07)rmxs!PHVVFTo<~0MU_v92I9oF z(ps_FNtrvlEMLsa5IvC(sYnM9&q|bP)-t@c!F>sm4`2;S@~eXIUc->;PurHF1J7pT zZCWBchDf`7a5%G-S4g<T!4K)a`DV{!Amt@P#<0f921wom&Eu%os*d3a?(kHH5t3oj zq4l<({v?Qs@IeZ)-UFi(@&d=4T(^oIubjkQ<3Bj+A3g_c*qF4;?O?+<e$~_Ibt+Z_ zWIK*3l!zqg_OtCysP6TSl^H=S32P*6!;y1I?F1sDdZymW6sjw)iy=ZHhBgPcS;$6_ zfIEj%%|V)7l#=zZXoGa>ay)*pJ_j9Y!pz{}VcpLTt&a3UGwwXn-h@dp9pr6EWAArY z1)2Hl+sJ-6^D}xmyWy+sP%59Hwdjo!3L@K-?3i05*(}+PqC^Cwnsvp#TfHs~&4_WP zJ&S<&rwjTs<bEvm55PI|C!4K|wL*cCSIJdJ@(`*a3;%nF4$CDCw{vT74wR;?=l+FR zX!uHBMOwx!S$B;{3=>~HdlIQps35lyc5nbf2kGi+>1qpo!Rhd~aNpx`u{E_hmyS<{ zZ=8%{Ksky!2Oqe*l5i~aOmR0FgvWw$aSsfU5kt5SLYc&0eYDZASK_|2NQnk;)6>@? z)p?B;f~gbpfWtT^qy(Yb9<g9n!`AiAmPIf7m}_zL(1l|-l}w$3+aoBIQ3s}5-CwNd z9B4dSFG8S?0e*g?*`n;5<wdHWI6m#I@INfz5tL4&>*mWt7J(-n(o45MUs)AtL_bm7 zH68J`(e&rAPcu(uBloUGRTBYw7WWhLiDtqKJ<dOH@ZFn1uWABB9Mi8Y4Si3)3=T^7 z3t<kFfrm=~su#Ry38D3S$fr^cA<di2jCfVs2BksjV6w$HW@k3+?OiArSZ1M=72+wi zKXXs>jIHy%6*`%{R8~9W<iIzpzBvE*+}d*`Az|Jg!*0cGl4frSN^yv5k%X&ayjUpN zMm3}RT1uWYf2uS!*dVi8&(5?KCKI=G#>X}5Hu{bv=*WC3<`&r~)eKHOw3y!PEh2ey zix}L!P?=2jes^Q^k{t@F-5qo>XRL2*fzx9~h>ICFfHA!h1y%+vwPRz}1SWle{OS$k zcgC5*eC>V!{Sr9Z5zBu_sn#yFU;bO%y@58IlvU@Y9%Ae@G+)m$G(IE3hLyb#h;T|K z($7>JU8wpPAE%7m#IItFKuU<e_v-pf(4Pl2-**^sHopFbW<TtV?y8w)u0H1bpH%;i ztqBVlgXuDJMU8-Lj%OO_Stavajd>MXTDV52zQPLKf0_xFf@lAoVnes`HSc`t>=EH# z90UmKxOz}}j6U*_I=Z&5!`wHz-YQOrqd4){3tB9b9(Q$-vbKLOc70=0&|42w`O><J zYvM<sgiZLBEF;=!n1uEC=@T!p^{7~JAG;%oCQ=AmR5E?8q89zJx@+K0xK(b1f9$nG z-jQBCS?y@EOeX=eqEsZC1H3QO{+Z1zeqI;zlWgw|tHD_=d+E_p*Nf+)w%FA$+L6ed z8ZmbGUf?4!&f0j&l>z|0BoYYB4$?hMrkyc-sI8fQ+o=?5usEZbk&9uwPvF4k<))<U z1Lb6pkU7n%>WR14D&tsk-`T9956{SUOj+r`7b0?6uISCqNis2v?s<I%8MgnL&r;yd zMhNn<??PijUqN-ub?&d9B^(Wc)EWQWzR}%ELNA$}&iWta6y`Of)%-$bFQ>UJk55(Y zRUZ;`r79}Or;I)YLd*rA#F?g*>diMsN886+(uc>imxQGAp`~DThA=T<D8z>fKg}Cf z<oD1pb;^A?-Lw4`@C<XLl~VfJAXf&~$7r+91vZAT@*yr90F`;s;w3L@&p_q!=A;O{ zMszjN-7NZ?GfI$^1J!;pXlz8!sfUzWf^ic8^B+CXAo0uc+r@U0XO0HWqWfq%hhpr_ zn++RiM%NTY!LS`-Q$O=Cp+j5k>=C$>AdKB7Yj=T3tME04qBX$ZzS=As8TJdu>U=&J zhcSN5Bll%pV@>^}f1GgAilA5~*O7za@Y$In19eG1hDf~r{>KbZLZ{oe)?8mAk4+VE zW5@6skS<~O&MSe;-2VSAD~O!1{sLgRw)y7sz4C=7#f#uX@1U+mo%obSqnQgOezzLJ zpua?LmbBrt?OJ3fAETrYu3cZ-`+unmehCh5dTvffSl;JSsHcH6OeHR3xc^3?<anM4 ztR3-+oq!^tOU&bKoDhvWXC3t*ysg!78wAJ1k8!zS6;b7Qrk!=mXiGwEier4LV^yW! zX4iui2xNg&@J<~1Tu+p|XVsL{qPFIcP?dcAv=K0ZV<~as2s5;B93uz#(TWX-U5u$P z!{a_9>&0)-TKZQv%M5ajoq_rM8g&f2Zr`xltdfmo&6JnnB(BB#*uL8$#5CW-CmCDx z=ePAT(#VQibD|WQ-R$LBHKt_*EOZnSd5T@5U{{INlB@Pk-VB7`*Is2>G>3TYO0`b! z!I@CN;>Srm7r1<l4H<cOx+jdK=|WBo4i?)y6i0n0UkPGbbp4WmlNYO!Z+GJ6zB}<p zIO=kVuqz|v6Li-s6-&tq+H8Mz`4o5`l63i}2oojs<u{-bdZ?xRAQhpdyBGiLdH6)S zOg>Ja?*k^TN8RrvTL<X;>sZ(auwkEj>?42chRssrUF8qr(ipY<8-}-UL{nCv^T-}g zNF#ib?+J0fN4j#yPS)`54Gs}A+!;do&6dXPW8A)Qsjz?_yBQ0nSNzx+wI2`RaK`SQ zDeS4CKS;7*xy~hjcT%^s57M`rqoh3yvSUQ&$W7H4jx6X9+auQMDg-TGR{oH+F0%$V zSb5=YgKFW^h%Ld!r5iz5Qg0bp9NuU)e40-z4hraHFg7GFeP=O(hw;*GujCww_KZXY z%B`6m`Rkw{%7`;YV*jykUZbUigF$VE1atzouTxrleb-_vcf6znrP*DHcLgc)YSVr+ z;!ZV%rf27v6U+OSjc%Y-KIql)kl0NIYX7@MhULMEmuNHPMH|vb>$fEoBvs71@Amox z;pU9k{Nrj_XPGpk{v-w*sV-7C(-2}2FF_4sU#$;o3V`w5^1DVw6#={4orgS#K#gFj z&m1VKoauD5#T*`xnEtsE5x}{+%$L8$I9Y(pvqk92z$4H$X`?8uKtpaD=vsXu^Fbh9 z48P0YX=d!)3n6(G#L%t361iv4uovP4+bo`JnduLPAa0lLCnHM^*vLW|JSH>qRM5FW z`C>^G&!)bGV|sNyM&66)Uzq5e-X%SN{T@CvWYMFZ)J>l$z-rj+&j!(={rUQeXWAYE zu#WcBFcmXuB`^C2mP+bu8$Ydv@*RO!990{-#L;?zdx6AZSbH)vRp#pN@XwLK7gx+^ z^3Fn_G(ohLDcbd*eOkmgf?f%60lkJPyr_Hll8AY$R#RT7e`@`c3uI3_1&g~SDzvBI zNN5G%FPcxXu`99K7fHZ^R{!Ey8B1PxUGLIAX=E!9cwzvFx_@5Fa)7!^Sy8pok&u26 zUJ!Nu_P2y%z!NACFlj3v8|rij$Npz%!t9!I<z^3H@cvj(u%kHmgL=3TUh935J11}2 z8d9BsXk&IJb?62AoAyC=)~P{K<ka{e$7<BeZu29hbol4^7@TyDS|oP=UrCrmH5Nlg z5<uqQa))jMT6lm4u)5}?Hv0=H+Qc+uny?JAG=+Qo3@3d&;fZ$SKCEBmE75>o`xu`v z(BjTQ%;FbJMx?N46aaBoC+_aah?O60V&wo@7Qn&<XgzYwX`PUv3ZvApLDSwTcos^r z(zayGj(-ml8Ms*31we!~9$H_}v2m1Zg#owcV$Qrd=Col%QFQxd<z6L9Eicz@A*&cg z_4@6N9vb=NjJn6X{hB}9Qc$4MZWUS-tFtide@4rVqZIaG>5<iKM(gZ0IBZwksem8w zl9-bZg8jxW%@?<`z{D92_U~mFAnb#59p!=PiCc0~mt5xyuZ1EASf;+t#dq*%{zkX~ z<K!(~+;}2c@sX3@tmpr@(T1(1w21=1C7d;ufnqQiAr@XNziMrVDn14bEK`(Qh%5@Y z#uh5jPh#N}ywjNK+t1B{`sq?8k2+SiJ32hibk?fmNqer3*xSxHYcLupO8M!xc$hC@ z$_R)}pPRP->IJ)c$1>*q#>v7qcsA7<$WcMP{8b(&KtoUURwb$P(^}$ui}fH}8PEhO z{=5(9{kdcr$ykpq!LPy$vASJf!Vg;=NgT0~Dn6Tlb4t4Ux8?#OPp)R?ZrpIBRGQ!= zm^ihhG|B`v9>_~U04Y09LHKtNg>QMyy8-rooUcfV@W=YqS|pBb&Q?K}r8{pXaTtC3 zq8#2)EO;WrZ`oEUMh0cjQxygq&kp+%*G<V#Q(S;AF>*@CFP&Z_>y`2)z&9jefosU( zO%3okC3r_mJ8CzTG|a7S>_6D5Yv5i%?hr2pL9*c!aLM{W^8(+G9!=b_$rw>UUK7!( zed?pmz|;26IqxsEjqAw`$iu{fi_e-dEGSj73<4&y;0Cm_7%sT51o>#bObl@HRvTnp zm94kQ;Dqw-!}D0=Hb7NL@jF5k63U*GSr625LJ#^CtpI5CHzh+@>(u?u_faB}cOR#Z zi}<jm=_fc&{($Y%<2UkG0gxLT5cFvGB#TmE8$a1Qn*wO6(oOJURJM5fpj4S7HnoN% z$si1TdL~3Yu8OXwGQq1BY}yOd`1s{Qq}N$?4iEqL0Kd6hY5XGKPS}u|`NvQg?gU2! z!(`fDTUEH>()1e?dYT`h3<snycG>nB<hUOd6;8}?JD2B8H(|<Jlc`nA#sLYBd@LG7 z`>*<KBUzy$Wr`U+jS{0C1_BhnHShuwXYp|gKTwwTohBVL_W_1!hM<uvROFfB{1vM$ z&}4OB1wkBL2P?IZb?wg!l$T)<_gGoP?v%CSw>(N@0i{p#eLAMvGAvCBXx<7)*=Hx@ zoauUpy*_wyoX2sX%03PXd|T5^)L{svOO3)OMHHLNSD-66G?twYxK2+5trZr0IjUpq zF=nMMKxBT`GQ;paYaL=`%Vjq97OyNCzc}DiIvgWI5_LpNeo~|Ww;c$`mSP!1++NTX zg(=S_5Hv?O8Jd$7=TIL1v(4=XS7&ftywJ9{YiT_2k$YxWZEt2O>uB=s0D4$2JQQf> zJJ)sC(N+H#;iHyI;(eA!AHwZ*dcXUPsso|ou$*)qObAIYcM9dGSNZCd0DIWNZq8my za2%BN`@|laC&XF@8|ELt)IB8B63R~9f_|G53P6V}*VEHkY7+d8+?2J*FDg`l0AN+S zK*^q1P86jZESPR~Ew=n#<9N2eZiAXmJz74REnBLSt&F2Mjn353UYi35%Ix3qLE=7| zA1p7<M<+Z_ZB2$biL&Hcf;znS5~F}+;i%w(jn{I!O4~K97mZ`=k9jAg7O`D0{=?_Z z$NzWJGs+HyJRZlU#K<T0Od71x@5=Ugmvl&Xh;5w1h2IV5{NWY&C%*h*h?%qUZOB<Y zNpuELYAY`(IC7AQVUM}$ZK>kXGs2Izvu*q;nt+GOQm3AlnGt~FVpOX36Sv>!nu^I* z%j~b<LXYeRk3dOLcT@~f*^t^jNJ@PMnXHBu=a7)&y=%J?iXR}WqRC{p#6Q6rge84~ znI|r?6}{MJv~7e$6n0q$jYXtr+@eCZpo=?*o+mmfrY+cScc10>WR6quFuBG_p_<BS zc*D^?Z@A|($+TnExP<0lFsip+;lcC7Xfe6_<Y^NH8+jX&(Iw`GQ&ebgfsQ|D*!|I; z<Oyw+)%mOrji*=`u!4F&^Zs^_y6Jll#*cPJixm>CeP&w*IbsNSzNmS42@lu$mB+r_ zp^u5=hrCsY%DQ&v(pF5IcLmV!_aeC{a++Qt${9pO!CnzS<<f)cc_xKx8R;%}nkZa= zgjjh4o~44u2^6<P8jr(aRymudwo+Ik^F3LtO-E{J&AV~4av}o<k_U3<k2TqG0|yg! zK!%Bd?xyqI^;rT1`SvQ`o*fr^TFej_Sx3T(O$f&GvX5MAg;7Ogye>I^VNNixpmBe7 zJ3cMYX|z1!%r%-kUBrspm{0gt!wdxgRsBV(l=5)41D7I`%;*ltHnR>benDo>Qdf$8 z!bg7<*!Il6#IF=OA9<?%)`X~fSOo|87zeCZXl@q*2I5s<D0;G8+0<=LAnf4GP9q== zpm7H}JuZ?6-dUU9;0PJR|NjYuli{!r8j@g6am9;eVh-EnM9X*~nGxd(bZ-rZU@(Wf zW&{dKW4jHui&-xtt1rEH&@UHWYqu*xN$HZwCs0O}Be3Fki#d3R%cTRavAs6b+*n96 zh0e-WF%|YzmN>|Sh)(^*!786Pia<)$<vrqAz>lTz!|5xr-5!#spDiXFy4A<FeumD% z_R}&8>8^6GX?uO6Vy~iel=RA55QX9g%~(WqVd|6{>|(CQne(Zq9oiK{<1zc}tYN!r zx-P-C3T5pzFoZOM!_#9yv^1|Ar;A)pDw-)*`zj#d|1JGBDAD!Xh@n+(zxn5a>KZRv zmFMkiY28P8hOMNVBtP`l76x-DsleIW77?(kd<9`s3)g4@u`lkS1y^Ur49}b!_hvs$ zcXqN2JK&Hf@GNH}Gs=qMHUSLYBZ~oROftolQf*yUqWAIuC9!k`py_t7{5d`irQRKu zVSpdAkR}j~T}`HEhPuq%LAB5frC3ZTGQf3+EOo^hJt^!qg2K$7qTbpcnuaHhJ9DqY z5~Ve1kkOPOfnc*E|G=TSCG#XaTxZq-mMDQ}o*PEJtp198Ej&ke3{dU=_uwNO1f4O< zy=D1p;2wt68SbyXz+ZFYF);qG&T--C%QcLkE<oG)0EumIlZ9fmf=$3Z16-{2Y+sqi zkG2Bp!|3h{J4~~zCSrUvl3uD05ONWmj|TX@p-~#mN7Bd$x>G$@2QEImo(KEl7K}+_ zUL!va(M&D8Y;%dLLo#<9%~DbkqvdXO!wJ2Z?FKo3L`PmgdgS~6o(mCxSjJGMG{o&_ zPgxf~g8vF{@~@R@elCvXr20vXxaVe~2nn$q2eA}ok*=u@$ne|Vdd@oKtW??0ai5?p zx|G!TXhIRNpHM(;kja&rD>88P(qU|(!PKkzjG|^qEA&esruaWP;{02#FBg@EGUei{ z-4h-OH=vFnoifTIwRm-;GYHQZMFcRxT_7U_d;F@IY0Hj^ySVL7RJAymljHg8(`kLm z%~uk9cYvi{29;&DF56E)Uqb?w3TB##CUSIfjLjO42HpL&F8@}}4Pf67o)QFTXlUzH zXO@1<x!L}Asw-Mv=AqUQTfnynP3uM44f+2_Ab<^aH{p><H49GGKrPq=(MuELgXx<_ z0>*NxT$IuGX1KhmK9G}ccCW|^B93(vQigxxykKaoc3lLj`_<u{cNL{`ntI~IafT40 zH(ll`;muN+b(EAoYihhSUx+`bOrJ?WjmFY`JL9Mmf**BWdH6V&%b$v#O+0Hgp6sES z$ic~g5S%hXw@;;Tlq0DyWfN5kw`U_gCSv(hkPoP0e(~0v@;NTL83LH@?oYucek`BZ zJMP@R@I`(U8lllICqR4PU?*B~mKwo@WsG-hRD<tA?r&lZzH1FC1j;i>4?sH1=K19j zSpKVR_OS6Z4(+?*ahO*O$N*(nGH6UmR@BM;Y?o)sr^k(`sF>gOGgdn>4`HE~N;pYS z@ksjiB6Zz-PJV_%Y!)YkKKf=_L(IUV+{(*fwz-L~)^Wg}q}%*}s59;^@ldbCTgc?b zCL1nFu{H7cl^KwMV{|j%Q^sfNxlnV1W!LIam~c=pTs@I)hM&o(%1l!-(81c)#k;W< zaL%3ap>C9#pZXU7{<al9@a7`CyFBf9@u_9O`kuhWvVueNQ8fJ_Q??A;9FaFg-t-@$ z52jVb{;HT!G!>YxnGCbhA+Kr-wD9Yfn>I$`IvEBNs5`5&jTcIB$dBxbSI7`JPm}+& zvckhTymH36dIol!x_D8Yn-H@UKDEG@prbBFjo4mlTP4YMeNVT1$H^-n^{ItA>d7}V zuOXIkqK%;~;3K!Q%r7n_T`&eo_ZFRGRJoIrLi#}41q22xzKgD#c%Wcx)Pua<=PR9c zO}~<oIFl;7;YqO4Y`!SyU5CgGVPq(H#Y%JI($LPZWlW{~8&J1v03cQxacIphee2|< zob(AQCKUD$&K7TzUAyU%Y%k!;Lac&T&fNW~X_Eq7VKuuE@$1B8CTAX}-C_<m=v)To zlPOQ(8okT7%d!M)JT?QqKU;045iaILnkf}JRC(nR5I_1!WE6>U$T+r)eV}iS?Qf)i z&eZr@)UIFYIX8<ZC|J|0vPUqtY_~gw!lk9ub=7WWH!Eqw-Z2=5<YR@mSrir=@c60{ zZr7Q1<u7Jf0}z;;96R5Q`wt9)`<b)WRp5o=%`HHqDID^!>$1ZvnlW%ghC5A74^*jw zk?Zq~dOj|Sg{<EhI2<yeO&j%dEvTpdbuD$jyxM8p54Y*mR7|Y_$}<7PFD#+{^{A@Q zv&=b&!@5mVH~g?gjG<>ee}S_}><H|<c2D!CEmK$w(+OW2ap>t32`-|=^2WS3`FYyh zdb)|BX50-&C^zBAoeP|u0{k{7Ed0>kqncfP`^Op9@MxRXpqaa=wz7Sam!%oV3ZaYv znI@3DK@Bb{c`hnf@JC-~fn-}17kZ5Q{e@Oy&g?C1(@o{&8}W_q-o-LqKRaO+N=$Yv z&hul8ijk4&b{4#2aw|f|fW|0#NlpM7CxXh9p3XZshL+Q9h{a{XfiT!DQMCb8W80)b zHs5SwaYbKW$G5rfwXDQy{8V=Vu}Kxzv&pH~FA8=;ay=g3wRq(0X&p`>?vH`&z1=GI z8-m`$wP)p*tDc8siOw@+aq2;_0Fx8qfR<rm@NwH+K<M@4y{^t<A|t{2w<TK!{qiBc z_gfAUW)~J^P!=4&MIt7sEAC;L-$UHX&PT6N@rLQXkF51;HOytH>ijI(hTYS$#UalX zZL%CMou+{@MP_aej%C>=h7erl!24jtDsqZH2xFVRmXQHm2EeAPneIOK9fwxf3%Ww< zDHb$|C_g3S`;31!3dwO@=)sVbocA}4y4EU}+2Yak0pgn|YhJ$Rh78PLnv9#6yWHlO ztSv@nmv@AoK8wV-IcLa>;y{9MXfXJVtB#23CZx908xz&6-Qy#QfH46dnavNLZW7e1 z8&SBBT^uEm^l15F%Hs!60%pjTD(~_(he}y{t;j`-cZkXK%8cL7`ai+wn{Lmx1VWyN zFZZ3Zc%+sJ%YLtnZUYY+rxRVsJ_m>EkN{^9z4lq0JNvKDd6O&c%n-Sw!4u^Ftjh_; zDV_l8j!F+Hf&onfDEPA5hz}#ECzI+yNwYHPn24+g=VqbHxz!V{0%n4&j=|UWmCv@) zj3zVBrm>XG1W8CRI$ii(%yB_bSk|uk*FfT3^o*Z3^O9NJ({tT#kR`z*H@N#!qZ$!^ zbMi+8YSC&~wyVRvE~BM%tm2&kfy;T+F=O@<y~Aj$`|l#4ZuFV+hsDAqz)tfKAffQA z_i=q2Kkmrr8&>pdmnE4SwzyG4xcc)W06Jus?a1sLbH{I(M0qI9r<8`9CcStlLpJkX zdMqC}`I}U-%<+&Jw+2zlwuq6ebU64ES&CAY&fB%85GL>?vB0LN_jIjS|6yP+!>h{B zeoa43%W+6mfepoz08K!$zr)h21&n*#f122{H85mHE{|Jx?(x0m)BU}r8@npH>zNG! zFA@TIKm;PG>riQT;>bh%velNlmX8<rE-FcbL=do#s>>C84sO*?n=1<y{${J7boQer zh^gq}%auX{6bzjSapj5-nWj{zfXqoMmUOJZ20Mk*I1nu`EDJW|rCLFxKUg6|o><JZ zYIENQdLK<gF+|?SlQ0w*JUMu8;@oL3-K|7-V%z|DXg2a}%Ot@sVr6{`Yrws|Tzoal zktV*{+!}3PWjW>`3&Bz5gK5Cy6yayQVXg+_h-8>U*gr5U==J7c<)C}a>}r-*v1sYf zVW8pNZ;qoB!s095&e7Xq(qzzs?I^<<$@0<`aRV^r?5ozOebzxuLv+rM7_bmXCf&l_ zL%q^umPb>!p2u;W0|I}v@&u_so3_J?rOl8!2xr;}aUiLUC+JNjyn!5G;ZGpf?zd-M zx_UkSI`(&E<04E{uJ%nL)^Kav>lRug5i#~_VE4V`eBO@;bNZ`h`3BB6o_a}kSesKQ z;Qjbb)*soh3Y;T#gpE({Cszkb^}HJE5!Wo?cI<7BFX^1-Q7t&nqP+k}LKBEBRa`Li z8h|JF9CC)XPTTyKT^b%gNvI_$3gMXQ#H*jbbm%aEl>bO-&$-5Y97KC9wy(M<0yc9p zQTWh}Qjot^pXNpP4yEpJSWiLSIK>Ao2Tzryp#_JQ9-tDx+#_$bp~u87t&wEetvLR7 zbJeN1*TabK$bi`(>2eu4oBSm~J&xyA1fXftQoUSaqwMu;PlG1no|ey#9=~WAHSm<u zJdq68`=_^(Rgc80Q=AqBDq)d_7oAy3<f>Pbnp$?(J5dJJdC%GkgtO29k+=>HbGG=H z-LK)Y?9q35+J%tyG%HXdYh0?m2|S%(t?^YY*cR$TZ;>V<(Vq_?E^ZSgG)y4E>0LL9 z+r8`QvKxu52%cz)@)AldDR#3@1fu+@b20ylhw{2v2CS!r>{3CiNrsm6!-(g(3-~}^ z{nG8XivA%-=)aH=>ch#)lxV;83fCnD6{_NTHw*N3Z+pm}Tc+)V+N?bb2Y`XcwF1vl z)+p4K`x!QpaZq=6e3dr)b?q~Vuj~ed3?4nzUocNk(En(FX}4?f#{(+gt4f~g;!Yut zJL-SX#D8#W%Rjzqcz{5vTny#6EFbN>@Ce_Sn8^6Vr+c%62atF%rLj93sz2bO(J&1U z>97>S#>wL23*5E37q5*c>-jm%pWQzy4-n&%!~y!}6&LA{y(u;5<oB>>$YCmGF>UQY z=O!#o+t<@c7`J?}5ZbO$>hjPTDrpcT>o3PUki{C`R2)s(MGai&dFu{7y31vjGM~7s zx8BAwXEj|eZ+=eubh$@LCItx$9t$EU*^)WCCaQ;XzsN%DsFzKdfNk(YYVlp)KWr0M ziXqJOD)yeVzn!TTi4z}aje`Z?M&7ls>!p7wF;detUk_OcFC=)#Hy9`*x%L-LYX(NH zue)6cA3h=)1(@jI$&-Y|?QlN^cyyE@QSk&15ZUmNu_~|Kw9-O4V5KgWQO#kXW_gJd zzgk%CM0Q<*gUVNb4&?W;k~o<OlMX~=@(UJQf%pvykLlt%8<CMCRM7Wii%3$yd@vBw zQydsH{n%E)D`caQ^&N`}L*?`sc}#!qgNrP=q)$(;f%otmeYSC0%?zkIW0|u<C2A2t zb1*d(C^DW{v=6Ek8_5o4iqs58c5WPrtcU@|3&=*LiXzCgms}|53&{zET~VpGS*M66 z_Rl;&<UW}zCQHl1=euS^d@YfIr;|_9ldwG(<BNf&)cY5`6!bPmHG*0QXE(eMKtY>_ z=DC%-@(qxLe|uBb8<v~|h-}BAU;j-6oacloGSHnET;F4!Ox##lChNFM#(e}EhtG{h z=zm<LSz&|wP*d-(RoQ0^bImeS@{)cJTWTkW9v=^zdF`iO5#B&8L-1@7y4%!j4BZ)S zq?s>C-EBlgAoeWBIPiLL64UzTeC?fb!{35kK(#WfOaO#-=k{N^Ef|$^Hj8_<{!-T5 zWosHub->IvGU{ii?O_h}ib~6J@YufvLq0k2KAik}v>y`32D~?Va)|be2>Ys#`9mo% zGZ^5snpWF-Ppfi#C^E#>TSU174dUp<CI#Y4mG8!TDdkKucig(_i>1{||G;=#2~o1v zRghhB1vg0k_Fdl^g>j%GkI2$!uYNKI*qWT3Vkulw->gr&U%$cs!9Loy1witI)t`(C zWd9wMB2?$|zkKk~>kln}HsPQb3H>Uw^cX@)q5LfN@7r|^jmP3Z-f6X?0NqpN0neKA zR#|M^ZQ4+pwAfyeCbPK0wM*f;FQ9@;9hTdOD>hdl#}q704AFbOR%r0>#yzPM&ZXQe zOx?)p*Xf`fhtn|@I<EQIbP<=yj)&;a3y``_zf<pou*Prh@#?JJAba{-6t~xtDQHeG zTWiXV`oRIBfh&pQ_>cFGKL??rg?{C)-Act`7E+5spVEEu-Vh*2DbY%Ce4L_p6*4^L z3$?bSZKNYSI@Gn-V3p+T@no%6qs&SglJi-%pT-)9nW(mjh=JGNie<3r0$94neQYf{ z(9fOcdXQ^<BfV8eJeOXjz>x^PRp=rkq{2GZ`L=4D2w%S9ot!}Kz=D(fA9dZRD5Boy zRCa*onWlj;mk7i{LR#Ff9!S(WO(Bx3yy3Z;*Eovf<LM#tT55jcke=`n(EDV}la8Ed z!&&Gbx#nMxS*I6gh7m=sSkjTgZE^YZJ_-XagoH^aoCv@;jQ>j5f7$9|Grpt#Mfi@< z;MHEu#<jhoCSUEp2ml+P+H*FeZXkRW)t?n1rl9~fN7DYTP?6b@jB+%aqr(*_U&s?} zZW^A$MQU)+I6H#&>cc4=M6tPPN*(0=0(|cs=3sszmot$qjgL#^&1fA=!AT_N+MogC zN_LvAldpMj7ES8$5@w&1LD>XvbPx-u8tXz%%C^5%Md=dIE5i-i`TLx~()xg~*^?Zn zcrx7*{dVR$)Nd5OYM_g188Nl&u|QK5<s!1Q+{JJh8K~);FK3G*U=Q7$G85ioP>%WV zFiA&EF2&eseWbn_rGo&47SWg3{@lgyYJj(NB03R3Z%|~uLt{KF6NjFwC3rFPW4l12 zG83^^WALBHvANSku9c|@60)>b3Nm71FJ4HAmO=1k_NjTECTb39s(!bkyl0@`0-05Z zF^-i+9`?;jf7h`*S=)uO0ZM+Nxi<WOln+tq2@VLM{B(XfDIF7Fn_H84J-ca6qJA|T z{an}cGFS;ys2C$NMMDkB>j8YW`X`#lC3y9MGi;27ix96=I}5TibD2`8--&J@o6Hd% z&tepf+}o=!E9H+_)6nkKjCxmPT|abDORF?;gCh*&$s*233bp~D^*LyBtd#JAnAg@$ z5QjWz_<N$98%q1ob)MRUw(I)>{F&o7ILnC9!5@Vza=)SyTXx~=r4(~8jFP+>q0Y#x zLiG<Z7Vwfm2qAAbFBHi^r6%3spl&Fm1b&gm^Absan;<NdJ+qE=-XusHJ*pSKaqb3A zD%_RCfWL<nsh((){_<qB*?*Vv9()$Ez1rG?tb^_L7k39p(bsMU_`L5|O$OE5g%EV? zHC%X4gKAiw_{$SF4wE&m#X;g|-6zZlT2ThH&dS8H<BTh-ys5XY=9vi#pJushN!X~M zSn-;ErMw?C>Y1YvwA8Dz_H2zcmyR0BuVKx^7=&)*x5=-VwUemEqNKxkGl-$)|Fd!W z>WQjv8<(agF5N#;2!NdCoDt=_pqg6#E}X}G3{4zv;jW@}7Em%SBgrF=1yZXg97psp z`zM<?C##pW<@CWlRyir)sZ^y&MVE}zRf4dsMjRfnQ^@wA8H<|ldi)Wne<0onyOU+f zE>xPz_e1yk&DwU`lFsI)rE>J%U?h2cJf&hjN|AdS`pyPS?e0{C9*YI4tqYHY*^mLk zZ`~C8K;<DGg}yRNY`zM51X_OnLYvbbG<T>j8Sabwc6C9z8?1vU=Uyk_&e*KeAttS; zk)Oq~SMYg3gMnALUUAscHjBYZy6Z4u6#+rnz!|4vEKC_Vw_$@ak;PlT%;miXX)y?v zumjEf&IP7c|IrHww_8&%-ngW(0sEXQu28Qc>f2yRP2RG-TK$DNg_)eMOX%)ySZC^} zw-cG;SZ;7~f)U<BRAj?)86>z8_zSF2Al_J_og;C*9>-!rf>dp9XZCvT=|z;X1ks+R zWm7~kR!iYpWh>OV2)*z80m)UUjBCXhWr)s2H8=SC!2~?t&gR|q%ZWrrL^hhJbe7c^ z&uc#JxK${1K!HcSwHN~b589`kaIg(==r!7Q>5lET*XAtB++PTj4DsR27x>@Gk22*R z`@J>uu0Ky7b%g4WU}=^MI+Km0>_~gd?1#I7c_S$9dXL0EgCLZFD<j!n({zW3GIyOy zq?;TO{f6SJ*J>x$jY}Kwmr^8AiR;sZF`5~h3Jvi_O!#H$i|=GF^8)8$z+L(`QXyzt zVUt(<A<+E^JIt{9{Wy|LjYRE*qBQhGbN{jsWg#)k6+IP@qH<Zm;kHGm`!)6dDTYw3 zLit#py_rZpO~FNF@wp2aT2jF=i2`q*T%CM*e^2r|q@BbliwqX1MW47Vs_;kS)$P|> z(Ba>V1(TaRhNqV$myDi&(4GBhQu0CEG)sG^UFEFdUMRc0ME@@6CK`}M^MndS{NA?w z^ijA_pu9RjQre#Bj<6}6xG7p1RytR<8J#vnU4Oj_v+m8f#^n^a)rO~|qr#-Wx^?f& z{RwHc`O>Dds9=pw!}<0uQH_ES;kyk$hHbX~L4&+3)8W7iV?cib&FPMjUCX4r<SY!j znHS%jVfouxMC+P+1bqVhqZ{x4sG2cc;wJX-oVsyJjg*w3O^~A~U-<u%D0BSTX6@oJ zA#Npjof#f;fH-@W&bd)^1bLZypbwP{rFNzJ;>8Cs>Nu0@l4dLx#e3l>y7R1P2~LDa z81gnflWGWTy4l*2wJ_Yrs>*!uHf*7Ec*1x@x<$KFyD$b++5r?(Yt`PEA}6yN_VC|r zS@-Somi!u|vI$u#g0sgWWM>-2Yv;8l4slV;=TZPMfGo_A@?RTH?I>h@a#M4u>6^;; z&KCwFwaDdx;9!*{^oqL^RD=R&0hyJ92kNuMjwm~Dt!$9QNOPFNuB_$~dVla0l7X|< zI8`+vkuGCV&ZvBwtC)AIEHmO%VqNpsm*wb<N`v|3ooT^jEI=d0Nhih3GVYi}-47)w zv8h^(uQ&;SYT>HiP(s8%{GsoKu{r8$XH$t$HlGy0)F2Y2CyMfWm!Zn%Ri3MaflLpn zLi%cxYV{aRMxgB`k@dV4PUd4=S`y=)TR(0Rt-yW-^zVbSNiybueYM?qaTXMfwUf9L zoZPK?ByGZ+O58(^bv5g99^|1*9FYWp4I(WyG!BvB&n{z4Ca|+1GD88Nn4<a$sgWI9 zp^y)Z41+E5|82vL=fNH72CE1InJWWGJg(KZgt-AuVcE!-d9SNlJnttoL|PTJH_rW( zxx{>rB;{%suYBjpE?SpbeMG;NZEbb~@m@o2y_lg5@z`b6xr?k~4$&3HuAfO=WM3X0 z1giIKJ9^@Ct@Yzc`~C==g07n&gQayDg-ML&bJeeU1%Ks#Y<Nzw?@;N&;z`j{uVS{- z9H`@e=WVyYidOdS>{3T(e1~Q>(m{5R0+rTe_Rbk=19AViV-ls?5aP*QCcOXg>I#vN zz0Vrw+RvkKx<g9Lk=vi6T+=s#ewwnp)Ri0s9Kw@KOM_sFD)R5n<`D;8X_v6lA*V|( z{(Q);BjjFWB`?_<15YD`*dm^Q1`JT)3}U=llcaBZKaEkWoq}tX^10LW$&#Vul`#rv z1Ozg0y+KdvCZzjKmKa8goT*u~mQBTe5>AoRUR2IEu{%IkHcelzs6nGp0edyu&y2x{ zzN@jKcYMyuwKw?_uDu{mYSvDoi|^3m(+$oA#f`HQ5v@C_9!>5VhziQ6Q|AG~sC5LP zj-@rJss{d_EW{I{hMBa4<5f$abCikze*!);&*@=GyM4Flpx-FvDU1UsY@^gelur#x z8xr#<M&_Kyxg@3pcY7A;lgf*-CJ|9|&gK01nD{D^9vo`5aM+$nhQu<MzR`IL4jxfY zo-K@20&-V_a|@Z{xY{Gy#p=9bqLtMM!-+yAqa%oxB6VAO4S7JL(J#45_vgA!4%18% z#c3eqZ(=?rME*QIfM|$DU)WN|QVbd5*-~Od)A%Y+h1<^&bHK7;RjaX@?Wd=kx7B9H zRNejfNMEg|bd7q1u=D_H<X|XDglCZKJJAf^I~{ruB8QZl@_my6ATxq6q3yQ}E48lG zd?H4Uw}VROqBmV=8m|Bn;`&1jEf+z0<eeo#@j3l%if<i1TC0uw5N{!jecy{1W!Jk+ zsM|O}((g_DvT7ar0<Bw(PztlD?(pr+<Mw^+`tqH}!NEhe0WsY!#u*qzGwy}upCrzL zuH>u0OS2G{HbaSAXjk;<Sps#EDrdTme)Amu9>3#)CM2a@!gB2B2gNnaWe>yJPUnp{ z7qs9l6um@HPshZaj{M=zurMDNv=7G^x-Xyd;L@w9CXV3^JO~&rD5k%yqjT+uAdDW5 z{*Y2RTp(C6!w6nL?AtFgkmNBwGqvkEZ9Dr&%n=i;LZ5!3<q4n-3=A9I40T3(*YU+v zn55f*^h@s7VjIDZ`hGbb&{r{7l;ToQTNe_;FakgL!O9p*#0U|hLy?-tz=<Zek-r@` z#|F5UHl8MDrJo{5C8yH6m3h?~z$bh6pWi*EMlM<okH*YEy`e@cg|B!zz<D%NrZvi- zG&Y%XTPK_k<il9q<I77+wYin-2df)IVa|vV2b(<1OtYp5$nGZO^%!^U%km^!fgL%B zFJ14CZ-B`z<g;hJyzi8}r&<0L0~mi;96;dW`zkT_N2A_MNV$5w;vi9e;(~b_iH|(c zdd%k`&m#x<^$wmlQCGL0m!h|A>A-<^>}ATm#YT+==XVnV?&yy;+Ut4HPd+a6NwaSl zmPw4^`*})WowXbA-#6_ZlHY*p?UBW+epEz(HJgy)9t66_vO7Aizzyq}lI<(@Poq{x zKXnLR<##uv{kr)XMh}s9kbnz`k$cfj^=<iuUZHl%klqk8@JRplFE|&3PJ{>2VhR}L zx4l%*rp{yW#h)@i##N{AE;f5C`o8rp-k-PYl6Kx=yO%@1Bqt@hDSEjcrnWAG=xGE% zwjw226q>^!LSmEUv#-#q)gIc5;L9js`3Ub#X}PX8h7)#1?~a4NRAYKbX+N6$x2$2~ z-Yt;^*m+{YOA+$9WUDQ&bW10wb%s0QLFMJ%XBVvjjD4V>618_<ik1f`Yfr?`oKdj_ zzjFW<V3&g1?|mDcE^<?^8dq<fIf5egMvuNmK{V`MxuQm`{v+XJ&OjqtEbzdMPu*=d zpP2zV>Jn&0BRkVFMh+pl1QAqlHO83=zCJ1!i4jUT_A>?7U1?Bcg(G0mABcJ>(oK@X zv04{_usc%>*K&D=1z~bw5<G5dft5q98C(A*N_%Cy){`7w*2|!AQ4B9Yb8%?-vr;S% zxjjnrEHy#oI3j9yAx>j=6L%G^);g=Jux??t9bPg!U4vj3!U&J2p2ZYJ01vTF0wv5F zzOaGW-!FX6mP>tUC$kOrFZZrNZwI4W)t?D4#>1sZPxBz*bhmk81oCy#Og#s^oE*KV z7<9ep9zP+Q!Ndj)GgDx{kbmm3cd-bo6JPpK^1~+7KRHL&^_^^-Q(`Cx0>En9wr$(C zZQHi{YTLGL+qP|c_W*N><R>vWulbP*x&@s|35!P^l1!sbnA&!wNKtQ49F}UaobK+9 zIsLV$0EoP`$O4Ja)o%*id?zl3ig*t?GVcPMpdZtivjhrsoK#%88gfl1TXU9pNJoFS zr(j00YSDq>MDiDtiNaW0Kj=0HGTjHov7smlA!3^`38<NN5??^-pMo9q4<hMqjOyae zrN(yu2RO&iYV?)Myh9Q45sTiZ20Qi?HgEUL{isB#&@SZFiDK{Wm>IYs4{`jYLyI%e zLn$uc?k<6wtluBHi9}qTzq>OEBH1aL6c)rPg|~jH!1=^6IdMspav#t1I6_zle~vX+ z0o_pXO-*#e4m@ns+^4d=T~zwnQ2yGN4%Y)vgQ-+UF_ma2@)m!TuR%fPDD)V|o_n88 zEsx;Sj-sDJ1LI0TC<$N{-RJ@dGffVM`wV`U(m-f|%`Vx!=ydYk;HC1^73E^yPS&}( zXb{TB6G2s!lmdT{#X80d9z}UzYVwHhb{dexvjek%z4zn7(hP$86$S|;x6!m;TZ2zo zcR`hR680z9nj178xf@s0OS>kKg&K@X(T9_MPmsCl-nXIkXg2$Ps4)Wf1cTSY4@Vxu z|3zGL-L!HAM2|;eBHRuVs$PucoLnWNi@ZCh$G!O%Z6TwF2U(e`MMIzUUmqZ5MneXr z6HTu&M$>#Ks%#*2s1iRkcgN<S(JK8eZm%RqMQnZCT^S`80l!)T8b7F}#{f*AaAzT2 z8V;`;Ni+b0+lYv~kZpF~N&RJJh<_;mj{5PLu1)os*+9;cXQj}F#Y-E4X^z#&*!Dmf zhGy-Uu_E2X$WkFAFXa|a2dn>G2%7F;2t7;-+aIZ<TNHU`7SIN}r5EU6EeLOoV_Ex{ zv;l~@d;79v3`xrW26zN6TQ-7~FFDS+tE5iluc@|FFx3z*;iK8^!gxwan$OS17%DlE z4a-D6@*U1@X)<ZN>jzTD!IViYZqu<fZM30<?2S1K@;i3c-Rw#OHZ@2~0g#cL6j@Nd z`D*RQ@#xIsqlT6KSsyl8-snuDJ}qi*t2UyTKIyPD+s2W6Q(!2)Rd<_^IcbN!qyzKV zoZbMpa7t&M{yd1-r%W-YWv(y2X{V+9_#z3LXLf!V$(su8TDY(#jbAH{En<F?NLKkN zU=$MXjVBl`&4Y2CAV&-xu`7p~3HsN_gXbB61?#upTHIdW0<3{VtWg|~n+cis^TKY& zn3789*t>`z2^*5!NRA2ZoQ3suWJrddXM|QhjNiXBZ99!Zh-l={jo3r~kRMm(mF3#z zBF_XGvWns}c8{3y)53vw*6K{{0j8H(caA5@8s0<Rj79F0nfG{HRnnC%3VxDdRS#eb zX7_WSxhfUu6Vhe{SKtMDlG6@4Xdf<&{o`hC<@w3RT8hYwYho!z))|YTgz>zQAci`y z;fVqFGGMo5Z4{8`-aRbsVyT)NA+7bPfN)PM+rjtxI(HOefF`{>*n2F?XpL7l({8|0 z`4`8~j<G#lXV{Rit2@bH*2i2tp|XHVa98_$UpPs}H!L|pc8<oBlVnk`C&JroilY{z z6&uh*Vg~p`(M(B#;rm&jOM7n3`J1kpCA+&aLg~9wRwvLBlbC)0hzq3GGSO!$)yVQe z-r&nI^K*-H+}=JcZPk=wz@mt6i3>ZWEGgnp@kxA&Q!r*UNNT$01nk@f%bt9UlSx|` zu7076loIKIL=qW!cOVo8JY{<{j6+Tw-#nzVK1ofWCR`U{a(S`V)M?#APeG&PQbfw% zRME*Wf}ElVOvDwRGg+FTWC{%_e>nyFlP!hltw5a5YrIq3|BXtm+spi1(8~4Ts>v8J z_V_r`DqU~nKkZhrT77bRgKMjlc9sHRLnTb=MCh|Ca#-w*@uN<)UYTYjsMxzmApq<5 zgL=51PGZb6FAGjLY3aqWL2@ozL@^m!R6X2@*H-ch>E9egvbJeuj~gM!^bZG2In8u9 zI^pBzjAcK^H&Y~<Gfr{$d#F+E&7b!etjl)H(TAYY1$O`oV^uBd^*Fi?@sl%Yht=`e zRk13~#RGreGcA3i@@zD6+_b=RW@sNua=#l9Ac!ZyQrb~`^vujnSM8ryS~rx*Ld?wY z9Kvf_{JI1jPGKiAXC7;cw>%kTI;4Szv#Y;z!$I>@-`G9uar^gLT`FOtq@2yZ_s5;` z$(*;T-OZVGwA1SW&0pr|rQ?3@3HdFr@}4D@P^M4?y>?bHe--yL#&j~m*JmMwR@WR& z6)&*9n0z~11RqD>I9+5YZFVlnkg5A$+bbrc<NnuEp1`=GTIZl^$1LcUhVk0;*5EOT zT4!b^nEwXaKr*qS4Yxb_QBOBe`e^B$GXNdEVJ*r%9_SfTBdhpSSSy-BX2q?08Lac9 ze!}UD)A2i^<(sn^?&2OTC;k@enW7dVt%yxY59Ikrc~R9+=uQ;(4mvt*m*2P&b3T5q zR+}PF)_S|53zWh|fpRz`B1p1MqiD|qnSd<?=mt(SkasW?tP3OA1Ko-WJ0PCnW-CkY zoVw`}tc#IUqFuO=fXH+Pa(1=+@o@~J6C{c9YzIqvf<a;$r-KfYt}3{zIO!>t4RE!A z)~HA6B-9LbPRqnn?Hg$IxWpDK!nq88{+a?@7*wVn)}||RBG)%af^WiV1;`;h&4~ke zs}W{f#_&bNoh6ry+|cFJy6p(r{suA3$HncG;H9DkLn{$IAUKQ=2QZg8V>l*$Vd4<P z4L%6U6RIurtoiz&pqDi85GEsvMOJa-Z-;X%LrUm-T>5-$vYpN<&LBE~M!}<Tx1XE4 z&GaB$!3{iR3V2FDK>vNUZeO~!^J}E{mjbMR^%9T#h86K`t04^1+NyvM_4rElR&Ui{ z@^Uiow#K<JS>aWUPD-lJ>_b(U5%ydJ$03PTf9dng?b_I7pX-&2MG(HHD<3jb2p~TS zd1M_E`gD>NF7I`XD{;_}EO=YS+%bAL3b%ysn@{9m(L`t)E@9pcrviTA+hmfdoM1z; z2>`gmzX%#42zdY8I7vnh9#pt+UdWV>A5%$b+T46?e~1Lcq6P`@hsY||U!Nm;dk?Hj z#Xr~Ok+eG~y7j}`s04dz8X4A}NFQ7HkKS|wG%2H*mc+sruYAF$o#{KC9fups3*!w1 zBZvh)k`K=+9iC{AV#2YDu+U=}3*$jY{Huqay>UoFz#86tQK#q@g7~JnIzBfoP1-p$ zE7ym_O&!v<E|OW)-0Q_%#($k2RU#$*DI*wV-WS^DL7QkD*2#eF%EO}GrsKILVnJN= zJ#WR(_=k5o>Rr9bY4xJz6MLPMJ^bn_6Fhy*!yHM<8OXzXmjjovPa`b>3#u_63Th}? z)fHr$JnSFdwwDATX$wy>V6y)Vn-qi99V8M7sI5i-tj%V-Qdju~x=b)wh5dzUPP4sL ziF*1rszsy|v?0b+{L>VpBl7<(h}xb}J|v%oLE<<xPv0#?Xt6$m0$zfye5zCKhKPfn z_jb*t@H)UKTi5>R5CVoZ9^55;_n|*uk~7&xu9$1&$ax%+@3HdHx9SfVOH~4dhE(D# zOv}N2o~+7Dm%5FR=>QOj8KN28s*CLtNSgw<RzTzPiL%D$KWk;2If=UqZlB0og!PiG zy-+skM59Tws`>~W!Jnsdtg(#0H$gp(UYD~wQ?KscRlMKJD-{+&f3JQ<^HjSYl8a^r zl{Z9akjnoB%>+W4#N?y4JB3!?S3>YIE4JJb>^o665dv(}9FjESPH~6)VWwd)H^6<3 zW|LC{X6%UqJ~J?<(bY>gwq5#^U6kK7SF>l8j<jhpXVX>YoeSfIb7*LbV&;7(=o2m2 z#VXTS;ZzX{hbH7+0)(;0Jp4-Awgw1Zofp82S!r~ir$%HBMj1YTD#R2^5K{vO4Ziv5 z*gN~3k)OSAy`ojOugJ!y+DuPrZnTVH?8>B+`o(&A$#p)x)UhZ0Juekzsi&lAW~rSt z#I2j5UBGg$qhvfch&9WbNnoly-czZwSEPkuZd&2tqIcYgI4kSo(~NSb?{zx#Tj3z{ z)^Q3DAu<NSUn%UjpjFX3q%1Ek$>P0FNVX$K(oH6*6AaV*qqTYAcv?Zab)d(zd<Fg* zOE^J7q}j=Ej4!$!>vtyAZXQ|_){5HSB&3AljrKsSkOZQY5YyO@c^l#|wZHzh1b4`y z%|b2I=zOGd^vzUt=%v9J9LS(W4+Y^PC!eymX~zO>&DjCPo~1UvpTByAZ>spiJ0$4+ zv<qV?Z-F@o-@GAZKxY^=o;&#`Q_)WFg(uUY2fzg+)4kQC))>@N1bsAjf@O{VmEjU> z=e;Pjmfa@1TrmXF@YJCw&dgJirc4H+#jSMAk89V%NwWbStzTZ6W`djklL&2b_IZH1 zkaqhc*9vWwDAx<bbKBV<njo%2@~i_vZ@Ul)>+sPA=)rj$$U?XnlBI4njx9S4iEvZn zvZ1vx=V~~$4AUJzh|-k_2c@hI;aGE9Zd5e!&T-KCWHS*O&WaC^x(Go3SnE6-KBi}h zZSYW4;*OwO?S%Q&fo!XkjbUA0`rw5fvs|m-HJZ2;d9nR`-op3t#0T0D5$)Z_MwWL( zSox1%)s&M&AaB}q<v>Tu<&2BcudM=m{5ya|_*Hx_&`A$Ieo5%Con)paqlceavAL?) z&law|v7nIUJfb2X3Iq#wRNNpCjltV<Wl!W=3;NfCsOy;waO%u0^`mN|;XGeCy=Hy% z8U$KI9fIpL5>gQ1cr;I~UTnurUA%Bb`29D7p#G}wR1l;k__3(2oXJ2sgjqz)EvK{Z zpzZ<StR<s=s!&(LN@mBh$t@UH`r}&<4jJMZH*r-7vL;6@J;$DbUeEfw2&Cb}3=mIR zzT129N7`6B8=SxB&Py}@s{ga&v?<nNRLEu2hw)~2%R?a4XrFI8HS+4Of^tOo(Addv zr4-Z(5p@)R{k#yn_l!pPg)M@UHOgMc$>Ecz^Q5{5>Tl%5Fh`mC3e8m_)Ti){#||$6 zI{4eDQh+kUH~<y*ixbVc=(aXyWtNGfJ-&ST#EQsOZ@s`?08H!9elX5mwSQ!$qejyu zV-=Ye^kI|cn_H^z0C|R3i@f-d>zn;9@YAw_NvF_}V;@td(__TVGyd68YK$&EqmiVK zUY9%Zd=X{E#P*+5Ar;neKSdxMS*N$4YKX2*r8U0w?**pJBoYI;9=9gbmHQ`tv?j_4 zkO6BA;B#!O?mOoC<a1XvsVAzuuc`0fzJ|`i;DidKE&TAy6Y}vC4mfF?DUtGN-xV;< zHl2e53q>&}Yy1;mAD`<n&gc>9azrKQH9VB%We(g9j5ixPSOhfAazpH(AI!nv{)AJ& zh4+t%^tzqmQ1%XV$BpeHq1r7RW8Sm7XYcMssEZrH>14S$9VEUp+DbS+<m4+HeWA<C zV>FL2qt}{&NpipGG#|g>t{hloI~9Rq=m;&0<-75uW*e4ACk#Fw{)|2=27E{PzHuHv z5yCwe14<k!ae^(P7e8qT2(!kB_&G#;BceLxmJpvmnS_o-ofzQPOeWiP+VsIICUY5& zESE*^`g#BfN-lh&qTEQeV%g*pbjw!v(?8LS%^*d>xV=T44UeJgg7-0izcp=zueKkD zf~?To!^K9rirWJdL_+gBUP|kXE!E*8_1SQe!-DSq6I$w9KclA~(nDr%yH@NJuqHkC z;UkA3#M4y~iHieHGK7FS&UMehYHjN;?(5_F{WI%RD;ctMLam^^uM9Sy+$$iM&81M+ z<lx{8h@$vD7|b%wwchb9D&Y5zb0EbKW-E7x^LhA&$F_6}ox_V(O-7y$cVj%Sgm8%9 znrCmtXZr=&5pX3sn6@*pp$lKrWlnVsvmsWc3a0QmO;=d4!o<KA;BPh%+^6z^jGb~I zf6{p5<|5zYtU^Uk+8zxfva^(FCTU5_{-Jfcq#!|L-2LGxo0*~zv$50{g;`}98<Ve} z{#NbPG85lz449(A)yLb>sU9@Q<iX~*n!V7dx^Qxd-OV8<%GKVGT;Cqiae540B6+$C z(QW@c;U#11&5H?bXN{211l2a&sn39y-eO$amDUR!XL*2Jd$fX@m+})tTa0QTMrVf# zuh~33Eo{7DDI330fl8h_W_~D(>!on+wv~nvUrfn9S$}%eeX*lcO}24oMBHWiKSF=; zyLX5UT<$~tseH}E%sCkS^ZX(zTKR_(fB!#6eDU=-4LqX**04Di?{H(!x12?fBD%%w z!q%^tfwaP$Y0R??VGN3gw0PBNq7k_7b|FL~0x{k!E!1&}b4;Z$c4ELBLY~h}?NdG( z4>f_|zXtbnualKw>jIaapB`Euo?j{y`MP0e(U5A@D=ro@NiCNM;I+f&5(gvo=|ATI z*64^+sgwk@^%=3w-En|@T<Di2#+KwF=?k(O+#6@uya3i6XY<$**ROu2u#0tsH}S;r zlhwS`p9-2+D6NT*gxU=B?u#NVA;ym!furBOryfR+-+KG}>0XvTzB=6_dFySz{eN5K zis68?qCp~Ng(>$~u^hx__V9Y-hTDICRTm}QrUH^0$m6d+JZ~P+Elh4`7y_3g(b@bF z%=Rbgrq(F=clAWvT+Tol`{2Z2fhZ1t4FjZNFfa627K>Blg`kZMdXmVJygTS4DA0bk zO}3$el+U8F5X~<(LLHhbdQ^9NqM2ZWTlk)TTTIv!k@~2mdBoR+ImR3^S97#AaJG5X z-5@E|01441CNOO5RF9fm&f0j)!w?7B<#ik+*e-e`Y7p95b++)LT;7WJZcOy(?_kE- z_ton;{wIolROKE^t<w)!E{kaz*x?$+m0fPjO&acRE~(i0ne!Iwj8WXQ1rwU@ja_U_ z)Y$dHJ~#-M{11nkvjgGkUhmeh+(WU5UiS8mn+j76$*pjGwsa8)JEX*MX_<22wZ!;U zZ+~VFf8=aov)|38d17@gqy&B%(<b3wV^&><)@b4VnL30|=fkqUk>o3`bWgHp3)aZ4 zAjQbfM|e3YK^F~64k(T+)N2uqshv-3bUJ71%Q#uPx$dyBIP`sJ65kmjf{}mBDDTE1 zr#Q+1%Z95@U091**LPVG;(Q&_`ynF|3o^kny?km5Rt_<y(hbhGbI9*C;VT{bYe;5M zA~!*0I*=YWv&UT(`rbPVrgWq6No4UMJ+p*#?Kfp00$*3mhL#Ee#m0H{tE)qh+eTGx ztxU^_h%|=O3+&^!$sGgpTNAH}EXNF|Fl;fbSc5oVRgU#I4&7GZWo$f@<10>~r+wvx z&Qe{W!$Mm8<qEFR{;2lX9|9Qw7Ze*#!Y)5uRqiI6arB4gso-pdgRN34Bj^GjX55zd z!EZo!V7i-vcAqt+rTiM>ROHtv{j3t_xiyb+=M-0zM+}qOyy(vbdaN3e5#Qk|#qRvR z9JmF_<s%KR9i(aO-NrI*0CvZ`ZviPiI;+6V&v|X?o$JBxVv;b-<_&%mCb!09v)n7c z)sNTkBJ-U4lRGQ)7gF9O$2;%^ZPbzLpss_<%j|%1D?7cd;Y}afQ>VE^Le2izlApEX zlG|9hszpTq2+*O#=xqsPHpohW@Cz>CPz597^S$Ay0_K7Z@ufu!4{DBcRx|3<sATxs zrAaUBH*uxy8E{qGo*5tdtwyoAP`C=)tnsj3)0+~>!US?{YU|<+K)K&)>ynDl;{c1q zA_v>%@6`rg<>h<xm(v4k?fr4qzKTLkyjFnT?8!jWunhRk*UodvI=84_iQ3sQkzK{r z`5ZK`5GNlZ>KaXVlbl=Jw?uud`2!J>s7K*UXwmx}=Cq>kt7BEa2zA^0guY^wNZ<AK zA&tu+Atx5?4w3vc>=}v7?c@6!L2&4<9tMZT@<|a@5+t?~s~6|xQe=2%uBPMu@%@P> zpZ;)qGzwT_baQvL>*PsnL1uGgWH<AXSPFKYraAdT^QE7C#I-LTej)~_eZ#B*n&p$@ z-1(JFQaw8{`e#BO1e4L4!Zj0L`?)VF$Ui?GPkZLQ>0o&LsL{%kwTb(IbjV>ZEMR8G z$l_j%46rGb|5X_*K~qYzC!E)yrOkt|gCnKy6$gZ2n7#WOpo@}cJ;gD2dWj}cy}$7T zfRVa`L4ENSMy%dO*uXN`*;Ylm6`+KL&r$Ve6PY=HckPjF=;eiPEqcUC{8PPMVU`os z|GNdO+~8MMtj#vwhDk<Ungpq8R^&1y&b4R@x<hE(9b4cJ%wm!EBlq;qz171UEh&|g z<JyT4*f<dh$wm!p&rxUR{H`G;EHvvGJ1v4-z)x!c3qWp$GEZ2j4!?>$R^DJF<VTfZ z=(fwbF+8{r!$`l6rj5M6=<!ocK7w=fj(wTt>rm=ke@)@PTkNvoI}0V?(xS!AK-sgx zdi~?}93ePO4D<@)@-;^Ziia2H{#{|4g|w00T3^tnwjVWQ2$F6B4L>pogLu&y+e`;H zM|_i3s8s$mBavgIyDdc@@K~ZJ+0TgJ1aoZUB|}@n1*Z|l6g@t5yXD@%m8->`k=iWD zEd1HP((`;r%Z4Wu&nMiLwq=9DyB`ax$y-Xid)`D_oBtkQAx~@=!Q(wINKut&7<I3q zY%EWF%tCzaC>A#i@R&l`Pb`M=*rdf|aD#>2_o0*4?ET;;)GeP7Q%&+i4<)%)0XWrX zH#43iBS+A$miAC2O|<?2bQTI-!*`kjiaYRmQiRw&ax`vaA+VpNDv5n;rb=plTB5>z zD~LjI0;MRQnLr8GWR?t0;FNHrBbH)#>5ljWol<4;3PwUF`?Nvn>V=LTsbX>|={a-P zQ;S4cLH+*pek{rF_A24Ec0QvIwcmdM{cX9eMO2YlAibYS3N@P1lntI;)#GLqC2>OF zucg}@rHI^+?Ue^^<bQBuyiSzOcLS4*E>pQju6`@^m^f1A7rD}%ytTqzup0J}0eY0< zg0(MoGbmLX$XEky2MbQPC~W<<j;l^MNeGd~3Y6(>OzEOd@ewAi<bzVW1$AEX!T!p; z{0LFZvgWBbri2N!3b;O+w;S-h4Wkin@v7_uwHLgI@bKXqi!>eih;D6U*S8@tVq6S< z;fHNp8q1SCfDpHyO+ghHp}X`Yi#w!S>LM|js_|Ou*&`H~GxjmLP+Q@^6Oi$m>$iX> zQ4ZnF(B%=czOgQ;2Tj8a!+*=b;Q`k_X<)`Y8c>>_f}m_8c%XpsyjC#<l%Cl))1Pa| zBDMbev;}*7b2LFmc)Y*mTRInVl-au@8D#+>B)MFtA~~P)_9x`DP-vh>I=$*D7UsvT z{x>Zu|MJ{Wf$+XM7o9$PsFw79@7MzayC8SLHRuWTK2t9Ug?bz6p>ynOrAXv*o)wc0 z_Vc<>Plsvcyc3lLxR+Gu<u4PzGyI~5v5B&5WX5r&JJjy6I|?gv>iUx@9s+)Hv;g2+ zyA}w&=c}%>T}`s6hM2fz3AcA9yvIHd9?+eb&v(G3zj%Ach=jn}$)h9N%ZB6-LWfjo zdO>3T+IbCeFx1~aUPVe@!PSPN5rF<j4)WLg5rSl=St^P`D%H|-C(3g(W0?=8qs&WX z0Rda$amVUjGLOtZ=5p<*Fa}Q!%%XN>`PZnP1pW4n#fl8V2(L>vCkxx4YABvWu=wWm zx1!Xk<up9jWQl{ozxuIp8<~9%VYFRv_gI)_`fIvKl`llnQ$J$BH4@7D4Kw9oW^h|v zNz>HNCGZgK9PGduu-rW35nf%Y44-%f!$s*eW73(w`x1*xIxcP|Zu>7Y@x50%C!e!I z0P-7NZcNdwyHKPnrzPFhFStB6XpW_C74zy0Ifd&>oGgP+K>0c|ry{Lc6#2k=P{$7s zyDWxe0ypU@b}>^gyZuPyw*r}@a_{rS((YJfCnt02U;C?Wj1LYLn>X?k=3~f2j-J)M zDAOr}M`J(qJnuK$umCtf<QeiyQfgip;nI(>jYy<Xc2o^yv&Cc_x2!__pkL1Y2Sh@l zp#%2zEykV(^{64GV_3Nem$(ZPUy?am{)txHUG;YWR|~%Ld864@YCz+_dOrQhqUIlE zmS<%zVK7=P&+~l+{ek!+-s^LtQHxa=9KRwns@Lw9B)PHMFe>80``YtQBFh9f1&2ee zEz#xS%0?u@@ZaTxwFnielHN1aOJ!972}hRF<r9*bmeojV>n_k@HiG2#>uFEW(eSfY zDX)(l^AC{cQ&~yFz}sC@AM31y@S5fQ=5gPHT0Xd<Ru!DTB#WOZ(nAIgbvmfRu&H{i zm!hXKQTo@zr1tDx8#y^_;mfIxa*GSQnp%AZUO9zJ3SFXOhHTb|;Lt#}p!#Lg2BPu~ zW=>W4V7j?ot=Ydh%x^epEGg6MghXOtzc=-iAgThrjl?4QQIgfPD!<-mEfJ`9R6`qB z!D&4|Rn-6rfo$_|vajMc|1tgfXnpPbSAQ~Ez`g#HJXjwy^rSo<9no;Yc{4+cWhuwy z?)s?)O8;jW`F*R`NtAn(S1d>pPbLu|_7UprA9V<`BR5Vc^cSfc>uv{GG(V(tfTmZp zbhP0XjT0{Oz*m?o&8tU!4`7)hjCHjWZ&$<4y47;&j`LT4W|kHnQC0iJuXTohq~3qB z8S%DEaO{U8b!_)gY5|mmZjkei<Qoo>Z-TQN0)}x-%x+^J($-6cs#G?I1TV;*D^rrx zVg~A?QJhsT^*O{u3g&lNr0$~aP2%(A4+L*KYx6C+DtC?N|7IkZ?1Y|()y&G&47+JC z+RtjN!*=Jqc6f5RpGCIO`BvXujKDE|)us=Tk&a@3m<mo=^~l@%@5qH@IU0Ij+a#6b zfx)RXZ>=5mwE**+THIP#6md_yk}orzHr1_qfAH?fE10s0kCgPH6|3##%_YGwNFe#P z$wV@W@kcmpn4^6fV7C&~P34K)@m7PAH4{?slB3F}eh?-B=bin=Cju1DeX`V2#g?n) z+pT093p$5yEvrm-Z<ISX98oH#Q+Xn-cF+5j!!fYZS4!AT#nl0m8ySe_&_NvGn4=*q zle*8ix-;T9(76uTau3WnDrB$?G_8vs4p<Q>N!qDD+C5nrHQvgeyoudKchh>iEc;XX z8kvik$5L@i^k~W7xJhWd-i#;(iW3!T7PHFcaMACbUQR8A!}_Q(1mTpG4y7A1$&WY6 zUf%Lp>x9q4D<fXj3w0hs6dqT~eM$XY)(5}fIh46igMoUr!%pm`@SyCkUv<K8Q_qXp zKk~gT32^S@Rn~n~CLi!$34A)x3v$ti(n{NYU2|7&9zTe`P0lM^RTeiZ#B|>cGv~94 z*cHh5*mjY$!6(nW)7YW_IK98@C=_a}Jvd~NUfwc>dDe(Gws;@2Pk~78pDLT|&c3RB zNszjgODGoiVo#QMu3ZrrFXX-K1@Ti+pURK7s|P*LtR)LLFk)mS|6R>!BO1SKHj>S# zzEAi;)?X~tdVKHFT?47;qfy!qIF69iMW}T9L%$AOCJ3}hD+5h2lE8AoPHrw&&9zpx zgePk$&}Y~{GH^g-xiwVU{Qlk49q{eHb=$So(tKt;MYh?L$4`r&+rQRftn?Z<<G!qM z+QRXUp<C7(%hV1wN&_=HXWio?t@bq*j68$aRh(1^4g{4b63=YwX1PCxMD*fs>}tS4 zJYoceWA)<*>&7}>L7e_MnV}g9`rVWVhOKliu2AL;$=<;{J4o*4)*ia~Q+@I(B$|Y8 z93nm8mC2B5bW{{QhP)*0)~K2!xTDKsuD<>*Sb@_h-eGbR8Gb3(Ui{d(Bx@3LESy{S z`Q5X~OB*rT*ltTDTwcxJ;V(dJ7klOAunl%(jH%evB-|b#yS#2p{07p~pvgbpgfPgQ zwQSo`S&?*QbXCyiO8FqusF`aj?E8U5MuiNL%OOrT7HnAz^eD5+dgWPlA4iXeVzt|a z(UtQpP1$n+Ll`O6Oe6Y?WyIAw7;))Pt*rcP7~04cZzofIh(s`mFBM1YoJ-D^SN3Np zIR*OH0vH3U7HEF&EOFm5Uf=eBSx@7owQ3B1eAPyOIX4Ac2HO%H@{$Z3rc<D3+$+%f zJT(aq$O)W_@Ms_kYEFRj_SqFjW39E~rLT!k07rn|mqIGTuB#Iw6inf=p5#VW$Z4)G zF9D@iY$m(r;;kDu!NrF5>nqG3cyXV|PxB$^GBw_oRl@Z<5gb|;VW++^>M(rUK#ra2 zSeL7HV*=bdE+S|CHc%auVN$@Mt)9JG^rF&u!LKK;Zg;GFQnM+i;9yjx3RzAsDzkG9 zym9NEz9#0P9Hqdo$7G#T-XZHHevbC$z&Qw+qGvP&nkR+{+ANwTvFba1^Uaab3<glC zW=EFm7CtRZ@aQ&|1Q`Lwfyw~7R#bd~QSxdAkn0p(nAL=n=v1VrznOL+I6gemdaSLX zrF2tP*%=ijDo;bjF6Fx3hv?3aXLnRdFb}&fzk$Cj^XY#zkfHOvCUhn)rvc?2__uvm zR#gD^a@O*g^s6<z`QyKu{+=EBe`!Ogj4_N;ZFAFzIHK~AxB^SYl+m&<1BN<5VAgEM z`6dHZ1mI1T#_E7fsN?evnV3W?>?=a+^ThqM?We0WH~A>^gg@uK9{s`{!aqSCX)3;k zZ0!t9GvsAyU#*Y6$}{LO_{+9T(owb{Y65t3u7g6c2b&fay!4alJ-kHP80;FG`-DdZ z7fSn|BaYw2q4;TbMjwto%Om_zhp&cFMlO-YDTMvQq=Ho8c2Rp%bWl1f&v16%l#rN^ z$(aI+BIti0EN8(5Nphx%L6?~}{-Z_WXy%Y@vL_|UO4ti`e`77~7z|8z)0u?pfe7w^ zUyf**SI#2&t``txOs1wK&~Gh^{S=52K)~xQL=XR>v6%F$O7c$4=MItw%IbRsK4ttw zgxPSs;<mvciuRfypu_a6bO+Tbws*5#Q{Gi<RJ9xSGx`kSD)6TzbDP|3z(m;0x8bJj zZ-6ifv>RYdtchcVuP9s0D^S!rRf*s@eKmmN#10Z{<jWa&@46k^r_%?9%NMtcp0ZTX z5lD!pCjPBYu!iqQXaL=gmwpF9=?bdDb!iTHOnyx69w_>@ojLEI#J=zjxdPk-$=>Q{ zSb^Lyvz<GK4}JN~TFR=~3$?NCdHRDB+n1C~w_tRQ!?t_2^|qmniS8PX03bWYPII?+ zwO8NS#Dp)alRO(RG<-xV1P^)r%Qwep%YH+I7ALI;;oz-Lg&uwJsO%n4>Td3!AQhRL z<vmhyXqGh8GPG<;p_9zJ*x?O1^0zI{^d@$?JOyhtpu|OvoFbAoi{SqOw-7?ugYXjv zX+Ui_BGRBRQ3DGW3&0=Prk16>oHGvKh{j9%d}EXB+z0wbGamISYfQNCY!<tE%3lqn zDhY5JTSTY`<|*jCGl;|^c?DW`&1Nfu7$LfM$vT1M6qWQ^m6wr426k|it?4>ieK;@K z$@JuqHXT;^C1i2;c?&IU#431w*b}N4&H0PahRJx}Ca;^%Xi|Br#BOaArE`$qbS_KS zu%zXUp~t2Uyw=nXK6DwK%d@%unVkft?L(~`O91qF$O})BMaYosili_`Fup4&vN;a2 zyD-=iL1kBQV<z-s{+X2u-QE)eCDk)6SKgDwp2Au8u~6vYjsWy!iPyZ^Mjfj+Hu&OT z`*`LXg6$%)Kf1srm<x^_O?Nwr(@WQ;+G3N%CSQVLvqc#Hd-~}AUiKg6UMmBN6MMRN ze;8j{`f`z%C87=c<(EM2pL`)Gp4lR_CSRG`qC4+E!|<0C#o!P7ERT|jCBfv2GNgFW z4yJ|i->92Lu~BCF%wcxrWRC3Nyr5Ae2?dhgT&*I^xPqBG*`^riXOpOou&I&NT`8dN zGuRc+=){?<f|S5L7;si3;#sO?jwQI(Uj2aHj8Ydn`;%gweTDqY-c-r_$#>_0P8O+D z3qU~CXy*?i<yk|dFCDGJG9LW+ecv>A-m;d}`cc`>9hGrNDfMkD7Igw`+#&#eK!LxA z<{C;1^PT`c%=eW2ewzOyCG00+lUAp@jUKNi%$~)5t&&f0h<e#ybZhA@6l9X3)rRc; zf@OsOQ09M>x;A{w+%vG2frPAul$>?p%5*6FmuP30u=uJy)qkFUA!lkv?Qjx=T6JoR z<q=vAAaxRX(2q~&1yby))Uls+LuI#R7(Z8_;a5TF8NF*$K9c-w7C>!)KbY(qr4Di| zuvQ{E(p5&3SEG)&cgsKvlw!yx-X#~duwKKJRyY{MQMjb*CGEmz`}OD3?8N|Z#qzDz z-s)b~j1iI>QK2emFg?*)Y2Y4N*#U~MYaQ-Cf0b=*H@h|JWGJek194m+?<lEwlC^!@ zggoJSF9$ZqS8yqT{KjbyLcc;hU6lq(ZYW)d)4)O_f#@$ml?``=N>PG!%D+?*Wpb!F zrWorjF3shDhqC103qWOqg*eW%(9w>y-n^{0q99dRx)40<U8jN+c6;c%Q&W0O<MLi~ zbF6C+kt()B;y0~z6Mb>?zTHBpm`no>7-H2V3NJAwV+dn!xb!KNDw5~Ey23#8V$0Xd zy(qE742rU6A8Fcv1QfM#D*u%byy{c+(dgILrL)NgP@tYbGFF9NiIB=Vsf@fkf0;oY zN}{X<;T%D5Kksqt+c`%(uzf%)9Vo%4B6Qs&J!QR$Z>5hZ7WtEH6QztC+}qFGg!lC2 zq*)mD^smWCZt(sZKx-iNm*h)h7l$ojCGcr)`L~D*-2=sf{kXDfP4wu?75$zeI7E79 z0U<U#2^gRvzL^QiyvHOdrSx<iy9le?t$+N6s25M^>&u=mVtAT~nJ4ZRsCSwH8YmY2 z3_S=|INbHD)7zF)SwcV`gz*#m-vU@{M$@YM!7h|x#7LBFPTO0R(J(2$U_82^LRGbX z;yrKIw+*~0$pU(R=?Dxw?w78uYZWSdpR)MszD}mU>d?!Xaumy@u_Z^KA2&&vzrwYw zQRGFwkd5L%^xEg?3_55n7ub+vt(IU;2xqlFTbyIIHetPWLt{eAkUFaWsr2P@7{WV< zQRYeKV^+*eD}$#d+Tj*07<PpD)HK7D`7XK}IT(TT3%i&IdmVL*6avhaI`rsLi*jJ2 z^HPU775o6to>1i2eaPl4Q7SS_o7}_OTc_YGVQ$^T2JHZ;<rdzsM1T`QRRtSn#nK8S z6^x2Tma*O=LqQ`zye<6#%TYcXWPytz-|rPnSEyhSHODBQFF6SD9e!>EQ*E^MLajV9 z*ve?{fN=sF*m#p0!TxpZ>+19L`vf9(^vHR?iI*I0s-lB#(H^-*9W;;yWKwV^^@5VR z8!SO|e-=PJoQF6EdGJdY6vt?p2m{10I$L0Qr&jwGD!v*e2Cztq-nRA(W1n_Og;+L) z(TPaPrFCByA7^gZ7pFi=WUy4O=X9QEE_QiU%msR~B3*lA=Fi0sYYc!!$rVcT)?k5U zvaU#oU5q8phZh8nj`>7E6D`*nqagZ-r6uq%l7T70-tPjM(}{qD2SLb=>ADM}pm zb;V`kd7~7Mm}F8~LwI_OHd$V%EBy1QEm;jsA{!4wDHZM4XZT=@=@vPG1k%QbvvvDo z9w$O539(7rHCB<vKr8^~GYKd<l@ReyLsTZn=osp;p*oGKN6q3MavV@6_!e6pCas{o ze}L<q7TDbcs1)Jlgbui@Ko(#{d`m<#K;$p1FBdqOlBKvRCn2b#Nu}%E{vcz15Hc?s z<Dnf$)6i!q_SMJ@Ea19NxSsoPjy)Gx*=;z+B0PBkMf(BSKHgW(iROtis%_88?T-}6 zoJkaO0YRtNMye^Saq+RIMd;qVVUAd!j!5PL9e>QOb>uNB@uL+mn>ek|+QxBh&Qhu% z9qO46q7>OhR&1t+G~K9E!8mcRcjRWSR?Og?j=ITp-j=28PADZrE5+5be#7;z**RhT zPksMzYMqlNEt|DwaRGZLJdi(8Sg#AW5PAN)^;sRiz-TXq-RH(o1Jcra6JW#L%iv;7 zLR9xVv5{1(+PM|d6!#ko6&pL1S)+}zC)`FaL6P7B5c$n&-NVd@<8P&H3sjBI)73E% z`f;{cM=_OpiAT|U?miUrm`5i#R^yy#m8&-|!**lf*+q8F^S46VR%p)DjHk<@uFu|u zyS-T{ZtT~3gN~w)Lf^BEQpGhkcyc}Je6Qt%DjBUlKl)@e2cx}q9<?%fI*3~DtXT+a zxq)&Qzs{9r9Z?izJQsdftHlt%RTKEfgd$R|ZLjaGX?lzozYMulFrH2~c{oC8KoPyj zUnx^XZByjM#M2I9iC<R3b5P0LB}%pX&WK5wQaE7>o(ioc#<jbqE_28wAoI|Xt1RzC zZwtC@P^ZdatOoSQpu0x_;8BC2vj-sMlT)cPZtzUC|FprK?l>7v5s+G%G7<tVA_15E z({u?(jGT^N5b&x!D9R7qRm<9y)aKVgC2`C8;X4lE#;)==Yk;mx`dy^7-K`~1BVc$> zq*Xo3eDvw9{I!gf#u{mUa^0J0fOfgg$zue|Up`5L*1ej3dw6YbA0NP+gf6JF%CrAR z)GGT9b}G5Lh)-cFp9OYj$XWd~nM`Pz5K+U{xUudi;^ewG(_0jPs-rZWxf&OcKc&wJ z9n$ejvYineu<ztg4aK8ox;chuqb*vWnU~BrlB{A5?oG+ysSLhHilNv5Reg*>^5xRP z8(hH5WKEdvQn-Lfl;52=fkgCrW0w%9jk)p|l~`!<+x@|DjxQfDbwQ*YR!OF`-f!(# z@I@)NqLEAxiL*GVikWG_@fS_$BwRtEpdJ*{e5=c8oh4q@D7b+!$ev6zgO>ouw3{3v z4%h%`&%kq2Y^zfT=6!U*jiuG&HiRdZBrjLV5(wT$XP%9HqG@QooE$zMaIucQqS;pq z-*;N-5CL{I?hdO3g-Jzd*i>JwE128n61(4@AM<o%fba1I?fj<@b8u#97{YO8LE?Z5 z5tknRkz&ZO%I+#?yNu586XYgxz~neuYiVuRQKxR7gx05VJ}bV_)1+vnQg51WE{4(X z@j-E~bXhcV=QihCLT&o(`n3nuX~5CodEZlloh9%$51Dq;JFDMqeWXuQ?OV0~g{K9W zYP<Cl(wy=V99I3gCT3^$lzEOS--1WjAY|<(zPDE#mK`P<AMIiJ;W^Wc{=&DpHPpQO zjiPMj-EDy0SPhQ5mu1qy9-ugbW0h8#<PrygQGnclq<9jtRP+$zDPd6Q($;PV6P}-C zEQ)^D4e2f3cf|^vNe^5EF!E51Bs0ASD0C<8?*Q-UIt?S@wYn^4ar;aF=v-91_@Trx z&_uLoGe@W0(Q;?t!z$F<Lqg*al*I!}d#V$W_#6EiCq}u060)8=XiCafd`0;l0WJj| zbCQDIuY&J@UEmaZRpum&Pvz<9()0CzsaN8ucGtL4995)Op{1dM94f*tiWXu(tkbt? z?U(1FE)dQJ?7DlxQHgA4y4X+_>sC>p{fS)$iZAF28I$GXUE~7^!=22&LrR0t{D54P zt9%5UW=pkL^&iKzJ)pCM*`@Ye+e#MLe{~xK>}(8VqdBaGDGpQ(&<Z4Xir0OX;9V*7 z!(4n!ePK%@CMD-*bF}my2ob>tRge`XgK=A)^~+8~mSml!mp_V-q4NaAh2ajf_WijV z*3GBQRPTKP-ZC+fRgKVj%C)KnckeK}aBmKJRui+xM<_<^(7YTrC~X0@e8qqBqvwt2 zCxlah>`0#XJ^qbW|D=7$NUoP^amxCe49F|L&uX~VtnOi1dp7z|Eebiw@TF#eONJ*s z>!lF2^1@8oUD^&Y5DOP3xjv@Ih5w#|#ju6(e?f}`I1zfGB(ZC5cHr1ON{kR}pi#vW zkr*-$Dr)b}vq6oaUOOgCqcwK!V|#kt_6}Z{$R>y7Sq(nO%pMU=jiI<Y>{jB0kjn<G z&**v<gyGzR6$@iokS@<#|9TTCP&IKc`Quc5E*|k%mZbrgzuUc{r?Ogx_6|c2=#Yy( zw?ymm76txqgtJD}xIHpk=m-PkcAfQliMEr(HVNRr#~T5@sxz7BUrCCC(FLI!S{G}G zaau5oSo#Ozo19QQmXRNZSQdbs*1Rs%_e#~@pmt0I&4lD)DCpU!v5P)hh>9H7*a#x2 zV`ZlGU>0yy%(*cnQ^A{tEuRPLvHsfiNZeT-C(W!|=Q*Gja{L8)z_W*S)K55v39J(q z8Gf?8|00FZIRG*`x6mvvT!s8ZToWb_&ZappDkz}T*+5QxHu8_fJ<aE0X6Ux&7_KQ) zNqYqj1|H@e3+Hd!StgnZrE>_!yX*Z$RB1%VB*C$>8FGn7yis0}0bC1`r4k^NqVhv? z>%AW9w)A8jIn|-^tv8RKE;|*1bZtZ`7y}FIWU8%OC<vzrTkr#_;b<>;=q<nGpy_Do zh|~R?()_zkqko2tyX;wGe_Dzb19?CY*!aV^liyIZ#(boRs>P}Fw_*=}vvi|9@f_gc z?yzm|X^C6ogJxM=<!8pFxG%Vgvwr5()`}K7tg<Qb8Lm!V%Nl@2@5Yc)8fYkY^5X<| zRmLy1iV*#S)yE49X(5}usOyisGNC%D1r|KBg2^kjb0^9JYmJ|`iPW&GqWcvEh;TSg zSieME3iWxUeB8QRix18HnOLIOoh$?&sb?)l+BVW7l2n5Vyt6sG<)Zmc<#r0KOkIN^ zRaI?nr9Mr;(y!etzY9pN=W!O^+7tV4f-Mr_xFWQ&wP2rYu}J5cMlMNK=yxH*GDhTz z$;A|(qG8QtB0>9?33s`3O8T>oj%Z<5n5mw;j5kd}x%j&mzR91l5RFOGCow7d61ka` zy2l%JZ;ds;!LQsr){*O^G-{&<w4*Tg5+;yTy|d__%0mxjKX-5~E6!sCH0UWvCEy5i z)c`ClSlvHP1;A>4ug9^ronM6`B}L$9M)**kBnWH_@HgNzAzidwr>W`<|H-2!%SzGW zY^`@~92nah3XCCeYI0iZ0hJ*9VDSo(lx^<WZsZ1sOjX(|r%Kn-A*fb#6_s@A(z(h% zsls@B>ZC?@yEE;1p9nO8&p+XzqyA9QsdowyxCPGL`d$0Bx2K-Rm=TM~y%BBreaGJq zCdSgh0~$Iw7P;-o;lt`H9dT%0#6@hI#*|Py5xQ-@QsF-rKMGP>`sHQ2T_7DVY-s(Q z*1Dnq85X6%KlOKmT9}9ItT8cq0#6&LkF#)532g<<<-wL@g6@q&LRCktC;5vkj3auU zj7ClrX;StlwH@nK)c)<&{KK;09K?m#-na1@#`W}0%1~7+O&6+H(0l}OOf6&hZfSc$ zgIFz0e%dT@$kS?bS7ys3U5z$QBD<mwW=THsR4w<qNjwIG@5Qegi=NJLt5SkhJ6-+O zoTfesnqlfPOhOCZb$onnjbxP?rgzGoS7s$@Kp!tHYnk7yHYj@RkQXt4XdcImV&Sgt zN9_Zat@m-&TyiCttcdOav4{8?9W+|Q=Xz<PKjwpgUAbxGTI5K&{_U?A3(?7x0Lo}; zw3<;K3u|4>(NHn&BUknt!>t<qW{+*^*^0cK9~u^IKB1=nILL=@tu-pL)MiQE01a3Z zvoPfXCcLWK@4tAel;He2H?k+cFD33X2~Nr);M<$7TE-OhVg8zL8TWWkwjHTU9oQl4 z{Rc3xR;dZ*`qdV@kSU8amT?KnrdCQuvVV>kUfU1qlF2A2HBF4EZlfo!4M6LH>=ED* z&No^Ffa~H%d{=RQBfK~HV3IE0$n(;tKsHBwx1U7(4bV{V*fZr62|tg`zUS#bBVn48 zU1h17DVF8mWH~HM@_tNRJ0{K@zzAF6vZW_5+vA(<?~0$%dw!Yj%OT7q@%ymf$qh;~ zJ~QdT;)pV6J9>qtaC+t5z4kW$j~x$dcNE^%9Anq<AdIuM!aD(F27VtjJ)vRUS3+iu z;BoOny{_8_0;dC+|6q$6Ov`jc+kc33LdvtQy&Nzoh1>|ejhZdQaZw*f*f;eEc$!8S z0@cFT*{NG3Uhsy+=5Aw^nWH^z+Swu*_0hgsV9h!HINf?@Rtq>rh-Z>&C9#9+zqrf9 z!N_n1=^TxO*~o6UiIOY%NBvJ2@WEcy`@td$eVGdg{yB|bai5qYQcz42z3s_MCkxh^ zi{I(*Z2NVea(pG!>mO{!C@poZVURb;u30DO8*e!}KW!#!f+98(xf4Qs-SLkR=`zDr zy4UUapx1?;j&$?zZ5)2<H{0=fXh^6{$|PBa<Jr!eN6HBJMWk{IoruUNPwtK0eT40q zP*ffpz0JwJ`_q^?Cn)=RjI_;V%x_Py|MrdJMn5LFDxaOs9<cE={<>9p60v1r#w!2! zyUun1`>?}D8LtnXBp8g76&PweoIL$MccO%O%|L4rig|VBpA{K4Bnb0T+*!C;wF^}1 zBykQ@!N8*dZaA=h<2&<~*;=fwCn>T1-@<2pd*>e+n=L{}AxtV7fUopk+=2DzieKml zt-R0bkv4#0mW&X>{)XeBtEC$gzjV?q{gy+~$G1`qzazO#>5*ZiTEHe&zrVZ(kQPc8 zL@x9YpAOL~y&-)ouLS8uN?5dtqr|q82c&t=hAZj9{#x+en(ePkaCoCToU;OfPX|<h z(5v7Rb8J|mxa?2_+Fv`JDPiS6c<6DFG27TJ^OXzWuHdf^icFUy+|cH%wMn1!1y=g; zf6ajoM|Ey){$V-~-S&^K{@VUlB_t_I2FTzTsK&n13=G1V_F}Ei$H@@(x1DY!SJ<P# z1O+Af8F?N-NNQt8GH}H+RZYW#Hj?fkSar=b<|~(Ac#8W6pq&_zXawCj);SW`9eYJ} zfd(9WPq4RCbzu0}r!CcUktQzP+F4!fte3Ycs0EC9S1GTY`VWce2u|OyMKgceRvCre z_~SRL!}XN-PEtxW%pu;A#(K+7z|md*Tq~1^Sg#H516@|-g0kxs_;R$EOrIGiB8VQR zrIy#BRP7~SC%7hFo^5d0iTr+U{Y^Mqyp&YA;AgopOrjuE@_bv9VjHJELfqz@hul~$ z!w>bGd8vPF<R`V$_1&511u{%$^_oZ?Y@muUVh$pkRnig@!qAP8xV1j!$9Bq&pAc&7 z6dVYxD1~uaS&tngKy`dmuUj|3a1!#**g8d@IvsqriElTdgs4-o<i1JS=#}ot6r*HJ z2%b=kzB_goA~L41O_#Sn>0h8{D$^M@*>r`!5BF1z<;jo(>%M-X45h)KQARWl<QL<B zLblvn%fdY$h9o35jbhC}S}YSWvK*nXt}iYK?Lz{#`503c2Ut(!T&lIuRVIFB^K{V) zf6mi?-O%Gq{qWu1EGijf+e0+v(%&PdTFo37x554nu4Wz9`;-#D!Oo7x>TLsbc1*(! z8y_cf_igaHU12K%wQ*_>JOF|M^r^|87_;hdWPxQUP1DnPIRm++j7e~z-VV{NZFGS0 zQx6ht?s15rXXVsO95isOp<!)n^mw0Mfw^%WLvpK?teZPoBB~TQvzAJtxncZzNTtf> z(q<d5Pjfc|g5hA*|GZ_$ArHH+t@DY_!zpB(^^1j&E3SVnh*Ud{O89P4ZxrMhp3)gF z0g-0)O{p)z28*GpO<4-D8X&LweNpo8i7n_LV@ney8jsW=s%n^WG-D{ng23YA!Gxes zx#)_hm;Vx!cz~M1l?8xB!w?2$vRp?%tFLN$nDd|jSPu?)a>#rehAN*EfaKPHvP;C| zEzqGnkoMA4OjKb)9(nvl$rR0HM-bn8Puv4yb;VBm#VUBJOsbVo{iy#J5h(8088)l3 z$E9Tu@Z)IDGm!{V81B1Mp}@4Q>5_&gXhKY2cL4q_0*P|^${|wF?VAw!w>K;rEz_l0 z+e2*}pyicHHzvkF^Ty#X^Nyv-Jp>DEUHkAHwfZ4=T>B^{Q0bK0k#)2kPa#*j>5RyD zRRs{xH>v-i%Q`B?graWFgrjo~_;gj<Z?_8@z`;kc(XcBb9VTO+?iPCcSdiWSthYYS zG0-Kwdn6R$w1d~-B@nOfNJ=7m5iyA^+AKqsOfe;jUlNJSlD0Bmqrb!i&c@6^7-ngR zZ<n>AF>13TsOst(BirF~a>$85W8h_fh3-ddX30F#A<p=LG0UP3aD3PWlu+Z*iW2yZ z>*%|#1+BfSq+9nW2!;pvw3&*s%hpLTjWC5-DY5&%_}5ic#DGX^!c3?-5Z*T153+iU zTysE#)6qO@iC3g7v*H+zU=c$ZIKg}@*J1mObcUwf$&mSqt(7Z$t8TLPC_!~UzY)gL zua^1W#Fmg;cdo;$1i85c)DsFKNO2XM0^WuNRdTE$E)p~>AAVhu{mUW@mr{@hW8z!$ z*Dnytx3~TWe{@tLX~sT#?J5MrYvXXm&1SUOgsGnNz#M)K4pFw104DM-{mDS(L8FVz znJFm<MyV%u%m3|>hYiq*59uuoF?JNoIb8{TG;T#dPj$evQ01(MT)YB4G48LNLu6zh z8UFQ^O~j}cDf^sP73N+3RA<U%f59*Zg35-R?d!qw``se62B7{CNJ&SU>GD$TKJJ%> zL=LOt30B?KS8|g0j`_+jikQdg=l^}v@od0i=1DkHKr_BEV^U~NNUW0s2-2P%bo)Zc z(UN_k@~MT>-#2doiJNOB884u3IuOxSX{m||CUK*h?Wa^=Hm9xR=o$I@ORq(xu~4)h zHbNNlyY#>uS)v%Hv6C5N7|?07Q$(w-*vuw3n%KGU8@RsPR!uS2r=d6W2ahqL#A%7# z7Zv%#7{@d`>;aMYx*yPQv#H~-u%b}R&sRcEiHz+jU|?GrE!+l};D{ZJ{8a#t8CUjR z3187glJ;CwI8|}#(d17tw#)p6WLG(K`#k?}IA+RC#&n-xf&47gpg4J?(745<0QmOb zqM4-v`k@bi6Nn(oyCokq11ipVo7C99U)^A7G#rWcm3h^sWR@V{LwyO9Sf|HgX0czh zWTOc-Df<;CrI|JR2iJ9gz_A}{gC-{Jd>-Ou5ubDv)y=G_|8=Jr!lBZF^B@OI<0hkd zf5b(jUvnuo#uUmVo!ZRk4PoEp(bDhVcZ>7e9F%FwCyp_JGOvg|Ocu8ANx?TlGz+$F zv8@?{lAqWY;wS?Kg_@?$!w>CvUZjc0Q%;rOS1@o+y28gaI{?rKowrQBiC6E`kG1+o zs0qV2V~78;A{2Sx0@G=?I%Fums(WE;IqoX*E=T9@W=v-UQ|@Jf%APGYp;sVxWdChU zHn)>Mope>vy5~Ie^b$H{^ux?zHpFk7*cUNii&A|A-Chty;V^3>MKRTM!13k9ip~eT zxEhS^IaDiht&dAP#H}Cpof}==@TLlpqoSHL!F0S)stAX@XvOA&vlWPT>6EFH!}h*T zO1iekD^)q!cs<1cc1ZYlVdqE;Y9fB_6Z6c{VW5=Ol}zi5b%CO06?C77#$+%5)<+GN z7FSSI@rKtk>{RBex~mp3R1Z;ka|%J4SbF6~RA`V13yM}#6vA3%<U$24N%8ebFL?TX zk$KO#>hKnrN<&DFu}HU<HH(|{WjQJ}`5I!~{XEJ*+y2bl2W2#ZYM*PJaeC5$oQ}!I z2d2s%C7&`DAPjy}BQ-|((hT*VIc5dfic0j7%6@_$9ZH*GWQ(3=_9Wb4OwhiNH=7k^ zOdCvp%4~R;EGe)ZXjbw`;{|*t$BHYaGB+sSr+35iK&*$zo|2n`i%67T?p^YgF!&Z5 zovW1QhX^>G*C*O}ef8xt;sEjr=M;9!H$@iv(<u)_xOq@DS5}wLC`y*oxxwh#UM>jK zS;l)~=nhZ6-^n{2v*=q?M?FZnzd~*daA1@m0OyHCd@cv@>^PS_{dan+`_(FFhs?G_ z*f9dGMJ~-&Hle;28&lk_4f{7Mp2a}HbD2^lBay512%Y}iLxAfg@dNmHSEtna+bsfT z2kepX3jimXtn#=vRj^ZGbC`zCNUY-y*&CNJ=GdZe>khgnw;>;&d&QLwz!5A(9hb~s zzjVR8-B%~JC<n9yI$}(xs|EN^JrJp2c#qBZy^3RYo9aB{0aoex2|mv<-pZX|>2enh zZR3Nj@dLnqOik~Ovc5o|+DpDf-RRn-;B&&>p<#08f4Gpv>^LQfX-U4)p>H@YEzqP* zj!h(KMn(e|>s<-LUuuK+|F*go9G}(O31m+9NNQ;oDtwqOWtR21tfWyj5mL;4eAFdI zip=#jN(4!YY0w<JE2u4IyG5xq`=t@NzLkt9=}LgZ=A8EWArh{E1R;<46nozD*8;61 z;QT|0AoEQMU7tKYaW{gSB(>Q6yC!S`+(_OIfS#EK&v)mjX;R7pKCxqfZnwn!x+=aC zTy|!&RNweA$(@So%XMD-$v}o{gis?RweoPT))i+zpYzzug;@A2c4v9u#t6Dgn8}fb zwWFwX!`_=D*$pEZErs@T0wV5oXr__7k>$drzISHU*EeMMwxyu=K8>Jn$Y#pG@o@T9 zExRQD>8ha>(J_b<3)-!K4e>H!CF~o9$?i`!$iDl_IiHf8I=4BfyzzX#X4UT=2=BVc z-wtIP;Kj+#Bz1?Bn2Bdk*=_)0^;Ui%1k4A7@k)|y>n5!W6@1kJ_Arg;OtWq1*%x)j zi;YU(*Ga>RYHBv?1Yxe9CnvIQeB)yHAUR<;UUKDZ|M7fWlCY-bALCI4NU0i!Rmr!T z_o@C8Z40zE$b>NATKP02S1`vC&3n$|*5nV4$_E0|DO}kI04;ixs0x|j|9PE|gk@(} zBNign=axr{0k;;`+Tiu|&wPAbiwTckF5H(xnEREN*X6Bf#AbSSAFW_DLA-@GxX&xm zUQ-b4I{-$S;4^;_%LBgYm5|~|0j^1kI!zlRZ3;ZScQ$hk&G}KRxuEQOsWktaA-bkv zsg8$?2NdY87i~`(v$VHnp-pum5%G(39{9kuoMaKkgvuFKy<vxBP#=m!wU+V9LpGJ^ zk;cgdlWFs|SGsm=T~N))&{)k|s>-rx0{C>hO%qc$sv<d00Z@UP@9Cy;@DsPNzpzFu zWUPa_K|06U@6pL<^Wb!WwJ^*E0BW6z>fm=D^;nPT=~}9d^sM9AGSQ$0XiAI$3vH+b z+TE)S^4NtKKk0><#XUc$1@9G46deu_#<>k<xdqI+h^0tRW13`dwHl2g9Dk2hRMU<S z)?PxvFO7s{VI_8?dr-2y{<#5BB*NxO#-a<8{D^X0`fii^L6r2wVQcI+&<=M0;UrzC zTG^n%N=(;%xo8x8#;Pc-TZqF*&g#@k06<q4d|?+>Vp)mUw{TqQrvP=FDy(F%Pp`Xr zKr5(}cyaNF`>kor&0lBMGbg~h?RQ9lttWg1#-fZoHRv?qObb!krd5o%pX8A_orL*R zghyt26g8zXD$stwK;#TO;!MydkQvw3X)#Zgq?iQlY-n>5VK+}i+2iy{4v7&epD_tH z`9YlaffF?!eaQ<_ixaBurbN$Wbv#B!6qVXc2lAtDqXubOzK0>t0Zp$7fhpkiHn(~l zJ^ldGt|b};fr4vC-ITWA?>1z^1d4VaN10J()uxw1G^$lgMTbR9>R3j9{PXPE`Y*Ir z^jPOiE~<9-sUbbk+lVcItwVx<`*oYbB50g&-E<AXCU&NtciTAL2kL4GgyyaB*}KIc z#!#vkSQam0PZXN8PRb${7)xjM4$3FUI*ez2>S<WiGx8>rDw@)4ya-A}kpA8pL(=Wx z%dTb}k@m9w%1&t9t5oHDASm-YQMM&_f^{=0Wlh~F5`UDYG>Cl&D$sk6i|}D+EV0<| zT_sE7;S{&+AM{xv_Mi278>4@cb0BAnwzQOJxKFqr@g<=8K<kagYVbs(YrJ0y5ppv+ zT|{Va-!l&EJJn1(SN)aGKLGUY8J0@1*tA3JjLKk{W<x?8_8qpW{ZfjqZCwt5;&2rB zA&R*u`SMRk^Brc+p5+YfMQU-%toaUW;e)XQ-Z!G|J9M^*Swa}B?{WW9K4-Vv-=OAw z&@U_%$_f5n3o%u@23wG>v-H-Ev85N>yS`C)l0Q1Y>$5SCHs~2?>#5S~kuxKDP+dNJ zZEJ(SE8m7z%nei4sEiqr`l5;Ce5<VNMF;l(;M$v7I~a_+lyK%opzoja1;6PKB<8Ab z$VVi>x1>-}8A14Ex!O$kvvtLGzM$?#*@afgPqGk&L94o?(Py<d(m7Fhr}I8eX*J#v zK&&r9As=l!^NL_$FG~84Z(u~`MP?F%Jq#LREgZ!Vl4e9fbC!ID*T1i5jd7crcgKtz ztNr9)svBZX03Yc1L^`GxYc<Ja3bUb0z9}b2iXr#Qx*!k-$@sNf0I>qk5DN`3wcfdL z=T)Xo^Q02sRfn|?Kf%d~nQ!KPj<;dWlmWDX_cx2GQtNaK6^fZ`HY+`uZjYZTJj?aT z>QgDdy7_Mnv2_tH62O2do=WI>DiEASea2vni%)lN8a7ds5<NYh*uX2-jy3Xhl#j;B zL`rv)z!OgRNS?Y!+&300z6+(x9W>t-#-v_dq`ys6OGKgF<s83G&F@+4oMT#cx)@Vu zU%u8<Tr7q)6JnRhg2`vTz~HZllX)9v(Mn=)p&k&f$_;|)Ohgb+V--NX(wr@OctUaI zzOD27tm%A#tV<wCylZ!<LO97_N??jGQu5ct_R1mkyIe&zPkJ8CI~s>!;ZqD5Ue&>K zld>_@vC$-}CPp1Wv@89rerLIe7Bm&Ewx@8BvQX3e=xVut7-e;y$r$(BA8RA6yRfto zkR&)3uya+%vMohUE4`lW12PvZtvgT-#dF$Mg!e(hST}+lf}(|3{DZFWsI*_0c8s$b z+T@0Izs8l(tGl1F&2@JUkALM`*%cC12!jqb{hq)P_b9W)p#(GrHD$@Cg<-GK!w;Qe z5X=5iFU6xr=38Q<GfXegXD$XnYYt<)4`a!U83Mq&s5(|QHw_^CW!nQkDrT|?rZX_w z1ghXof{w8U$*}u>As(N2prn$1X;?FV*u8SaKsDS3M$ubJ{J^jg+qc<&yl+JHGkV?y zHXP%Al;w7m;SBq+sS#hf8|Pz|>y)IBH(inG{YSMd{oP0i7c~A`+xiz6bqg{7o@d!v zHJ=b>+jqiH!=_kiJmViP^Y8k(76KFL$ja4^%F`A*9*OVO3&YV(XB~e0MKmNuzOj3P zCnE#d6cP4aGaHEbK2Va%C#}X7LiB$1vg|KwKie@Z{mQ9&@9ZfWp^7~dZQQKWM#dmn z_9b}8oDA2ixb-4s_=mnLxwlK@4H241G|E`%5Iu?VH^<ey7LpS?UY5m3>GJ9;k{G-9 zb?EE$+#aojzIQ{pfa{nGz4}Bi${IaL4B1Nbf{TyUb5IC~{s(4j?ww*-X}81MC!ru2 zS&GchiA#s(LzM7gRzI?s3guy}Rob|bNqg+F8tOgii$d(>jzIjsX;gq>T{q?quB)!E zk0s#Lg;0}%h39s<+zW1^7PMZl-tU~8`aTPTIM9?z-iCaguT~n}aW45U$hbbgd6_Dj z6DCK{a%Y%L8)>hXYee8Q0a&9{vM&=0_l<2jz`cEUtt6$_@gF+YNW^<af~1M!bz!FR zH7;!1^*J3dXFU8&3X;YvH=f$Iy7XlW*{UbbA|Nrw<N8`%Ftkovf9JIbbm<Qn=E(~U zUTVPBhNBNdM|~Zv^Gudouoj-)Dui3C{u)7L@|m>yy}3}1w%!8F@v8?Y<D(s7sxo0` z-=9j33zZ>xB$zEzc^$A(;`~>rHD*-OSSnWUyiz+!6{O=6&NmzUm&G6@d_V9&+X|SA zj^c*Z-`ti$AdVY{r+$<FJ4<%HT*}fAvBsE!McTLYl?v{fA%Hs*!~wdW>JVDtAo<%q z$##8|7A?))b&jqiN9eUBzLnwv)V=ZTr3<iN6MfwjIw(|M=#Y%*=SBjnjitik6x^=b z@0N@PV0FRdm2QN|0B5Nx{#+!CVh$EFHgIJ5;&hP{gAcf7M?x>HmOuuSXW)LG^_*yt z-*4ZeDSfknr032|JJ5Zuuu3h{>w1NnYv<_!-;BcmUl)5hrB)(~jZTsu+8o+Joysty z?n}<NOP7pzvf((WOK`jOu79<BIUO5#XGLp0!K-M?ny>-xr6{BV=}PgoDcl!(jk-id ziM}=e*_zpx*ql+50s|H#@<@4n<185%i{BUVI2X9tiun8Rtt4;hf<;MXV%moVIwD1Q zqmA$4T5!FU3*upBAyYuJUSkK0F8$?(u|-`YaH4HQr0kT<@j^3hrqD+%l_%QApyhd* zGxOD|#GPd-!-`%H2?mYL)MxkFgG=GJE)cMtEt^Z)RA$`76z4ar+3DQHH@kKrL&xY4 z*b>51(H_^AOen71Tiamuz11RfPojTPuwDrH?XRuZY7_SQqcFbd#y-)cw%$eYd&@3< zB`B}{c0D~T(vzz&5y46mVc&aYKG;d`h`C3)l0gf<Wj3}50TvS$Yw#a+pX58z*e|6^ z!u8?beMv~B841nrPm(MvjYg`I=wn-m3>=}b>M$Zf1s=ziRrQ{McPc;xFU2vguscU| z#>@ktx1D{(cU{mRML^|E%2{uvRRV@LlDm>~T(L|nIsDoqDxgQ3Cf!@b3jL{d2^(eN z#3-(r<ocUIhtDF*v<b+LEwcN#XK!>V5*BO@yXc8x{Tll?b!(hLJ4JI&riz=keo-v9 z8xoCmCBHORw;nQ0H6FSgQVNCbGFQiY7kW-InfN&NDMilI8>N!3Cm;qGaplQUt9s%Z zrt_ZoXCD;aC58>~tVmw?hVpV7FNR*h$3vLBliV3L+XQO~mgnIM8!90xm;-Kr{VZF& zF<7(_wb(=|NK?vUw%IWfq^(99xn05XOyN|pZloDE-(1h$nLdO=&NTsGq!t_hu;jUf zxWc^ckgJSj%0^4&b_$d#YhHm{5*)~b57scxEL71;5!$<ZWk#ZQE;I@YKJM-8UPi;- zeIFZjU5wC=3@q{Wof_JqpBeO4FHZf87T9-OfMIqdi@oh25zJ-7X$v#bo58TB$~I`6 z|1Vp;)8A2r@@)-)B*-ANkGl+j+~Lu7sOKd7u!$C-w?)rXzErU7y*^~GNiiFXFWu+x z)AM+X-MotHPa7YWF<{FZ*BxQ^<VUD>peLY~DA{tv{SQT}!?hu1g@1bLW$JWzP?dak zHRp61WmM$Uf|yRv@wthtM~em__08m~me@&@KeL@^&2UC`=o+bkP)0MV{ixYv8124_ z)lU?y9KF<wu*y=m_zHA-eQcwH@zko(N_|v71Y|2LVVb2`rTLV{SUN;Cc)bWY)614& z5kfsdy~{}AdXS3o#W<6}K_ea0LD2<gx-e6)`c)Xcym$6z>gTpWoIBNBXJD?Lp9)Ya z$xENpo6;jcKa~83AN)*J?G22?kkIf0$zj}U5f~jozOGs_sLn%lWtxM3!)Mh+JMm_Y zj<@VGg*;F!F#0v;Erfl!;cWF;239fGxn~u=Y2rJV?eIiA0SPtCSM{0i;S4kz|C(Wc zhtI997J&)^ZRPeQSJD8m`mm|67p@l1AUMRm#~TTx#UErh4vSu?QZ!$>t@fP%Q~eW@ z^A)XB$63JbABko{0HJYckdBonY75qbZc#h5hTM&qGeGrv=#neIiN#)Q@hXtn4j~F5 z{wo+o0aP$A^{d8o{a?NZZi#zSNmr9~8e7~M=kk|D);z^Q2O=RdepEZ8I}e*6hm>zL zcjNeER7@=XNX`n)sR<hBvWCnEHrvgD?&5)?Zc7YvHtc*l0<}D0xy(~C=(1tKc<0(F zCUYBq-uRyBO6>kwy+r3bus`d0aQdCncSGLgc*NU`s1Ox8wVy?erAcP>J#f%fF<o}9 zh-U&CXB1A_g7(MNcS3IdK!0(TUI2XC?}O=oXIvJv;NCP(Fj_uaNt6p9{N;2aGg@&_ z+yFmnEq>$wgd-2RkbnizZ=kzHwC=YnA%@B-Gjv+ghwMWWMugeLsk>l8GFBNY{J(`* zVkDpbQ1qv|@0{>^Z@4_*93z6Sbnm8_-?1k??{r^ifr+CaITITw6)Y({;7O{+QNQ!+ z_QCA?)8~i-5jQ&P0_?g^Ir72{zU=Fajq?_V1;HsvH$idnr@IAWNH{LBtQVuRsU?Wb zRoXcfwS%7{pQE87z>AkfdDX;0T<C7*BD`8gUYuxT4bs&iLDayE%f45PA*X5qI^Do! z;(0ssl`Lu8zGO0qVw>@LV8I%izL%2Q(o&R}-}c{q*SW;Fg7H2rOI;$QNmsx4nSDOy zLW3;C%>ac)um?+`*yFK8k8kvfLg(VaSXKqUph(spIXL>8LcU4Hi39^noXZd~-q8)L z_$H+(Z})mqCNU%&Ns(Uk1+V>cj`g9vKVYOxLFPeUAe&E6$+LO7f%xu=y7`Ia`Jw^P zOW*ch6m~-)USgYA7u1Li6%QMOJU;+sQ0kbXG4C;bk=PiuESLL*FhT$i_xw47Sh-sw zY>{lg_ax3P$dG1%XTPmVPg;deQ#UJp6cAbj>seZaU1dilWFL;rp1W)D;T}tk8@Xu2 zxYg$e{suy<<~jma|ANFR{xMEvL{*#;ef7lUh9v}8X=Soj%^@aMy7KDGBbLi3$%PrT zG|i6X$3^Da(%~UR?kqVxrFl0zNIj%|J9mIuqT>t>*E`(+<DuE1&yscZ0KbHkz_U3( zgxKq&u3oKD;_W-+J2<Ezfb+IWyl6Nn`%S4|nphdn?=HOG0F1LZQnCbofRvvH6V8`G zp^x`K-F*|+A-|P5U@iauPj-BrT+^I`0**^{&7tnKU{J(YkopB0gcSCrVlU<nvNjN% z4JOG>*^wITY*9c{?VB%O28U_|$G`SqA9Pk^V<<A^$zxEEuJR)F$1WE5DxkR$Gr(EL zFYHmzZe0jf+k}aL$s^6i{dNyJ*%m*b9(6SoFQctos*29)AlPp0q+sS#N251u9^tXM zG4}WwD6{wy5wO?C-t}shNChS?wCwpE@ym|Zm$JzQre%IYBTce#N6E|g+eD(Gxg>im z4!R!s2oq}+@4<PcAfLq{=;?!5Woc=(p3c*XVF(h*R-dSldz`G6cZlEP7L>e0%HT@u z4>UZXTMrDqyb~E)X-rk!oZoKdZ_TM$>;AL1^fhfE7j1G+m$n6RFX0y1ll(v+4&iu@ z$<eG&s@cOQ^EXOja#zR5#Oue+riBHO@^kuHCJ4h`L*KDyWh`X)tU~U^+}hkC#);oH z4=X-v7Mg%jvzvTEN{-HnY&2D+s}N)YHDE{J&D!E07O%UdZsgK}H>^vS)5x~mHxZ~U zl@77sMxU=1cz7gK9#2~ojmQCpi9{inhs5spAo31@9_dd+n<7RQBu$L&88v8A81w`L zTFb|00~rvvDjy~kW>*|R5Gr1YK}zDLHCT&v&mL#{z6O$tl)Q(NG>+R0X1SNy44xmo z-*|8`d?8}fRZ=z1tm|{e=Vz5Rs8iVtsQpyXk$+w8X`^JaE@a6UeFAFN*%OO7>4=y; zgM8#2`XxCRw7>-<gW@Uyq*?t2Ow_U<gGAhudftkhI_@;v)AvYLLX7h7aM8>=4Cl&h zcB?l^Uzk3PALYPxE4)@A)q|?*S|K!5B0kfSW){fE<$b21-5e7dt7IrDm!gw_hrA=* z@VVK(dl0S|Hm~qi&^FhXb=Bj_(&4g{fnxcu)p_dUD^~iWMHH4$G$~@0p7B*cWYVp7 zLhy7N1Xz_tWOC#IhVxJ+jq_v`s!rO6ruBuluktu2^(Ik6;5)8{NxB}U<G&w0!Wpt| zrl1WfX=nduu_U(S&w-8i`t<v5;=jGT;Q9_1ntbyy=4vqjoky3tO|5}qVZuuUM3NM* zn`|!AO^`9bN_12~tI=~R1&}I~amCf=B_8t|$Kd3nq|RFiII6k!f6WZ`7HAykjo5M3 z2ZzqH)i}higz~36cJdh?Jb={bD{ws{|KQ#prI=uXABNwyd+?oo%p59yE570SJ6JcX z<J|*@|L0o(H}6@Wfk4EcVUY*le9D3t++irV?pHBk@|WtA!1iU~V!;sVMBEN8C8v?| zn;Ns*>Mmhq+EYIk<P*ao1v2ffK9p%2clNANxwUMb5b@b^h$9k;S`GxRvBOO~Sp-z! z4J<A6uB|q!a)&TYnFxRN4;pu}z*+GC#bbfM^PdS+STWtstamYsjT!_JCZC-D7wn&+ z>8oFi;(rDN^AC;ZYuT4uwHftaY8PVumMi8-?rS((Y^d0N^-o-rfZ7!pF>hb;9_);0 zW;{5LT|(~iL2=|xEfkMYTwT2p&lc4AEB`m$`yuu*9`ajxGGT4Eu#16k2<V!|qW@*C zN#+@c^82)?mNdx_8i5`kv_4WGW&0txb7V@7B0spdg928UGxHRN+}uCk?<d}Tw+^a^ z3#C7v^|7PG6u$Qt`EOKr-PYKm#VKr{FC{C}1O@MZ>^!zRG-xMx-55rxP5kQzBl%he zYX)1rAxTrF<Hm{+{FztP7Kt-VBAkh<9dx$Kp?S0Z?S{d#kqx1CWMbvn(In#M)p8lT z@-4Xm>boJWV?av=9eKbWe>?>y7)U3L6Wkv#TqK?|tN#gcH7(8qzuAsYf}?6`OlK%; ztYZ;XUp*rMT>uiH)%Iv>!?|CBBxU+e4^$l%VrEPB0oC$S8=TNVY6#Gf_8d!%CnB4N zdh8I~9p}@pQBVub<WdDJl>A-D4_Ig~zLA!o<{W!fYR*XKR?i(6%QPn@Tn(w!ym1gy z7{Rczuv32Tv0oge)e?;X*@fuOaxc4+suVo{xkUd<vwpTxQ*O#olN5{W!PEf(A^TzH zQ-+16q4cirn^P16F&C2LN_+J{W4-Lw_JhRq#6U0sd28GoQ@ud^|NBtUxi??dO!NDJ z!A!1(D`S`AwDywyG<&7U!}w)tEKZA?#-bFOmnMEw)hB0p>C-lC)Kf7~muk*e`tV;x z={lsnFF%5i%Gf=x`v~UUfw@p98h>RSo2ui&@a7(hH0}gNY{3B~jP_mk<#jKrDQv4c zAwotr=#fRyCHm8U@K<Baky+8$<JVKYKEOh{vtoR2O_qG_DrOMaShQ)*hCH92jT9)d zD6Bvu?0=y8`VD~Aof$|DDD-L5&X(6!+m&aW0GFo6<VXJD!l;IDl;ZQ}vPoGxqp37V z<|WKh_|7C?Gyyb=T&%clrJKg!gkHB8h`?cB7%fH`@TC2=)b8=6%Qzynv-qWZj+E!L ze0M+Dph4Fws;1cqB^M`~E%s_sJ4o30j|n^#%kNu^sQAX$*DX%9ygwnTUezMxUoU|| z5#=*1H(PfBnw&slb!aX3dPl5*0TV`zmX<d>o@kTEPx^SEytj7@Od}6#z$w~BC(yRj zyBwH!!y<<kC1Ss=z((VEk6Kb{k{vzdv7#}2A>uSmmf(o89+l3P;SKCE|0mg=>x(oK z1bkZvs<7G|oKl+o_g6VqH4zr?%+)@6!6ex4n!9%gNJ3+SmS2Au)tg)Q<hNhBxxyA$ z&(a-b!6NsjAPBAB{*^yVpbwsv+*qw7<!3|`Zs?j?<tcpHmH?lfq!tBv^2F{*0nI!G zSERPMpZtVs;o$eTcg7Paj;6Z@AVWFpTJ$KlS^Ul;GD{ZrLw@9MCXv-_-BZ>{ZWm(A zkuv(iRr#$o@likT-I76X0}kNwmRM|fRxvn5LLeX@AT=~HFd!fxARshmFyHa{m9qCZ zS4o@dw4|;Ia~al`vsDloI;?r{#ZWyL-)s|SJ10MUpd5ylLP^NzH2`5nr#-8KWk`O8 zCy40)5zH2g8yFTB{&lBjGk&C<eC&d!M<;z`a-<o_H6RwIv&a_zaApkYXa_sveVXAW z&@Vsjwf8j!j5SrNwEddW&o|7IQUztN6a!D%5_G6jx-zhFhk80uENK+bZuTZ(o4RRc zeVQ15U(MKyhWo0exEh=>?LqV!#2VpTz2Qko%5k*@IHXyPY#95jS*<yp7kiqF-+gjJ z9|a{}DNnVl&R_yEi;|exyYWP#V^Kbdc86SCW(b|<BQFe_(4qm-7AgzcwR3=&C@zTc z&&zis9_f6tW=)%GkED-6t*&`AK6W{RBBZdbOoXImzo&!~dxm!U_F~|Y4lfbKWt>Yz z#*^#L#hgmrnnCnX5l<jJhL#D0-8-T^svU9RkXXyfswlm?fmbX;thz!APh-QkeUroK zH>l*=J)%3xEs7{}nsb3a-4Of1xD@wCL;*IBuuH{ukf1=1jiTfHhjJARdi$x=<BUyz zRlr6w^dtBF9|(K`dJTyeFclnjk_Lj(giSQo8I~PVfl2x*zku?%Eh9`Fj}=J%EmWK2 zOmsTZI7ZU=tSS6P($?bQfv;Pp>yENjm>Sq%lYVTqvJ}f*a9}OevO!BJ4+qtBWx@Mt z>beUN3N3)HpX|o`cmZXeJpw7})}d_+lzJZ;`fhu9dRnQqyy#j4T1{yr9LTv4brccT zsW|L5-K8bE;#4nGhIB7T8FD~AJRhG9lOtNPNKa=!*DIZ5LqqCIf$h>+T~<SiW^pL- zBk~BTDcfasQCyA%NjkfcXWgrSK=!{(^WiPbo>w^k`aoD4oBRas85}DNzSG4g#=Q(1 z#9<X;2-8uQgBz6flj1DKEieuXo!vd~k294+Dc&BhblvZ71yo5B2j%*$v6%nR5WM3h z6ooy(%u?IC8eOT;1Y8g*!}(hJuKm}EQB-uU#5Zim#1Zlz8{;}75a&&{MHIV7Z%&MY zbO)~)T$q(sdI%3FW#|NzfDrlZ_{_p<r_u+omq0<K6=K292kb7GeLu0K$h*+SpIfJ9 zYc7qCJ9~z?-})r#42j8^%yS8{i8sJ#y2^kE&H>CAXV8-@@YV`*>-YNgYrMs0Aeh5E z;w(@XgCoF`>6;V$WRk;N8g^u3s7wnhY@g+2RUwM?>?W(5@F2)q>B3Yqv@h7((StkN zf3)diromc!lk<?dDr5P1E+=kQ7f#l%Sa}Yw+vuyw6sFqub+f2`nhjQ9<&~zj8NZlG z@;$+~Mxyrrd_GOwKMa@16;1h?($tCtO$K{dctYJ)Ol(remjsb5_5_@A8Ha<nOKB#8 zwxu0vde52_Am{Ae6FPpBM*gd!M0}q$!g_SRXwKDN0t?(i_(g2_W)Hc67Dc(u!(Tc5 zwPTH-yw}!mq*#O~%gNYBnQ;&&!ZmEo19k{s#7As{kr9{;pO9UUbsWSK2p*0`XBO8F zOa51xHqW`8R>O%w3o;Ph+^H4rD>ONyVF4sbLFncM{Pb4ygL`LGxM{+HYWp+X!qV}W zX#~JcJ8crt4;^7JorJy=U@^QGv^hZMzZ&N&z|OHAY7$RO@p9hBOU{wrCMypwJiDio z!$a<7c)p&>&rnMP1QY-O00;oVm8(<%^WDvf0002Y0000f0001FWpim~ZeKB9VR&g@ zW@%<`Uu|t)b6+rDFkfkIUt@1>bY*ySE_h^Ph0Q?<!Y~j((Y@du<^q*0-AW7+45Y-k zDq#q1lwvS78KvIdR&ghIfd768ghNh4$n=EjcJBiLSDNp!7DnnVYR%DZ%uZNXuNY&{ zoaZEEDo|Y$QudFKOZdhG{n(>#<Hao(<%cd0DC;-Ng;HS6QGCoc#5kGh^lvc_3T33R zL+U*FhB-IgUQkN|1QY-O00;oVm8(>h4IFv;0RRAG1pojc0001FWpim~ZeKB9VR&g@ zW@%<`Uu|t)b6+rDFkf?Ybz&}dbyQ7@+b|Hl`&SHm+Feq|Nug|8dMG{ZZCT1vSc;G> zIT0&MW+W%^zpo@Kwq>W6V7;05-h7em7JlirM{zh>*nNHa@@Ze}3-}|XP?rrnt15?| zQo^fGF!0I^#}j9HmTkB2`zQ?XrnNmZnk$`jIP!+_7AakWIM1#tTx%DI>4jW8SHQe1 z{M(6M<*2nw|FbU4>T_5)Wy`OIp!fyXIL_<u{9Z9}jufA+>9po#wL?ypR;}iJPOq3H z?j{~(w_ffb$=&l|8QY3a6F&H8B^S-RYk#OUM9Q+TG~)+j`4=S*-7Mc(Z8Of!wg_By zuwV85-Gh&v#i~=+bvG+nPkJ)BN#y%Fy}lb;%b3+#GF9!5<(D{b$zqm_nYej1_wgS; zU6v+X7Pd_N`?WId%B7EqI@2C2Uvj%SCBo`<S&fQV>ZBKK*6Z1(ZxWeYGDp0nc(Qge z59_qcnX~pP>YCk{Y{0$9+WU?}&8*iQH@KC}CJF0!smv&uE*K(wMf~fBy9@nxy<M|^ zN}{ry@G0kw(%WZ>n&o6P-M4?gPqaSSKquQ(a+!Vco<|Fx-405e{`rE)$L`pwKgW}b zIzIe<A~0=V63^}UQ~cotyDvRdo7Phyt^Kz`@$;m#sD}x8)1}X)tD5gTb2eOV`}(YD z4<cVy`p)vqSviO0@7}dm-bQWzb|f8^cm2kXnsD4IG8Og!6V5+i!cie6;bf%b%ngap z2Bw|*@5?QIZE;F|Q!-_3omQkqql0_cse61kgMtF1jg}WD)&D+uZ`!e?MH%;5zW**) zf0<?+abuVA-s&`u{q?(No}FCDw7+zvZ#AoS@R5S>X&YWL@|m5|yrnJazVw`D0Q>5v zMKfJ{gAZ%|`}%|VI{)|8tjk`Wz5XL_@3}=DlGpAuhWNebS*8)y8@+dHMCc2HgDhLR z8S0{velY!GS-`8kXj$40*4qX$rJEPNVdD*)qQ7sQ?*bn?-PxK)96dbq8GdYuv9Zgk zne<v@iLJ!82?w6e*e<?w+T>G9THY+XB)=r#W8wSe<Wq;Y2)vGY@VT<s{@gF!2Ujhp znE!uoqx$E%)fC?g>gzktmFm98x&7t+ET&xcvoDJuTe<PEbM>!Y^^7lj)r!Lp-!2wE z_>Xyy@-^?D%UkYvJ>k48sF!2gH1!ax-8+tNjVG%<J>B5><cQQq2FIhD(?ScJxn#^O zZ@gt$9B<P!v*g}}<K=9hgGBUa+`h(sdadqMgPWXBB929QtSPmRx!-TLJNQb(33Z7{ zcMfUHEjw^!MQ~hqt7_}5oVUAoE&saV)zKAaSF7(8zvQ(4`r|K3-9=k3FPont_Lnih zn~{lu0Z|b0I5qD+1B`!fVEnTK;~xZoT!w9pAXZ9pfnG&!4!WlEU&L5<EMsK&$|KGo z2T}(F5KSL}6z;NAFEu3%-K?m$OwGYS{e3_z4>AY{AZ9&X!HCbS%)I0xbi*P=r*frn zFfdqYFu)xKGH_dC=}NqY6{RGio2BjDyr~SRcNP$Xas~)M945XAzgelp`K3k4sm16f zt_i*PoyVVnVa_o|1_iKr5Mo>7dHg08XCxM+qMP>Wm%rObpaCvC2){zyC&|QwFW|~C zBVp$2<kDBb;JyLGvM4TdW5#P%MIO4d&}&wZX%J^+uVKdHEVOzS-B|Pr4q>eITD-<W zsylS!(2G5UaV6`CFb-G<26(fwfpl>Lp(ir~L(xWN20aE>lboQ?NU!2b&%y%FfRNCX hKzE-?%fy`G@I+(%sx-IIq?E+ua;J3HM7OMRTL8!Yf$snS literal 217660 zcmbrl1#Bci(<Nw+am>uj%*@Qp%*@O%h8Z(6Gc&V2W_!%c%*;&J{(h})_g43xRwq?= z<$LiWA}g!am5Rz#kOl!o0RjSo1Ol#QQ5oHgHW&v60+I#;0)harCdLkQ9=0|~iPHAF z3@Bnt{WZ1q>6(du5W-7;g%9M3`~%^X%%dJ7k*g!CE8wwsy@CioYD!&f=sFr}yLB%b z$A7H{BG+P<x&F<Db-LQ`#LyDM@}c*0Nc;LeA`R;r6a7JGuIXTVY+XtRewW~e79!wR zqDvko^G0fFyPNmU*}&bkx5TUkL}fH8W-KEC$MOu!^FAzwGDG@?{J6I>xDN)1Fngg{ zgiz{ooeNJL@+c^W?z01E_huS9#G+q<qEZXfQi(ECcBADT6B`-(P||RIJVybn_l`%f z<dnmtQ@|J!yT-5+r3ox2ipxS%A8Zxf)D-QAy!evHa+9GQ^ycj6k(}f@6$<6)Gx0$q z*~LqM{|@XrTH%DK?!PvjwyoD}>pWDi<hwhdwdv1a)f=wQz>m26B2%{*mAM3d6?CXv zBM*JzID=tDzN-*+tCtKsS@!hxsa-vLlPtx?%1fpd{^jh`Z~Rw`)bsP+7RKy<-7p3w z`P8nNqvy^%|M(`C(}uBCRNb|CFXKpxE+oRaEJ2B-A6Rw!<{F%}rH{kf6y_T9R4oKU zR-^d1ip{%lm(FffSh<gc^?{1Y6%tfg61Q^|P4F+=t!?#;9vEDMDEu!se2sDX+Q}Cf zNJ$JC2=Dubn>t&X+vzjv8+uskn^~IK>)YDuJL@y(Gtilun4SAtJ7aUkUp&2_!uY4U zZ5^MPp++PwGx8#$NUn4ikW+T7ogG(A2i3RHDq~4C4|6kqeW3mF`XOQR<2M!W`6g}# z88tEoIr7Lr!LOsShy~LlBhL=6p6H<Gd;N-MCs$8g|96b7p^etJ6uBc#@BKR`=Z<zg z_ddCnxevxSH~SY)&!o?{se>i@rHqJ}684v)iu)t{uiN*>%lpf@3w^Gs9vd}x_c7Ll zR&8G$x=T6!O_x5qR0_EG9`18tC6N)=O`Ga1dpUl$>@H1TzOGikMbGSoJx!unUqqg_ zIDU7(kDEOw-zCrHJ4;vBB}u=pot6Vp^RIhr^7T{De*M=O`kC4WeojMCSAUP<1g^K$ zmmPic(P8Mvx4W3JjyZo<H*@bfJMywmvnIbSPq)vpsT%tPs&}4ttxr$yS7)W(FU{<& zLBX4o!HdK9$D_3~`HZ^*+uQz?!70ox{u;a6DXInjEkE5YUk0A*-MbKI@K%+kzs3u8 z{F!#i==@JpbW{GH4vr7bP482RI71m@+OniVUr87+$t9ko)oSiz9<B}Tk^E0cczpgq zr!&;2jGqTbTk7f+4?TWp*>?>NDLM3VS8{2npRRXtA2EA-2PR)0j&GW?SS!}NJqR$x zIjUK{-dXr5_%-bM&P}^HWrve7{yT78EnI7vcHM4#I+eQ_6Q_Hpb`PFuEbY;&I+}Od z+5Q^(`no+|tDfDT_cu0eX*BfeXCf4q{GGa_vL>Fc3!a_~h&h+tn>5_?B@lBjHZ+MO zuue_yvzqi*)oLy7yZY~CK_{b|HjeRpNjxoDTeR#cB}Zd&kE*ksHSF$LDOpG86|%cn zmCOB0<l;5*B0J|j_aI*tDg_&(KC1>v(Xy&iIhZLqX}A{nX}eQ0*W!nN#DV-C^>RRA zZ=EH!%@<wE-JWABvUrg(vIFuS@VFb#)26Rsfc$yVr?$jkk*?oe8YJ^S?k1dkUmaI4 z@yU44b~-lcH~6zZmc#r=rim>jP?kqy%)zzl%{M3E%*daem2}A(CL2a&^s(auMUPej zN#IhiCOp=2FQR46f3QleDkogt8>}in%1m9u$S8hsi!PWG^)oX>1zoYI<uJ~q^3JjI zfGBivYx;0oZuDJ4{+;q^?3u%^71TGzi4u>vv0##2##CJXm$OO8!@H7}C3BSIg65$V z?H^Jgi4^mqf8`YrYTOlzl!PkFEi@<RD=RK(8=Xq`DTuE(+U~}rdoGNtJ9qPJ!IG2J zdBHQr-@S(P+KhWYL0%xqv?_)4%lu{7eNAX9Hs5~7%2jPyB5}o2?RB^#mp&XGyjTTX zt^IDTQz8AcU(WLMsUb*;STMVa1#x?&%b$cGfnPF)OqHCM%6rX*1nD2*80CZQuTl$f ziq*0z3QHm8!>`?-&9Aa@Hzw8dps4Z_L7~t4U$+KAya&B2y<Zv8@+=?OCyuf~GyHrx z-8k~%@AA9-py-+Oa`6nzNdAiW<fmEP`(7nUl=yRdpk47F{u$9+Q}O&Z=hdalFSh>@ zN&HW|<s@Za1HJ~o5N5pGu7`|sC(klp!t;byn1|Mts#)Lk`GTGgyza=qx+Xgbc@l6- zm<w($mL5Di4N)+{l<>(J$V%8+GE~$Kt8$}w+uOP|?Jm3B{I<BCc{ej~WsKR3sqee> z?YI<cT39h`QkLoX!UB=j-EUqNa%huC45cp4t4ae`?#}j49<ovu(DbVoTii>|g01<) z$0O@C_0aq#BL8)7_vroHGWz;dNXz}a84f=?<a((DDNXpYs$#a@A5Vy{*`&AM8yoXz z@X6sYJxaU1;NE=QkqQm7P^P_iLzoj-!4kOc*Ho`GvBblr-NcJD-n7it)z_z$Uy?*# z_t6S$|6<I-Lv3Fv$i3W(dEC1((cz)}r<M5^DHa5_eQm=|1+8J9fBF{R=ctEj2IDI) z`RkhdOEqlh`O5O;$`?TH4EYP`$bIPVk;HCn)_s0%;QJ%zd`)V6=A12fc`8z+Kxht~ zj`an;=RhP#ecT=$E*zmalL-zfGB}{Z=z@8x3Ubv|5>JJ~A{ecV4C=s!GU_1Z!=war z^pld#I*k^T8^m`gjMBzSf<$OE2_P{F#tO?I6e1u8V~l$c%qEk+F<2v4QXyEE*%`?; z^7@fm64?Z6z0_M!@vmAcJ1?==R{`VIzcZ3i)IIL$OMM-q;J&<@&^L#ooIp+!DP`7| z*I{8w<<?c06kDok1?iT0=AKKLdHy)3@-$C(UNk^I6;^N~ZQucROR8j=pfGe(j3;nw z7!4gBCQy-1&c4K#(`yi6o^xXI=LM7gaBk}i<F8DXWb^ynsmMF_+9sPDlpN1l-7sC0 zQZ_igv8snRe?8|^wFTnsPQ4f|7&PMI4;!xeRD`Vk=++8gpL;QK^APZGV%mt>ihK;0 z!WO4ETFNke_iK~0FlRQnvGVoQ4gKdF!cVFH-Z6euGb0}vqTlge^S-uSLbbmCgd%rK zS#?=cnfwJ@;mMKHB{QVhanozjv#;RfD&XmGten-c=YPX*(NMdTvm9*sesXApJIr2^ zQ3x;j+4Z`00M<Mi8Noe1Yqqh>C~p-#C-R4*>&3if`(Z4^q}J>4<grH`{i|B(3BUN} zReF~`%lsTY_GW4$@Sw^d7KXvQ*5rl~EPo|1sXESbDdR!aLm~8*mCY_=^n4T}#M|-x z<^FVzNw3ILZT*5rxm%v8r?rB;!1B)*q2QLzz!+=Ou0l>ik`=|_y>aKehw~Gw9;<$; z$>dW;A-}9cJ@0*fh*Z_Tdcwy&=!qCfqx)7^Y4U)!=72xLmph&*+(#etM%DMqF<_%9 zQ8BI}!f&Je80b&;8z$^>pVRjVKi5v+BZ(bOwK@wF+)ugQcZ6S&QV(YacP=I0sVI*P zdSY9<x;rCk_%=2&tJxgnemY{>h->dSXrNL14p2a2nC6YE|9ysHtj~Wn0Sm(CgLzx~ zcoW!|%fB=%g?Lbe&&TJB6zl&q82H47TiGH2fuA%TNKB_gZTl*+guGG105|Mma%dD8 zFJ4cy$Ny_oOFwYcL(<E6vOzu5GQ2987<{-tj6NGfLqpDwbrZ2va=I(8H3k=|Z-+S< zmA|yLd8x$$m0C-SY^aT4*zL8@Yv2)sW0S$LJj8LlaAc672!u49yp&iX7@$L{hkc9s zNK6c!jgwTIokS<4_b~znFB|B2K~=v<Aey;Ah*Gx*!egO20x3T~hPL*0z}5X852}|( zgW140*6kJNYbr)ezf|r(V7(K6DH5zgL`7_YLF&ypQa&P7A0nkzl`NfHE(S(yh6}^+ zqmc<A5+NzrpjHYI*hH8SBcQRd>J{eLHkDxCCSOhjXz(0Y+vk!D<bAZ#g8w<Zf#(bY znb4aAr=|J6wNMOnOg)7s+%8geAwGF8GRYWQsk~v{Me3N3id`uc=9i2mZ_K4GOa^Hf zv=~tax7KoOE5aNLMVLto#g#GLDF0WhNykEhmfBJy#oy;plK{H+gbGNEiqd>ny4qB8 zyv|hZI{JzD-t9tK<8pFATpTLMHiDWAQh3x0OwuHJ+vT!FRm{+W%rxw7z8jF=hVDPO zgxY`Pj@GjK?wn@4(l)LsKK?x6!?GcKCmVI0qZ5Bgs#$1V<C?3%;#H5<#-FOqEi8_a z`Y~Xx8>(d5o9wz&vef@3d{E-z31bW<{{UnYtOCD{Y&h87!dh8v0%xgVSa%~OEV<4K zJGlKxd1JZ3Z_<cGWqIWYZn}OU6Kd-VFBU0cAxzrkr99J%X|VR-JT%LYlYj4J5}j8o zs<wTZOsAWth<lix7#+8cvyqiBzaogeE2EvNL3tuZaOTNt`8yu)JR`6*L^9tQG%sn9 z?u#z^HwTBEXjhXwmeDR<Z&-tFn-rztgH%Ma_c}KOy+TsG=s_qsXW1D!&@`1Yfu8UH zqMuySnIZG(N1+Wp+a44Mm3V3vHy05xVR?l4CGFonyf@%lC)Ig{`F5wvk5c<;^EFt| z-=x(rr))o^CRx*Hxj855h;EBYu6^-3hs%up23^1AYs=cmnmK7Q)Xtqy+MvcvO4@r; z>6jxCsC@UEW@#d&H%R$z5T*Bo23F2oo`NGQY}@5=AaD*7Ud~*B{7J$=6(G#k`zbep zPOeQGCN*wzPCsHdWcLJ&DQC`Pypbh2uQ}!{`2w-0w>a#k0K0Z~ZHk;zkDh7dRwmRb z_kQyVjXhjX*tLw>o9#i!dqd<K$cd!Uwksj24EsQqjuSo5hLC3aSACEygnNLz1mebJ zJURnIR_aJrCtGi$4??bxsb|8RT)7@$t;Yq$UD}rM9NzDFy6OdgS!V7wFnJ7Go36$M zLYVqMlr}}Zy)7^47MwPvWx>gFjW&hdrma(P?BJqNNAKi3TkT&)qt0gI!L8dGP0hje zlGD94=W`Rzn--iet$24bi2j&k%|Y#w)4Vn3aTCs+7Mv%ocxN(*-r5bF1^Xu0#ZX7? zh6j2h_En(Up6;|=C-iERyI{xvhtY<8^Ebe=7U0>8eboo>Tn2cqMY$USJpVgm^^#rZ zSrhh^7r<Mu<L3Ve(pj-@iURyf0a*bd@&Q@r?K&d>{RKRf{##@979eX2_SGxE+YgZS z{{-3r#snKMJm3Idz_|RM!HNx!mIb@cH9*g80bOne^i>-Wr^;<_Lk$q?zc*L!r*=6R znw7=-&lJ`-fIgPI-OTK~o1nyyCA_%Qg}N8hn?q!okHXVG!_!mo*Cv3}vp@EBXc->N z1%=7E-nwc!@&mzxD5%PdjpB3vgzzqC38|6)cUqLAfru&1zVV#D)sP!<wyJ$j@tSaj zBe}EthL!ZDn)GoB+zg(@9gFJDqfw`zS7(&Bx)IJ@3xHaTw8ch)Dm@jb%1Nly#Z;qe z>p9t-T$wnRxZOV3@`roR6Xy<!2B0$&;%_(iPYEZ$9Zs$5_Bc3rf1ec9i=;llsha#b zC?7f_MiJldgWE)S_}14lZjAIk*!Xysv$|*o$ma&q=fXJhK-5@pNn^&z$ZIgVBS&dt zM`!Q2L5(NT$?s0ao-O#eWy)`w$Mc?lR;Q9^?jk1etVCdDgbCA2i7(rLbpewp@ft3; z3_)wXj;<BNQ$0qFW}j!D^`-8q&)x7t?aXXuD>^XwG8?BHnM~Q!7T>M70FR9W=11rK zxd;u>LF-?w)+rGvTbGkE?A0kG(nYhRs4d_djqg6ARXb)5Lv(wF<^_Z1V^0;Vd7(l^ zZQTT}J370MR<7NR)FPoO(!c>4d$oUhL96NM?N{O^NiN)Cs}S#O<Z$doPgC=l>t4GA z{jI0zBa-;kqH8~`ef+w*yNn?~yWjTgcki||_XFjN@M6&RW=y!aw=cE1vamSQ$^(TK zhO#=U+58Gs^7apUMZVV0x4&eE$rB3kvnPwzB-WwOpwK^2$P7(*9{PSpNEUp=J&)xG z*}G<R-{+rtdM&=#&nbpvbswn{cf73D4E^mGsz#4msjDl-pK9bcb446}klMhxRuS<o zU;QmC54?1!l5?tB9RZpnWQQb?Lxs-+$*(GVnOj2j!TOBiAR2g&;-DXHLWoqs8~@vj zMVAEn_y2ydK+ilAX;P2?jwFm&8kGP2m`Nqjl#o)%E0I7WQjIXdkN1>VB*Y9c!A`f7 zxVA{n;}NNs7RhHOy1|@*BxS?`PBa@{$ndK)0V$d)ifLzr=)fn(b_N|uC9a^!(83DC zBwJke-RI_7@MEIPIxM`hlxf<kY{_4>f7MpJV27_ReY79S+B%ed(JOB6m3n*X(rNPF z8dLqh8?1XK&CF@61&JG(+uBENAY3bqkKL|tSkosh<_KILY&v;!_7SLn#=`sw_Vh^y zE^bu(m#4Xk#lu9|^l64_-U4?GchvR2Ca<F;>xW6|rPGk56G5b`VhBDJT-Bsb%oysa zB)$~BTftwgBM6iC!`@#Kw=Mhf_zN=gB(c1d#;oLl`%4nN7$p!tMfm?nND(bsCg^d4 zsYlRrMn328m6JggE=F%%nwDbgQ*1|I>~6-cHc3N~EYf!EAXnq~|0=EY30t7-_?Mz< zF!-ey`Rj(-yA7ykQCepvRJS+7mEr(p!G4$gTBSs%l;yg@2@K&}N(UFAXQdcODXW+e z<fk)19tc7YWi<dw5`ml?2>feF$Oxwfxyew6CRiAyV~VAKK;i_t$ruN~7Y?RaKe)mx zpn)q$A)qoj%=iEpCjt-%0>1=7;6g448SwffkQFDOUG^^&fl7M@bb)4Pf;BjS_u|eU z60USvko%Q5a#J?_Wv!$M{`F8LD>emR4qk_7a#ObsUS_Cr%mknPCu!OoykmfqD#ygH zXZR9xyPbO)z)5X~1!R{$GrR5gTmw&Lw&w3Sn9%<p&OQs}zyyms_eM>JLCStPv~MA@ zET&U;2LJeCjZaM2j2dSBcARl$<yeS(@I*O(SRu$FKBrYy5Y;}4MF09NAN>o97c6kv zo{AWOqm3XY0|%JZrWo@5kO3#4aV{kM7nlq{L9Yzzmw+Ddmn&-@QloGmvNV86ber88 z5wh}50uTi7!6F1m=1>8W0D!~>Ajx9{NaO($X7T7>V4?sCGC+ci0+5*QL;k@G%)|ZB zlNKgmkVWkTDu|Z|(ou@ThLBX0wPgY$s?i)VW(eY_xtbz36bo_qmyQVnR>aJ5i3d{{ zFCC;~8HWWSqlovz1jf?=?mXXlTEHcFlY_u`q~F;9Jtqi!x8a@-6d=t7>43cO#LoV^ zjg}Y0BLd;ZxO!L36VOX52H_bCaBMuf@c$8{od|c!fV(_sIrRnu2HwJfd+5m37%`X4 z&H@Ev=g2j_=VF0g!fWq@g0a5qq5+e8vFie2sO9Av4nqh(m#3R*M<6AA<r+u0uLy9P zg$1=dDPm>{=8*_-6KoH_pzT5w{}VfWJWVIYj*wrp^e}>Oi-5cO`xu<4@t%$lQwT3l zxC3%S;8(Jq3yJUu2q0N5cIecscB%?w7Z2YP&7Ly|!g`-GJ^U1J$MyR6mCBF`j}$n^ z;AOo`K9P-Yqh)&!g0l1SIK#j$3wl+gL=whK@p}0j+C#I%l1X0SBrxs+w~ND1;|POz z#@~yv00t({`yO(FktLQw^2!=uCIpyg0Oqv#dp1shxxo7#<J(LndDRXu3j)lu0CQXX zJsBUWaH9B0C|(igAMkk66Hp+gKRhzx_YB{1TFE2iSjK%vuKI{M*-9>n67v5Ewf;US z)d*{bo|C2FY85@9h?$MkO|&zb=%tkq_l%7`4|GA6hO=Yj`e*E7f?klJ;phN8qllMJ z+<~w$5E!H#9DNIkyCQllwuqfcaDNB1(E>d!OT*qec;ttd^X7Kb7PbK1a=kv)@4Q9F z!(O*+fS*;vzj5RHWmH1+rXCPbs~Yz+v@84^*|%YL$HM8@mngv4ak*+l&_RBmU?iJD zz++MY6w+INpP-fA3Bd$#_K_!rM6lbWV54<H*w<0~b)8w{fB?6K#tE7I&DeZ1C6EY@ zFGLW%ccO5Cne<Qy+03ZGeSF6I1Rg^pg0akEz<tiuL;}l4kvO1Jn4FMKlizvNKq22M z<ASy|i6I0hvA`gwUc!M4bJ(2_c<cdP@hkw^;WS1hIA<1z6FN89r#RNe1dAjyYVOw) z11>;myvT39@&p6!XS9m=i2U(Kcm0vKg}{Jg$hNLX@o#O!diN1J2TX`;)DJPl_LDWN zZ`YRoZh$M~=6x1`MV?tRv@ZCi7;OJ~2w=mWFKRm!|5E(m@bxeFH6sG*zPwFgfI^tr zJ*fECg6I$Txg!cgIDy>O0fhkz;vjA_rxS)z4snR!*71K4Zd_0pq9`R-t|$!Yp}TMy zxL}d9+iP^;?1%%nkK9lwq6j5d9{UvOp*wKecwpf~f9BYEols<h9YS<teuyIWoxI_3 zhLaJs_78~%<cDtGSH<9nA_K1*UKI!^f;zljibv;v_whq9mtvRQ7lcH7M&#LT8JJQ5 zWhbK>BEG<a$cx)1aGzp;P9i$68&)Z}i%fw7+`bM`DYq7SQ+B{Eq$(DWr{g4p5Z+y* z!(sp<x>wsK1cl+aH>2O84+{>P2rQDwYpzAa2_ve~nBzPQaJ|>ETd0%<EOFADHW2{$ zEW3sA4P`jbBLNUdZVUSx%5vI710bZ_7T!0M<2;W6Km@rh!fz<gX%n~4Fn}is7t}4O z1Q^Rar)5$~0~Eo`ZuxIm;IvEufQp&js^2i2`#cHYO(C~M3-AVvbWrOuJ*C1j*o_z( zD~@sNG7|s{UW+ZV;0U)avjV{HYq4Y&9OBkx4ge6n7E@!v0cl<4{`R>RLu0|&Ygy*| z_PH`!WL{SY#ZANk^Mx)F_#VH=O(WzY0YmgIY|RM=n9UC&k2!WFC=^*x`?KW_(@}Y! z^Uv82;unp1{4J3*p2-#kGXDg2g-^DP7u!?MdadL8HC3oAKW;j}q=KEu<<)jxsAP+b z%;nY9BX3Url)?wonv4Il=w5)R^MBNW`B!6};K^&AinESd+%pzleUDZ~-yiNZi)!Ql z=8PT4z8f~JXWC8j`_Km4)zDuF<b9lc?!4Rj+&+eG%{jIB<isjmG|iWwH+)g?;@W}D z$^ohFTJWvqWQ}ho4id!OZ0<bcHw2}?_@2C2k*j$5ap5WB%UUyYr)CXwG%z)sojo8C zhehB<9iAV)$-pIgcBKx5Wg@I@<se$AqY?6CFP>f>lk7b9h><t+2j%OzXUH>TZ;5@S zcJqZJb3$1bERl!Y7};}?N}tQ4N6d^_^6>F+q~Tv8l5ENxLF@V7ON!09_?vU3$&gq6 z8XWau;m*Bg#iJc67-jKe&Fb<IMbsF7+?z3C%*q+qQ-5D27ujxkIX6jve%%>$(V+se zk=uP4JvKr4V#~<v3>W{c(33utRCqWyGoWR0WUCZUF(nj^OH{?Dj|)1+njw!qm+(Ac zarKb+(-dw6tSe6XB1*0pVz1@E=r5emUjy0f`)41=c>c@<vztc)7&QsTF}UB<D>Nj8 zgES;%@tRUoa})K#$30YPlu18kb?TuGZq})a{$W%(4ZMm`CYuDBt1lh-r<0gNQ0lJ> zkZh?JN)*Qx>6q-2<Rc!4^$aJR#Azk7yl5g)?V2LK?;gCgh4t{vqX_Azw1EE%F!O0m z@nVmI)QlW?={@r$YgB*+dD9auRY4(Q;eVg#E{u;gmFy(dLK`r(I4IoUzEU!gF-XZr zEVvgzWyDPRo#?9R9f6CAi!ls5qg3Xih*?xbQZ;_kYhg}bW`HPbL0azAc#D=$aPQeh z4BQbc%ak5oQ(a@fyP%uTLtg|Bhr3)A?uOJU+Ji-UkDJV;fB<pE%Z%8!C&k|7(WL$F zR^}stq?P@pDz-w7D|ukDhFzL%TL#?4z2eNZQ%heDUFGZSkQm!n&%S#IP&XRO+4ukI zV5xs&eJ*2hdP{;|uD8Fnc(s03Hr;=)ek!3+BEe50oUY*?CC=P0yH)?K;2C^Njh*_g zg7u3eMiK;uP6HD#goPl%jW82H1TRJD+vNHx$j|%GI}MhB*|kKUx|2oz7f*ii%$%P^ z@ntvmV?S?egb6hze<cW2yx0mk&p18vN4(#wCj*rwt<I>1`<JPN`)kX=yC$u^G-;J& zS!FHrN1V&dOUicp9dM$*3I40nfK9ab?Ao_?-Ksk$`RI;cdrvQ9;YV#7t06L_JWYCD zu8|bGO-L6i930UvVU1@F8K6w0cfX|{i_?E9x-UF_W8DfnQtF`;-5k(LuSr8(z$oR( zv`X%#7405C56E$I;V&u@=z+v!4TSvp;C<u6>O^ApUWSF?cLBf5UKE|<t9-ev`J`~# z+NLHuT<Ka}xc9;O3Xf3$chr#bvvuRyVBnDRS2Y^Sm+#f~lZU+<gX~dqJs#irLw=P{ z(|6s@!>_xu{Zxm>Uj1sx5h3T(8dblVu4XR=e{Zcd;~k&+`TbQn-wfHmy-j2IX5!@V zevj$p>C`_3J#X6K>A`Hplan`f(tNKm@+e1rj2>4flXqwkzL?y*VNen-a>>`()2sV@ zU*o0Ij8AdOOvrxGJ>&WI^!~%v$8YjTjGkXtcQEW@cdBG`s|0@#otjO2)w4LXGgeej zMRfW8YtX8J$NZ+o`T2GK*i}(fku>tH%Aj`RB3an^rVPr$y$*ki?(4;}ty`Nk=}7g> z$rC-tf7NE7WI*vMxl+&P_wEM%uL0;Nk@n;ntkkudv%A9Ur?Iu?+j#Y~ivo|QkXv7` zN`X66?hPBcp2G+3OCD}N_V?cnefm0tYTHwGGc-Lut=(GLzU?1Z3xj}~#v6Q)%LnOb zCfC$5y+Opsx+>$Zc&I{@vBZx4`N;uzD2WBOgvo}VJ+Ca3nHPMX@1Y*#Bd;m)(ld1f zYmWbU0pZ{BA-?W=zO2h_F%(J^>^kGP)OTX-f)AIw_^22Xp#nSshlhfvO<W{oYlMY= z3+L!ldLLTsgzt@vBmzOV`16>iO&hRS;YCQUhEI<i1GD)MnpxxIKK^6Sf{)f+wQiB? z-Ow&!wm)Xcy&_M(H=^C4l=P?L&b2N<Alcf^&9j8DMKZqqW%m%Z)x*Yzn=>N)5}^L- z`8V+GWA}!O<#<$|js4~}=jL#ita}Icv+Mcn@of0nC@IqZd2iJHYoCuRG5}9t@h*S* zPH5rI?s<6c0O<_JgbGP21xsp>BGpz<P33rbTqSV@rGK}If73QBI_LtyKREc+u-<$p zoguAD{5;jq!=%6cmB)_X2(J#D4#J7^wPttPHnbP)LHI~q7>`4D+SG55NBV{GCK2?} z*foVd<N5tm-fE(PRV88}F~Q%%&o7IotGk0%g@4Lz*-Z~mW<ZB!jkQB>Q@3i_;P-@W z1O0Q2dPM`^=Suzd6cVB8)1c|Avr)xIZ}0zJH!hQR2fHQ=a=PE6bvDl}v5P~^c3t5! z_HINf=4L?p{qp@#>-d7;F~p1y<@1^lsLR-~)f=ft3W|&3LemsGaIZq%oxP+&7BpOF z5w5wQ%0Xkr%}`M7?`Zg*#3A*z4=KMv;_nB_2)}0rAV+oqO$<11?dr(il*W1E8mUZZ zMv<Zqn9$(-KB?z_uF2#Qn-EZJgPZUMv||2g_3&ADDbzN1toibciy}B9CbbFr9)c_O z>OA(iSsn|s+?TODpOpR~u$g!C%C#Vlge4dPR~hnpz+C&>=`R)A+GH}-MljV@`N#8c zQP67vDkQEMbQdG0v9?I2vsM^9rd%`mZ1ffI7kj%>Z<lpGo{c2#uuRxIxTrI2)H)Wp zsK^m~+UzhaVASq`-x}PiDZ>U<<b@5lClK^p?r`oE6qG-6W%#;^0jBR1mLNHF1$yUS z%SjiRJTjxVr_Kvo7wCZ{ky%DYqWxTzEP&)z5Cf2U-(<)X#a6L<9gpn5c`nw$+Wl^F zNmyzX1?5(8OXoKUgaaU@QUN4xa)D3ACjK1?0<|=G`vnCLs(SYPg(zX+Q5=L>Jfi{q z`Kmmt=!PJD<!0`7P*&_XTzb1V)=gBw#tjEn{}s6~%nF<q%K9Hx?&Y|@3taKyo>-SI zb6;*9He^!_-Z0KB3;1>mR^9~e*4~$`64L9Y!ULC=CM|1O%kZe){eB#!n_&k@9V6M? zF1EwU^2xDgJrZ*!+@{+t=P#>$&$s*5{dVrDO{$MCy^bxmQyY)&m!OEk-j{#2+Nf=< z>kjBqnVmTBCXVd0ygCj`W1l1fxT~I5%ahSG!5Sx|D*MtYSFQcr2ZVR()pN!+-RZzm zRvSgs3=ffHmAg^t-X7Y{{g0F!I7_DvfcEN7a3K&<k}H&;;FDFXm@PGbr%ACie2SIM zlP%UmF(+B%f83YtuW_7`ium*oKLt}9)awZiw7q8|6zMEVhjOHBA?GKKdHqB@y7d-H zbkd|J+|}`u4+TwnKq8VmcnX$K_@-3;L#>91e}{J=x;;^*Y-S()tCds<p3l4iKRAy; z>6(**BTF{t>2qtvr`yT3o`IsGiXJjX^fs5E9+J@C)t_R&H|m4alZHzN)$#6q^SHgg z@U?OIo}pTO=P#!>f%bL8|5eAP8sR8wV|ZgkT?hQ$QH9l{+8n2&Xlzd1H+gr}oWBzO zMY<B6)$vzfDnGiGnbcI8RB8ZP<{0CR@;3@`*1TYIoSaMQ$HcTGt~vIJi1_LjOxAqf zH(7fzPpW=mf-UzaD37;D5ko|KElHaO`!JDfpUo*8uDyp=uFTk;xa9bFZRt-$u=3*; z!OPXo-Pl}1yI>Bv`BsphnE6KT)X6*EJu}xCxUbyNQ*O?TCi}57*G%r$#Iz>+rZZR1 z@EcT#>mKhBO4QR9TFJbmJ0M`=TgxD&>*K}<CD+&Igu}mL=)qBr2af~fKf5Hj#4S~F z7(y^DT!ZGkgw{sSd9tH?7=Id!1yDqrVUXqEJR4!Q^ww)X-e<e`8NJyi#S3@y(5HpP z%pUR1*<uRIIZ^$irfQH`cIz{G!lwMqTR)Gyn)LJ%{~eS_Iwed&Nv-stvKMqZC<d71 zX+3T)H$D<Cs_>$jTDcNA0sZbmRcoR{7$RFF(59BVU(JrN&XQ9Os3Jz$Xzc!bB<b#) z+_*nl*&pr2nsT<jUMV$`>+GD;UX;$k>M5IWfMh#rC&!R-)}QP_iRIE{d!kYfAqUc^ zgP*xuWHG&PIA5j$pIN`QI)3oFjY2OPRLxc*SJdwGTCcS@78Lf`R_O=bVx|&<GAyLa zNg3|ybk(kr1yvP;>fW&`8?F=zuB|JS1=U2-c;9xf$R2Mf4s1r8282BtJIiI>fuqXW zgBfGX2;36m)m4L1Y(7+jTdEWUlkp%j9$21#I-~Hib41tog1HU&VqB){+bvZTU{Xm- z$dvLXif>?>7JX3^_*}SEb^>bRm8lYSCur6`hUOah$EeOfYYm2lFufmo(dJ~Ho!2V~ zR}x^d$5u+%xC+#eOn@M0o~6;W5}Lt+1U=&3P~2i!t3SShltUG)Wom^+3p#4(@=$+~ zTfr6B$RFl%eLF_aLja?LaM)A<!E{yaN^ti^#q$eBSKu@#jCF*k@>t+-N!kNw#TmE^ z-fNNI?z#jdZ3}b<*ku2Q5`Jct;GsJYK=Q-`khlY<Emh-<yla8uj=Woe!xKQz5!|gV zfTZac<^sNow}JPQp+`F19t6S(Q{eJ6F7JWt*TAyPC@i96BhOrx2ZRbBY=qHm?Dk}% z;Hu2S#~>VRoNm<@YOW}t3@KAeSOoHGH|xUsuv#cdh!YBjD9{!g?S7~4W8m;QsL#>X zj1e6mYqIaodM<ymhA;!EvRQeMW*kmD9*(M%GG4+6<8iZgro+|`!$YIuMiQBA)1zBQ z3hD)B3ub7lD+5Qa{lRACm!FT)qg$ltTEpmi2JJ5Um3)lbJ~K9mRn$_iEGACht!G1( zi|SP+jD*fOM^!=+?j;-r^I?VC^hbf*oTyw&9yul&*;?R7Q5;*YJ)01;ev@56Fr@4@ zOl8t&l2s{dYkXGvAu&I-U`L)ZT6|R>yFFHAV2el=IY{NiYbhIov9MLwDu>1TkWp@_ zFRl_=5^Yv^`bCy#<IRro2nLkKDm#{`A}{efuNV?BJjsZ4V4~k|J)9X_5YD8^LokPS zNiP|8WntrguFz3<pam0+vgi}%BcwmuSDWRhVtiY%>V2<!lXbWt^G)CG0bILENoidp zyrE)^34Z5Qk~D;1qYeI9Q-3?y<HvGH1>qV*45-ryL1^-Yvaf3~*c;3{fMr}8W>yC2 z;<d7~o<oP^5QIJiCWkR)HM@IG6-bm8p^8o`dBIo;^!)o%Tr+BghmVZl04Xlq_|TGX zfYln$aoyYYE|hr7@s1@$Cu0-&?g%BYy7@wxzYT48M0;h}d3Y}0cU7j^GvFb?+L5Iz z$(QGehjz7OVm}>S<6E@xEppoUpG3iYcKA~=O<6kDnYmzh^YYb$b?;RfB^Udze&gS9 z#Xnl?vYQjm-WcIqu?5tO*<xZgfStl51{v>I@ORQ#<r%ljt%-`M*63o=M^8lHFarLt z4XW)4Z^e~91XvAftg;$uqE2Xx{BsNwoa){6!<?_~`d4lN^Qse(WGw*XtPf+gWM!(# z0`6PA@sE|@mt~sZjG^a=(_9PT>ZOxxM_0y~6DzK7#8COx!QoJQ3*D0+W+k8rv#Fip z-2=0D<>U;0RW)WCEBKkBV$nT3XBN$`ta5n8)Z+qvWp_4tD=dKFUz|{*11_MT8QA!E zVZyv*->3}T@PQD!cria7*;6Nx!RcR+rIq>+@~hFV#LVn7N_2Jn1N%ZG_2X$$-IYx5 z24eWdv{d3Rt`=j$1k$e=8CcpMsnjuPWl@_=sLU%gU>Fng(?-AAZd21%k99KGknuBK zB_0P%g<I3oWgcZfY;!INC9JpP_~LmTBjS>>I^D&qR?9X_V$e^BSCE&8HCINx{pZWG zR%IVO;=V;!@kv>k#gGI9RcmEw7kEQNz9!zgLgedmn=e8QU2J^@)MmGF7h&A_m%TC; z%}Zh^HiI&3*aDGeRu<5q|LF!~U{&g<8F46}ueM-}>Uhk`*o?K7%&5Z6uf<0H>ifYI zojI%I(-ka6lx+^%g)FI_@W4A!3l!BUCB>{gvXCHL{CSj?s3dvVI<>+;+WGOysFO+X zu=N@SfSV=@j)!<$hvtJwyWEG0i9gfNBUuG=RSRB@Bb^I2jLm(vcwPZbysJ9qZhJgm zmm$uWr)A8wn`geVJ#RlRX@N?H&0ovxY!CzcMaR{vu_|Y9MovrMYV$4#%CJqRf->N9 zi2`o2&4elv!&pG@xY$-naq8l!%eQ+I8%rLh1Hsuw=46<yi}@;CP2K1>PPUbn6Aeqb z>Ge)!t|sW!SXQCVWz3Y@_>zVLR;If5-^(YJUf&LEGhNgwL5^>vy%Mjs9%3sz)_stH z@=<bBB0|r{$oP6*=Tzn#n~F~nH>NLeN(o#}z^EW~NdlmRQkZiCr+-5Ls4yTZNZj!l zD4~#zg1~9UTL2~2aZQ^~Y$i+db4)Vg6j01F_R(O;!~f~@-dA};=m)J9+{{~>!OYUf zWwl_SRXgRN>BM1(Vn>c5XM>UH@PnMfU-QR;Kwk-_FZG}t*Fu^L6h32zh}|t60r4Fl zNiJap-9c>ZZyZetu|G$hQ3Ms$r17zJ(_DfemI32$jXz-19?EY?6vtylO-we|(##Bs zP`FPtuB=l@cA^Q-65>4V%~?zqxvj}0dCW$@zcrY!n4b4a1Z%q^%_Ae7EzDR<w+0OY z%@c99|4}FYoRJhNC9StiCX%(?eM=mEqQu%7R;JkjVfIr&l6g^-WH=Hh?JdMl0&Vyv z-T=fEfEWM}%>F7!QXj1S+9eTYX;WHk(Z-7$e<b%fnk{jLI~{QfqJ*>a%9ZlloZ~6V zdJbbLGP}z!$TI16+J0JJn*AV^>?-^86@o+X5EtRQ?bhlg5QP|*UBGPw?hw63zGR)w z6EIqx^|$G>J1uWGc3v+N%4K2TOXm_3SFZoKfZ1E|)S?1k-ak-@oWb|mWkdtz$mT(| zUdq7yUAH+-5&2*yW@v2xN1m7a0i{$+I|#VLZ6^DN)I@l8pV#I=>XaN#K?8E@QoCbB z`GSMB#rlGxvGJe)?PL)~LCN!gF%6`H<X1O*5#(%NvaUI45u{sIlH&66mIP(6Dtic< zn+1z1i`!Y*h%uj`d!*blXIn~M8xYDf9r&$bicuurIdV)LL1|rX)$BT^FeO6yV*Y1w z*6QI<bd!2PZCw|Zw%5iZ)Py0*#e!GhN2<Iqe!<*y>t5RnNV(b`{OjYWe0o6ViZf&E zTpNn<y<Lt+B$!}mXN&p>Vx%mbCC4F!rB#hZLJ-+kIGK6Zt@HSjc=XHz;>yUvKSTDA z4v)~@J>O^+*g=8K9i_+_Sl{o_A!M3VH)QX?{w)hl`MJi-BFET#bRWu$pg-}#5$fET z0c0WE@zbKX=a{TAMKR{ZXpgadnWCdIi#+es&J3%b5705QKX$!G7z+xK@+?DQd*4?G z8CM6=t5x#E2a2VN`G#Q3Zk|}VFq@aumS3c8Pt9={aW#Cr+i{NPrsjM@E39o<hB3mb z6VCXWE6+0&NFef@$+BHvU#KP8!ne+m$lAo$2rFnkFN$5R6*T{6nJvcHB_qT}o{P#k z$C3r19q!nKAkoZ1uqSuLi+#fJN8$`mrD#JK4GL=GO0IxuSAtpGMWN=h4VuRF#1Ckf z1_8A?a5z|o3t5wehJ8WO4(#ELNSuQuP|ueGrA$UqkfkY06~Enoigrh-EdtbAr38%# zf1rdCPD=?`Izpg?oKXM`D?zY45=hiwFFzH8(=i3X(hh|j8Q(g$j1{>xm^H7O_kWwE zl`Cecez_A22NoG+ZF7n_l|Lb(dp9YlRmvK2$Gj9ZYsk&Kl@fD6X_v*40?|q?Mxv&T zy55VI`q8(OzS(jg!H7A%Lotgwy@Tnq9qFWh@_}eJAN9F|mc%Z^jT%!TXAjq?ga_n; z(9JUQ8e92o;sTW4!3Vt#WotsFR;l@aYSos#54|R1HEPH!A3t1cN`0%@Tvs%<l!DOC z;!5=3b7mF&kQxo{c%mL?SuN`DC{G^-Y!a*ANi2H*TmrbY%SH`&)`fB_y&JiC^B}r| z7xdj1^w~Q$%A$PaQV@Bjq>uopT+0%koI;Alg&&zI#FEf3544TJ=H?oRgv@HW?8zkm zG^ryN8?n`xM}jq)9IW&2@|`djIB5+&Sgq~cPJ<CEJ19bgo`Hnph_Az<`1V&si(rg5 zuy*FexBj5?HR~Q4n~LHTB}K5W1$rPxSA~x4(*C?aXYGyrDoR6Pv0JR+goU+1baH1j z^gYY#F&2!LRmZ6FyK7=4n$G<|2lbSmF#ZK(-@CB7h_4}EahANOOdkz)(}pRUe4M<z z4|_@L#K}UOZ)F<=X&ZX2hp<iixq-CYrny90Rnm<Ez3XfoPBTMWH_H5F1}66N6Z*$^ z_*=}lPE(#B_o6}*+QU)5POj*ZtMpl!_;BF!dLfati?q!x(i2)6PCBAuQ?pob=Crrq zua;+b>0j;bc9y&kXBr4O*lH$Wc44x3Z|v6CZAGJ%pC1rXM%Hkizh3_n%KbZ3=YNI5 z1BA+?YKa|HXlm}B5wo*&5xUxhBdK%UnDsj8uOsMY%O647K+@*9v0r3!l1|Wc{EAB> zW^HWWob7X|xJGL>DI7uS0@GIRBj4J0(%M<<pRX8t*+#83sfDO!9}U+-3I9hv<Sd#L zmow&4se_?pZD6Ff7^s4ol|M0l(i$`u3Z&y%S+m+do1z-0y&lng>aExoV-IL_dd#3* zite>E1g%I~e}X&-s)>?_JuskJX_7Fg(_8n_%#Kucb#E3s=e%q7^`GaM#?|?GX)Ok7 z=6{Mb=@4ny&z*37((D-ajnzvShyikH=Et>Z`gX~Z7e6-yhj8MJ5d}y}XhNHXz0Sos zg&B0c4AC1fP34gC$XIKj{T%NY)nbrlVFD?sVQ&%HbL{Jv*aQSBm;6tL!i4V(c40$% z!6AzErg4=j@W~b?*`oUPa}nL2G&7H>UTaIK?K~kf&laKfvsD-TYtI&?b&O4x?DoD3 z6Om;v`bu#&TCu2HjdukyNtbLrx7r=qJfk|!?eu9C8;$IQ6sIr9`8ZFd_+Lt11e89` zz76Z^z85%?=Jb0nF6?|57xLUw_E&5b4{wvqZp~a<)!pj@Id*i5Q&g_>qhH<b*WR7% z+^Ity4ZMCMZyUG1Lc3}V%a?9<Hqrl&iu@?T$N#dw%qFE!#|39A(+){r>OZUJMddA8 z-@F>mJ2UZQjv5@bhVG^+4pQLgCh_)mA?6agR(46mhp2nnczE^QoNCu)+PKHmg>@_0 z#_l>69@_t_u%0IsYDV(0LYw+=yYp)0`9GH2$Ny)^{TP=aVAU<y{yXy)yY2yIA`M@W zf*gCsTn%TwvEOztJ<eoppoQDD1W=L0d<!+vqucL8**FSc-{e0@$2+(g=`(toB@0)C ztNC7nBYXXE8>SK!Z9yUX_}Mx7<nlFFcF+r+$0waUsiBwQgJC3<dR5Cs$(3)$`q%n3 zYUUYp6Y7sw@aPrKW(}{c?b^P2o1f3dX=y@788^$h-#b#2ua0-2A~>Q+*v;wa{0y2w zE9#$KNf?$y?O_=Ovptob`$K^4<NjY(+^^+QnA|boJT_A`kf23^{ii@1%QU3_z{rTU zXioFG(+?E90c70k)`$wHONlIQH|Fy@lRmPtluxsFI|M#qqZT?}>}OD$-5-X!bz<a2 zV#LK7c@27$;EPK)d_>FLNSw6BP?6PKKFgrcII>UZj@_PQOa$@4e=zrwFzenWjbPzy z!pR&A%4F68L>1~el^4O4h>$P6b)=gVDJ!c)bq8h8_>p3)kY_>p69{BxCG)+o-e7v~ zzh(~0h|L@8z69Rk6Szpbc02Rhl1id^&i*!hX_*PY@IMgC6N7Xl3^wwC^un7v@WByo zLiH1zs~=9$Af8RRAbE4cgdX`JtgW^38GSg)8o>|FiB$53NuH~Eq=!C)(1h^<%Olr) zzLV5FezG8*#fTwUuYVKs#C6H<EQrDoSiGnMr%32)b>08jG+}mhckcr2GfON+_ik$b zT^}_yJ8xiRZ(d3Jp|eZY*Cu$Rm_x<xo0;+1G75X9`Ya&sS=lH5ni^MdW5MT--B!B~ z>8iWRf4%hl&LubrPILA745<0LtLb`R`twjP8-1x^Oe3lW<eo#Ojzeny&}rNy=WMqa zgcov|3C|&xSbVK7KlxtrsH67UC%ofg4w$-)ulyKak+?E8a2;E~Rb}n^E-0zYh5G!Y z)2nC@ePP0Rn}Kmws!EBksD-7_5(pvd{VuK?R+w?czWhsrh@E!46pQt|I1P}gNnRj8 zvDU@g77Urh-U;(s=uh4(EbAppF5)o=njB;|n(M?gjiT*xRS1%;#Pj?Mj=Nz$P~7&= z3z*gU=7MKvpx^$2ZP)!9Dm!0+*L*+849iv*xd)8x0vw2#QL4^sW7I1eB1_Zm@fUn! z#$ceBQ)?MZ8}Q#Alu{GpApogMW+;-nGzlVM+low$$-(1!#Jl<{G#=}Th9s&**)mc- zaX!JyFg86;^S(EncKPW=O;}ypqK{fp3Ar;gK7CpsalST9nrhu)(h9i;&6`qlsQL?W z<B>K;NJq6`N-?ZY><p7MYLQtAtI9l)MN>YRCB|{2Btn%sS3b#k$2g(V3}N?D-Uzhx z3(=o&U4kiaW_=FxW0b=3p1h!NCMPmp%wGt8f#?PK6lpegVuN(qiRu{XIOAlfLL^F! zRSL^CDEnF1NP};EsIMxLoirm8q^X=m$+d+_n+d!sy!*gzg<Obmz`}^QUu+E{tDi!1 zjBQq8ZG+9m5xWugb+aQ*1zDhB4NJ1=d=AK805f^$?GmP8&?VOJ-q+1I)fr!~H3lTo z7=9gp8!?z>J<+ge6wbZBo);w7aAs*Q>JMwY4NE2ID4L$(%B7bVYpG}93zqv`Ei7EF z=d}A_)FI=o31$sYHe?-0Gm}(3i{ri=)0#4Hd?en9ISFPjg?Byi6ou+63`zi1BUvP_ z%>;+<xJ)j~NxnrQ^rEst<=2A#?7e_|dw4Mqnf>s!96W*ol-Fquv3G8Xd_f5RVG^Ff zvC_1%|4la=Z`mv;x2rO^_q>cUxPwd^l9IBJGUUvKPLcR1BzBYGV>i7{0^=gKF!eTI z?pYoQC3MyVXkdgx2~kG@8j*lWsI>{80rVSS;_4F({Y-319k8EsRv!xaU9vY^JaQ!S z*H6=m@WI%m7m0cc>5L_at4UCcml9}*^YCq0<_`!q0oBiD0Tm>hz*3TBa7D>l#Qb;z zY7x?2vrwtP3&5rtETTIM=_Q`YY6zZY#|h)h^x;zLfBkyS9l~=-#&2uq(J$eHjNL4R zup3rO^RFsf#(Z&pkyEMC%)Cw3R=%efj5#=bL*@arRWbXw!;d9>cz0#9#y)cMv#%Di zRZkbVca+1%K6W$TuwqYINp=Nim61==Y?P8|nzxzX^)6MO9Cz<dEZHx7v5{*`q?|G% zfpdslpQb8ivGLFjJ5FcdJz6t)i=yY2Im3o1B0u2lXh-a&%!dGFd<7?>SRpzHN{AAU z3euFLlpt|CH&DS3K%Bq=2zCImPQxHbF}A=vste`(G3?4f@bxE;TYKZ@&pp9k3&Sf* z$q-+4*lKh?ZUy}bjyDOgKo9U5o=KqowSzY`FlT{}a`jD!j+@NR5x6ST*Z;XyOe+Up zoM{AqdOUmOaeVsEyJe;ew&l*QTi^7MCbc@NTX}AX>QUtL+3=uvCOCQW<vbtNkCLog zB%7+FqeZ%ez^w@EG!K27H40M4c8K=9@d%slQdsYf+B%_Ic==tE!H8$Th8A~1jk`<U zZb&@WkRU-*Gy!N3JJECOW4Z=ap6MnW%|ah*ZK6Ic=#iurkmmL{R?TE_VXD8Qyp!Qs z#YLeB-kGR==gB2^1+RiV_%6870^xm|wd|!Z@Beg?hqd7B5tQ}ilj`3)tJJcK)n`t- zl;yzH+&(=%o}^ISiM$JPo*|S2>|0_Z0<EAfGac1OX=X`gtX}QLn#y}+*xT9Y(ZLn> z!fcy9Mf#*M;Um#<wwM>9K-v96HS9U}*i`VcZYy+OtW0ST5}iFjez;IgLkH$Fsesbp zVU<T+HYPY@P+kC+dwGl3;O8_ms31PTmQYD$EXLN7luHimbD0`!1F<UGUI}?ilP9B= z+SDfv<v+-w4+P7t5j}g+WJuYY@Tz&aq67bOXOtr|N*dH~O!cCbx6m@5m@Q5F-k2oY zm2B=?B(1vaI`?csQ~cM|>ybqt@^mo=C%DF1B4Uh3aWh+(rgij1a1EPoWa%%Dnh4+} z{%A*@07~s%5FT+MN<@2W18fbt-5Fi1Crhj}ZTlk$VH<CSuU{F~bgq<F;lC%|CG@S3 z34AXNSGZPT>M6<vIFu{4g?B>EmU+>xEuGAUnYqD}jk3^a%09w9!k3%hhvIGtS>4*+ zEHoy(_mS8>Ox{$FIxg9?n_zuxHo~98puwJI@;J@FT;}v0W;ZuR>O|$O28#GLA?*fl z%Lx8GRj@xzUqZ<1ic8(^&vUU4h?37!C0rCKzGpsBr}+w9Ypa0>iN7Q5+Q`(4Xt>9U z$d!|Exx(<Ya2HD|Hzy=)m6P#|%aoF{jlz`C7D_5Vi)?{u)*x+9ex^~`o&cwD*k-HQ zoat{YvbmlBXHvPIyku~=X3IfNm5zNb(ZQZ*W>LYOC}wfM`u$&Qy>nn)%@#PCG<KTC zwrv}Y8rw#L#<tbiO&T<|ZQE{a+j=|q`|f@3_ul(s=1dpoWTyMf!d~-4{h;so*I{FF z658bOa~8G9AxsvhiFbWk<k8JJokJ2nU9o*qCT+OGUXc$0!jZN#q=yAbsR@)6Ws{n- zK2@5B+tC`<_gi|$8Z*Qu1dwc-l#D(iC63W?_rAjG*|uorvr3*z>>Z*&SABunUJ++G zi@V=!NOhVhzvpLv&h~Aflj`5&b0}!8<>%^0bgbJ@0Dqm;Gb*At@0JX~msjVOg20F8 zQ|C{YGfk`Cy80K+6KvyUPKpRs=gnjJ6#bmJG?R+j2fFsc;H@5|)d@PsteL-{cq}(? z8DoX<Irct`Rbp^gnzesEPdA9ZHR+^_s8&zyR305PIBtMNDWtSz)i_+wj>BgXzTu!_ zS&PcK<gE7DE#;?3!<&@8!&m|Js%maUjiy)+W2tlcHAJn8O0Aoq@+Wyg{}($S5`vut z7sKV-2!v5ZvzULyHe*~If!<ev@VZ|GNWU`>VY(#>LJ0Ug=hOW!#=P=7mM%B-&E<qD zI@(t24rK%p7r(a=LLI=hW^&JU{fMb#Y^1<{5Qdrka?uw@vHIo5gS=elrodsQZ;u&= zN5I7tPa7bskYwj#>gUB&Pso!XBEcybffw!uu2m}M;uAaPBD-DXtMv~?Ry#(|E8NgJ zEnl!I?+Ck#lok<0f?)_wPgabJolo(MztolV`T8Nbd{I7)gQg+7Zf(4!`Kj+l_Kija zhd6e{^Xcz&ds$krrpoMJ4wXDQULO63?vVJk86Zk1-s&2p&LE8WnWv<kNW3?R0F1=& zcp}%#xD5ZZu|xQjt7^>fTbazLLcTXmjQ(6qkxT=|&-L)}0v)k@xav|=7=~FI_e^{~ z-qg?KbawT^MW`=N$>LH)LkQjmLD8Btd9$T#9*5PBz^HLH%{@j#wR|s=F4+*y2^RKU z+XA+#(BaVGFt_};jypqkA@(7_TY2u}M_Rf**~+h&jwiHhxK?Tb^a`IUg0afH0JY*E zM$yU5xoIjcLEtC|ugG?Y=b{qx2&aWFC`?g?BlMrhpHG_<6thp?Fxb}vWml5_1nKq| zL=FY_7)0GbU^4V=!T*Wv)sX?Qy*V-@!t9y5sDGk+9SMKHQae(1KuH~0d_NoOwzv~s zFN8W0UaN)i`UcuCG5U{wS3@#>TZ=|Cemjc>j-^p>{~Sw4^=790M)l?{K~S3Ux}ljX zbohXIu>q%7X*PU8L><Asx@(ZQHdHYGQE%3O1UZG$q?~g>ScAhIE~y?;*kim*%2Jm< z!i%m74Qqnv{U*H&Ec6cLY|=PO?AjNw=2bWg`kLOvK~=?!GO7BfF`}a$$7or}0@7t6 zf^n-Lq(aH;h+^Z7_YCnY@mkmFqaazUj6dtBg*cup{WpPpcYDm9Kuo1%gRDyoc$#=2 zRkGSX#ulT+AOrdZ+Mdq25{RC+0>n0!U+5@c4Voi4X|mliv!f2;(1%Iin?z+zRt!Zw z;Oc3M&3gCC7VX2*hUd)!4{HVJKZ_Uk%4z82^`a#dr}VM!<Zcah-g-q{A%9v+Q9hzj z2<?6vg2}1$o|HqK-**mTbPo$42%y;2O4Ckm3bX!eVLnwVoUhe%iMhqRX{!kvZxr_} zq_EW~9ZDrn#5)D5yK>#6sUHq$p5;>|^}HR9+XhmK8{q<uI@S+$)Tv4Jh+t50FrUsZ z2%Ve9!FImi63wuC=WW0c3_Uo$1xTOkYp&-{Qd6HtLn)mT-nnUA3f323;J@4;YO4fZ zb`lU?XBl@l`?p={drfha4$n%5XRNF8Qa)Cc>)Y}!nhj@BD{)ZO`)>2b97j3FJ2fY3 zvRWCiUO@3q$u||qONeBw<)I_2U?RML%CTA*vCbZ9TTU_dnr|Sv=44l&e**~G`x1j4 zQ^03p=)YyLHr~Xhy)voRh7Uh)cO~$IdTnwd?YM*GYKEw0XIG&k<j)FOA>4lzVVnJK zUbrn=&WZ}dEd${}x$^2aEwt8IY_SYWu`S~Ma>F@V7-P7Mm=WLIAlmaM;UWgiK*`m& z!JydHQU*7jW`h9f!d)6oF3LjB0it#D^BAZ_;Gr{;Pn7&j{J~cu4q@5cJK^x6&wGDZ zC!y23jVuGXG9j@65i(JX12cjoH<1*p9{T<9XR{XI(fNGX7YU{tvS_i%NTW)R_K!_z z(;|1b$7$4*1@d*r5<1}zh8`vbl`8dD-L#O_t>O^bW23;CSO^m>kbO1Be^Ojk8F?8O zI5XqWD+rl?A)$u0h8G2hoVy!Bf2Q2ZNb1F|t#k9!x?{Y2QhQvMS<IGIkhgHDr}-<E zOk#bhs1l#X8e$^38OStPZkN7@%(?j@J9wjUbC@Qopulmcye~t^X>_+XO3D|Jrf6iJ zBv@kSR2`vg<XnG4$|ntg`b3WB8o8w<i<x+E+~YDKWQ&-%l=1;kn+yP2af{2`l__Fc zie_s{%CVWQ${hTCQIkq>s4<#=9sio5YIIDN0wbUWkmdb{%z~|6mp8rl#A;`V#}1u2 zuisc8e{RwJ$i91mJ@bzlmXf8+ossO%vch!7K}lByqk1ZL|1)pr3nR^_vU_JaQ;lk- zRE<8VLuUotlCkRIBBLELt(;$`gaz!FB^Vst&;u`%pi17*h{*DiKLUNF{6)Z(QE*AJ z;x-6D6Tu~53Q0L-1j)MWK2gIUqRLB}|LOD`n=Xn@RjQHRjkf;vl)`h%bTpf1Dt)FR zJEn?{pj<T75hR1!e`kdqG{a@gVPG|puYkEyWAXO_i;zqrZYZHD*+RYHQ^Q0q!?+-y z&fd3yJCR@`{y{ErC@#}_rtZjdD+7E})P7zdX5*HkfO*a6hSONCbG;14qkUoBhv0^V ziU!9}gTIG&?RUt;&6wCWieW0oU=BZsHV7L!U;F)2HH~kcNR60cw8=tnWVbrYL3&^; zWN0&WLNHpnC11P19t+CAK_vJpiRCk?v2s&Ll{KwAzrLf&s77RWN{#%>-&)Y&lVYbf z1gTXx+nUUDuDu$`fdea)Aq`HJn3@<g!C7pC2zeT~o;)y|7vmoHYbT@oz($%Q#>vpK zADG8QRYv@&Kn!Sa=&oE9(W6Dr8C}n6#9fF3q~3lCP0-tT^ts9bF0#AsC;r!k$F8`c zQ>aA>+6IEC-%w}Xh+_<>-nxBaR}SnDo{`@`NAL~I<g0n+R6&;d4ir<=HnzVk7FJg} z;e^~^zy7U`?ezR)!G2Uc8K}BhJkN4C;Cc3rnem*Q(KkL{UGT`9T#8}b`VIR#b7~We zzOIZN=FFbH!$2r7S?fts^G)md62bw`)jdp|hS*a>2pM$MHraMexF)R#b$Ii(W!S<o zSY^Q*f<&qT=P-)=A0>jXC~1ZaFVpU2-@C|11N54GXsyUcF+96Hg)$i%7JF>d7#L<X z8al*A$z?DO0xc8*lY^RsGwMtn_o7>tax$)Hco52KpRpRUKkT+(m5*r4_uLh<wi|w_ z-D>xRJs0yG7xi?#e1eT+Dv8GoqgSxnNnarJG5~~L;sNtFo*5W$N<d^!0VdvVCScKt z116pT5Rs)RYNpUxj$HmTvVzt`VS@10O_?h`|3>rES{ka8<xr~y)kINW&MCYc;@5Q6 zF++_+Yr0CwoXI_5j3E2HcnMu|{G!pQFPI_HC^9{Li!7xPW%*864!fRq+&jOj`DzFk zugdeWe_idFZ#aJ4P?q9TxffB?BwRD4ip)N{OLs&VcFi#*{v^F=DHjVO8UtBIUXaIV z(e|Y?E3#rOEk$&}X$r#_H#OnbUq*Oap!!oMYIw9StZxujI9=Jo3c~QiRsK-YK{rKe z^|Ck^C5fF)O_Is!Pr<DG#c6k;<*Y~s{4}dDdKyI;18r4O8ebWqda~LaB@WHG%Dh|q z3Lz}L1(qcgoy&OH%`j--KLe3@cw{LEGt-iUuL?S#Ld_6Rv788~C`M1M=C&IX#maD? zWSl=W@@ta)z~cb;t)BqCI@$_WPL$GJ2yQN{NBoPDXD_SUw<<u%FF*-56QD#nmP*O$ z(8N@c3X-(G-k<w5WT>{Thk4@`HMuHthJ(`RDUv>*E$Yur0Aai@6=mopo8O0@JWJ{C z`A2=2$o&~0ExlHN&SvIyVN61y-~K<z<yz~-EokudEvvXF?-B1^6-=l9<fIQl{~sRk zt5ngZ1I~6XS#6`#7mwSHkqBK}Xgn7^+oX)-nSeu2_HWXgF8R)su!{lOU0pZEw)LDt zQ+6QfBQw_~6hJt6%FVlZ>6iDI*Io)k_ggoGQg9fQ_W#Qru3$d+@KmD}^RnA>J9l+5 zbLvw;|E9BhDKJ`4-ckC6_;;0_;FG}h?FjX57EpagEJ675xN-&uBnGAw9A`A9z0>L? z)2AZ$+~qdQPoLkVVMUWK1!}&Dvh32)xG7M&Wm9jm2<3<|l0uZ!1V{)MGq5i;zGRs0 z3abWOPz9f};)mhcL5Y{uI@OmnlpKTQI6_>%S<VOX6qc6<Faxf1cm3Pb#etA*Y4<av zvOPrnxc^5!^!_9IhTzh3Otz<orn4Tc00w-kkJtIF<c9robE6tZjr@l{e8>C0`OJ$9 zq#y49ZT*|j%m`va#N6{^ape<(l>{Z6;_%KHVTV`JJrwzH_fvwHfTynkhY*(i5MQ^( zouV3{Lz0f^$U>RyFR@#$fAg7jka@<nz=zp;wC;jqyS1DJ=!dmB_-)(dFFtabW&WC+ zZ&Lm>Svbr2i*V$?5ej=&{a!aJa_1k>E#l0=FdXpv%@TU6->U!#5TS8b9oVhmJjF1q zQNeGv0%Jyk{Ln)}w^Cmblca+i8MjvyPk<oq<a+E^J$8{~EqFfEYU1+wx<){@)$M2p z%l1S7`5kPRe)a)(|L3c(-F{ho#oGcik|ALs0T?#cVg_ygEf%ylX8?=qe{1E4Scj#Y zS}L~7-ZNt%PrMS=BkkONu341;a-1`Bfz0I7DTQ`fmpvd|*{WaWkv#*%BQ12v8)<jN zF?nD{bktdlay{RJC=+;v&_(c(eU<5g^>YoHGl154r6N?_yRlA!d^qrISrd-H9J0lE zyx}T7=n+v5ouP!!DLWnR&)MTdTOqWxr?$zr44VNgy$Px~s*0}rzN?|Llyy(V$EuVI zQvv3O3;tk91I|0Syr`N6vf&hap+gh?EN3*0?=eE`d}Uy%ata<GFm3Cm|5zQK{2EZq zzm@JlP~0&l{xuVJDiDqNK~F$QZ`QK@H99mj@diEf=K&K2PvTz_MyV1oCRj@Wo8vj< zUz=lJ2FP)AQvxg)7m0r@m<hWh!M*EWfIW2Hg#C{_^gCwOZ<K<J&o^*whz&4c_CZP0 z3BiR-1=56u2TbLcRf%X%>GB?|fDf+xhffOX6IDT8m@c;`Bu`d-te)_R*3C@neP2uY z1-}H{`bATgB2kJO@YQ5VCK~vTsS8I=+(1x4ZU$G^I+rbnG4t8b=6b{_X34h6md-2w zGUa6bV$2h#KY1XgJP8ej7ATGY{7i)9`pY{<zzSml=Rp$#0I&r7Zqx%mEuYmJp{IUV zlfS;+!Qn_wGAKw+G69VRXly{^P>>wtBKV7kzK1I>P$h!euZWh*m{g1zRHfWU8JR~N z_oHml47S+*rhK0HE72zgb-Z+AS-kP<mlMw8T#6T6VNj5G7BQY=7FZ*CGt_?VamvU> zTuT{BNYwsXeh+`og@DT}^-=s-Nz9rMg^$))&X+Z)x!Cjc0(}((Dej@4fF1N%b;m`l z?8HwIHV+pfZ+?I?M(&iO+8>0a#$P_X`cs|~1kF4YaZGeRM{t2=yhPoePh~1aE>r9f z$t=En93ag7XyW|y5i*Uq2VrRnl~`n$BCN?dAgSc1ck~ma?@;ON@Rz6p@QrNvdORrs zR&eTriY<z%^XrrEAStweF<&VICgm&jC*8$Te@~B^(qMDtE$#l*4dt2v37H!}X&k2a z2twumliVg|DH8kf%ic;Qkf^5+H1x~p40QJl_-h;I_{&oRUh5@DYUm&_Aa&wJL8x#= z7$SSVxf47awC+suOtDVKXXW52`ufGTkbvp7Q|h)lO^aE(#J^PCj-Qr?M@Ye>rPj#p zXQ}otud$|VG`_nz@wV>oy2h{!8lGh;f|jbss52tjOac$8^V|BL9r?%iw@Qk#pK3#$ z<`1*w`N{GR>?)zTi}oBg>**pkG*05V+KOpd8#8t0(4v<zwILvn=s4wV4}U7Sl@5?} zg*c`DBy*GZ6~r-o4l-8{qsul@Vp)a`RqY5dk2nvxAq1YgjQG>nSNevo=l<`O?x#7* ztN%w_a!I)1irC}M2I7g>L%%#?4SQ}u>IjeAm=h0@xjDHfFyVfohJ$VLexc_nVU9;; z(2scs0Y9+Dick6M=o&-7RnK;dws;~nq$jXmXvx%dWS$Oft=dIWevLPSWpk4;yS`}z zof7S=T1{F0tTlt>k`VEWqU+$Ap^)3fj9!;5!d5%j2=ElGw<`RR)P@>he+Pp2#WKCt z%0Qlo&SMCYY)pwl@0u~2PQD&%ts0GQS8(8@4ew@7S1zL!(0LZrBERjhbSzjn;dZ=3 zaBLtPy?5~mLhHmeWs-!S|M1yB_|xTmPhcj>)_DjFOz%3<7k8=9j<%k_wcl5D%+6@z zR2^_3I&ip$^N4-%FN#~!@#3a0yOupR9FIPi1kYAu3D)KL8w)IR6fAS^{i)b7so0(~ zGF3L_edPCv1x{9ZayVEx(R<eov2bQTI~VfW;Qx~hkH09m|8Kp&VmMnW%n8`D#SS!L z_*#`1N)IS<7H>Hjqv0O%L?~J6b9c43>f(!k;(}p89o&<vaZ%zd4e*Q&o;1zK3@W2_ z_$p}aKwvOi`8v_lN#12cs$DpgpwT~*8qAuQEmJe@BPE+qYhuQ4bm0c@$2jx;>+#X^ z1wa^^A0V^;g6IH{+UN%;?!Tb<X}{6r`VUase?c_>Xrb%_bnsu0F#y6y13+u!|GInH zDFLq#13ch7YJ1fG_c0D30mZD>2Y7qjrF($Kcm`CAl^6tg>Z>^<RY$hCg;r4IWsq#! z1bTNrhWTx~AnLq>l>tG&4&QSqzZMh1^V-VccVrH61FsDd*kdqQ;F)VhUwYB|SYjQ> zHX_r9sq#+pRvuavc5tnm!)Pj*`@d!=S%U*^F*J!Y@?f%l#0lT3itojsihbPJl7eY_ z<kPF?%`_dwL=LlHH2Sy35b--|KkhtbBv+{drk(n%^q;PrmgGt_qe<yW4Esn4T>X5Z zb=R7!xItyK(MfRwplvn>Cqa&$1)IX{W@S%pJN(sjygk91ymGE*h9z+v@oGxUR?w2% z_IA+<3y{ARaOX~j>NF=Gj9Ycr^`E926KtFryTkBxeCE1<G90DU{yyl3;2f#zAE{=% z8H_+T*4>DcW?ce;aJo-Q6l#;3O?Oy-jnW{l!ABTjLu0`_w5n<)0&PwsAb~0r*#yDk z%Ova$qJ^KQl{Rl*kbWu-dU)qR`q@|E?#$gWH&W8U7`(p_%~1Pu^7Kr>SZNMa&_PM| zexCZ%O?~}wbaOkJ)5{@wA=s9ZP(}lri_a`VY2mgUhY%hkHBI4lJw`W%Q_)!<^69H3 z_LCHfDJ;wEc2CGY69n{#wSbI2d<(*NpN?x^Qs}Z7z>%>`K@D9-0SvJ5fPse>fT4tG zCZmRV0vb0tHH<sZnt}ENG_L)gmTN)mq8M&#)*B8t!*<rfJC)77Z$T2#s17AR90u}R zm?BB1W$~rX_Ik{N(s>yEj*(5m#?KVyboYYQtzwD?=gH!$oqiz88nok(T%8?YYP;tS z>4k&l)cc+3JIzY?{f&aMcVN*1nMswArDEiTC<TBg_zjQ^S4{!{egXgv;b^pAMV;HB z**wb@ejZt5XD{b0eZGZ)3{~hBZ;P8#>);8&@0vQ1^8-%xWbdZ&Z4<`41J$kxUc0WQ zd6t-Ck2!$ToJWrp^qpVVyS3?=+A1r(BX(C0^@_pRZBMRuhJmOV%7EiQY}d2tK{-eh zFAzDx`hvHsbT5k(9Gn~DathR7Jf4&B8u4sYk9|o%<Jq+2rMs&)nPJv!F@mUdh9pjY zJq+F9+neDVLM6eE!a`900-?U$<dK$I+bsfVJlyAdxJL@O!P`~DQvG?+S{17%>&`@4 zUP-+Pi4$S5uO%Lnz}qy*V@EbvdKDGz{qI)owPRN|Id$DHJ0wp|Uo`@#NMh4vSj^q# z=N*Y8CEBz(^x)wjF@&yn8{NJ(4K*5<R(s;C5#g1W|MbjK>RW@@f_ARokuKR0Ig(tG zXS5ERJzCgUjNn+h=O_+V<sC*^&SKZ}zPjlV)oSK38aiG!x`wL{HK99qU+Uvwokc1V zdgAI>)312x`NH49zoCV++n~@_gfJSePsW?c-lDYMJ3IM?D!J2%8^n|s*5_H+X$qm? z92?WW5A%p|9LV|FP9zz4PDm}Px#jNS;$n~FIi!QKSaqqU70mr+j3!?}K3;@b-?#sb zqk3=V>JB^zjwFL)_cNI7a(HX3v<5!O^vu-I$>92wIY%uy%aOHHuC7Vl0!ezFP(EC0 z9A|&tZ<YxJ{IL7{J4o}{bQ-L*08rycXWB`h3-n33((ro)1c%~7NeRpd126Pk0)CmY zupcnW?&J@XP#HSn(UA9!^3DrsVM5V)b<Ps8-7>})Qr$AOPR0*jEU`K}&@6uVWN(Ej zjcttvZq!;6uZ=IRZI9T$GuFsS0(X{jN|2G-eDRsDsuo@#)R771+xqs1nJ&dV?c80c z=QlKRQk_zVq8a`WtRqOa<^4`!Sq6paH2QYEv#RZ*VG}1fnl3fpGOV|SS6on&ae9f^ zZGe3HeZ$17@P%y6nqRr~c=}sL$1(vmO~#DLnL{?7MI1`1w){_b^x|5Mh*E<18i7<& zlf@%XWt)*^)D;WA`d>>Hes`aTHBoho2vLO!*CbT9LT2=_6i?%7pnomTnbzn`B_r)l z#aOWBg&?RdW4Lzb`vybD^lv9U7_H=volv{Xbe`1(yOyGwkWMQUq&GBSn06@UTQXip zb@VbML(Vr4b>leOx>b!O{m^KqUu{k8ad_azOe*<H-El`>W+})izK#<s4R#FI%=%Uw zQUpJ?Ec~S}rUzCh&oaU}qhL*jX2F*;+L1V1F|DfIv1gt-*m%*sUF16?o1?uJCucO3 zNWr<M%`m8W?cuOsel3VtT{Cxc13<P@X1+GiZWkV58baj0A<G=8RTkx)yZKeGR(*U@ zO`RJ82ImX5CH(lqg;H*BY3LotO%R!tKNxm{;o<?*0XkJqQD(EM_`Rm4r53MPsk=!_ zX7*Ws{C4jdrdN~{gnWteT9s9yVwtOOZjCaU<?d4NpX_ODf=b)OA(ES;3+uLE>}*!< zTgM#z2u<u&xfz_58uB(cSehwTZw@l~38TC~?;qzFD*=(C5F)7RRDP6|il4@vmTxzV zxeBNK^_$ri`@I%1o7+1@Mz(k3j@AE!^cP#k!K`~(H_`VVnQ@8LP|#U$6BO($-u}Xe zEKPNACx!Y0<)krPxd%rnh&McRf5{?t^jws{-h8V)Dw@;|)B+u^Oj&UacqykjLF!*I zk~!;)s0_?)ee6#1{^EPGi?Q1<4D*fCSS)i+*N0R%#`+}0BGxN$JR(kOi9LS*Py=47 z4NF4>OJX>cn2&Bg#B|9&ekuFf@=xEBBlg;iIYzaAX`e}S;mD7?L!Q432xNBmtf&F* zMdVUb9{g9M5m<g;mp`41$?jZzVp9up200;UXo_)l#aRr?H23q%RZM1yc8FROiQCpv zBsh!54u4$WSPzbENnHA}?puC0v!-f#trg31u3DZ2ixMr3Lw*uP|GC;YfEI<Ny4dx1 zNIdKnJWqpwM?UxOskz&RY(hrS=D>Qj{n*cBjBxiXTxu&Yj-q_bV?-$K%kH>1T<1d- zUELj^5by)K@U+1<D^Cji0XYYhiRyFOXou!DO?um-_M)QJJ$ax^sMR8>Nso!=<<&G* zUdmGlNmkxh+bg}&d7zRZ!TD3Rt3t=bH>!fU>@JJ|CCsd>$ndDVkvaCvxvkL*%qk8& zM1qzEFiHE6UZ{vnmMY7~-{-;IBK|ggB9XW5g7o&UT!#ha<sC7{XQLL_!YGA_V6JIY zCpSmiPKxS0YjK1;d=)o=V+rx#7wqnT|D<>v*i{IS(M7z%+%}1SBan}f!UzjPbJ>f& z52i~bTPlwG>YS<a_BhzmBX=B8{{)=Y=bw9$UV>VB_Ge1w#I8-s=HKHFI{386VD9rT zb)^%WrxY!)mB(TVL3f(6UIH$`Q%ggZbWR2uMtr=bGPN>v!-9y}k=))aYCiu)@oO=m zJ!3fM1<Ujy;`<GszI0tm0=mw6?LyqI@4*SqL-iuti%$txW&2D{+?m#G@63#N(b~;? zI*Kd6;9(O*055BZ|5N76?@@~bHaXRx!>)%P%o)8A@l!*N3NiJX*O`yWHunX8@XwR| z4vKsZAq6sk3jX?u9v!W+9q`j3-6!i8&+)@0Nq6Ta_bmpD=7Y>us$X1nzo!Q4e)ZZ) za<h`~-KAI3IN55`Im5e>6Ysdd;CFVeH{jXa1mkywsIIc^DBQORXrG3$-#-<FZln@i zeBS(_??DVMar@>-#s6#AM$wSS;~?lNCKk!ZOe(6rp`ieXP>rzt{;B^<l@D&?hUi%x zRkWw`%#*%rpl`nf?y?F~!^D@yJ4xU{_&O^V!{=2M)%w)`?}qohVTZ|v$`xCR+TZoR zSKxi?8|omJ)6q|_r*u$0E82hmf_oMig#~PlFzY^|BmrK;Rnp-&pNcn^q53>CQ9_y@ zretJq?b^0);2K~>2NY2i%#3|SF?ZCKwp~-!SIBb13tPnB>}@j?XYp>jHA5ink*3bJ z0;%Fe!@md;u&Q-cwzSQa?1~YpefKDDgzlbebK-9^5Wp2p<ZDgRPF$n*Z;ZtkYHXC~ zfayNfv>vtWNDOH+Ly(oG)Lwp8nLV~a3MsQoJq;@EG7<R#6CFKr(%Xrxog)G3O`}~+ z<A#RjtQ}5QwcfPT06{~2(uk^t;Ikj6XIxrXxvluu|0P4(d!Ra}`=_*>(6tNw<LyK^ zEDwF(>J-4hq4Xby1x+6h?KNdR0eI`Mgxx6Gr}XKS)r@W>cjS2b8T)Y=O9t%NT?Cn& zhM(|9EL@?zyNG%3_{#{SXsYZ_LJhP?)y+Z@JDHkIuc57NCCs>A6M9!RCyNSBY%mtg zYTkM6DGC0XNaxVL`)Wkido`H7C8M@5)PPMEPVp!y{#+}H3*jyJ><s0#e!0?1;ilTt zyAPJRV4f~_H5wc?0ma)e#r}I|3E|6cBv<cTYyKe?TylPb3tWj6&p0zK8BhKVx1aTo zq!W%Q51yS4c-GgFnWv1GkG}gn%X<z70TY`X!~3##u%&Z13gdrBZFVNtPd?+2YhEu7 z@<MfzI9)++RMj=*N;+K~fI2i2AuZPZvYEVmYCGKx{m6#8;609Y7-X-#Sg^e=A5Rij z0qfp*y-g5eg@1O|DnCuxk&u`*tS;UJWm!Uca%LL%(V9m%{3FpI73%QbAIZ4GOf0`Q z&CX-roH=!%V+<%tx}^ZxF`W$>eJ+ph<7JP@aF;)m`(WIUm_I~XJTR6>>b#aIv%S8@ za#x^T;++@GD3wSJCH>F+2~m2oW(;~6$kZHbJh<;h&KJyU0>9)tWd@9na-Vb8w*wZQ zFCXSY^)7>XGapyq90g3-Q|Zw!x6D~HoioYw+6q1UJdaPFfK=}0Gv1CBpyK{Gh-K7& zYQbW!Zb7N~dn9gult4PCb9Q{HS@%S(vZXZa&=eJ@WiWDQoGOxQFE>Xl-WLHa9tgR% z_~ps@s{@UmPMJneO*tSlQ>yYO)9FF=nw?jhu78`6#)&yAL82~Wr!iu59HhW=1#lR= z$ciys3z5Vh`_dJ^l&)9;8WkH(Yx=I@?M`!mfE*UzAVCH*N&Fm!CBaY-#)kN9FT?{J zV|4k)FGsY%f#|KAXi6CrhNWze%E6CPL>BI11!_m6vSL*Bc{bC815f!wH<X8}8cI|x z%;qV%qmo|)C}X^I*0A-9?k0A|%gZO;StZ92r@i%x2^R^Cu{2W@{(LnMk4>w-r@`B~ zBkw@7|EytkY1x4KS7z64pYN|$p)N!{vRI)g%tzTI>(3JfxnW{LL6Ih0^`TP2wQ>Jc zKw`te<&d>gS4`~X41yO)pOu`}tRXEzHlnfADUL)L#aE${8rO(boLmZBN=T{&sbf^q zitqcX14H4*aa3DPFMJbsCr&XFaJgd7q#v#KnG($a{p;1AL&+D@+WWLG$!yrJo%vr+ zk?rFiw*ni6?LS8}KwWTQGzm(!DCjduS9g8X?U&4X7l5YQx+NZhzjw+1;%q4tr%U^7 zFLw#l1i713BbZXWNi}-l`I*IkF#XW8Yli)1=s%^k$fHjKb61)xh{dkfb7;ujY!B_i z&%+0z_d+OU?1rHAT_0Y}e^dGBjJL||RTN|iyapho>W$@JPkFu^SrhfH9YX}M0~bYH z&fVV1j{d}_t^zmh3F}SG0B1EoxvTf6x9!I!mkFmb?)d{_lCwAw%lY{wO+YmCcC_-0 z*Py<+XPr;l+cW#|60}2QejTwzVFOfPT?b;*r?Dl!b#uQZoA3q6B{`ns#q%B|@O|@4 z>MHp6IlKRbz+WBFwTtUjA>_A^mg4~&@Ah=4?)M)qhps&nF6)911ZU;gwhxasSkUi1 zs}S1=0_&@vK7^{4UiviYk5s^l#=esYv`@Y~#9VijQPwWkfo<+T5(K`3?)$V~T)!Z7 zzx!Sb=smc-L2deUKK^ES;e8Ld5ZHK`!0^s|7kVK0@wN{qF!2sdkMsRH==Z)4@*C8z z_s8yuYXRtYu<>`#|A`ZQvC74Lmhk(;)xto#L4?yiDkW8l=@Kdg60rHhBfWCzhZ3&W z*Pz8~&?@fhV{oPuNUvc@?-Sf6I6QH?d(Ew0vywP9;*?@xCnoTfFbtdhhQ_M*TFk|E zSu|h2b;h$eA_Ggy0NguwzHY8cn6KaUBHMm74$aMmU?EUR1hb@M<H<18-xv-FF_JcZ zpORnXj`3%G4vQ>CE{xLWISRUvemS1rP4tl+lqnt;fA}}O9({5{i&76A_reEXhWd8o z_jdZ%i2Vew<>rjFja0NJ;_-?%UL|QJ+M~lcUb%|AcJ;D}#dhg+>Rd==$TyYhk&Kt( zlPc*n-jcs}74{<?!+t)AUhmW-@6=1THr^_CuPyEqJ^?3e^3v}J%s1<VBLANct1Kb* zjpR2R<AV;N;5R=gV6@R81o{8@nDzbgXdC1A4mosAa$7PjkocCK`#yir!SrMs@s@4~ zMmN<_g<~GN#`a_z9P2|6{leom>zn-Say=OFn_-~<zgD??`L=v=z*v6w$iRn92JNYE z)AaGp^Dx)cs-Yc<O)tsJDni~%tO%{H<FZNcl;Onn^C{B8@4mA2Wde>qvDM{uB@YXq zk@GJLZ%;s#_sn;g*FQA>)UJ>laV9pot_5Y5<Jn{B4wCfsP^`Nzb~b7YIy!(4<#r&u zLi0`9x(EuW50B&+o=Q|P>$r7^=K5}wIJYJ=7o+Jdmsp~i50UYAU@iq6S!zFGsmXE7 z(5kgnoXLQv?`D~b+_E6Wo2L(cnaZ>z!>}HQD!9H~tpfgV@RmG028r}u9r9he`m6Kb zoP}@w$}tNzAKlN7uKc4L|LD#>I>Z5>qaRS7tc>+{T~`&jXGZHnDU{t6?97*XROF(K zR)@VIM&N=9K#k$y{|ee9@-d(W24Mcc038_g_yU7Zz`#!gb`Sw$d0P5T^egDn7a|B_ zxj@tyzR!w+|G$8pFbS1Mj%~Z$rs@4@3I1KB)bfKgQ&)0DyyTxO1R>7us&=;tpT8uP zL@zWP%KU^GD%GlhZOSp?;Ugs54PP-Z@mmL1QR=Z2txv@)PS8*t+2VTUpcV%oc+Hga z)G&0&6r#beEhk>UO`(RZ!%cG#JncKk2~LZEVN>#A>y`S}bEMCsK;ccH%IqUeE<)oj zRBw>_JFchQBi6?(Gfj824i2)L=qj1*CUJhS8ZCJEn-%pXEcwzKjK?Yp@9Ko<pBj9S znFE@}#%wXaVb*VdR3RME=k{4epnezzws}lO4vorug`~yz2IaM4r<k?Hqy|NSy(Na! zskX3o-4f~FeLj{8>sla_qFzs*`fh2ZzAbI3<pz;GSz`c~!lo)*roX=`X}Fpd*>V+t z<y!x%ee%pPW_ZddSf$eR&mfnGOa+nx(N%;HC9>0s2rbbt^^34fw22bYRqmpGXnZOP z7c0a;m4)%KZw{m_6|_pP?x0~Sm0I6up!p|%mA)J0rNYf27?0O-Z6t@Y;^Kxd5d={p zcawy=#9m>h>k_l`H+j~>@~S~rDi>P^*+T`|$~H41(;rQv_NO$`>?Muv%w($tGGdgK ze=O3HXo;OQDARChi4jAX)J0j&@)mTfp^>d$HAU0zEr*Ctz_A6;KM%~+8po<GhqA^l z#)&Paa(V;glT7NQ#Ucw{wVy3a>&YT2mE2#{MR5;}DnlnYr^Chm6rCl}c8?=m8GW`J zjOiktzR;waQGb3usQXhF0ARi`e_&2xyNF-89aklAV$qE>tD$+chN8uKfr0@M=6llz zbsb0%UrV&;Gy*g3b_R&I%qauJJnuBi)I~MvZ)`p{QJC@`1;17Qtfq719(A4^J#JFd zunorM#yVG2T)S%2xno6A-Q*rsy<FA<HNCWWv;?~`0e@4|)#Sc6USMWMyOJGhgwa0m zqb}v}Z5fW@P3>D2b_Z8aPpY&)@Fh#LgcpngRdQuzZ=!0I1L?pRdFj8ktSW!{xPeA7 zg?|Xa(D{dQ-MHSBQo{|hip<j(WVm6lhC;;FmRG>%g$H@H1UquwKEgIx6sYiTrd(pV z*-vgQlP3a3?d4o>xR-j5jI>c(39fvW#k{q2QPfDMQn|w->C=T*lbNgli0lLO6#(IU zfV@9Icu{rO=!9{5-CqiBWJTxQ8|T=f`niUhH8Z`((>v_I(%T<Pf>Ob)R-9w&QY=-! zFA^41xYO>2Pr5CHu7H?%wc7q&CNvMGD^{rDiD@ge7j4Wd)c-^qEmUM%OAcn@#sOK# zqW0-T7#uBop0Mq!hJo<Bgyt(GTZ$G9WxjPyV@i|Bq-WP6fkplO?6wwmgQXqG?b@FT zx7p%`qPZkErnX%sm1f<V+qwyyRjVc&Qzdz{?69x3U5|u{<==vcvv5QwO>7TSD(9S0 zhD!vCr|S4^z1I#%-C<@5B@!83NSij2cZ-ORrpVy<Wlc*?<4|jc=8BHvMq6aFc2t}# zXAx*~%exe-cx4z+B}dX{hq07Cjm1%6<dJ>KYP1#yrO7$xrfU^X(I`PWK4z=l`_T<C z_(s8cSwt0plcPf%0(P!FvoI@;AV&htI@yW6uUTj_3K?i$QBbB-u91-uZs<0Qe+`vb ztB9Q!XZ(}8m|2!06=`9I&)<G7Y|3BRe2pbJDJfiS1}&0%*t{G$O&j#y<Fqyl|Nf&Q zMb7c-75g_rCPKdO`$_#98OCaafq~&nOY5-kUk2!6Q-w~P#KCFxj4t@@q4So;1q;mP z-CDTy2Exa2V><|mj#(0U#Jkm_V~gNXt3x0nTLQVWSoly^H0s@ve`qBnQj|eKhkjR< zH4+<_^Jor~%3{$(uv10FFUcA%6)8gnvl0(kVe(+smH$v9yxSgeW`APIer^bH@u<^Y zOA*XN8Z>3!c@{iP#W&201Dyu056jn#Dq*W0X}m!z%|_9Li&Ng?rY3=&yd|>GCpD~q z!Vg_Mu%9sdVWNL+Azoro=x3Q2XD&gPHjc1HB6hQrmZ#3?5~Y~6E8meP7?JNS?U$tb zn)HdT^N9{ZGyhf#wl?Ls0o8n{L6xeOY*14yrutHoY!J-BM{K7k)fDrr#*8U(yT<mT zbNT3eKDv;PF8ZTO{^)))C4yNC6{#RvD65u$JH^b)6D8bYHX)nmgr|KMx|(fAj4QY^ zh~cfX_1`J-LL`+tl_qqvBmf;!THz;^vz`GkWx@r&6<(3z3Z4i^<!%MOW*+&(@Xoyx zx)r|*T`9i@>=eC04JiQxAus1ZMM4G+r9Uj})x^`VdEZi;j^<JB@<6_riW8wbmy(s1 z^c%}~&^a>iQRzw6z9<1n=SJ)pW@`p9{2QuBSHcc%friDhWhO|=jD?zL+%_c5hFnve zoAhapZlJC>u6#mt0&0d5;ii~n2?f?=rmcMj!u?TT7sDj;g;#YF5On7$8!(+IZB?@> z!zDpe=Chx2_c#m0nTB`gddyjO{P$Rc_d%Hp=UC`hQORR${lKJDNOfchlM76O!ebjl z8T6FqS;d=LH8DxB#UGs;@;?~67Yhs$82Y|r=W6THMS=kc`mTQvjbc5NdR>eEa5Pw8 z?mly;_fsaT!EH|l#%9K2ftCn%6l$cpam!Q9px4HA_J4KXs|M}0Km3z9Zutsa?-|Op z=QvPIXA@hMPo0xcjFF(LQM=RxB1<zT4>QI(#Gq=*tzA!K?x=D5`KPAr&jR@P(Ajy~ zKL1nfJi!_tV7u%=1KVYc6xc3lwl`X^tTczfb~&52rJ95{D^vU`y<aDlHHOuMY7l<Q zpWar4`x{|h`R7EwE%kueHV3dd9@B>}mq1g14&tMONg4J_60uAa(OE3lf&R_eKnt#k znUhZi>;d3*g(5z9V0Ul<yCWK8NJ)zu*d1K}#zgpP79DCxgw7(HyQy!@?CY}gd5ySS zUD48sT7P1drKJR(Q!O*u0VUR);gQl;5ARtEMDIWCyrw1)l|Bn9hQDZtiXZ)Dn$!!K z==zE+ACx1-ql6^4n8$t%WyuihAA?il-jXSKnBH>B)E4u@H!$!Qt9cGeHO-E))``tl zNo9x>@N2XkT3X0%%ea?eaW8K`o~+>XTK&?f2ufu&1}EaMG~ZU{(I#8@G5{adEBcE$ z=X~yW%=kD|=?z83c#TUJLl;3JLxd8jPwh-U15uG@fG&B?`0U<}Q7qhF!a^eHm0kJ4 z;_+eF=4PL-dRm|JaXVw>RbLT1z6@NFqHG%w#C;<*?!S;EO(T(=?FU}~L*bCI=@}O0 z$cdDw7nO&&g<&iFx~wNwmOfZ^LtT@|&t~>jGrv_NXwf0n(ekb>CcWah*tih&=r0Df zvl<S`e83_0&!`TOt#5DiZ1}};Sg$&kB4>C3j=0Qq_Wioj4!za-6>a^{vMVXrKdZ^c z<%|;Q>ZdVxg>`U@xDE~coOxhsch9YItjPQfiRxb<u=T!^wMm_su4~lDBGtL*m>22e zX$QfUx?D>Kc`0ow&#oHh=K1SPsAhj^F&Se(n>3~q7F*?0(WG8%Ge@JYJRW;d1Rdic z0SJW)0K%^ygleMzAu2aOh!+nK%IX7zaBTo#86+V<NLT_8cBue_k|O|NHzYv#ItdW2 zssV&Fr5}V-gvt-tjLJtn^cltSeye!9$*|^4FhCBh<0<nSh4f-@2l#Fv-QzryiBU<F zVt$-w&>nSjEbMTF^DbnoZQpK2VJkRHHaSsszRtKIrmQ+X2@=YwU<!SdMI`z2u^}0m zVlYr(-5&S%+ANCfolB#X)Sr`(slZ)<=FgmXy={}mK2^nkM28~*R+~TRY<~h8G15+K zc@h)8YJACs8A<<TL)fO5c2YqIK(NpNNReZFup{!laQtgqRvZe|iXp4PW0G8&rWEuE z!*N0ayh>UyrY!y!|0MIcdMS;W*}46aJh&;VFZ1Q(MyEMcB_pAoy>RSZ>=uTavAJqr zrs&hcp2VZaie%GLBzF$xUaBL=Q`)DDX3XQs$&t9+*{n};+;VMi7Dt!<ggpxX)E$Ft zG3P$O;bYeTM1;rWs>Qd`r|muhBBB8iXn=^nWfA1IfCwc+K*T3N1le&8S1KTacJWVG zlK>#1w%NRR7l*Ibq*d_Tw%K;fKHqy#&1AtjY?@mqKqptaLZdI<OpeEE$qq{|xDCpb z9@F2OO(1Xc8%qmY+L#Ir!?Vuou-ZL6G7SSt4O?0y0BMnZ9d^;7X1FS5OOsZiCP45~ z<DWW^cZ)?Yn^G>+bmJ1L(0jB_9*!tAjWT7P8y<OddL6cHQr0CU`_B5gNLBY+^s$=v zhN4@nQt1?L4#3b-ruWz`y(=bFDL&(Cxx2el>%B_?2ncN#&vmW4vbWLbxSFHlGTaTI z?S)ijV!y&SB5A-(htepa#BqzRj#WxPsAj}b?^_!5_!aeQ%PIz9xs!VOu2o_=Q|S*v zwLE}Y&b(J&aR{#yLbn1dF<-gHxAyop#Xi_=t&e8wv9@hJLA3^{(TPw>Y%}4}d&(vD zmk_SWPi6GfExL)XAO*)*h@&#RneSYoVIS40hxRB~6&Udy-Tlh3NfBF}q&@<at!66C zB3yTssmuag&3pxgIHn35wc*X#_k}pzVylc1&Dkom2yGIplT=5+8nXyxIW`A;N5S6| zI7V}9%+Z`g&J{Qu!<!AzokU6saonSuvjKTEg*cjk0*_gQjQ^?%Udy#PKy~uam_60_ zABrKOneTsGrzQ#wX8#k|=Bc<ueFO<`ZTyd`6A%c@{a-HE=w?5q*?+mffNA^)Z*HSK zx+~4G+2A{Z{HD;5EVh~nOdj}>om5A6)rBiH;mv-)_u>>=rHp8f{U1tPV>ar4TpYtW zHpXa9{|Zz<cOoe%Jmx##?)yurH(VFqv?fxn)EiYDilZT>eGa-nwI;678dbVgcK_oT z)jF4USzL8$-lNhfyiNiAJ4uQ9G2bk=*fM2AZLG?lTYU43Eh~YD8m|)0W4=*tu_bSG zlV@jzd6(S`LjYdoRf2iU*SjpScr54Ach|Z@Aa)A#d>yyn2idj*<`trQb&+~b=MEp- zgU<))`-}%Bc*g_US+Q>ia@Rfp@?+fyWU!qA<l&Ydv2*CX*A$@nwP^>E*>KDRg7|!k z36i<X1hPXb2Xc}A!IFv(*2(fga|i?C?ZAiF$-iO*;#xld5>vwm+G*Q90J>=A2@f*y zfC*yX4~PY7OMyI>MuTo>5P%7eKY@dExDi0<|9)fw;k^blr~U@n;JDugIjIqV6tvng zf<EAR1P6bwS~OrF2$2UjYB4`?;~@yyK#%GR=11(J$M;y99w2J$GpZ_z7O9LF%xt)2 zf<}CP;tb>^opHH?fqpZp?pXuMUmy+Nta^R&e=j_#?1&Z_>|yo_`R%(QK+kh>xs80) zDYL#yLHH0oiun8ju(Hm$Jbkd%^ymrztm8MU-T>>tN#%O95HijiCiv4&0njcVN9V=s z0ivC$)b?XJ!3%;6yt`LB=sx`=dTx)kCty}$W>t?Lvl`EAc>kEyJ0O~S#svfx`YpGn z2Y5w~D0=)x$M+x6NHM@oGb~;dS-`Bgc}^g9kgpD8))6RyS&bt;gK`DFPpqZ0H^kB$ zcCYWNQN9=~<82;{P%Ey4U5XZp4ewb?GgCDuA73aBqF6g<uEaRDyk>H~ztVw}LI{$@ zz!*=}a(X2tNeQa*BcY^*)eRp+u755hypY)U<?}-k#>%*C`Gf}kc7R*SATKD70|o*9 zGA;<=C%_GLf{=jxL5=qh_3J;>JmCLOOUMfslGj*FrMjhO`65@!$qQ5FvUnF{`F9dz zfZf4LL7O=CpQ9@yKjb=edJDm1M~*+>1;BptaYS5Xp(IL}!s_@gFSHRo4*Cp97Rb!K z?E<{k@iN5)6ESXiofRk;F+REiMHX0jP|A)B3;lo>_z$bdKdce|vO@pEit-;;eTM8n zd2zEB8(|nf0nU&<)4FW=Pg#AYZ<Dy_sdM?lFuv%ik6;e!+NwBkWFVT_dq^V&3L4h* z2_WVQsREQCea3ZN9}LECF}UclbL1ibL+m4%y*jTd4gv(o%QpZZfEyxaRJSDmiBR0g z8N{GU-I^0%uSyX>jVGykc`I&>m-ozh)LfNG5l~X2aajxNc%Qwvht2o-PJDydr1RO` z&jl{m4UXi7NaJ9{+VquSVQWj=^VR>pJuy1;n4s(~+#Ifn24!bx!A#~CvfO0ZjHy>B zMWJ_JeCl20HR%)4V0^{*G)|(-tmmS!CuBMK*Ki7!7Q=l>(ltW4cJ05tFqhz~#@6#8 zuKq`Ays477JaWVxP;OUwFXZ1sDGtWJ*YNj#QSR6p8bw=jL+OEzp;#a6{twg7|1nWf z@yHq0e!AMh=}h_1<Wc)SP1h#-lJMbznV#FEUnqLNIBwT#5NyE|>s=a?FP`v*RbdRq z+j5$21-km5?Xe$=@Cx11WRCJ?W>7Hog1KEqJ^kl9(XIXWJ8@}4?;hGb-B-W89?N)t zB^#>odS*!AT`#9&@qA`tYbfv>#F1K<=n-Z2Y~T$wNbOmPE?VMIweA8>h=F3Bx^Qy? z|Dq{J1(~=k5*~E;`VBMruJ^mz9!6wVJ3N-c6o!-X&XUn<VmGrRCVy^cw=ZoL7Ni@Z zhEE=*=OLpf2|X?RdZ=WGP^RR(9#y|MH~hLUG+Yly)|5fae*CRkcy<J04~K47_eB(k zBj%2V$!nsaadyuI0!1aGXAdYIq?-*O>g$UWX1f&uTu*Y@G2<Z1=o5{YZh6lI*|s`n zyRjx9+zb#tZHgDnpw{1gvD?fY*{DxlqY3;wv9J3gFNYic>esbrB5+qr%pf$Pvba1i z@gA619Wf52_x;`{h?H11pOpuvj9_<!>r^DFoW0%K+g}!+)s^Eyb=L9yJNVboQj5xi zfg25$LY}SK)wy-G^@XT5-X0WYI3J0+T5+oNKu54ulESRZHGVy4o6HyshZs76>F)aF zfj(CYE+SV!{$-q!Vbrg2jsf);O0u^2>8Y_YZ5*9Tr#AFsDXCo<)8>nn7Q0OFm*wfw z)o)sR#_1QFgSvSqWJ~RVc;pDu&OMRbepWTk-~T}%&`MQ%^}wdE(k>y)T!B}QEtkbw zcW)DVu)R<X-644EPgfdqjGlfOWdGhEUJnx;a56_&M!Lcw8X(ydx0>*<G4y{U<f{Qh zOdx=;-V0y_MA;d$kdEaGPf0pB5Zg$Tvfo%%>af?^79wz9)@P3y$Iefhp4zTW<_qH@ zSS#WzPcCz-GPP9j{fXSWRbgVvT*!2lxY@gbtexIVUtLg$HM<8^Ss?>h<?^2Hi?K6c z0c)P0X!?A`LpE`y^$i|O@0~Drmb`$4FU$fK9vxWtnrC3ePTJq3VgrvEJuNuVgKeGl zfMs4?0G3$`Sk^9JSwBR%+cAo674}@@{RDQxk{7UDob`b1GUSNa{s$h|W-S#xgcE1S z6{s*Fh&{>n|A)2@i5aUQ`u|SgwbecL1g++|PNLT{ONK;kNibFX$=;6|kG0^wQYqMZ zte<wMm}2_tp4PU41u5@Hhpk-8ro9DY(8)5@A6uy;z@@mXT;IT8QLI@8Y8lTTnwD_K ze(b${$8PqvwX%X6%-C>G-9fvulB}azHV;xKNgygK<&o~xVds$^A02fnYZa$buFW3C zpi~}eJqfu)YAP!gp8}xcQ<M^LWvhpuN60TtUeT%$mI>2)OC>E(YR))pP#lQkb0t-c zQmQ3ANm9ZWA+2L%4d?%`hH2d6aD;K~4o;DlT&gdTwoj>xSp~2Uk~4i*OzSOuj!N$x zJ>g6<W~--7@8zg2{eP<*!jOnB?H@9Ue-Iz~f2yR<lT0goyj-`0xJn*BC&<6vUC3D1 z`)yjV|H@GT$s>m`@_2H#Jsv;wFt!m!UZuJ@aR_9Xu%?eQ?5q85S4~8}CrHxI+rFNe zxvn{Rn0vfr__SdE#3WHXfi)W*4OQ`zJfNN0M?qy$V!sZSq9^|P#PNqa{~JmA%cZ-# z%2a`xr3&Q0z$e!-O3GJ5*EBpGZTSB9lLr%7pUUpbslH1EpO`jWc)iwNgw$VOeQ=N{ z0!js3`P?*Ame}ZDi&Qid1=4U$IuM>U$-Hc2N^+@je|K=Dr_4pBTU*ZQMo;J&Q`qXZ z4U;nr1VlWQa6jQXl})Ed2szKbv+-cz%C7Cy6-z-KuIX0$m}_elVRb63o8wKYle$#< zGp5yS3m|)rY_ab3m%tK@B7D=6d#fx8IJ%iwm$4Vc2#~xxo+{y}%Y<>Me6zFR!iYcF zimur{*#VydHFmJHy;S5I_gJh5_$y!YD++gs)Whk`&^40qr6E@j(pJ|jev>M{=am~% z4}M|-nas?^M`vxc{4<$@+LK+HvAA6fo(3;lM8Ifkhn_bIMF{^l3{$+x9N2=OTXiGH zWXxZ9uP>G{yYz@cGIKr`<r4Evm~S1;%g<qU6JBkP1H}W-9!15C;nF%cTTjz}bYE_l zjBjB@-3(e2u8Iq^2fY<<`*uR^VHOGgFSfn{D37ICJ3w#<65QP-L4v!xzPLLC4-yEj z!QI{6-9vD9dC}m(-R)n_J>R|euew#t(*1PzGre2PFta;53&O@FmJ7OgN-2WZ%PLP7 zIbnXatAOCn4*Sk$Q4X=BbsaQgSfSs2QFbBGwZIP9;aPxofedon#t#4yR2^BI{a`Hr zy{67-<Bmxm>GLco^(7TN#dvsLZ6@_Yp#9W|Tk1tY;)(nPSxb#+`$@D;*c`mzuDNJ* zS=)6TbsiW+;}<2m6s&wt5tW$7yZo@l_R$bkliqs(AA#2Sg0D47Dj)Uzt+(f#L}F)a zmO`J>9Phr~_{r%-_-l_R2ow&xjSKS`?|b3v&4l~zGp}2r`TPXW#OUa~+e7(a_+{5Y zirtIggmu_M!|1ge5qoAz%Kqi*NnOzBrQ5WdnOWk*H`ue$QG%=__^mn?*3oW_6#dGm z7;p#&hl%Y@+F=U#a@E{y_xdR%MPuhFIV3K>;q&L=>k>--d%Ln;s#nW|=52wwk8wKg zeCLCd+97?HwqP?c{|a>fb|=icu)-3^HtQ4?dx4~Lt$JzP<g5|>kzbEbiceb5!%wb^ z_PbpRP+Q*&yK}|SZXJ>9n-iOkPFNXjcNFWJ<2ih=T=N+%9K_;_2aq*?|3u8SLGJ2u zSJjZR%}!WPET5}5c8lY|G96cVFMhxE^**mWg=g$yFK=m!d}>|Pp(ihaWo@<>dDwlc zwfUgN9_u2>+gE<mgV!U5<5tSWg(CJy{PdmL%kv!g6kN6eCgH&iE%9NwC<tDCX89cZ z8vA;CW##^@?i-)(DF2$Nl*|3CY{&M^7wy3?)Zv9)Z>{3Zkt&p#3~c|p?(g5*(eJLR zz*jQPa54#)6DHug?(MlVBjr+thE(t7_SBPjn(eEhak92_;PYTRtK&0=U=Mf7_U-Yr zqwBQNTDqg2AIVoYt&i7mde5qz2M4<oH|yWp3W5FF4#AG>R+<ZkyE7w)Ro4qUx_J?| zd>!c%%w35petn01si)&{4|aBKo6^zL0;N$z8y0tUD%W+)StoXdrvl6`UtOLRbXUDY zQu-g6-LBT$$X*~_es^(yVX3A<x2RluL@2&Hwo%`}C<8^o6ecH>8-%WR+9gBBrj7KW zmkIo!9(op%+CO@Dq{8q?mZ&xzL)q@)lTOaU&UJilI>p~*#HiN_CF^@EFWw<zcybn} z$FfrwDtp1ls846JRu+Mj1kIMd&RbWl^T=a19&~x`aU#-~tvEs&oYIilg9N<y;vsQB z+oi8JoDQXvpx0xbffSkhWY0T~Z{(yoq}9%`%u7^mCH|e)di-(b%Z~ipu&U8?w&){C z98I@jJ6dju$UGgM8eX~!_z{V7w=89e4Ox7#iMsStb#1TX`qkeBwB`4{9Tpr@_#R{| zeD$ObsHW5+wO^^C_x|n<e4(UMn~82pAxu||hk5+L>O$$LsWpws&WtP=jWuc0_vvu# z==sU<1@!c?@1DpvYTi`0b5ymzIY4~as5kTkV(9i~+0h?j2|IaF3hE6ONV2-PI*{&@ zJpXebpH4aq?4xkmOLwEvvA8_Wqa=H!qd2B+M^&Odv73mOiA<fa{`dLJ66Fd`F^?)^ z)nCtCLHlDQRY4ee!6A78wt{r+ZBLQEJgi<tK*&C!3yN#Q&b5V+JExI5zftRXFTvAU z$t`ON<}z$=Tx}S+scV@exF@Ez36y`dB?-QbiS3PxeK~_)lYi$B%d_lcr~ex6j6^F% zry<o*PEnFgc|CQZVJ$Ybi6R_|WryLeQ-3ECooo8lC_1-~H~@oD`(t1%U%nl9nQ5KO zQs@p#YUBnuApUNW)M^|64yc`C)3xlKlnLPU^`?B5jAgv_T#NHZEU}UKJ1nuV^(Gpv z#(v=N)G0Pq%hy>De8t#te8Cut6<`-rZyg03NIb&wO@9Cf=nZWO#^o*T57C`F25tWf zpkRapDhCt&Cj)@lWT{qH6OqLdT$v7?QfsZ=?<cF#NUtwcNWYv=K@yj6JPe918Fn$H z8x%EdyTEV=Om5}_(-p>$g;p?uV5GSA!+7wFq|hWhhSDiAR-b!BY?VKayNHD^Y!DGg z40vA&|K&Qkh25G%JNf1SB@D0SAC_10qk4UL?!+dffK~`kp;mW3?k9d}1<+X)r<FAY zVL8Oqfhv8moVzcvreaBNGO=cV*2=pRRW+eC$!qW6Nh&uf`M>A;@`%PE0H_v99Kv?U zjGH&6Wjaud2Wq<&Ibth5)(Ky;#a6@H#p?fLRImK0sgT#uSpYy1Dcvn3^sB`q37wRG zd~^%i+3SBQU~0ZG5r103(~2&)#-ycn{FGw5V4!S+{9BBwfzLvQTqX1fw4dlqzg^6; zTB+n3N+4Uf%$_V8<3Hro1`}t6f;kO8;yh&I{CiNzwN*Fo1cU29zUk|11{rMJz6&yy z%C-T^YGrr+l68>NZ%0yB?Tk;3vl+Gv#rSD(c9^uf+r@2dKopbXCotef;W$~ZYfQBr zZP_L+8vrr$B`PVpr{EZmkrY;D8Cb0FA))bWegFZD%>rl0C)uT4C3MH=dO~9g2lciE zt59KiVZDx&qyCT6a^80o{M1e?IKT84IyePVOqegRGc(lK`-+_F(k4cNN5H(R(1*YC zFLW_A&gO(_md>Y!zg18?7qa%t?Ln)hXSg9BqkZ07v(`&oA`@R3a35CY7r)uW1&`2j zO}+YNp!X`CRK)qOGSQ2ji13@1*c1+W-kNC+OQ0!qA>;-eCk{cDKkkmz&VEsH^vT^e zNTcBrRdQ?=i_b6SWm?VUWfI$Q*vHy&prwnFX`+deQF7Bc7~3L3BQLIJe1@@H7JMR3 z$-$a#ob^<0V`%USrI5oHLZhpb>qt2^E`&?iFI<zo{j3roeK~C-eW`dpj9;0&D;O0S z={5f9iXn*f$ZLk3@anP<|7sglk&XW6Bo+P7SoZq_2-k&p2s;=`&ZNz2THbhTVT2X2 zf?v9J2v0w8giPCY?fM@9fwEcG&hJgwsnfONAL$u1YgU^bwQ)@|vu!Jm?`)H!^+ZW% zxoM>RcAUequ$IcQAa_>Dr@FbMt+LsQ7M^`EzlOC_!Kb>mw4B?Hnb25C`&Ev^2Ss(d zwJ_b891@w%e6=thGoi*-<#*_?`a*o=v@RVF>E*tJ0I3@(H7WJFqc+RsXx(Bt-w3*B zKCLu&3H<t`mxtHGgM|khm)*)-CoIT6WOI2|&p%4iSRJ}4jVgecN!pfO>1Vtv$iB_u zfn}ob76t4~rt@JiMr~M1k*LS-?`NHxOioS*)Xhd$*SM?b7%X*?8EG!d`@$H8pRxBw zDU6+Kx~AR&D`x5o>Ic~8s;V?Jw9?PB8m00fn%SGX?ybq5?IY;j?VGp2KW>wZCjplN zyg>bvJ#W9>YglS<l|)ta$~EkNk6ZJNDq%5SpRX-lRs<>oMoPEB;O`(i2o1$VxwO%2 zEYz93KJ$)6GBLqyNx3{-B$W!omYy9Nczos+5Q)dYQJ8qN3!uexSLnC<qw|>5{m1#n zkrRhFB1->738XbHpwKN{Jw+9q;jep;Ja1F)jJ@|oCp=AN^YI&AVkvcSN6%VkQmXdO zaN<7$;kpe?+f70aip;422)L4pJX=`8fP$YviEBI0J4U7H$-C*pT{(Oz6)^LRgv7c8 z#MiLkk?ym;5%^sEU0bTJH}bT=fbHt)iGjz<*-Pged^XB{R#%FNn=nf<T=0G2{Azb2 z4goRZy5d)8#n*1|)I=4GrXCcCljq<yH3KP<%1*Ssya4KyCqq8=l{``<l-K~9E@1ZX z<&5aWIQIy?)B06Crp{0%>o7J0uZzm!DK-1NnW(@ca2*xn<yaC2yOm8VA`TmD5I;~a zAkS);JgA<Iq%dAL)4hLx7iE1BOfs`96H1qVvDK|4a2i=f&0L1(=D1UJd0@f9$@2m= z1#6NKw((kaSfAz84dKV|y8N9JR}tgK<w3&QUWctPs3jUR+UDN98t-7c<Kb1UYLY4? zbtH@ES+8em%7{?WSVP9DUK79KNh(jgjZhT1ULJ&;F28lTva0}0Vl?J@=3^L*K)#sx zdxbyPxP~Jq*3;!`*Mpv0YbdgXLahc$zkj*wz^Y3JG5EvUd}b~mK%abn8Cx|$Wvt?C zW0IGU)BzIwVs+$4MN?)lhYJ_&iD|)HitvfC#s9%M+I3!*1UE~eR(+2-DEXJ<_~p6| z(m_sr;i^z;Q_>M}VYbaiFkBbmGt2i&?ldHY&nZ5&+2>Ox-y=y}ccvsGl0M=a{mI3f z`Xz?BVJ!wlhV+?Po<+x$6b8g(8h??>V(^Ou<!Ei4S-{i{{n?>)a9bnS9exp`jwg?z zn2*gGqX$xvvP90v3aS<7pD9!$EDC$;z8?QW=|b7_-R$StXc2|I#jri#b4)5`Cn>!; zV8{69Q}CQ;r1(nX-OZ|Zan`E}QvB<qmkUQnWyjrq&$9<@Gyw{|QSa%zsusJh_KIa* zr*`oM99of_7u58NA`%&8E(X%amIp7qD%oo;619{v6t1&j)rF(;VbxoSnqg}pIdR5@ z1`*p3-v04*@<T+9G03bndAs#J+e(2^V^l4s;c%1sirx;+Lyjc;Jbmq7Au)N3(rk#S ztIxnV-0j(`F@1cmRguT3MU=rvbhN6jEKQ*2fXTLSCb+tkelfPZACg{C&39>{fjDh5 zui2&PXH*C?|FGhb4XDeR1e$a-Z{D=^ifIcWvdY=Ai-`E^4lt!&TUpz)oV~g+ya#p8 z^jUV;C&y@1<(1rTT#iMkj~HT)Ram=CqRi>x1vbv&AvRWKezXjR-~S|ph`viBr$n6R zlbfn0W6y4rX!wr2{v{$~bpfYEW{k&m(+ZI*7fD4=vg;B=y|2wpp49*TkQSd%(BKQ9 zI7YO3z)#5OT2!TnGk(>0Jm+`g+>%(eO*tm#pQ=pG6|2NmWj&-c|5JE9N~XwA^rf-> zQ$FfD{v7H}itqcMLXi3SoYM3^<@~JALN9#2&q61>vCl#)Jh9J0L%xkHO77iv@_2&p zidv5?H+iEZRm@3AR`U7?8}g(Of`v4{o4boG_r`J4n+q-8ouH|Tr7GZBvwnA(wo0c0 z>+bURrDJ=Rb-vPv*2-nTA<u4$vNA&CqRVT-=at7RsU<0?MJdfQ<(2b^Yd(h8e!wv$ zWEtN4n84za6R*PD&lLYA)F2jw>(ZDW3DX*5OXIdF4lf4cjFpWn2DXOl{+v3%jmVtZ z#g)sP+QdaBs&zGn1e2E-erde<b}Zq@1n$|3tu5wBLK1`y{~bD47Z!cJ8+6Y?)#`HY zG?aVf1VKI)T4@sZcd2KFGOTt6TJ}ucsUS^sfj^T;Gd_dKbKx75E-yX!+^Hf_N6~|_ zghV!Rhhntl;Nwnbs!NT7(mbUi)yRbkj4ZrZOZ6Apo<K=4+#lgil^bF$HE*gEpr$)9 zfy7&C6KPaNayW-?md;HpF~OYr^VL5R!&vf}<KoXBSr&eOOK1KX^WW-&`~RnY@dNx3 zOv@?|Xoi(j25TQ#_H09F^npFdvcg!>nN{Lz0HiP)K&VVP^$Tf?Z%xNLn3X!q<%aD$ zWtI9*M$)xCay>L<;!8Y<IEUSj?^qVp;s8#2q~QO_Au$y|$V?^msc5%uO)D!z96HOz zhNC-WmHSUebhPs~Jv4a$0u9dL4{s+?VF1`SzT;y5cLbx|{~z%PM1;kYdZy%uuP3!M ze(JxkRih!#H$65nt)MimX!a78o|hM&*Akv5(&faSm;W-a#quWJ&dF2FYmv<(=mxNr zXE2mEP?Te;D@b-P4gJ!R`L&dXxYxEc)Tt%Y`LEcnCDX2zBLmm3a2mF0Rfh088100d zj?L130?Tz^k2JH~@YyGY_b&8K{9>DO_>&uZJw#-&XZ=Z(qK3sz5sjh+)RW|+#p=3L z-Q+MzVa_B>v+vDRs{ou~PC{0o&(yF2S2U_Hem>&bmsyDBE`8%EDgmP&Dg1ip&RiRE zzK}<MD=eFf8LRYqA)ZJ2r7zQzEdp(3fYYyjDSW5q++3SDk7cVkZ0-T2w#84djKL<+ za%pS~55H^EU2bak-)~-|hyGIgnBn}czknO_kJ`64$&?3>X5J)9UQC0Va9G}Y{Dg~g ze{P*=6{Ug9YajEXyy;A5#b6l^x`R7bV(;9J93q6IC)L>+bAb>6@EU`Dk>dxC7joCm z#<*8Do1@x0#8GcNWqMo4n0o~&gSPt#ym#c6S?KovhxEz&1uCOBa>RRHkdWn-wq$F< zJNw(Q0}xUyvMWI8{B)1v)F!C&QU`Mo4UiE6?yKjhNw4+dt8{yUdjeKX9y0d|Kgx9E zN-#Nmo1covs^^}}34I*y6`-5{4=I`N6~Yb}A$rdX5i-4&6dO-?|3Vzfy~qO2Z^WDK zVDXapOs}~ZpqSmb$O`4Yd7`#4y)P3xP#yQ3@ZRnmPrS$qijc+f_PtjSvFei}0g{Hd z=6KbFGB9~jfwcWUq}>VcC-QUTYnZ{OqWnmK*Yl`ej@c@b%dA-Lm#2ZQgI3^bro6__ zp6>~~fELCsoay#{1>3c7v_GG%Mk6>ti3gnmmu}++vQNxL+xr#UJ3XMTJ0^&_V7oJy z?dG!%;L>cg<wx>)_$R3j1fH@h1|zKlS8iju%c2?J#_g0jxa(>1p0dyLAauCN?HdY% z`HAIb_zk;ahrc%^>{?c*J_;-8w%mL^*R#Gk-<(ec>o~8^l=pZ{y`DvPir=Q$x~QWi zRIQM1sR%h~zn(*3YM4#zv3{dA{brX&D<#(=qLGEGw}8{sb}(y`?O3>R5OKr-ua?^E z#-3~3-OP1KB5cHAp0#0yUrQ&lF4HaJW@^(h{f5f<7YegDCD-v~kc{I}+7yI<7jD8% z{RBSKh@~BO5ioVXvFtJBI+ok1XNl{P*FN7_jpXQ_l5QRPy*{FX;QURj^-5|O!{j_u zgIV>u$WKekwvcz9c)znKG38=iCqH4^Z1~41UJkGySN!WVdqsX4?QiP2)pemFl}g0T zjehT*RJG|I<omR3>y^LQ4d?b-ubWwF(_?Z|pC3e|Uo;MJe}SBwO0Q1gE);iOm$KB* z<v<z+MtRb&K_n<3Sc_5<DK?N{Oh`njKUOifs0yV_ZV3XSoV6-pqabD~t$@;<Njph! z7><{oveRybbZZJJxpYSghXlhPLj)V)QVI^0wb3+kjI&RH<Lw|I_jbTW0oXF!%3@b! zA;6|bI0eXslWxs)`({>)Io+u##$j;d%OnHdRW)kUBJxS0AyEX+UM572lX(~*0EhyB zbgX_#T6djXY4<^Jfzc*;QDBT@sC*Sjii2xvYx#XMZ~8b0@!lCbL!va+qVjhw-j~W& zcfa8tOzt>U$|;?|9d-|$hEM$ax!<Hf=wl!avz`GE;$^e0)0YzI)|OlmNDsFojqyL} z!E{dCCDM*6(6R}=A9l5J5HfU1V^>rm_?TSS77##xRaFwU3#AoM@r#Wd+<8@VJ}Q8w z<v>oh2?up`Xf~6=L`z~5Kg-@yNJk=8XOs<bo#JYv3)!U`9XWHD6CaN(9G+19)tnrL z>t*Erw>z9}zmST1--I<+579q`$o*5^e`PaD^JSlnb1w&hFHwoIrQ~8ftgaV|sC2SZ z3aEaVC*BYq7N|U!1-ROvwH&0d8DqdTRtU*tQ)S$2Z4h}<*fEl*pG5rg`99T01;)|L zyn#>kq_Wv|hMOgU5J>J%!uXx<xv{7+wayg&)*u@F74FYN`NYua|B==<HIxgUK>d2! z_BR~$rd2H8RFn&W{7kdh+Dh<7`Lyktm0}NpN15U(HK17(8}he;icgH~)hyX&KXEK$ z&0^rn9u%x9_HgspqhqXI-hW)j!~C0BCEXShc5+`KEOzSw!b4^+-)lm^T)hMVQPr92 zc&f?+x3`aXnM+3ye_;(47slv6oDq5vK6mh?->r2<j;|5Pd#LM6DA>VLI|`SnEk>|1 z{DSJ>S^8Ri`da>7(A7nAQBKRr+zySpwQl6MMY>@|hgkaGaVEq(hahJcrgZ&X@YM@x zo4jMnNdtLVK0fJ*hCHPckt>tL3{!a8|1qO&U*9gGd5){APs<ax@M2GO(;79UZIxuu zZVKJs87ugX7#><}th-`D(p9*jxzW=3+Fno4{*Iiovr9+ThN2+4bxpK5x5v}jsE?TR z#*keMeD<et;fm7e>F~nL<@mRSMu{3Tex|N0%b&$$HT04>k@>Nj)>+bYELvLFU1$+e zh>ysmxjx87qDlv3pGX7{Vxe&@elig4CNK~k%<Unj+{M-=|69J_DQEF)ZpaM%rx<O@ z=<yH!&oK3E$lBijGlZEo>^~)#S^Uzdo=JSmsGd=L#;Be_yvK0~(`#4dr`27lE@f#j z7A3Her%D8QrZ`uD^k2dPl*`8`@INDVX@{L?kdiJj&z^0NVS8xqpWq1&4$f!MA-L;d zY|@60JwjP}?+=}pAsoZuq7G!FjN`L^=k{*HJ6)3NqJIxD@uB%kH1SSpvPlg}R7k%a z85LUhihu;6)rv<D!wKvLm|O1wvvUkYZZ;whlxU3<WY-8DNvINirx%nLEw><seiuYn zJ~l~c)w+*<0upN9g59qPEa;y`aqqo?=-$j5b<X@Mdv#9yB71e;_*sL?pWIW;{m;wb z3wUMXsS_%mbsm*=OTvyW4t5pP6M?M*8scDz^`|I(X$^g7ih+M4ejbW|&<6X{@8Jg^ z^Z<gp2Ox~RA#cJA>|1q(0T8)34V#A?2GThHr1KUJWch~QJs>Be!~Dk!(6TBnQ@FDV zE^)cDGA>ix+7qys<BhgSzQ7C-fC~K@+oK8Cy$dME;tCU;LCRXMD#v?EQDQJWSxki^ zJ~kh0b<P+p@1@&AH=SQP_#?EqwLZ>PuN<(pA+GJKETqECcFn*xrTPidQSY8^qAGuR zz;JHI_Z%gZ+&~qJkqn5J6UpR@WIt`JyWF<nxR=<=0M#!Iwa{tVtUktU^xdO=T+!5| zziGT2?=VFLZy>}tLdyw=5Rh3lBpa`2pp(OEy-+Z-;c?t0#)?}Afe<ZYHI5jGNpn*9 z&e?u!5L)g{(VO@cn`K6OAsa(GNeM2K5-LGVwWNGt4h7zp@<<)XR!$cx`0h_eKqH8Y z0mj8?vJ{i_vkfKH5VBO9tVCEDcBY3hMZ$MODh*>TW?IW0y&8+PrQcwq0oFqxhcblB zWWQt0)}I+OSM2A^7|3?#^gq}@4z(98R3?L}Q)$)r#VL|uvDFNZey>X+&L+2D%hM`^ zJHyTk(*W@4whKXTE6V$mJz`E~55@}mlgVtd(Yzx9Qq$xi@?Yw~oh4e!hIFJU5{l{Y zb(paUp!oY?0G0J;RHm3W#GfhT;pFz6&&8hULdRRkbR@x!co<-O9~iO3UQ5O3uw3e$ zcf3Gt-|l!}oPAh}q5i22c|9rejJ}@(%ZQ>c>Ka;fZ_Vw27b8swSB494`^j1?^1qY% z8;gUCFz5j(?q&^RTK@MON7XNVQr?4g$w4!ICVwy!dNZAA=<Y#2oMKQ68izVMYD#W+ zWw+l%WfAQ7+sRFkY^R>K_8WvvC<A;wZ7YR%8f3e@k6Z(bekKX^=*QpTZh}k&^3@{9 zlCB7ocu2bF7}XYqfB18vl0Z&)g33Oi1{TXq67#W-zw6xug?Ae1TD5)3LzzNmN=Y=Y zgov{M`?pMzqVbQvSKI{U0efxmXMTHJQuv2L-Dr?d?O$>M{xU1m2VSA^Eb0LGE1&XV z8;}VKloqBYdKm};toZ9JYNAvtMMNPw?k~I{79T}bEXS&#n%K=JcoUF`)a1en6a_@0 zV1vR!h9HF6+_0#-U%He6oXS3_Tf$7~AQ4PGT`k3A>EGCQGH|tYBqE5_rD|p~AGe*{ zg_9LfhRV_bDn$7D3z@`tVI}%rEE2@N5xROvGgGMY(gfP;_gqDps8n+z7j#9Sm}f`} zpvrPJ=%L&wh<m{9c8$SRm5IJP2X#T`OilzOgc2thmu(_NoBwgFbcN$(YQV=@&6Fs> zw3cpr)LlAR6J@Y$<t_PaPz+^_7G^Xubof6^EdWy!z%&mqHGyLIWFf2Nlj4OhOPr*l z<w<YgO)TC{ZpA$`U0VVE+aZKo!t(z<Ig$+Fa)iN2NFb;GW&(2FW8$mTmwY$BADdmD zWr0w6y!~DinwKOV1_wm1a!BiF#YZVy5u6W_&m(Jto-7`W<%~f11pNRPJyoogqNxzW zdPr+%#z#@+h=&R8qOgw5&KKw{_EG)G|IUQ1RE=t0WxE$RDGd~<(sXgL8|G}K7)l2` z%x(UmEdlX7D^oo_vGKB^0JrPt^x+o6s3U!Jnyx|_$fYQz5LzWy8#k0srD}z9D%<q{ zi5kjK$-g91ltSWfEkxBv8A5z+xZE9^t89&Ov^02I6-e|4bT;)2BdPBNNiBs%0Z(t? zyMn)8O!Z<1OjHKBt}E^2GuF;fN49tU7-;favg=`)M~ovNkQK6SnE16^JzLrt?7~U~ z%7Kr}GOKoEwnLP@{Q9w=vhj6$0mXF;C#XZQEIJp0H4+`wEv5<2QqhB|QsgtAIROS= zt#Zy!N+e_imkME_&jW%=is5__sx(GxI{tncBuR?y!R3mBSs4r2KZmpcCp9%8E`z|_ zDC82wUW^<VXddk1?4vs2DHVP?jL)A7gu2HCX=#3xD_%{C1(Fqtu+c2Kp+K7^VTNY% zs^t6>Y6c>f)D+#{9OMGYg1qoBj&Kx&KJR_l%>H|igc-_9TxKYg6#z2O*y^b1@<r<Z zaF_pc-8kZ|ACd+)9P?tz{1pRdKH2UFnaaP$kN;sX<^7Cl#6$nC5DO0dQJw-f?Wb~w z^+jD#7-ML!D+qk(AodIVWt2gK^2sRvg`8j2{Vx<uHzOi2+&Ictr?uj*6ig2z9mjz7 zbXwc*ZTwdr#+dTm-?144q^~dr<^C$&gBk63I9Hjv029=w#9@F5>0Jj%)z@(BT{swc zMnn%Kc&eyspuy_X{9f=Rai%63-6x(M;%C^aV^m^fAjYf8{2ej&%g#IrAtLQlQTc`d zP$MBNH<t31f@Yq#&RG&ZsS`u~pR8J#*XBj$g>`3!{Kc%={+H%S<|I!*narvUdTAbH zR>x;&`0Fed7|3xkU|0j$<;o{B@Eh;0XY0kOCVqtLdM^D*Gcce!m7Je9pWWfbr!ln$ ztN4BSStPZO#WB54bZQc#G(5>hhy1&#SZ;`b_?enO8VHK^5qJ_UacZAvbneDG3ZM74 zP2dLbve^Qur;SU+bv>B9B8yTdlWpMR%9tb4wS1y6iE~ZBi2p8*-w%z*oV6}Tr2Mr6 z`-o&mr0xv*0mV}-E*Ry3O+1<%RKK>lteJg(a7}MrbS341Wrhqdi3>AR6|Dob>!*<X zwLS`TE$S`DG;}F@jyTB!LOHS=XZFf`Ju>(cYjmlOHYtky4@vd~SAacBn_=&CjGsC) z@QO=G;qcogM8!mbPNnK5!pS$lbwY}wo_}CRi8A&NKXuIPW4fsD;WwT8c2V?|J&IWO ztT;&v&q(^5BuT%=l|3=0;lJNDH6^n?Uf1(e6HPu4B*`RH`?aONS3Y$_hbtLza8re+ zXdaLvxhA6ajdmwc*JJj5@5%|EzqUbvsujHTUiifB@=$jCLTA;xQ(IapcY$!XWBdiN z;1t|oic(LU_n4!`&W+uKnZw+($YQbwAZ^8wpT86?hE67#TjSQQFGWpts1M-a+gOYJ z9r@CT1THup_#0Va98O3M27JC*<2r^_JmYjssSV)pxYq(imy0ahYub;W-C-*MCirRq zdIg|D9RT|J1_=OA5l<shi}r$7_*z|=akv~Iz@8G#C}O+SK%B>Md4OYFhoFt-9&0iZ z{rCf@P`8u2f6<}30*=p{u2YTqeL_*-0Sc{@N=K$_(gDh3$n^CQ(@S-9P?(p7qzG9o z88ZAA!dOWoMokIyxnC^TQ_%)-L?IOOdh8TedBS+;F@G#1t4ksT(LI$VC4}Xr*(t~( z0H2RIArxN*=3}B&6p%wC)z~N&*~G{~#`2vhw%@CWkSU`Bw74;nMwh09@LUnI(LZ}d zMEvBqC}J1OH2@kcfTm*-pg{y^Zs!0RVSt7Vpt%wPXzT%+UIh`d2{=o|GxLD=So1Ur z0%ZBdH9fqP;}Th@(>1+)Zf?So1HvI!Q?LPS3|COX+*tIpTPScl8o3j&`x=`@9lXT( z#yO&of479V6PqoVqX3lVod3ZoI+BuUZDdA>8%w%3ik~3gI3(=u!ah1O5dAW50_H8W zlNbLj^!abzTWB$J6oAq=2t1g)g`SMW3E^Qq4UzZ;vY*VL0H*ThC;=Rb>gs;ahZYjT zFwEQYJwG7jW@7A{of;BC1j-|cEQIOoUNKKMI>}(+kcX*YKQ<Z%A$=l*=^RBrud5Ui zWNDqzBy&F}n)qa5PYOwYbnJ_rn77bvi7dFcP!Bh<x6r#O*tbv!eIhs@6w>R;?JcxD zY0sC9=I&Au62Y!L%HJsP$CCpIL?HW0u*Bn%W%ffI0-Rw(At$n`x1d&qA)=yCurC{d z)?w@is2$G5T$M>p66D~-!I*JKKowS*RC-hUVj|zvP31|c>|7UT^kR4P=?OJz-%@=k zPlg8)MPzw<Y;D5#r9#<GRe!$;vcq+Ee0Ba%4C6ap2aGo6NZz}a&wb9udoNwIiH8%I z4oP<~uK+QZ73frUfuZ;eQSsH`exzDN5HH&OHkS|*U9g$JY!R0PS+XN;YWy8MiH@bm zBHEi;HWm4%N_i~819?2ZSA5o#^rlyMH%A=(pnU~Ff~;omO^@=<Qc&_aVk9Xj<8{hs z_7VU1DeB$tgzjL%f7QD;^>=W_3$4|H!s~2S;8oMbmBKSbNtXlHOm&X{UZlHg9^uQ7 zgZ=$<b={k4mzVL3_7e3`!rq)d>cxUnG9%(6Y)h4Aigug6I<@yvm*PW)uJ#T8<L6cs zD^T90oi^HobQq(^jVyq81Ff<rqyo+pzCV%l2hiqMU7f>gV*1qrI=>i?MDs0qg`X?{ z&D1vr@R<U9nnr=n1K%8QGNuBEtRjK-T?n-ArdfcA^&J4QL;+CUTa#aYYw}P)ldlA* z@a=&nZ_^BLjdrUAWWs`3w&&k^5C6B`qYUUh_9TJc!}6{7Xa#x?!gtG|Bo{@*uN7(} zNnBmt$>T}-u3p}rsTrBK>+N_)yko(9b!|~R3RGJh{?w_xT7id;PX!~76Y5!|2qX7< zv>F@#EI{q4!`@_XNFvC}%FN92ZIBP5-UILdx$=0D_;SDHT(LQ^m8Vj@beOlL4-+Rl zD_%;iKTF)6*^W_Bo9;D=yT<M!ITxz%AQ6{$)MVJD*NKQtd^7gD^>kJgg^B8J+Kvgv z*l9m(!_vhmW+B;RfZ4l*?r)HaT|-{jR^AKA4=$X}?_5Hvz~g0nMh}<F9TcI>0e^Lg zI3a<!8wcO-A|L+eA#=Zf*n!-To;ixggx!{GBl!G2jWtZqcm$-|;icHHuyu(I9!gWM zsvR298E92<zCxNPXXEtbyYH!lp5r<pHpli(-+ATWawi?6vGQr;<Sd&>B7EkbrFq)$ zESizxmYR-v-F}6k#f;U<$lRN!i}^4?#V#b!u7HY(srB|H$u6Rf;WmttO!%eZ4#>=M zpD=I#Y1xmexd^)`(;XcqualhhDt3GNRN%g|SL9mtI4EF|N(8WTP4t@OoL*nsm6Cpi zpQGX)H8r+>s?`S+=g+vW)=3Mj*Y5ZFF#Zc__e6`N0kHMb{$A4ef@SUeFJ(zddXo%Y zh`9;J>)XFwubryaEK(3a!%A}=?{vC9=&g<4YuybeKrtftr+1DAQ0@l`0ex-U26&2| z%)ak<f9ljML9Yn5wYKc>p6!vd16YKdRQK4%n{jWipW>c!@Uwg;<z-o*L3E>q>^Vhh z=NsBf*4*!>Z)mHa&tQejz3xX%Mrnc5-7YMpJGWC!#^KQQQkvA)LW!Zh40CskQDTgU zD;?-2b9dAc#AKq)mY;GHct(?#`IqIS{XYlHklZqZKU`N6k!POrvl{)nywdb<`?0pY zWGb{8D1hx%f75<7xkKy(tCL`NR<$E@XgfzHk;u@oTi5ynW145e>Gbx=ey+N-Yb3G4 zt!i(z!`%7ws(m4bQU6J;-t+viyYyPU>$GqJ>GaH_=~4Fdc_Pl-<#gP#%H7Tu5_CEm z#&N?>y7*UjLagWk8wX~5pa&cL-99#hFk@bsp#s)7!Q0#U=EsfI_b-E(Xl`D(BC%_F zu3b(97y1{knR;?|wl0-LVc>x_2DIOE+O~GApNW^0{Bu!=LESqG!TaN3-CzS=UcC_a zhXv~g(;@~+uegG7m^k&GFsYIvRnwP^3#(R@Ql<f(T-(IF(L`^3^N}uKwcrAeLY4XD ze!>|Y&z>Ds)w-&YDm$@`Vc3th4tJ+YFJ(JVcdjgbFGdN!RCJ@!hRa^}d?vZU0pAN9 zDCIr@9uU6Qy^}Yq=d0Vfe%+z>LFubZIMZp@cBj)`$Q|P1FYh6RGd!B^QYs7N>9HN7 zkRY^fC#Egqo41G5c)uz)A|f|BzJ(H(fW_HMOkCX`tDjoGxMPENJX({PPUp{Sa~Us1 z$w%-{luZc0Nssr=E=jk49+|<%S85)@ZhKNno78!|3T5a4Sdo()uKBe;c2Z>*WG0gi z>Cfj=Ehn~{3i`t5mt8j}1lXV4*y|c#_?&~6*WISQ+p3;QG{lAJs+ByeY|~I&TlAmp zzuh~K@}E7MzC_EtQm5$)n4l2%`bQn;hgCIc?x2`L(e%ufzM81LmXyB4DS668NC<Jy zMboW|`fAOpD}KFR@OQrBe!jmX!{6>SW@^yu;X(9*Wf4T~-1<&|{a7~wJo7(%K$$SO zHny1Y9JbgSf|H)s!L!EjUGzzEej;T){A;#NMw*LTU+>7@(f-mJl*E*nbZHvtg<NG7 zzQ@>6X5kehvCYV-y`5Jmo7!SEDO(xZ<+<>5yW&uuIKUySWSBSRtsYuA#4iIXuzMg= z$#yKtcv!t?W&(W~)=%D`+arJ{_nRUc@uZ21IW$?V0)=#It$ppqt@<jDTFK?shM>Cc zmX2<YYvx)jP(s|bURgh^d(>TlC={Tnsq0GE=n*f{KIngack1QBhNZZ0Hod&;6mz?@ z++zQ7Pha;qF=9=Kv5+@Q7cO&KC9H}j8POHZ(9FIQ`p1&K>7?3{o^)15@H*CI?!T6= zVs`<{SHTKl$6t5`_KK_^_2s0$F5At@rX){cMG@Ami$|n^AMbab%8KLLt^~XTRuq3N z8E+jx6q30;!g%rsEp`%Jc#&Up#s*>1{%9cX7|SuV8A(huxcR_VUT*p0-O3Lf;U?|( zHmM{hAuQOvrA?f-RxwRqfIkyx>*nft&94}ohtV9*led`Bjc|(<o5$1np3ul)3k_P? zM%y;}+ZA882|vkI&VkaK#R3~Eq8fFBYCED^9fDgw)0niuIlq3eS;%Wy&pv4(<bfHp z>ebalPUHJT2qo)2Ezd0XLrb6>SgkT{Q=5Xrig7=->g2}yF|1Y0?DAkNzs!^|tJ2&J zkH0O0(cD`O+u((T7k?gdup1>S@`E4(>8How$BrJ+ub-F`od`8zKe8llSI3<Y9blvU z=yqCw*p2=`m2c>N_-on@WhAVw6Uz6g3{fyo*5>NuIJoVEgRN(t_MlL}<G?90l6y6e zJh~+8GEVA-#d`y63Hs*nYM8R>p~tT?zDs+AOqv+i(edmr@~k&2F73s~?@~?jdO((= zWX82mHDGA;<v1^0?(Xn{?zK$=SC&=kHLkW-0r)WuY1-#e5)PLZ+HvexZgLq*-<O*m z`9UFB)nwUfUx~nu+z552dE~Bnl&i6Q4jtS^f|({~JRxI@B4*5-+3zu$dfANMZL*}d zc+1*yXzGaFx6N@nPpi}9iFMn~aFV03y+058_!hL)nbhxz5h1(!V9*6cE#pPCp=Oaa z1jQT&OGA}{PS>4(hcYOMZ=E~v(Tf`eI-?|PTtvwHAnzM<=6J`7+zGMdmyy@#k_}e2 zA=!ly*@Xcl^`v7bgD+3w^LiAC^_pM5fzOVN$ZpV^i#OmAGKg^TTcFsFgwbR)GC-#_ zuMA&`DF{^;Hl#i&v%oLHxO^kId|?vx9lh_+4H$BxXnPP?|B06J+dq8pYIOuo#fh7X zlWXX8L2u8MRp~wUW0fDgj=_DVyM!lWTUt3f<`&8(k%O$)?^){ECDWp~-PiQ(*RK)5 zc%!_@2;1`CZ8*vV$foydcvV;CY+>$ij}G|F#cj~;QLZn&L#cwR-nl8o(0cP7etk&T zF>`(8>(J8c%G$}W>|D}NtkM{7Yb|9KE)&qzWmMJ~Zfp(X(+hGlm-~ryDW8mryPH8T zihHqiqyZnJGbod1OeuO%-MYo8Cr*J~mgc!-Dtb}Viopt~#APESTc&^Rih=w4HAA4# zFla>el<zt_U!UiIl}B3``o&>B<d_0hixBXK-0|AdWeZ%vGGm{Pu*ujNb3#Pvy`=^U z{&ES16)%^olXpzHD0SR19p32RVV`!{oIba0-)=o%-8}yQem_CoJU?#VO1Lr~Kf}h~ zetcrPc@Eybt!wn202|}4Pb|H31Uz}o-<&$ro=TWAE;hxrqi`So?#$T!F{w#@b4)Se zKki;*M}1EyycX#xFm#IAPqcU&_w>Q=DZt~E=}q_fM_1r4?GT{s%4&FamGX-E#Pq8( zYx^F~7=Pe#Y(|y|Ib3IMMY^D)w%nwXM3LDQi%-^1mDLI&E@XSyit0nL*#-h-FIY3U zgGAUxNES|*0Xk-o>G&~%;1`}nQ_-(EBf+{%u~#L#3)jrr+yZmB&N-nUI0iXur3S@b zweCOt%G%lupvQ8Yzay6GVInB0NeDAwXBMqL&|xHN^mKf=Y}I<QE*zMS45&n=({2}_ zj+JbX#_A@2&*&q*P~-HP;{?N^(=k^>!m9U#O#5lq5aBzf#Fe8eM0qa=`p+~-OnalW z6l2C?+kS^Sb;&VPjwdDg;L3Idt$??}{5{THhgF>=s|Tx+PeJimVvX{wUXKQ?RhDi! zMv~pv;dATY?3*MunNPf-63Du6{iC^+y*+7|j4grm3cBFVsdWcS&SBFwtwABu+RtXL zC|OBAO(ttRAbjYbaJlclS%BM1x26}Yqs`#QopW~SBqP3ZC1rP)Sosfu&HjwSRy5s% zgASC><|-p10{1RVcH4^o43|n;x9nggjsB_*|1f3EApcm}5#&=`9tf+lb_bi+qMMLS zi>_!|fGFqY{WY1CHJdAF6&1VEQc?&M{#2KOn52f$)3DVLITpYo)4Qe%`}HgLDvVU- zXGxI=We)M==Co4+L1b;qXiNx<jB4y5sj<K?#03KPJgT|$oWk=A(uc&`>AuoK1VTAG zQ98t9UeMTfXWB4CNlsXHoJw7_av*fnDK|ZAZcry`2;3?JZ}QP`H#Aj?z@qO^GKixf zz~J|iZbfVJG=^HO;g#aNh$V*@Z21L?x&}V$SWh^rno*2aw&Z7B7-3{O{J^Q}zNE-L z!u{vr<R{`UCo+3ex7-L<f3!2I?1I!7C~x+O8;(cp&~7`jh{O*|<f|XAD7=#!nY*Z0 zZoT+%DvG$tPG(fPoL~xy?x^h7AK?w^Gb_1jq!m1C(F%$NAs^RtP%4VP&R0yw@&1@i zTHihXA<!2#Kf_+7zF)G3OT*W9>-@Mjv7dYGG)AE2egLiL9%{FJ(~;FHcDOG+LdSRA zjUznad)At<a`=HpPra*!IAR=WXS|ttP3S!<y1{nB9XUd3j410xF0BQVcnoBZJa$t; zKROFKd&!*%Dz2NqWL<7EpG0DGOtF-js%T6MM<^RzDp3$!>VY~#E(WHd$u=^uwk=el zC4jbcy);-^wHNS-WTS5-E~nv|!W|N1xqyi^6T9`pq%`Ly$CVOalbkLuZnof&RdOt4 zjm-h#DaS%@0P`L<`Tf`x+QY~F*4$=Bw|}cF4~b}((H{%lykXK37SE;9oDvNzq@ejy z&|M?dW&N(?fQ}PdtcZ=1y8vQ}R%wG4K2$-<-a{I4QzaKt6&)id_77abd(mRiz+ws- zamwz`bnriwLJ*Xt6yv4+@>J1L3(zqOW3xFE-Vc;ekjPWgDN+i>(7{KlK9IWRm(r<H z3e^A_=%FE25(KK2_R9sK6I6u%m{KY&q|+)8ngWb!QiAo;AYc~tuPxT0v=T-IFmY-? z_m-;mm9o*OK?j%mNG6m@Ccuc5{t?7PEmFoTn$G6LBq)T;RV~d`#ltA@^H&uNmj;DP z`x~j+|3vtySQ4sesThFpK@sAd7IaRF9IDX0K}*8z401XM5-U;^gECT4?<=%bv_|+K zw>{{jDF7l{*Ol$k@-DHzD>AMac66V256!G(MDZGqL|crUKZGi@W5jjjUI5UwIbZ0; zw(AF<eLb0=^p}Rr+#-K=gPfV}H?Ls8t923Z;-B}0HsgJR;sD4U3_!MTkmMVb^~SXX zK$&ll>KjxBKo4)w_#0&K1~t8LEd$WY8)W?k^}KNb4|g(|769n`8#M98wF*FbZ&1J+ zw9JTW^|0z5o)x)}Q?o;KweKbqlv>$Dv{iKKY+((C_2gcU%_+RC7`WT_Le~20)OG#h zv+pevr1hbiXe$ZU$-){J&a>rLY)&Fd`M}-IdqO<z55oF-)h5L|Fo&PL?uCN;69Xrc z$Qf|0+<v=<>sL+!)sSB8AOaNQZxkE=MG8RC15gOOQJ?}8Ov3s^H2?+r8-*x95iyyh z@<!1IP}ol8)R>ZA?O+2Gku?B?IY0phDEa{kyEh5~WISz_-_c=%J49~;5>Wk#p`T31 z9Nh+iaHeUW8cH%Gx1T!lwLowZn}M|@oCp?KQr2524Kj{4`tNA}!5zA{P-!64?~@6M zquU4&dh!-Zf^;9O^Pvt19RNc2VMT68lP2Cm+4w}iK^PD6Z9Ar2<8(b0LKw^N4W<Nh zvS0K$J1CLO@AY2jD51Agm%3!mbm{GRSLyt^?3!)M-Q&i9Cx~zWL5#<?mA*@o!>ssQ z<Y!yumEwqtCR8<%sT<5k>P`qE0m|k+<!$O*1RtUUzQ-Ehv^T}6RTRy0wwhd{<gqLB zb>yQDZ#u@;SM+yGI+GePUt63RIvv8G5Dm~HH0;qJeRoLhBEY&1<8sg9mg*pphfmk! zV1<nne%f`BUTV#e&cW;&NX;LjLRw{18}nYb#wYv9eVSb@&Mg6#A5e~uRngTX5KpTr z`31JQJup=X5a>n)qTxQ%(`$_u={X`mF@NAMZR-oDA&0#pMH-C!%6ILeKO@j1p{y&h zGZw~WKwIg5^qscSc=tOkGRLXm5-LY8ij~OcJ|n*C6OPY>sNsu4{7dNRM|lPBYopSF z;f(Z0p)?gb(u~fIc-%x};J1-E#?X4xlFe!}-EUX^ptUgx{gQFeRq{#NY!!UR+~?d* zyzZ})q>-#w%_Z1YIpDO2Zfiz6nAkg-c|F@UHnbfcIlql)wrUyC?<`-9QN*5Gz*#ZX z{2MEAvsOG9^H1T1U%8;Hzm`JBkKboDe@)gUZ47DUZ>E%Wj?$F>x*S^wG;ZY0DLWpf z0d&{M>t6D^Qyl|C9zA{5)}wXEE{u^oYrKagu6CKYEq^V}ZT5^-yK!v`F}pQ^Z3;M- zUJy;MEEW=bBpz#ApDY?-Mhm{l291-a=RrEzIhr+D(E5TI9r(bBKFR)fZ||YIlqxu8 zMFlh0p8uiX;o&A!CB8~j7Vx0(9^x5#1uq{bl!d(A(%9x0cC9ROI|W{G;qoSPYws8f zcp<z`qicA2__XTwnG-)ecz~YkB5seT^yi%t78ky(9x^jkL8x-reeg)xzI;i8iJX3| zhOUekUz$QyB5)rm<B}t8!H({8X)3m5>pB-NXYr|A@!K5?x(o&I02`$!;+LxJCy|@# zN;rE-%-17T<lCK@=dr8jy*}@;%GZ*z7v?Fu)2@}BRsl3`h~*n*us?FV0qA2rjFjgT zBrtcjyv#gf@2K%Qb$_hVG1+lmJnkz0q_wfDbBOaYM_}mkbyEHGtEWTGs_%jH@9!}P z)~X70EIG^vyDAg`I3L2k1a~p|-ti9LU8jqC^n`3&s^SM>JRM-8mtLls9UHR?Ff~WI zVm%!siIT0iX^sn<sUEZ;CA{>oYIwpdd2~a(=2Xpt=BH!lI54%mE%R<|_jRw88a_WH zFc^28SEGe)c1~CGgG~1v8NY0)f@z|Z?1I4}sb#rjtrqWFP;|hd=S4=$oFDAp-<olv z>ciST7l;j0k{jHogkE<xKBP(<p0da8#<ULQIlMcVHXt9vTSB-b6xQl<`Ej-<jB1Fb zwxAu%NVJ2>eDRL&r7epv0(zFcU3Gk2lQ>MPC+u+CHGwjITs^t`@FVNG2G{znQD=jD zrb1VJk=}jJOxa`m-KwL~keAlz>B*D3M|t6=KGl<dro}2IMoTKZ(cT>?9a;M0U7_m& zx)Y9%>8s<3bzOyF#NOpR$<k&iQAw;bjtKXEZ#TqTF33Kr3|&_>YI$R{W6)KYdC?~j zs5F{_Q~TXQUyBN<Lg!C}Vvi0b=wc-22%e0tg>XNI*?DI-zASL7g}ngpvFdWXjx`6F z_ef!W`rvhUb%}<9Xz-ym<3y;)R?C-QbSIj4)1VUOiUgMW`J5!vA9&Y3<66alp^q(D zSX0=uZ1L*{DPGKdlq_@>%(uC^$n%{O$ww9+b6{frL$$3_h^n(fDIazf>exr?J*JV# zR-rJmJ@EC-8p9d6z@_#UXw{!BXdIYUE+&Y@sQ6^7s>Ne5+Jb?L6MKci$H&W%biIXN z0JHxxmLlm*a7&5ekG{8PlD~z*74ZDhbfHtGOp39j3XymwIHqdkcgO{Kb>52`c_M2P zdt1iNJgY9K)A51Y0oG1r<Fb+}OtxsXQ~R8(q;j||VuRw;JEH+?J3CmU_!+6JAE)Cp z-W>hj93$TxEx7^5l-4)L!#BsnH^<sH$A&k@&2d?{ps3><5xv#FKwU9gE~tK=qvx)p zmWAM`9Q184mOco73VA%J9Xcj?AJ<&PRI>74faA!&K;ORr%h<ob#=pSDH~{nv+)R?% z@m-(Sv_d)az;#ocl(nfe`J*BcFN?rZNv-A*V8-RzDg}i~DK^mv^yL?6$7dMD&M*$k zeJ<H2AC?`9b0}#Y6({5?eij<_3lZS-?Q&<8+VZ{ix{HtXRcXT2Zl1g5L2hot_kG-a zGKuXN)pv5yn$uYE)-dD9N<vAhb8CW~w~1hN1}j3Dcf9T(ZOZCmgMWcfTz_j~moMX) zAJh)OjNiN@vHoOrZZU!~e_V$*w_ly-RKdpkV()U+l`fQyxfjPUZa)y)$!C5{Y{|lP z=<;s7HN$4-sL~~HtIJ&dmMhR``0%*xRZ-xz=+FC3U`vorsVOEej<_eW<I(IwE-k-K zUEU$i{Co!GRt9Bv24xq`{G%F4uz8H*FR~rf=nF0Ei^KC8wecy<R9jr1M+udEv*QZ# zxv|h?$QbuDb(ZG(#u4#->rlj5jg@u31<iP~Iqt)NgN*1an9~a6IUb>dRr^z_au<;e znhHiYQt2w&9bu~uy0M~044mBC1Afi?wX$gscNt&FjuCMCep9Ccs@X`a+zewRxH+k5 z3W0G=9~TUhZT8gy^6x4H<5{1BZw{GeyiX<b)#cxKVub`5URM0OLjpr_59~H(EA@r| z-$;4FC+6%6gs;)7rXBU2j?+57$1I5<SK?JfQtoHwp07L)p-?_t*H|`<1*|S{DH`fi zezZa!VnFK?rIo#k5XTBf5%z<WJ?u4zY6ao;AH^d2I5d%qv!J(8RBU*$;~=Y&BS_XM zQUqy5W8dnw#5aYCTiQtG6>GO4tmoNOn6%dxe9EtEz&|!*KPSde`c^vjFsC=ifD}>} z?;lKaVjF+U(t{I4T2bvaLjH~mSpp4m{I^noPn<ml=^p|DF*r`>H|Q4teFPxIcxrgx z!gXHZ(4R`y$opqMrJA?jr*=_NSHo&JLh|?m0x=-8`2hmQ8xjBr3L1{dt<Z6}g|e$; zJJZ1>+WCNBdVoc%(j*Bqx#3wx<wDyS7H34N%Y7E-Fea5IgIY5xW3yUQDiyO@6DpCQ zqvp&Zj*%V|QZ>H=@5;A(H2gtlC<)<y1X{5$Jd@+d;Hr+Scprz9xS+!5xszoxlC|M+ zX2}OS9sz>=vZE)M#;V#&mGREuaXYw205mxKe|)_IbY5N8HX7TF?Z#@XHfn6!YHZtT zY&U6a+qRR&wi=^J&Q71_eZOz~|2b!jjI}4OdCj%<of~`IbI-f<6gU5wrqUUNO_d$K zGLoUo@nAvK^fG(WFRH0|U&7u<*D2JbFZ!8A78`uJ_Re}s3^On$7`eKMgiR^*tHCe= zsGN5fRI!hGmh4NS*pEAwL&rY4a!Hp-Ek0`<+f&S%%ZWBOh)t7qF~J;!RC0(BQE|Z> z%LG6W0R*XcK?o4&-UR_Z!7(LFTyWHW-%mD5Tz>mD!?35INf})-dVl~82o>)FA|N2? z1Hy+S`~vH4qu|XkJY}S0eyKIE47a=y{bS6(2E|4Y)AgeFGtPPaHL;fr>R+eS!kE#R zRKu9jh*Z*;(NHkKqIboMS(Hk@Z*B~E{sVtDG%^+LF^o9~)V8e{)`<V?Jq16FLK3rU zyc%I$7V>lKv^}DubhTJr{qZ*VG}Wz$07GWslKNWzH-ys}yA;9j;tHK1U+8VJd|xhB zl*&2Fq#ctXKcacDjsWSPSo97gxHu)Q&80{>7`R3w0XaeeOb8L{8e%Hvcu0ZibUK*u z4ZsTV5#HZ`QynECDh`r)7&OG(NWc=;?iR&1|0`zSyiiv)t~!b;GN`D9k$@H-1b$`g zJ4)2@T?-toXdX)&EoqKS8!c#-!mTmeSU(Hmpx>47EEcz6#yq;%%^MEzaa_MGWgdur z)v!ljzW>e{ML`#vnYY+l3eE$q0g6ENI2`eMoQK(c%(Zw$dwX|u9M(?yGC$wGb=-VM zt#o`gJ^x{`=uQ>uXK?Aka)5ks;hK1eqU@>Cp~pSh!<@qzWAWT<1!Z^f#|oUYN(MAU z)yaC70)<LRRavd)U%J|-I2BnIx^h2tBmhG%V5oaHOe(&R6RY#t(VO@<{WflL2mZqo z-3dzE!MDbEnm-ZAWjuc2zV=&89ksWUmk1>`z=f4sBZp@D;fpISN5)7s87A=w)t6=X z$F%S`V?}Mf_~mcK6YacAhF`v-EG*yKVq?YN6R<v*9Wl)ao^z7n#EPzmO65c1b}5w< zgg7dTI1;+$eUeu;6IM`6%m|J$$|rOqV*~UlML>^>GMWSY?0~MN4Cq-=Mi4}9WSoG0 zr~>FEQAVspZh2gQ?x+Un4N*o$fS(7@K{NopCu%qBDfWJo7cfL>0)~mGIn^4`ewV6W z36RN<-<E?R29+Imk)k^uQY`RLYIpB{iuPmWKi1ubl#>%%4o82E4xi+?Hb05h692Lr zr)xrpv7xg+yFk<y=etSqIh~h=KXR<F{IfR&=x<5ySyv^``V?7B9~en6!IVFnmqicx zOxX>uR0SIm;d3fM)${k>05x<CIdROe?CtmP=-*cWwXygYx_F}R1Q^-D#<Q4OU8UxD zKn0<yHZV<106qx7lZJO3$lm0+N*Z3(Fi}Q!59CDqp=BQvZbNE(#V9{3bJOsD9VsmP z90v)(@`y7n{~P!<YU39_I^yrcvYtR5*DLCiXhTqaDQ|BFB~QJ0S#=+5_)vqZ*_6EP zon+6Er#!VUDDm0l_!vY5yNU}$eP9MDe}cD*9wbQ9Yc|n5=xHFRN(GmW;e!FJ5H^>; zx#~iAW8&<>f-Vi+tGQ)qO~yh)mV03{ypf7Da|lAUXojm*0)b>+MkQcAs3hR7mS*J` z`945<MGRc_7~n2Y^I0M@dfhF@k(kz&7q0)Z3Xy@P-=-+kO+w(Ju;#m!iRE!zwn1g& z3aB+2a&rQLvet5Ebs}7c#d9cxWF|Ca+v9JMGMzR1Bqzv#&5Ga+_v6gpE{Ag#KnzB` z(U3715c8`mSFur~ZP#)kj${$HtZ=;^Iz+}CAc3u>5TaL8i++K}Mk?1Bt2&IpPeQ0a z)aScn^yjSm#yt3gF+q)Gt|#nvsWS%5BC#&Tcp6I+*jM=7?|!NqX=gTXkR_s91?)UP z;-6Ie2n^;bN2FqUO%KN9V)~U0zKW29sL=0g+ys#UTMuG2gwI$>_!{*oR?}G7015L5 zK$2k(kaURXcGUnR^Z<$9C_sXP<%K#1ko3Qku)LFK-2|}$Bx<z)$?`ji!!*_oKw>um zkd!$9BoiXKQ?&pIKS0uryin4g5J9pNXA!ty_?AXerW65-CDcKYj8i`NYAmW&j5$jm zY$;ixq!Il>n_Q(pPpOH}oTPPH2he}k|EVEWkIh2gtn-cUt}?qvqCUlpc~{+jcpk{* zAC&lwdE8*AX1AmqI4$AuwDSgW{V|5aLApR<5^R-{ar6jK8;9UMZOLE&+D&?(`a^{# zDFyj0wk^I>spwDXNR7Xidg5m{RmE|`iG4Tr11_D(evS!vqiU%kWyPTWWZ9=GPUM%k zDs0WkDK((2UDboV2qWeqtUem1p(!qIgcuwKrY_-O|2DUbRF8qBmXIMTY<AD~=<hZ) zEz@XmwMZ{3Gdj{#MK#aIbgYPz92VG!>NCVB5%O<v5sVzy26ma>DD|10`*W*9$))sv z?`iAMRgg`Q(+w1Gv0}#RQPXu~j70Q1>7)e)c|-1;ot|HB{}Je4zF9j~m8jEJj%EIK zTgxHg=3K`GE=`?3#L<U=5yR_p?0+EowHz#y(K>eOkzFJLkAc|D@=zF`cIuH72R73N ztG<aCJZ>;pMz{e0H2|On?TBZ#zo0Sz^n(BZWj8cs{R8R*K(Fsi&Jinoe?bV;00;&E zIn+0KfyZG*os$q9jGc#%a?jZ$g#P$8RNi$gdhxnZ@)d<r6A88YX?Xz)bsT=FUKIVb z{#%^y@`Vm&WQ(2BVNa3g*c@N|y{c+9QJ^}UN}MO1&5K`Ol)C!&-@w!GH-GU*f%KyY z?fc{2V=mPQy$RI7g}aAjo(qdfD!PSeco_K7m-BiiS(a~E!k5`;FzZ>eJ5sn31Vxj= zp<hVIib1WWHx}wq)m;gS7(aXjyWQKq8o?4Hr<IG1S@e5~vnQ3))nH(Z^b@WD!)SH` zK%W7S@!?eq&R<Xg08(%QKs5}ErGG&<9stPVo$2hV1n)1X4FI9J0w6d>#*x3EYYnHI zj$iboa&OHOn^t$iIR;${(8qXnD1J}D^jC3&?_tfd9pC3#g|c8UT>6p8<FQH;3G50* zU;j|K%JN~9rV_ik^ea|BDdumk-6)lreBWI|mhC^DjPt*rR;*4lk8}CRi&6Sn9&TMg zw*Q-n#5&)lQrW8(wd)07PNj2m=>&pje{O1D1Hm1;YxgohaEkx^C=g5??=r{(1e?RH zQ@;mGueYBA!EMy8(Y&aot0y{x$7_2sec`P#aB;&C^JD34&nv}hFK&vL9j-JgpKPwq zEej2952P(5(svh}5osKj16yF=(T3sY$I{xKe-#5IbhBx3l+C%?0V5f#xeQzW!dHT9 z4wM%R&NNCs6Lkd%;?{<XIV^=zMetq3v2AkWk;APnnQ-&j%;nVjap{X}MPslZj<1-3 z)1=gF(~dOXYM2Rw;pBuv9*0$u%HYOPs+jvUOEF=2H0V=`F{I1>Z=u=$s;5D6&SFTq z{<Suk`EgrXmNmDYyR(z~na_ib7jL)Ho1$~Q9w-!JlbLy$*y<K=)02IbeBGEWJsKaM zxOHTHc1@<RuhubWZXfTHaQv9gH&&t0jHR2X?d_Fv-iDolIMBP3SXbyXUZCrI4A~E^ zerXBZ%H5Wda4ZqEPsC7Jz(WBxT-mYqRymsf>-L{!Y4sUv8)q4vscqhhVe<2BMIP;I z>#qVYDT=r8?kBUOkAJQ#%uVl70|t6g-(oULX`k5t*4DuPU$r$$zh9DDweCM|GwSiY zQ8fDhAEh;QOS&XF+`2_N3+juKKCf+JA(gei9ZB#do-gn_WUf_BI>)0cUbTp3<~0X_ zhGG`eHaX=gVu<V|E=5sae$5w-wzeKgPZ(QsoEYAGi`A9}`cyn}>#%$B@lG&MipF<8 zfm9$kF=cN|?!9)fwK>G@Z=LFU^Srfun|QDO;IpZ)@>!Y0MBa9|KJfy6Qr9Li*X#9B zpI&DHW|hni{5&gs)PNGCxa%ud7FdMs7dMv-dVB6qu!^@6bSK7cM2S2YXPpOx_f{9$ z3nWcz*iY>(&U`J-9Ab-n(G?ze`4%(3snQ+U#a6tcYo77)PjW>Udl6@DyYf!DpB(22 zs^yBksjPlpNT}~H+5{DMNUQEpH-CgKzWmB%jbY7LY5iJu@|ibaK6+CVuWh_Ac~N)q zQeoP8J1FAbph4I(*n_^ZEE=mGz2K*dhEOA0M;&f+`OZ)OwfU}x2Lpl&MQve|jgu<E zPU!LL_`W@X>p$evd}f*@xJY%*P+~j{;8Hxzb<0$Y>NaRwlHum6e1h0Mv8dactU|ip zudU`^w%p$a(5!=Z$srBx<0_);L;Saycyjbw*db3GFh*p6xy-}k?q@HcV)14=?lI$W zAEkNjNwfnU`c4mYp-f*+U1Or48H2InyB`F<M^zNqJBwzuthmi+$wkI`mtbj?UX3%G zvURu&<gW)`wngN--Q!i!ITY7!<m;Suj&BrrWU;Slx}+oRvc7bs<+`*x*KVirZPyi# z$CV{1FZuYSw<+dLk}C`7oNxchTB7>fVs7!h#oTY%i2kEYEK{#~eA=Yqi-OVgRJHRD z@Xi0fE#_!cS;nglUAx77cx^j#Q-K;lTZPz+KbIZgGtkaq|CF4Rm}B9yfux5q!S}e` zn#A?>iu*hy#B7}so!=wfmiD}uu{YVdXUeEvtIBYG6FaxcU?r5f2$B6fyM^yOvnKTN zi42=_Z9Gt@uHL?*&u<@ZMWfQi*F59N{&2wm$T?oSQ<b)7=V+7l1o`{qbAXhQIjN9N znL^F?Hb%>XFrD4<p(s@m;n0lYKM>?(jkDIgIMAOxxy>i#27f6NK6Y};;s4WB%@+Um zIlzwHjf+sLjn{{Q5mJ7{iS1$m3_o_Ams{BTW9Jd7T5lXbDP5M?t+xIV9~xan$Ca16 z?=Gqvh~Hkd>;D>(y}YssiM@mgzv$W3Pu&Oq*Q=WDnN67U9Lnq4b)^Oj+cgarh@*zT zK^X8i3ZW1ANRf%FcrpJoG`UaQ2O2N>?L6Orv_=ns!_Y<d|F7Yc%NAhMyZD<w*40;Z zmMXePgfL9#^}PLe<=`L^$W<l73!X71?c?klm(P%i3(z+9@kV1@pLW?fE5+yObANUQ z?fsTKNfIR^d9%ahAB!*=#pljWS-r3iYFA#MA7+buQ42PTQB`8nBC7;%*KqU+Qmg`< z^wO;ZiTXhy+-7HX@4YFl)tB8!vtIJ~N&`?_aFt>37YT~}+05QMSjB--w0a!rEMMDj z&7xq4(h@RMzGg67>%MiGH;YR4SWx+{=H8Y&qy6Ccx*dA>^!~SW1JN@Yz^wvuUB#`s zxx2NCcdon2w|Z8C-FNI6B)08k6bf`>WAFP33Nxolo`J2Jod^QTpN5%ClxnM(TLHcb z?5b*ySkuhyjc5u4@kn7hx*F~kc!1dz*Wu;27~?`bxGrmHai0|kt_ijS8?=(ez_zSr zNuw{Eoq2saoKwWDikzQaxW`7PB6_1st3b&~n}Bb0;duYqIzZ~Q0qoy4y{t$FwIF%I z7hSScQwR@Dj&P=`d6}Bf7JU&8L1%8S+nN^e))_>!w;0>tG0^h*ZVDig@>Q}=eu2@n zffvsocsBUMMJk?py~#|!0~TT4XSMn+-kbe{-4I#+2kR357t8zbn)$<}uj$Db9p>_P zU2}36J&B;V+URiKv7{z2r+2+4ozX_JC2}OG^Udg2I<1Xl$?14PBZd6k{_sj1rAO_q zm3W*y*VTPCsmG^X(RAX;i_a#rD<OM}r`)Jw(u8B39%fAs!L0aqNGOdYJ*=KUgUcPg z+&fcb*o_%XBxI!f`*!j<c8}3l#5~}MT!R&DQVFzw?3MZWR{13QN9t?H*>5P$dnf-5 zKK@Dd$_xBeG8^8>$A-T`+j<_<eJI`qs#d?5?{D^n`=EpA0an<F6}1hH4pF>W;I5h# zSDow!J~EH7@jJEly*%f{=tWt6`;Wgo+J+Y9Eg_4*j6624uC5;sLX6pYTT{wl*TNo5 znXu8{H1S&MMQp<^H#c)0Hn>sU{k0hh^=p#JEI-VXwzrSZw`4{zHiT8`h1<-m=1Muv zhS>G*wxn=Y`fSuKCD`!lp3oyxhd%v(Y!bT=m91bJii61|kpug`t$M%)Fzt6q%?uJ5 zF&kP_6ypt8!;_2Dm{>1H_6A@-IigzIw|ec(7(q|!R2+qe6)(%?ih|RleWIJe;PDrv zrViZfWk^&9d@y7a=hWi6_!tD)w3N8^E*=Cyat1!z^(GCn;k{N(7qY7RMwIU(`YauO zN~P=SU$_eXY<UAKg}G@E?bJ)e{)9~mZ(Cj1vW1N2br=J%2)(oL8w`PIou*np^nN9x zG6H2_N9OpWpC>zC4H9De*?Q^fQ0qOk4<FtZ=6)v_agQOI*U671Sv0HZi`yuftY%Kx zUhGGDxrwVGMNBF~HzQa{B<e*U?n#D&>(NRBRF+~!;bR}~6}OKC*vy}1q(%=j<7En6 zW>zln58n9CZ^6WloM(`>#^R$iVrHD|XGG*{@MOg~#;K1E-i{ofHWI?K;={9|;j(VS z?;4_-aHu9aR(voJh>_Yq-9_IVik-5ez9B7B_8Fn~d)40QLQ;f!nCMoYNs7zP*nI8n zh!6HcN0hSO&Udhn^9Z-urwmt|22dEGJ5!#S<c*<!K7VZ14t?_kx)&Vg@7iW2SPE;6 z`)E_(OJ{`Ys=|iEC)lLfRmaLn;V=0p%R9kSQBk6vc9vANK%%3QSv*(LL|TJF_EW9A zmcr#~ei2~aZg?vZJiwHbi|jb-ERlO$qDBd+)`N?4!E5ggivm+MiLn$hAr_-*=vwkg zBDcJf!RAK`4`O|uj0=?3k=-Hd9`9zC+hh+YuL2tsBl#5^E4YnMO}@gUFhot2M0nN- z2gmLP8W#mNs7L)PxLaWxpN?XMNlhXn;HMEDlw_DgRRV8#cN|D^Cv`ewQtG`c?d+e& zV?DPBQ7tw(K8_+CUMe?}`+T1o%rDJnTp(VvJWMY4_dL)cRIH<&wWYGjE-tg_QkjC7 zv-eD8ZJ8~<tAOvpSUKUkoSHnQ#Q#LRMKyVj-=1kfATBsHxMq8$n$EUL2iI+!;1_tq zm+^Rm(hpL&<T~!T%~f1I)_-n08v!rYE^IZup$I_o)I$M_zNJ8MM*STwPO|ezDI0c1 z=!}GP=bWdP9IsD#E}aEs2&yyYq1MIWIuZcs%Dkcvy0ICCgt^&|9|GwaP{^U?i}eW) z^Aa&@P7eT@z5{9Aff4UOSOfsL8uSjNe+Oc|1IyllitoU1|9_t>x<EUe-e4cIbWqN@ z&GeUgbEr+Zo|fT8%qox&v<2yodS6J+gevQ+a!9(a+(4&z8+tuZB#N?hPYY0ZTPppv zT}^m3Um@5N$_<S!FnkF$j>iQs%uST@LB!U!`mVnA|1h1C$*SenoyD43$<5piIj~b1 zd+g%iB~<ZUc_K<I61p`&QCLLtss<?3i^des>sj4(1ox8jt%AEa?6oA(KfL#@Lz3Oq zwApwGd3yXTBJ^_qa%%0a?eTjy<oQtngo{umA#`K#I2}JNNpI`uE#tFMZ7cHZqo;d$ zhXRH?ABBpGw~G3DLoAs8tK8X)FV849s8ij5zyj=aIi8&83c7z;o)e)_CZ8w4l%?1y zPd>^rcwINP_OSv?OBHUN;?l7Lq}I$F8-~=3LRf-yT8na^WLk^2pEz#mH-_=7zkTki z^FOa1y&l$SWy2%KLfqvu=}%*O*-;9kfqxC0wS{q54z6v5hIhJslFOZ#AflU_pY{)e za^@_YVn-J_PQ_*M@>`R~IBoNcV|s}qj+8-Oa(g6a*2{lHS4^2L(|Qyuk}Avo0W0!E z9Vho!aP~=?>UzCEUk?5u#usn<*;oaV04$qaiii>>Zx);zf?pf~+uVBueV>&!Kbv&8 z?}<814iI4b-vy)lLRiGpH<?^3s_?nm59ftrB{@Qy@qtIZ5c-bpUqZS*h5-%NWK(-G zOoDC{$y3!ni+l(NgxtTv=__ll-^&Jd9ioV~d&ksX+ux>sCwsw_v)P{+Yq~wXKVw~( zRVYz;0*&{|klTQ}9TySq6?D`gZ1hI$8?@tN=Yek%mg-)~8ZyyOK8M-7h%&Irr(+kf zb?~=5#cQ23a#b%}a<-=6OG7<ybN~8JOAxkJ83UvDw^kuEl5go)+b%ViE#gXh<OTM) zid;Xeaj&=Q@D!V|6x}!qu5_gKUQ$M&nG=x>X+-DF1{@=>KZI4sV1tKMM`K@yRwISm zjg{lMs$gjihUc(4*Fs3db4cc}>HxwAc0e!!1P6wa70b)SGzQh&xsHW%rrh}(Wec@Z z$k_=!)IbtubFU$`OV9Gr$J+-rTGjWads5=juUW=eZamR%=cb~D(|Q$jb;Wg%n-70( zoY)`RvonDXnd!F#&XG`3Ha6E<XU+_#O4#Es2gc6Hh?5MIQ$}4w?4UzB1eZP&+G`J| z9P<4^9w|#UpPrI$P!{xjsdDu><t2)W!y!}=ICKyuYO;NBGHz>k1lS4Nuyv%McHkd> z=9L!byHmot0vA22Rac7bIUe~2^;Tpv^QK8@x!b}`Lp|c0K;I0u6br$eROtxktbtXL zhCK!!#g?%Sb$CTha>!d|oAaUzsuBxBH975Cl}~!NuvB0CnHjJ+3W8jR915ShpoHRc zKy-di5PYmf{3(R8Y;Sg)6pu~lq^R9K+iku;gr9v6oysILje|ui>DWw8wwl7ts>&i6 zBqXJU@=Vu4!MZk*l`v>-3f@GIlhf)ZIQt3QSP3n25r6!P5UQ}UEp|XLy!21KV?iNH zskO_JO$ej{23DQkxuCD#Qd*`q@qurVH}sDM$#|r+&7?w#;iLAMoO(<Buh~;twv@>b z$a)w^$4Gl<NF)9AJ~U0U%T+h4yf-;<-b6{`?hQ^2uO6_HC1#eC-*HxECbm{|>K!~Y z;2ZcEFW!n;;nz27fTQ&!Kz-PVL{^N#&h>Hs=xH>DTV*gBOo6D~zE<Z_klgkPw$u_g zkB;dqP)z_yu>miSPA@O9X5V>G%-Dl@1Z-cO+F}CrEA@%|9B;Gg!VUJxZ?4Mv_2w6o zv-&b;myhQQqU}lZ{^vCeSM`w%#FQ-oFUe)6?64tkf;P!h>sY6qM#kASIRrt}c>jvG z|09kEQ=Q18-{@xDxja@fvE{v}E?N9LV7=5EB#v7k$83#^SjXEwFs`LkjX*s!s{bma zGZWSSujutZVlBytJ38b`a)?6m8=T^&WuKsS_J4<I-Ib`{x-~T`<oK_W9F%1>Iy+9( zc;}rx35a)qSyp}O>3>8Mp@ds1xF^QwB!)MP0+YHoI1j6Thaa5{SUbRq8pS#PBwI_V z8UJ0aB4%A4lW{xW_)p6wAT#@t&+rJpu|PVa{6ZepVW)3I64NWK)VSFj#@+J2gNV<W zb>v%I;u_`FrEUwee&T=T@%?}10Zi$(1v0s2>y=hmJ^Dw^+f~MZ!~e^9@?d_IRB=uZ z%u7BR2vq)0c3>68W^z{+y_z4U6TTc2oM119hGIhSf@%*=Eqx$#J>(7!&bV|HnxD=i z!Z!Dv#eEeMQe|Qpn9{|@(LQ91bQD^`(s|{JH#P06r~ukGHOb+4TM2DFl~%WQ(le8^ zOuX-@Xpf||rl#G)HY3@wwlm^ZCVT*Uc;5-DhqqNcGIRQgpWmViZ&$N(Y;ry`b7}3T z`8=XZqWt0DhFh>gk}YHdBpNR5Bw6NK(<(Dzg-tb^W$<ox8zx2wwZ8u#Onv(en__j) zTk61C;u_qg$s>g6h5?ftH7q%_Pg*#I*|t}#ok@We;S+1Bzh>a{ZO`Ek{S?qi^i?#3 z`u-kBp`<Up#C1^aArNT6t!zfHtO$Y@Og)#J+%k-!vfl*sGafQu%P|fHirZ_+>SrQ2 z>s{`$Rk&sI@0MTOqcT4lkE6jPTR%P{dvfXVqB=9Ogz24#S@l%4#={1bi`K*To~u$K zG*rxEBGkXl{*w$FV`@5BTU(H42PGc7QKZI1f(iOJ(<<h08g)HH@6IU~pO<5S66{Xj z#p(C*8xfhaOoHM>ojza!Z*?<nMawJk27;9@z&0lG=U+ay7QCmwCX(0>9_-vdYF!&s z`ZQ(hn!32P1J8kpAe5h@jrFG88$2MF_ei`Cc>YmQx>@6amp;uMG=@NR#X;*l8}l}8 zh=rdwjKJrcFQRKWj)(qPjSszR_E}y{&3~GPDj<-Nf8F@hJl+c3T?+6%6us6z&{&Vr zSaT>Rzm^4LtRa1wsQ4JZIb^pEA7o%!Qk{1mtxd)UD;)iCh?NM6sOjRKO|l%?08ZdW zm~^n-YwrwlY91}6WfPIUXk<#88W^l_fguwc7|P#=_V;1@eOP-R&fbR?U_f=6#XgbB zTgNxd+|=eT8ev|5UEdEVc~`yuRSyAG9;<B@TA6Er+whcjpv|C+cA&|?l6IhucocV+ zS+&wi)T`X&?~CKQ9Mx}Ib#0^4npUX^u_62)xl=0>tv_OTvY8rCU3Hct*PB*h5=gLt zy=)Pvl)RY0FE3H#5cXK)BytxNuzoGf;xKdBK#{_CX*94~+c=b>()hqHURmUU0#GT> zF@aTPJ^HtLGQw!@hwuL!CjL1fiJ<imgO3%931S=Bs^Ny@+AZOPq}r*a>KoN(TYN@~ zBdxbui$@<8j1-S`8EoY`KnnkmAQpRAiqcl6M7lN?>K{(1J|!L9oV4fJY7w_yoxhjx zi&DIQl}xURbodk2k=mso)eKUD!3-*un*OzvAa_zPN_bsBc|<>Ehgz^)K7l14T|*XL zI`ijqPcHON@ZRi2qvr-kzxMK=N33Yv*$Wmg^pAe+<U!+_DBV~_?ocfh`T1k;WwBYn z@yRJ@PG6UMO&&Y6gqD$r$0|za*MZ*dX@6Uvx{1=`|KXV`B%&l)EYy1GIV=QO`SUba z+qL6QuK%I}*fayLE}VR~;TTERV_z5f5AASIb72uwLqgDr6(~xyGlgAA3Yu_E2Us7P z76I?RWEmxN=KT+w+`@W-({Lg*KMYyI+u2#dl}yA}aYTgDdPEaA@~FbT(dL<9WC7Av zeWH9F++@0Z!sYS^l}6CPIF*EJ1`cE$18SOlokT1Eq23;&%1M2kiu|D*-i9M0j%xZ9 zl-@Vc1if5g7eI$|eu6X0q-M=C^ZTJJENX;K?aYHfpJ!%hl}9bTMK+QwuOwWbAYTVR z2}qY3BUH;l2cuLpuJp#}XFb=(=oq{MkzlJKXesh_lCg|=MN|f3R9!FpemEkn=#MPn z;iT_wRgC}bR@fJbR-sZrC5V^5(HN#evXsJ<-HrS~@}3S@iSYm1nyie`%X_X(&?$H) zB6~i!tmeF){5$!#L;C<v-+veS$HEkESM=XyZt3zo-*t$II7*RoneoK2l4E&Zw#DWL zE~=25V`ME#CtYH%kvBc^%}F;%!~!b1U5ogee;1S!T4g#N^|oXDE2N*z`t;OJg-WnC zqAy)sQC<b60j&Gj{9R0`v}Fg=uRGWGBlfR5z4v3T3LY8#zMo3^&BIj)`&ad`fHL-@ z-+M?_kyR<sYF0Blqj<~M+RVrm8aahhrJmwKsmgYgh|(Ur0JFM~5M|Fm9HRx5`ZsC| zvk&Qv7G@rnYn1G|3sZMpEdYC2Zr?x(n=gkCL;<4J)s7_Ia*7j3r7G+g-tz8XGDjWr zUKj7q6eV9rTW-`5)c!9hT)ukE1d19d?b!=olZ@L&$foHfA}EN;IW}WdJz@P&2oRq> za75xLD`=7~=-wOgKW91TaxeoZu)etjE!98?;)7nwM06)Xk!~UDH&HM>vk)Ci9vD!5 z?1JPy8|Y&!&Zy;B5YiO^rRZ?vAP^!1!A2w)2Z;zOgjf)N{-&H#6bvFb0G(w$4n_ti zL=FNa6#frTw$a2a<nn>oLKH02B80Or@LDV@F!~i0=ImvwMD$o2l_<w0629k=s16Tt zhF|MetUXUH+K!XcX2&i~z6|;qCoul^Awj>p)YEW=2b4Kcg?s)wXxRq+bxSr;5_(Vs z_xrt!V6oasKfcw(rIC2nKb{#Yeyuej<lOEzdMatrQ8o1@>rX%}VSV!cDdJAcpJAV# zzWRQv^`2?dg%%ASqYdV!QfD)q%9fO8$)ESee#vozEphv%ifOmsDp>zsz-Syba+S~g zdjWGuGhr@fCX+!5o}mVm!>KR=HC`XI$w{V$x#CdFQh{nOjS;}!APKypYAOIuYR7lG z|N9H4>v;rupvVWLQs~KuxIkMqK373s^1$BX?hlOQB={=G*3gu&`Z1u^Yww3{eRKTa z0ew?^lL38Wfv+lF;uqYg3Sc5bvKVOOp(C{+H(pr+iP_Zx%O;A-mPoT|SVw($C!{>a zhQMd+rI=|otY2P$-fCs2ZRBEA7I9h-<rKSCTg_0T$-W@sJU9S+xUMGbsJ3>Uhv^Ni zih!vNtqO<9BVOv$dh;zQS{oMoUZD<_8=DS^lGdUQk~^OUiLzV)2$1QJef4=-l40m5 z<}iX_Bp{$@Fy3%6D73^H0Zr#Iea<0TAl+|l@S!KN;+8%V2G5Fu$FdQ7yuhx_56YH_ ztBccP;5U`lZYUM6W9mlSDxP&bZSCAeE7abk$Z`kMl&Ra-N+b`BRI~-(YL3xbl9ZFD zO3PXTk&NdlZYW9_a`DuiVEKjs2(QZfN@42;T9_}Qn8Zhx221et;}WW)l{tFIsSSxr ztpmjs2Ke7m{~F24XnKlBEopl4N$F^Mat`ly!{=`k``w+m${~FSnsdEhCg0zp7Lr+v z&$qPf*^(RT6U7~ZT1t$|=q)7U4>bw{X3IWv@?Rj=U<G_)9%<GXf?P>`z(F_Ei`Y~Q zBbI*>(GdHn8R`&-qyu~q?(MspK)hKKhUgJsqT53ZkkCMirra{UZp8_SvQ0fno) z^07EcauSh&pkv}Yqm~8^v@=ubRzV_e!<kvF0vUIBb(Js{N`Q_Q2U~|g_==OB@|EKL zp9Sh~HS00NG?Qm`=@#5@^q}FHW}tEqBJjTcBpM*-XwzUhU7?sDL82KTgO2DLU-C(s z3i2+|a^_GONmRbnLD{W!GZO@1g8WQ01GRe@ewR@ev+-x;5y37MC8H9@>qwhueu8T_ z!8+T_Q>3&hC+nT5X#3}CI>EAA1BOPx@Cz`=YdVSFS<WF=!cCy)9}lzvr@b48RB8<- z9>O$~$%#w~ErX<I4tQv6gJBdo+Gse10*ir12vr3`UkQ~RLtg<E6GLAvhEMxY`K&v} z=Q^H5aPE#lFih~a+o)ac{bP(hg2rkdNlCf~bl<p4X68pkpEzBc8!b}f?|IV971V^f zYr-<wfhx}0YJ27Q@*Ml^t>0Tre$|{|TM`FZ5#O$S5n>~$&JEF{tVs)k?9Mn*Z%R`` zK^RqJL#xo)wK`Z^fq1^9Gh7%>qI7Z@H(?zs@T0Ln^Z)JAe%ZQun2Q|*R(Z46t+UPu zV=$=G7%_kZsg8bE#RHLUJm2}%A{k|=S~pk3Fhr0K&SUBRI?edZqx!<ZFvK1M-T5aY z^4-(VSZ7#20uN1cT%H8W+^X60PJ6@8HwK?~2at>-s7@txp-yRyg*VLt8NBI9Goc@= zN-fU$s5RzS`}M5htbc~astN|xsr%Q$iAq$*QBLf)rkp>8ox63H-1}Ol2Bu5TYr&5* zqYr4ax#Gz1B6u*^TaC1bArxZ6BA(-&%8a9BWP*MC(Va}JA*JP<BUxy4HqIi_TdnS= zG^G#8=kxiRv(CiTWaHb^7jx*i@8_m+BZnp3OOx(d-V4|FpOhHQVQ%#B*d%SM*W~ho zqod0BqPfl=$EO{<0?0akh<zK%JEDX&zN)~Dq-;K59_FKrceI<A%PSzjoAZhmICH>8 z2SH8tYx;q=oRhN^TMCsYK;Wvze_3m3E24*kA+EKKI?lq1;xMmg^f=Xfm78sxw_;y= zDiJqDCnUtLipY?35y;s*ZgMcZ;__Y4Cf<c>kFX(XPByf6Vs~e$h6AEsNEQv@jyw$F zLM!}B9tVdoQ<tp#<*eF6g$N?Ar(sYcC_kJRoh#<)>XbYp(aJg9_{92gEB0Y)?3A{o zo!Q}lL^F6xjQm;t-TIR$C9%7c-p~{iyt;>RXaQJ(<|I@SVu_M7w7nH&-KH`{#e)%? z{B0D0v)-8qrVBzhIbF<QpNL~GdcDghfe*BO0|JO;tJmsOsK00JD~ymilAI~_5ZR0r zBVeHkOS_R+NM`Tc5}?3q`h1h-Hr2~UC|#5gyme>wpp(!QBWj!jWE*J$9&Bu8gS<$L zU3)p&Q+GLVAvp=e;tLz{eNWkv3ev5-E)pcw53t;ATPwfyGrVQd<3jXUiS)!;Z2223 zX~QH8Y$F=%fQEhGXJA@){!NHlB2m9ULrb8=*%r0kKdsavV1}NyeFuXT_qFkRvuh6> zPEooeSk{zbOI8kg<+P8XulLwn3Rd&jF7o~L$teT!=Dlvs%2D}ME8;R_y{4BdS>W7# zs`JP%7NFVyHR7g-SEfL&D{~X^A~6gPwpkXJ-P_Gfqa2cTYF8c7tSE8RFi0%QId^T* za3<Il>`TZ5l~*)0Vx`6LNO+aWt+-%UI}t1O6s2bx`XLOcG+f=hKP9AwSb@0T-P3IT z7urz3S%V7L=>+4!q@QQ=ZDBy8g{Jc_4|`@nyLuH^sp$wnc)y6mzpFyZ|EOkwN&>;_ z`F;rO-&Ldg-oL4K8r8o_Yyn>5E$gj>X+A|v#X+O6@H#OO-{|pn*mj~?d<awolOlS} z+*eGolLzdJ<1pdTbUe*&{2~j88@2Sh;Kmi?E5e~Yb3R%QORVZ}DTdD_Fe*-TJ`{<Q zXswv5z^f#f1Kc-~7IMqC`OfXGXT&~=<{_^?<bGzV9iDioUZE@tcsI`=@o{LIH-4;N ziC9E};{)OuJ200K1Idnezngur69zvDh0+l<YOx0W2HaG*^vnlF?n@X&43@qETqAtK z2njWV{muO)DL5JAmb?wZ(j8pV*Ar0(Y*+F(T8^4uGDyGRH0+5_(F?-uihQhdBvrc? zxySR+%F4J?eXx~lS8zb^X;~_KRXi4ht+1@-R~9QAw^>Yw-;JPz-XqO8gmZHk-1f_# z;>;(9((h7uNHo7dI|acJa9QCF*O~81r;jBDU``2C{16nCY5mG_hU+%V0MWAa9lCku z9fUVrXSFN6;%WU;otc$|N=|ubh<Zb;z>~~o#MFAi;42j5Z#Wz-ZTtw3nX^A+z?QCX zOtoXRVP^yzW}Mi@B41;NB2R%=V#AC|(^&O}aT=&!LHQ&7j-FKzJpQvbaas9W#Pk8r z{(VJ2JqCl-sf&3Pg<c(7XBc5wxve}v!@|QNt=VNgo<<cEbb#~Bhir-P8r=|3V6h-f z-NuNqeot*#@R2nL^n}gg2ReTY9MJ<hyCI9TewXii2=dRK5dI+j;1AdJh;RYyQs1)- zWA{eQwg_yy@C}uq$~lGilzp$iayISgD?y!c3L9hW{W0YyPBb<M3v<k24lyhLp}mdh z5+nK50#SpNYnC8F#JSkXc1g$r5!Wenf#!)~{SvwvshEut%-@;dM?IWh7zdQ#I(Rvo z*&>NXDQAi+cy29+K^@dt8ZSRVgfyChg$=9YG8-lFUwC+E7za6b^MD!RvXk#Zad>z! z2MsqL(N~M93ZXg`KjvGguZ2N+24rT7yXrry+Y;r>2(a*&H3HsKg-4ldS@yk00`p)m z*s5k0aOeLUSIvAo@HNJwY#~QG{=k3Gz$bQY84pjOb>Q27!0StgbtsVs;Ah;z78rPn zHkOVWF#jg4W=4#TQY#l|rL5eH>|bEWby~#3>&}ohL!<*Gj!Y}7d6<ditpDhYM&A$C znV}~AI_+lq@>6g(+pM0()E^rt4yLa3wVYo)O4IJE#ew_ClElZ=X~}mx!NKFm@{A5H z)h-Irjcy)xJI5oBc#=R^(>o^ewHMtj5-{|hO&$3X?F;Zq#XhLXwkz%+W!^pNpAYt; zr^W&9!t*KfXd;s~@Z?Vy3yK#~Z9;|G-YL6*U2!A_CqR|uqeqAgA7JoY2dbYR0fV|v zh>S@~FGxHuexuP)Jt#1_@~+(YR8r<H^2q{qmbq|$py0M=b1M8ZvIN%^F)=FKWT3*U z6qWH%;O=n{;7bFwNc{75+HU25#}&u$<mC<7OXR$2_k5!tJEu8#_jQQW>f}3}CgXYX z$zgd6Ma%eXO+N9Fvj@jr=LVQuKNy-ANSJVJ&36++(=r2N75*@YD^Rqck;$NN1T8U4 z-m=jxu+YJhKbA#9UBO0CeJ%JEsjg)slOf><o?=PLfSV-(a7O~}P$P-~z&-Zv4hu)f z5W}<q+y>tPcNyRgH)<aQ+;8vhh;W29v7}JI9Ty9@#{hSvk@7I$W^n`Dk>LnqVwgVw zcTd89-De|!+jvW5@8ee-*H}7d_2k}u#?_)fbyVQAar4Y4_DveWc!}BQ#+$-587A6D z$&0pmSBgInBE=Rq048^QYb9^N1V7|q|4b(?t*+jv5!n!yDiZarT80o>?<V=jVL2!U za!LP%J=-u84w}yxBVB<;h|@q)>g}&iUjkXW9ItcqxsgX?1BU3t_!Zh<$zHKCVC6e& zX5O?{Z@}#pBlxt8Zuz7ZG`*1Fl5~*0d3gDGIruadQ`f8m*{tSx-DtA72y-M<g6wXn zI2~8~ZL(=xU0~Ui-B?V~hv2Fk^$mEs9;R>$#Ls3eal7~;tjfPoP+9luFxqTpHjA1{ zWg^gA9iAvt?=!9^g=Y>c(L+{~H=r+AR7FjyYruI#by`uI`ghMcO(___?OPQu4Xe#7 zphy}>k}$ToYrvUnN=OE+*YP?Wh)HQf(^HtlzO%@x{KHbS0tdHiRXnxQVY;wHC}#?~ z)sT<^<Dp68ED?D?&B`aV(RjZ@miAH8*o^TMC8p03XuJ}J)85!_aB%0^AYsAS_ndVn zI3Oe85i|iyykCa2EJ-Krx<cz53pS~4eir1q5#Njg3wUM9iA^d1Z&~%bw;pm*%lu4a zQWs~L)-f24*@uf?xyOpJT5Z>=a><xX_ci>+%cJw7&(;gP8{E%!!H-WU*Q(HG6pdfq z@aWu=6Bq+XI&K=Ule#YngL#8y47$k*LaEClDB&xOQ7}Id<Eq4y2xWvg63ddXsk=&p zfB&Q7rOm2tD^KQrkj5BIGI7I>Lm3bi(J>5CJl}JIXZr{FsCKF<oxZ6DwH<jfd7?@u zfNcfD2DGlw*rMUm09p#n8z9iMZ6<S9&1Ee7$%@DJ*E0ew1@VvPjLbbcpRp3~Q0@?r z$2L&1X}W?!P=a@MFr?8pL7=to%qFi?QC_m)C9Slz(~H&yEehzd!2FvQ4Xxd0K6wSj zriaq(VsMbh$6AO`_*=uJJ&Y98Kl}pZ?i>}2jU?+g?Ehr2mInMK|MhE=yW3PVHtI`n zTCnFs=19Goz%zdDGTK~R6sR3On_f4GSf@T4wW1ym-ebQYPR;!_bs<Kv-{7}n5p`+; zUlAjG@qD+(PA98B&VIEEAj0a{ihuP)kTc;t+&WELb}PpnYM*wj2DAB9{v!1-1L!N` z{?d!oLaK`YaM^pd*OaIw*~#>Y8Jo7;QbtQb`190a*04z<exnK1v6?hvDF(k8kYA|v z1(-JttP~W97bdRr-{6h3%RTmMHecIXD>r+*<rRD2+$H|^+)1FlV1_a_0%vvxOpem0 zHb_-mkLB{@<i{`nQu1k=`iJ+#`px4!Vx)rk|Hrg8W`6HAB$)Ktr@Q=jT7pk>tnW*X z6Yg}{9ktp|_uMjB8PEh7*|qs+V%}Z^tm&RkBP*8OjYT;`h#Q9511BDT@HNAqr=y_? z_lsEXH(<p$#s_idb<2f%FX*Vl6zbxiOfUJ!H}iWr0!*{9_Kf&DGvSG?3+tk*`OtoU zU6RN+%oL$sCwV5_Ah4L2o~w|@{~0E3W9|d3z5ftc2=Z#Y?%netf)aZ~P^Ikyhc2CD zhALJ=%xjlEi2)+XH|ame9eLS(#jTQM%}3{O<y9h0@S3&$8xR3CI=f7>Umur`7_L0R zTUz@xlFuuqMpOb@tLjOysY?COf==vhr$ni)Gu(7w9l4UcgNx49s&Rkvu-Dz8Z>d?b zK|8Nd^;NNLd%}16V_6Co8V-L{IQ))rBGO_gR4Rn}y?;Ry*ew}!=V9;Z_q?cXp~(17 zk?ohuqf&Nw_#Lr)Lzoj&6ZJPV(LPxl+hy%0-**ux6M&@98X&P?07z)*-bv_qh5QCZ zAG#tRVAw^Jp1BAl`45C#%G5BX3Gg()!))mqN<awkg0Netd#YWpdR8Wn)Et(GxmC(E z-?JzHS<>%4JcaMSJ@onXikgt2c#&hmIuZpXL6CX|O4slOVDFPfLyU{=gNO3RRXQEY zz;*PaZc*H<!Ax=nHxH8uIDD{~Fp$=;AJdpJhbP2aLJJY~-=|#A66xsBYohM8g!BUK z`hb^`&WlFQF)e$_whB>5*xd+juo@`Ce>siq5dRm>D`-8*v6`Sy>1S;#Do|Q}OJ!Ym zLe13}Gpk<K?6-<;!%5qF3bLj`Xp2c2C|~Fsk~v}U+p8I4%~l0!AliCAc#IX3gInV< z<J1u|qIVQ=Gq{$e%GD9RzLQ5kf0Z%Ned&k}lQDUv0@2i1Z~t(>*e6hL;tGB`qo4H9 zzP5I&3;~^Bjp3B2mdW%n$ZW!Z*{Qi-%|*pl)Gn}gO1kBh8NP7+H7hj6v#?gpQ``EK zEhEvdGEdX+g%1w&EV|4U8D5h1#3W+RQAY)ip+g0(54}wIF>`i<Zky3%7x#7I%b#h! za?nK*L3!_$>!$HZ-Ahb|=5#in7(8=C8`G4}Ihyh>LZJL4zDUsac7o?B_UMD{>n74d zyRWLfD>wxthe!%<YW{k6E-<nmxM)Zk8eKxyO~ws(8<YX}KJ($gr0)(2$pxpc$I2e< z0_)dv3^vb1ecXWahQ2}2=E)C1h^?$K4)D#M{b~I}h-tx<EMo>!^xs{z+?a$&Tx=Xq z{UbxAd_Ej~msm^K`Nq7UBym2@szKMQ1h=*ZlecpACwKDka7fzhdI{6PEu9T3UX$j1 z-VwR!Xt|BjOPq;U(G)P(4v*n%81c{8PP&kd^j{y~)&vfbQ6Xx5$h!zmuP`hqDJNq& zfN4>(=TG)|c3knK?9x8bmH2bC;<dfC#azxUjMHRw-7XlTdVG+UXa4aSbW!1s>X=4^ zOz-#8GUIVd%FLS5ND){H8W_Am&o+&(xHXxPVVr}RFSR}{BC~$&AxJ^p2fZB3;eF5o z2tj0KeNTR0b6R*xWrX1FWU?oPUPjqJ#mDr0i6mgtaMm!YS=dSuidBZjT|o?TY^nN| z?P%zFv($&X1}MVGRm4WQy_kU-juWc5S3lw-7*Vu)z#@Z?G=0sdxi*rABPQ5*3+P<c z4y!<GM4*A2=0GyFvV0HGlBno_CMY5i-ycY-&>igsKz~T8DOFABN5Ii@Z!fMYObrin z2q!avHcsh(xWpv*9q$PwG{X8JnR2OdSOseX1Zwv~IDT`7oBAmwPr~gOzWjsC8~+n% zW}16~7qcSn5J%G~UdfU4uLG&nw2-EKnNnzokMbXJw2sl@E<dodl9p)27h@WQE`Iez zxPwcM0UOSgZ6qcjuY$xO4PYh~0#BC|^OstZ3N2E&VEy%(CWb@?8=NH}T}u#-yd5e8 zuwwnSegmw+jDM{+K}Gh`8JaO9!GNs~Mf)9T5(FUEmfqukyd$svT9*O=Yu8_E2ViBD zb%3Rgxp_kPA<-Uf@K*FC*vt@NXi8~?BLB<GC}}9otzg(o9vF^6G%E45Qyi0+J0G~b zawn?6n?|i4LI&_64%a(2<n|~3BlwBHhCgiY*r2<Xg*)yTLfgmGl|4hgV=uVpMO-7O z_4u)~t7^hq_P`elL>BN1@qOn<wP^Q<?$>&#RKI(2hgQ!r(RMGrH;sU?E|0ioZT^M4 zb=)eSasiV~zTs5{q7(Vnmor_97#vqwmd4RR_c;cye~G0$0OI=A^;cy-1nc@}kW?A> zE5952tWC^En3&;&k72*Z#$VJ&MkRDF#6_%+`vsmGT>3B293nWZ!=@JIc&jY82RnYc zEw##_aH;57S#A5tUqdt&g%{;}2heKK6E8QGb%prT5_(FB+DFh_iod|BHfgBU*{J&= zPoKJ8G82r^mvot)o0dX~J||&3puBvCBKkCID=Bd*evPQL@eOCD!x)lJcqA`(aP0GH z9Gd$K9mHazMh3tjfGmG&cF0_d)|eld#mdorTb@LBrl~R|1i_E%KBm=APo4X5T+P<2 zG)M}dzCkIE4kr8*U?(YYD1MC%P`l0krM`Qow)jhZ3I$N#{H2x#{Q;Pr|C%$P7Z(|V zmhbD@DDg@S<62HL7=q{a44rr{o4MA${hI42gv^ebXBH<&oi$%MI&rXt?zC8>swMR@ z?YK<6bBVd--jBD<^C^<e2>tX$n(Yt4#}-uojpGzSBX%%Fvlt%KK^x~VaTHYo#n7K$ z_2Bl(KG<CuC#OJ=%`*obnnDzyKysmm$N&&IX#k=MK$u59*sU7^kdQg%AQ(f4f+>hC zVf^|f3Q7SaCn*w2Y(Hw&4IWfcG9ajm9|+nO(SwuTknNKX);5T9l!3<BwMH%Sr)BwQ zP^eQ_1tI@QFGx)tp$vtD2i4=6I|%YfIL4;G3+_Ys4^2T5i+QLrgak0YXW4*x`ofrb zb8r<X6~C<c!tUcFl_B>!P+IWnFS7HqdQPKsMv%+CiGG?n2OH*9EfiQa`<7iJUl^z2 zk6G2$uHe;;+4YR|un$x1am%c!gUe{~s#szWZf-abRT}ApRN|b}sQV3J;Q0!rflQ+@ z^@c#EB)_bp0w9y|kc$wa8jjjm+uCFCO3gTu4OligV`7|cuYr#QZ7+s7{`h1Mgk}gO zOoN9>2171i5S4JKzS_FHi9_4`!YLx|h3UA*37{EcYN5cs%9I6HhVn=CEZolzXjDg3 ziqVDGxQ-OS*vDF%fq(p7=tl$I4%0F0JkzbY(}H@nCPScn***cI)SZk{%DPHVBgWt# z0@0rX7aw8W9JCyCXQ*3QK6RIY<4<As6iQ%>ssqRIQnk{c+Bztug`@F#^`RG`{B7-X z9bQSun9W7O_1@z8$!ssy-qEg`Tie^W8IKmV2~!TAo2;>OlVor^wYlxET#fiYw}%gl zSBICb3OKf`s89@rK1z3(dD9DKrY`l>0<Y13DhrcmPfph7>#lmFAvKHMn4WDly`PjA zjOJG93l?WbjUNTpTK>3moVBOm%gP`le>0YSOOx)rseA6*xa!y$ew^O=^*EwtKKZA& zf3L2wM)#?~g^Rw>XJbt(?1Ls$d!cHr+fNH;k6DkGyFcSADgkjY-D`w55nEy#-7~L} zd>6%Zffa%!U`qVYi@UB`gi<t<okfvZ8ChXq=Eh5BroR!XFwWaQo@<s)+yr=A+}^it zMc0Ic-K=bL@eXYL`DKImJUX~?a`ZeI@s^?e(g=I)BkZ{0-CS(TkJ_2jeVNQlIe7y^ zQw(XGcX-u+)}hXzaaQVkL6A{wf*GrOJAU%Zpm<wIi__hM|Cp~m3+Pfb2HbE=RB5ue z=6Pq{knzH%?HPjO>FVja?w+&sdNAnSshjgKwLpX@+PaU|yZm`i4y*@}h_(wy2-@`A zu6wF*W2@Sa(BsFlPJe=C7ph-^(U!__mH!f~pg2@kbBJ3Lj@z27^OE?+<cD&Nef;}1 z2CTp<R9*q5Z1|y8_aDlmXSnCB+6*xC5nUhnuA6<^8b4~(udZ!%tnB$u;llAs^JK&K z@OXv_8zmke3Du^i?7a=Wg%^?-ySXq|;+Bx2)xM?aob}J3X?_<~JV%y9)wN(+)=DZ_ z<A^A!$4ToaQylJA3J)&<76C)doH!;-@j=$^Yj$>VlK~UBs+~INz-I+jwGX;>ztW<r zn-ZQmBW6)84Com&Yky-V+Y>q1aT`dl_8VKU-)$?L?=Z@UmW6dUS$Zwu7aV?!!zLLr zWbS{_XNI(N-UwChdY~gzmx99lbez?X-a!&LVF3366%9!}jitx@9eb?X06)o9J+e2l zz8X8E{824Zs5!a63BQ^FbEk@pD8i2Fz6Lv)Y@y!(zhU2y8EH=kbH~1~MJ=*-0eZq9 zTnSUrR$c1twJHVF(pguOpz8sjw<ZOFuHf@wM*oeM5KLzO%`F};X1=hlZ$GC26Lf@~ z+O{z>(x-)i|C`X0ZXkDc)IjUI8dikeQd)FBXM%@%<S6Qi0W*>&5qpHVcKB9h-)i1Z z?4`r3&A{qMF+F>f6mOrvZ6VEUVLjodtzPKNZuDkMgVQB=6l_{BhbgR4IL7~nwRa4z zENcEnW820g6WcatVoz+_oY;0I#>BQWv2EKYwsTLOr{20%_r3T2zq}t#S9kw<buaBY zdoOy_%4p!b)Sit?YSS(BJLv2D9jId^HmOzdf{i>6aGAjzoAfpwP&zN9X@XxASE1u2 z=8%=vwKAAXdaYjsYb`Cd6M=hgnM?>R!qBO%53}!sj)h21uqYfr_4=J|D5(#XZm6|W zK-~lj$fs_~S}~8PT$NNNV9-F9tvw%?P_|y^&$E|h8)#z1m#tNOHIL|nwE8kc&L~1a zvl#XJsr+z(_!BpP;<5h#rT^e6zR=i!x}fUUXtv#PZekMuS7Y4`-#TINez4eMticgW z*2-#NO9FilDq1fH#O&Jlq;o~sm~q4ymOnUPgu*ZOD_Zl`J78!vPRsolJL)^6>Jy7$ z*>_CZ@aj{P$Q>C_<i60_iWbt2A>#gl6*Eix^*B*FB<XBT3m;LnzaSF9AIBdZQMtaE zY*RI13d9&@)G)*tuKkHFST6G+7}yD5cfeSiv?dr(JKv*1!mbD}SavntqiV7wmUL** zmn9fzx;1c0Qv4=~>%cua*||fd1q|Bb1`KNB-?HwxPvyZi$`FBU<AWx-a*asn98*`Y zwO#8+<ncSka7Yg-23Vy)Z?J$}Dqxjz0jqRK@vBPzr(zRxNUr?Yr_$1nsq?Yp8s#u| zbcm{aU36O`VQ41)Dk;lx+hYAWKF=|MiPpa!BT)XEH-dqfe+a+~_YNsqqc0BpugZ%p z;L@(4_@BxwU>#GJHLTdwN?-!mv`-?O-rrU5e5|~571F-ob4;QmFln}msXO>jz@Pu8 z0wxYCy0#FQI0H+_VD5Mwj{6l*So=c2F^Pbn@c0cPIUd+|oRZ>y2M&RG?mu%!5c=W* z`$%wX!7@cf<p0)GtoV|^p2_vqGynJc_Fg71;fyahbL1Yq0+x^;Si(RDU^|`z+tF*E zikoY+#3_d5?yC)1=IyRDgkt#wC2RK>!6j=euYjE-$mM_PDgTe~$bkjg+By@(k>Vcg zogJNJY|nqfUEIKkQT3v{AAkoJdMih050R7ezGr1^@OM(L`Yr_Z6Z=&mQzZGYf$40y zDiC9Bu%UPjB|(RD)>_Gul%+7r$NI)&MSNaX@BE40!(#dPH+-2iD)0O6Ri}O4`_0Sd zuq9)VFb;AxVbYK8f<6uuaaf;TXkOBKY%CU=lXDJmx)XV#lvWcWS^Q)#b-QCvFMt42 zJ9TjtCHH_zW`&ofLZY1<`M4W6IG4fB$Fj}&YR(b6b%wsbxX;OSLj0H=wPjN-{UY~v z%bQuqiJ~A4kJ|c+Pqbl!?$OY%X-oC7Kjr<$dz)b-0+<~vbQpVM(26h#d>LI6Lnkd% z88l{}JN%^)cKUE(v~;KOH6mE6b{I-~M>b&bH3ffe?{9kXWNIKgpXAME<QPD(-4`p& zC))8b`}hq%+$~4(k@|<)lW86J6xPC9bJDblZ<Tw7dtP3;BbP5WF}GU#@LpUoC-gqo z?g_xdazW|9+khEhOH{%+bY`mnDM3y7+tC^mJi!w7Dv@LyU=A@&Dd#CKw;nX|`1FeR zKE09OviH!W`7>5@%)V)eqn3NnCSx_SMtZIP(6HIYlJSVb#%hSge9cpWImc!fgs9o( zv?Eb=l>)1Iqp39btjfkI`s47MHs=(-H><l^Ue@?g^KE`6E-Sz`n<`E~88D7neevN@ z8f-U}ImY0Y^zNnl79)>d?&}`8^-U~YI__<`v>HudU%l7u^Z9y(A>!`r{`U69<o@rT zdgbu$LtkCTM@L6{+JxktQL(FJ-_Ao*m%j)+c|W>SPpX1EA90S{Q#RGdb9NUo36@N* zd^fwC{C9#XJDMg}MxT0i9JQ=K0R9pf(<$N7&86sLY$MvyirDtc-xJYCWNmlsEfUdp zaO_RM6s~~rr_iH&2YA-)v=6xG5IQ%LJ(2hN^4MgF-I@3i^acLVvm@|^Jkcwn7P~VY zJfM~z4|$z4=JAAiYnky~(0+?+bFa_a=%4jdx6hutFUW25dqN-hV|3@H-^-w`&C{aK z?XLS7D2ea=%H{mZ)9b>%Dt9(P2a)`bohi%o2UABH0?p5R;Hgp1I~fJiE+-Xyz8>ys zM42O7Wm-sH@-hz0)iwhIdTCF{BHDJT3q><nX=d%kuFWBDW9M)34V}{xIA_rkK1Q_8 zZGHW0*ntFl%*?j~`wpr8k5&)n3=M^ssXyV1enuiNVCv->J*k9kF%~1G$r0|`TfV=K zsuW{APL#^znA%e_%3NwCu>`XHjH)B>-1_D`>~zc&C<=(}`Of2PKSjW^%k7-hlP8)Y zI<Y%<NZ2;ubgTvy8l!<kxWO7~drQ!k)suJsr#l>Jqs<IyV~5+B5||hq0iCeTXNsV0 z%<0$`Ds-`!9_Z{So7*||Xu1;t3HV7U<$QeMV}E=gqGgLVV7X@TLjTwrBzSy@<kq)_ z|673T3MB5|%bDA$^&7lfgep>Yc<OQY{b=NF+fw2)Qmp&O*|XDp#*PjvBu!*v|K#SR zc{L8C7T9gNhA!G`I9+r2<DTg$VI2FD&7C)!ChtQJ2<)F85*pL-hSVS*FO`9)m#O*} z-|MH7QDjkah!A7}Fmgvp5UKs12Tl)Tw3{8FhR^=3iyjA~u*-SCs1q5vsRXisX%p)H z8Zyx{Qh@hS580M@+@*l~z8B0*Cv>>CZI{m?w&X<vZtq*;x0HB~Z;9Aq*~@X*`{uEp z!ZFXnjA`(d(z+$Xv-OA`LNPBT(EH28cS00hErJ%Gm(REFBNTk!khG>Hptj>hGJCOD z1&nWoZ@aF2@o{PKVq1*<UQ01a+;p@t_ZHs?v$PgTQ|)%;E&1GZr(A42dKdUu?z{9* z?FaDf(OvlKgH&2@7<x@<jMZ#lx8}!F25IoyLnogv`v-6N4-|ldBPG%PXaPTHn>{34 zu0?+nXdz~R8A5qS9OS#W4V~r>&#ie4DY0(8n8RkZc^sg(_|Q8O@X?ua)muN^<hS1{ zDgx2ceYD|ko4OS6u~`7B;Q&B27R_4a>m8)#nvcU+!zXsTyxu|M26pqJ7xH>@^r$O9 zz^8N>Xd7@H=$d0co-c6n(|r^WF7wmK=UtFmuZim6C9o2BO>UVFL|Y!QPPXeq#T>+% zM@#6aVO4HJy^DFB2JT(keis`TVxg<964T#XHcwyvv7ca?!9Q)#<CIrDP1Ij^HWVgJ z10&o1aKM#t&|gt(7*D_Ys8?I8cEK~4#XNN>53o+^??_W^yx73suBi>%{Rv4lRaSZ@ zUJun4G-o={K*OPDbt!G^)BLG>Hy_T4a>}{dZ2M8!(NU>gAcy<zc7OSak{9J$bSMsx zeLlKguCa63D)rc^pBiK~2$AW?+nvrBoc^YN?C&g{2}zsBSu{Qc4PBdZdRjiOwHek2 z=KvUl9rTxv_opnvq50UdqIhFS<o~0wFd_r4#FnO4{>`$aT$T>gvNW?$gdV$IrH1{= zMxnfk0MT+Mp+LBD!-1{jfHnrIF`#cI7mJw-Z=R2621FpcxR5jJJU&>}R;<5!>D0Rq zg@!!a<nm42IP~|g%jMdG)XK-q_F#GYTqo<OCJFU-hV!jeL8C4KN?rAt7(U@x3DnC9 z^T%gaW9_$6SrprbDpN>2wTy>dcJoM<u<2o}h-ixG5?etLUv*#n)mi*m7n!0XeVrxY zKc`L<*`_XcR)z&Z>_K3Cd8F$V{xKJ<+*QAHHr)VZRKp8HS#9u|=EIme^5#Ka-v3r~ zPal3}LN)TE&ukxGOWBh;fVtbNShr?{J$3Xw5KONsSDARM&dp4mEnYR5wXlPopO_<R z`Bwwl-u+vu`--HWH3>J_6m72?qt>wc?__MLzga-><t$&qsVA+{xs08M4EqymFfi<o zE^j;kTBqK&Uhz{V7-wr%-fnGK2-cPhzlR<99<y%P4q-&lQsc(47dCM`A}+MZdE9E; z^Mo=S0D(w{-~ZIh*88spt+=<n1hqJz(%&x7&EWfw|35My9I3Q~xGqXYATs6+JEnA& zp)X>Jo~_FRwMz2t`i!ntzL9`LgqelDo|c7lI)0xGn|b~+eK)Zq&QJ3$>LRqv%LZxO z8K@+*$eRXX+}S4uWc(nEeMCda^o?MHc@;7hlPPtLO6j9lcqH_eKJc57wX5txv7-jK zu^`SB!8{Dt?Gam@IcRKX9}t!vj%Y>t8p#Ou@cV3n=l1y~LG;Rn-a!OZcijAGNeU8M ze=aZM_=j=#E*FtFGIblS*5X+Xu_Zk38sfRPzwj!{tVQrM+xWVYlNJ@M0dKCJ+nx0G zcLED#1k3E+i441P7TmP3B4YHDugpaP+4^;D<aRG>Na^G}cPw{^`oO@=#pCMyH0Wae z+Q;#Sr|Df4M}Da3A3XZ$@<%J=p}ZMue-T$RTNH>GR~5T;aciS^<Plzax105J2n2C0 zY=Z#?wh_Jc>eki>#^&}Odx>awsudVgcmz|5=vnNAu_MfrA>t{@RcpGRC;_;>Y3Q=v z>c~FI)gQch(rtWp&`_?B&T*t#!D@5>%4^^-5lKv!pc|#J@xvKSWRQBQUwHg5O{mOg zS9@z2#-mS3x2|k|UytS3kDO<1okkz2O4n1nt3;w&`ZE51!+vX;*&wuk7}ot-o*l3W zw<o8!YdXo&wfH#j+^{FI3OWs(B)cit-Jolry_ajwVr&S&VxvPgrjU8TEthNqNo?V) zul?3rLcQ1holis%rJ}K2jzJzFrpW}3K`b2op`JJ4dG2uiAf~mvr$JB8z!0e-u$_;M z&|q_$pL4;{sj!c2z>cRWrO|{guY}ibk4cURfpVw~P`(j{RtwP1b%V_n&5`^8(m4ce z!)OF0e2FTA?BuTt!^&8FB+y^17-o<@Sm&JC^i)AyI<*^xV-YnEUCPO`5HSzEP}?B9 z=iW3h=$P5Gyh9?VbryzKOwU0*U=cY1a9A}gRm^PCaD{DH2}FAeiq;ylOq=mt_^5nW zM*0c=Oq%oc_Uf}Y>u-^v;S1YE0BMYJ+1&%(hgnSjn=W$R3=wPD9JLe~3Hw+Bs}#A+ z$Rcp?+B%YOY`0+`#suhnsE*5~*60^7bS)h)v<6l&y(=B~D%wz;tM#n!;(y|pPB?a< zz2(DIXx-p|p3k=Tq`b9Y3#@aGo;f)gv|swlLgAoTbh*p1Hy|NxsX)w`5to1gH`MtO zZ1mHBK3NBuAn}{!TD=y%NM)#1BK-h3#_kDaPmmxx&ipPHBqIHND+wkBnzOSNgp2ZE z+lw&l$!P#(+?l}yUbiWm2KwugV%(3;2)!r*T$GJ8-I9ZJ2<?^fIzfXZfnZHNpA2?W z8$S_r7Db%R80<!zv!nSC+MA0EV=@eOXlZA=b2I_LzDbd5O@^zB8Dz7ZZUn>(I=H?s zXb(T-zo4rkS33}lNBb{`99%yH1Uq(sDIvI=9v1@*eu9ki_V<*W_EC7_k>mu~_rz6@ z@i*4ROt8Yp^>@ashfy(cu3u*g!13s83cK+bl;@C9oZE1uF_l>Ma@;mG8)-!`05+~q z&|;Q%0IO1gY>2#a<L|W=4&QiMGey|RV&2<d8q`TddN&F#1!KAo?6d;y%8q7Hhznzm zD!tK9O!Gw6IPiHQCl|_h`{qck+s6P`HBgU!`wJ*@;soay>sE)yn9E29!&qfCo?1hw zGs3hwA`K|>6=eE#*{EQl4cbqg3fLmN7v3B5GYK;*Abmd^RpIB3zV(mSgl=brH$i5g zjFcIGlPRg>!d99Ua{A*LcM8R8M8n~zw@4r;+R~Ddo~|r%IG2AnUX7jb7(~?`pSf6; z!r2RfA?Dc~I1MUcn~n8TrUGx-{JldC*p5X7_0u|c9~d^fyfN$|ctQv|I`{^7e_uY; z&g2pCU{K0a1repykB2irL|~j2rwMv-Kh5=!0pwdbJDlc6ev$GoGWJCPUj*I-NN8Pz zbPVZXtrvdGgVm4MPcgHTaW9_$-`y5JER3af>d#U-Eh#h+exH5XzRyw&ULITiRAjEH z>Vn6FCkWTKl}+d<Cg*==d%ye5(@cMgX?i2=phg{I+FaE|TbG+q`X^>INlJv>J|E9m z_(03+I`{Mzc<V~63%kZfxX6Ll(tz;!HFG%2mtr@(cVEkMi23}6Iot<sal!4a5-#`? zcrMZ$5^<u&c6}98>U}=bGn@ujk&OU_Vt-u~6ugFFns;d9PFj93GZ%&82vAl2rE*aw zK=+7WQ6e4twYZ>9O$(P;-4JaQk=?bEwhsjXaCE;F#wcUsi4T+|asGy!BPEjTFN<Nz zqiDQ?-UWsc6ERLP7=UG`Ug0id&|@^>IYdpTUM(i(P{iybMV$I=bCBAZy{TkFQ<5li zC?O<9+1%_5DPWQ3hKV=D*)dau*act+Gm<8tAM>4=pcn->Vvb|UT!idxBz-+dog~-H zK#>=70=}$~(C7fiUGK^YQAvg@hR%#~U+!-%f*VHRNRs{+L2NiKk^~+3+qVeaX6je4 z*P7l7EdS!zu<Ccq@@E6a)&(B=_z&l;OE$9OXA1Il9zwv>LashXO6PpiOSVsiVwI|O z`mct^?SgZfTph@Ts(keJ?fgc43AT2rVk5CN$ccQ-g<!>Lbrp4&UFvojA=ZdHB%2CF z*IIv5j1!wfje@fT$O)=huY+2Nm0;v_#lM_yM$vU+lxzi3X7v4dCfEk%67h1KVeF%F z{XpGO>|cD<YYY|xN~+XEj>mV~Q`GcLNRVD~y@Myv0l>yzck|?2BJ%o0DSmTTmjv0Y z`9M>3O4tQQ?1eIhsDQ5gwlZ{i?h@#c5*J0}1VunT5qZI)IKPxcWu#|ZlqU8)32r09 zsW6MB&wOA9o}>bUy79(id#R-PIR^*|#WT$iHb%8u;%=U5fM?veCfR-N%7Qe9ixP6W zP8PY!n2t($8x!11#A%rv-vKZi|L?AK<^zrA+rIK}uZZke8l9`1qV=C3B~17rFp1vD zd$0e8gWL$DCz^_G!J32)hi`+*%LTM^YcqN$xZPz<1AoK2)6zBj=yi6CqkK0hUFfZT z&S=_LaDTAti!Xz^iZ8_y#uG_g5P)*&WsoizUwp=kTtLQ)$l<`*DQ{jiS@y7w4`q*z z59zI8(|y+T5gw(Z)|RxrmfmnjnvW0V-L(`imD4>`wrezt)QOpG#Lf@FYgR6M?#-oa zEnbiU-Ih7j8NJ3i;V@=dXjFoYT_Fq=l>IbTIYCI003&^Zurx74ziqK10%8}Go_H2G zF`1?r=D4klInpp@l~5D{*#eS&V{$^UXLfGF030(Hm>yJ4I1!n}S<<+z2%u4|2GA&( zaL5(}#^*DS+CeUCB)ScK7(v!8z};WYP2_l?l?#UXa`FUam`*N~WHWV+G-xv^G7v=+ z)EUOOs8|%Gdgug2gmG{{I4lpA2Z9BEo^-f*#~GpLaeG%tVUCY+Ov^$*Ih!&--^Am& zDg*SJe0Hh@5$=jMN$;<Zc3*Q&mC?gyx4GvVtIPY7ok+{3_YS^IU-lDz9lE3X3_(wM zhN`N=Iq?Di;?!pKxo33Ie-s~pnd|C<nVsvu-oM?+W9K#GK1-t3PA<>RE-J?pKFK}- zCkJ;gZEoz9_FF1akU_}x$jt}E@(1}Cm%r$$7(dceu*VJt4u<6CG71wkegn@E4jehz z5w5&F(W^g6&Gu<;p|car&Lk$x&Z?$M9h?x<)|T_4WtuIoL(Oi4p4OW<oEtkhdnkH* zIe*S*u7vuI+S|psys?IRD*H8kRt@{zc%-lO;&Y$yfk<ZI)t3qG+r52_1y0QO9|S?~ zxc7}B3RxDq18-Rz;R(J=i6v6XA7{;3$6s@17VL>{Q!5L;85AF})9$YaMRnKVPmt$& zz9W0fGHfN}5Rc>Ds2q5rZM;^^?=BAf?V4+Qo7DgZ)0z(Go^PKYu7Lx|n^$&WVqp8P ztNhwrp;8XhIj^oqD5bhs9jQWacqkq!%11(C2@Oc!w5MtkcKbjlLIWG0YEHKTS9G}l zJu7Lg>h+0&Z#rQFT(j|mR4F?*JHgOA+!Yw}`WkmzK_YPHEWzQ}1Flz<xpOo~amSX{ zeUor4DL<YcnWbCb&&)CO<ZAE^ej7s!5y9})g@6QU=5_j_@SW?6YAEw+1Ul4)ga!z} zQnlG9x=5>r(xhVS-VaFu$1|(hV+)_K{L`Y<r(E~pWLS+<$XgW<IZzm*y#u)#@8gt( zZ=F#>hqyxSZ1Xyb1%_7d>l+xA+}=wllrG9t*xKWFsy{65LAP!%mCf10vU56V?Cgq& z?NSd6J!&%-=M@q3t8!@aVI*CM4ZDVPB<4Ma?-R)Y&PIBK#}8=Isj;thi^Vz>0EfvP z4f?P^aSyYPJ6spy_RInml4s$w$DrM>VbRRL;l*yB^L?D)J!urT&*$1v6&r$z+iU_> zbNAr)Ak&W&(vxLBz+-aGScD`O|8!o7U9>q)u=vFOtA0mp<Griw=4wDN^RkBbNpVPQ z3hwf2m0lAe#^3mBlXux>JKHo(>D=Blk!&{(xe$}RHV?&E)l~(sxLmqpqbzJoi#!KG zELQRz3B)Z|BNFGl>%`m5V)}=}bfAZfQly=4j&(Q>S9%}#CZtBJHPrHWrT*#e>7fO? zMTcGaP&{#g?_E~Hv9gLTeh;bs%LY9|rr$I(<K(98+qz|9M2r31gLnJmo#(^v-^`yh z4Bj2-$!@nR#Vd{vOU~DXP<K~PR~`53563ygIgy`d7Z)6#u`e)yb6zjrg3jJ$y5e`% zUSvz!<UZsZfCb>DPwMT+<Mk8&rVr#cu!7*_jcNBa?`x_SxbtQ5rM8^kAL%TxanR4) z>s%1<7`VE9a@EH)iu}h=2mt~x#NeT7VU+quM3zPIY-*@D5#wz4SEA8%{!R-Y@0MUr zW@EO7Hw`4=OYQOF>z2B&_n)bhIpWvgm!H;s&$TawD8A!WRpokRISSRFl%Kc!ziAJ* z^9AW#U7-gL?b|}dAy@82b?6`5pU&`a?fo}bD?<k+p_Y*E8K7cAiH*1>`wV{^zvN}3 z3V3o2_A$l`5vh;p`D8nuR;WwMS3fX*CK*Hd3ME%UeNeVIWH6K^Jb<{&tN@OV4#rM} zhpHPM+TLsEss$M@8BA|yh-e~PIXT7_vY$+d4#ER2!+Qh;cfmI$_!a++_^!5rSijoo z?5xz-jhG|7I`V#^yP%u;E{M7{McVA$LsR<YAS3ZrQu^MrXDgerc66#|h(d6oerJDW zt&&jMj^Bc7wzEEc^ftpLcIq{l=eJ?wU%WDzGqU<VrW@-LsM$FJr5H=ZWqA+xO|0|2 zTahzrwrv$NYrI?d;UQ$L-D_}dSgb!_=a+hWzS|>MEme<(I^brkPmFH!rKW1p&e&%x z%u2?xuNbG+p6ywp=$#?e7BnB^&x9zzfi1(BG=%cdP#x+tJX*rCmY=3KzHL?0kysex zU04wz)Dw3mIv>|S?fdvZ*0C$Q7E_f(3Py<caQo-mQxs8%_&>P*54Dzq^|Xw8et@OB zgI(YR0c<POch2`p2Yo_2!oPm2tE+ey!9(aEr$lapQbMNsr{dfB{Ai+#pxBm@bMFwH zojT@2@L`_{!tKn0#>3#}1Fnd-xdm{O{GjKy$31<vCl4BE;CJs?X@?*i*=nYKUH_cs z2y)kMClIC|-8|F5oxQ%LgT8!BDmeMsPARYomGhS&V>)}8rh{tQ;QcPiT~l5}n6|`z z6oove$P0v)6^Unik0V9iAa{Psl|G)96$wR74{AGE4m35gWqHVLP0NVjH>WtHFhoud zZM6WZ4Q$aKa=Fto0{Ho$4z-h(sx~i8x+}A?!VWg^<~i%B{&7Z_OM+Pb2Cfm_1EFU; z9P3%tq%6hK0j|WbFDKq?)(mVNs@#QkEFipjvpYDj3I5rs{dsx1^+^f^`DT2CT}iv2 zGi21)z2H-P=;Knl)WW$b<Z_nDQUinIPU15ou+pg5ewJ!mUJwKybLB_I7lq;Kf#3NH zk<%10mAn*D1%uniFEUBXh5zy0ZhXyGfGaGz+01aM<#z}Zk>0l2HBHmU^n$BVbGQ)C zY)28VhH&2I4xODg^vC?ALj>_VzbOH#heoIeVVsNi$al!%RayUSl?<Aj?S2u#pg9L3 zUzSOK<l?uP*jtn!a+Q6{BzFU7rS#O{E#;c|5}(ts)OpwYnpDGlQ2Yu}F2bBNsh(2T zR5Gpwid#Oe+77hdlP4l6IJpB$>>t;2rwZ%@Moi{}h_i5kcB&e^n-^e$&IUzdDwCd4 zdw~R9QCuLCdt-6D1ko{YYqzXowixau)rb`t_{95Hg<O*gU081yZg-DY(8t}O*>ti3 z?!pFJVcuwQF8i)2#Td$xzR11@4D*SdGtfa38ylO<-L!u`WVSeS-V(Zybx9M-3Adz` zhTYtA=MC9YB`=`$(i`xmu`7iQ^za9RcyirP^DYD?S3Ge39f4Ra8N7YOY^&{iGdRGB zOs{8E^HG|#dt?64v{k*J4BTPMX�R&F4KZ!u5EARPvPY#Uy;O61q+0+oXv7*Nu}h zqZcUi5m44ihV87j+hW4cI#*(Hay}~Ag*tYlc<OE<$UbFm0+?V^GkL0jTlx9GdfT5+ z$r&4ab}8oWZR|_=ZA22Ndm3I`OOEAxpLkF-^mXE6|LN;=JTbq;;%yUm_WkvbhvCQ~ z{t4MDlQjW)CVwB9;X2luJV|$OZ+uC5ira+d0$%R*&r^}&(k`N-Os?+JoH2$|>rwV< zSA(D0>kYNyRn~21y9UavzStZtauQJ11sK*bn6NAyjT}3@MRI+^em1m_8AcO9QL=p6 zRmRj5dmqfh+S!YGj1m%E{7g6J;i#(KP5w-yeg{Zv3e%Ny{CQ6iuR|n)($_&;p`68( z-6U}rur6|~uS1^T*1GZ-fA~Q|qMYTaHg|xISe5ulk;EoXV41qz`4q=SU1mQNmJj+4 ziB{C0Ia(@RVl6L{SEPQ9nFc*9!#%1m>-LriYoPt?Fp#FJ4E|pG*I%j*?>o;#cj$8l z1Rq;W<!Y={V`c7I6OSR&Y}2y1;Q6abi}b2&P>;cPOp8%%>+`;75Tj_fTg+vwBRVC0 zFB?PSS|oh3NS9wER*&t&p{oHS^8JHhxg;E7Es)@35GWB_Qb$+_7okoOCt7Qf{G;HA zBPu<@#tl5=b2+%U_DCbcVNOyKA(^5qEQbUMYa<D~BMI#z2_rqJ>zRG~+xQgFdX5m^ zp%on8$V25F@5rH%>0OmVm#<QcsIZnDyiGSRWXi9-kdoq0Z)><VxWe2i78wnVnPUfs z4f(kxumBoDi<2ku$c>PRIbkjbxg?9p8v&p%pg|oS=<DU*=tIg{AOqirsf*m)lgfg= zD?=)lp{2<9ni|{lkdqb6k>cWJ1GD(#EM?eAK^Oi#qlP@iruV<Oqf&+a`TvnSCRKk! z(6an_8ppgb$i*L;%<pDj(*Q1LbKWobN6a-WR`FnxxJZ~B%9RCNxYWSsOVWT|X;!fb zPP$E<)Tl77kcUcD65}IDI2W{2%SW`gi-XND3M+L&eU0A%mg7pijX(-Ai_>sha2*cj zmCueVV87$=wt{G@XJhvV!`p|X`Cjwh1T%;fuH*n2lo@00G|7Pt#d6+VvF930{Qhh0 zr3aP9P?Is?+tA)_k>4>`WfKz4zrS4r7G;lxzZAOcS1==G?T~URdxR&k7i;K^j6m4P zP~fk?UGxI*lf?5P3fiv&np!iEZpEf|4+|DsvMwCxcKMs8?RTu~H@IlBr@5eh<~HU^ zSY5+<Xt4c4%Si|o$CVd1b1+bJmczsnH&X>Nx?hYMkg@$@)D33txMb|qyj_W~WMlj8 zG=3l#-bwvH{(Hyu1DVVaL;MPwt7$1=Clh7Yc$3>K?8FIH=WUaVu$pn9d)<P6F%`IR z(=h9doPUQM<Gl-llPWSnN`9Gx@<f<_r*_$RSI?L-J~Z+_>lz5?V)p!QLOc}5q-a;9 z#;i*1he5LmxlN#z;4hLkn8U*^hr7c6UW95aO?*)0sM-S8RS;!9dqdm)Z75}s<^<e5 zVdv=B<Akrlg-Stkl={z*RlPyw1@U8_q@IX4Yp%2E^Ib2#=Wa?lsfkK_7ih;<L83NU zN`6-CJh^zHep71~l{$^I*OiilGPW#e-%H(Qa0`u^9t;-$-9A|;W~1r$hPGaN5r?|k zQX;{v5WW|_Q8JG@z^1b1t+ED`(Zhf;bw8U5ueS;>P!<gV%EEnYD(&7X?LgTn2q^#R zWmEC;R`H@Pq3KyM<jzXPzI`50FD*`2YUmvMc-Q%SED<pMxtLzc#Vj6g9vm^?s9V*= zal*OQl5Ac|@sr8++0EnmdiT)OQwLU!n`iJxGs28^Dq6yZ`oXTxvx%-;XZ7~#W5T(0 zF#37qEp<nZ0cBq*O>gNU+SZ6`d03;yWRf>l@3XtPlSm~K0*SfmXcV75VP<&yQ8>|9 zI_TqynY*hs`#4r0@#{aWe+_#8H|NH}+~dWCZDyAVFAs&fW6XYa7G_zWyl_B+5`l)1 z4q~=U;EGBfS(j<J#VNcj@H)T&BY@={{*XeQh@?00*_y{rUq0?97;D4J)HC3~?CjLu zet25$m-$kd7*Jq#ZfGw@RpS>MpaX&z7mhi7@{DKJMuKfZV`Xb}0G4rkhCrKhppEF; zTunG`p$j`#M!yV=r&eo?&2??K$Csk`OHlx{K~DW^fL{L-U}<j}{zpYYKD8So+=ym* zc^@v{g}cKu3|Cb_J_@KSpnN(;@$B8EY@IgIrwq=>#yEK+$LA-lPpr+|TEl!LQeJ-0 zsQY?}Bq}}xCzTQ>Z@uP9hmTbraw3&#k`0>VN*{?)9y0n8u4WzBkO_-pibdkAGq#iW z%!#<=2oZxxRyca#hi3TfGkH>Ah3jkrmZA$h*125B(E`NQC=B;c)XAoTSZ-wYhgo4` z_-+K?&`5>E>}R90C@~-?(R!p&g!fhx=WCT=lu$=%Z^=E+_@oJWzq~TLW{bsUQI;AN zqmZvr3{EB<17Vjli~!@c{$&p|e%I{3F<D=E!Qqw9ADaA+j|3ZZqa*3NWsd|NS2*E( z8@EEf_<ACt1-&F@Dd}N<sdrW`yq<&IC;GrioBeDzB((%uvhYbo`IfXu^|7GHkmSfa zD-6jK$61}1;M}?Gi?YWVyJPlR1AS6ApT3s|@RG*+JmF2dPP?I^InSGIeGSIJ-+eC% zcvo7NUdl5T{2otC6?XsPbhU_oVEhL7*!|?Gq(o+Fyu+rpc_8(m&qJ2x?nEAe-x1jV zjamBuk%vr8SL!46tNNbQ#HR+?qmuFt$XF69Em{G!#Al+q09YWCvl^OouL;ybzs4<j ziU1kP2hu+$=`xi%`Hv*YtZPW*pGc3yEq&^92UGuQe%rvjD0NxbCM@k+A@o`W8Xj<X zL|<^+ONaqDp53Nx<gQ-EFmc>jT`^*W4fo5BEc6&si$Vu8b5E9eMdaqt`*iuM2_2G7 z@<1ugc^=y0#_j^NC+BmPkT^&0rp?jW2)*=1EO=TqO9kb}AD!n!S^oi3!Yj+ojSNV= zdl>SRWDup}K*<hmE<2{n=`zmbP?|=zo#c5KO*@|@GZ&xiA7H$D<O`K$5Ya?`nf-DC zn%Mx&PIG`}qh>&}Lhj;|aBC%g`6N!4Inm&W+>>L#nA{qA>tD}YI=$0DX!ulNz|3QA z*5WNoS+deIcXBWEaYh&dz(5TVi>Pl=nF^G)5{s!C>#RSbEB1mVJ6Quh?H9bOrTA8u z8}8JPO33j_NZ!``%l-B!v+qk_rb_`?x--h4+Jp78R-m_5J#sb2tq=@*zhImEaGUgt zHSUZdQm-p8w7LnjY7*%@IReMr)nW*{33&C7H149Hzc5}uYS(VL+GA>TU^-mad%i|q z!YbjCtu4d}JMGy;vIfcSMpzvm`>bA_)EOdS`*i<|X4AYr@Ob@hct~*+BL9}OD@RBe z?LDUL;ILmnc@^i%-HMopuX_o>o%9qdNRmX5f)6WtZU<DttQ$VQorDjJpJk^uEE@^g zUI1J->;rNK6($KbRvgmB-47G*N(6!#CRV6c#+|ONqz}Af#t%C`PS_m+&9v8OMw*aF zKLx4-Wdxy@Y?=+7DANA)3gnS(JJ{SDg~+6GsDEhpFONVAlracG6*MHO(qf=Y&~sX3 zYTH%oPa4j>N@83XpR?~RRTvU!Kc?ztuv<2b!Hm&yMpqL-nehGnt&}K)ID+#k<Z(gj z6>(Z@O~8y3aYpe{fMB@4l@<_;&Z}?&f-^WRA3zWtcT_VC2*&$c83V!Oyo%JXl(;Q1 zrofcAqv`2DFxB762C93zO$&v@WMcv(Eqb*3o(r8MV-!KTRE8$8)=hQfCw<iM0as1= zj}Jm^S0_d_iOXn9qVyo0Y?4+UcF}eT=xU?_>z;CDCeiH)4%NfI*)gEbe|g2UOjW2v zn9mzXuJ6He1O4+4_U^;~Qd6PniGlFoP-)IqDg?PVjRo{8)5~BYAkbH<;fScLnh5Ql zU>3nag{1J%B9Jznv5;IR!6`$6i;l%$hM<tT;>JBeWt9f_=Y8*n6Aim<Ly+>Gn^fuP zo_5p@CKa>Nfg<mUH!IWEH@B$hMKP>m07u(eXH{ilG9R;r6SFU!FcArHo)}wHYm*yY z^2VsJuB6Xdpi;VV32`QzT-<sWZO>EU_}7^+nX2biEsw01RGfHpaQ#s(eR*QYowM<# z=-<}$WTmM~mx`TAEGTQ2A|C~9e)Err@KckBkVt;?C{*&M4{Yp&IN8N3aHBJ8XO!Vt z@aA8(u3UAsm-^hmS!_l`11tiuDY5OCe9ovib;Qo@=)E;Jy_s7*^6A6DQoF_Fz`nbq zr@I2J_sf#?OCj_H=F)!WP~vStU;S<J#B9ZLXyllM9i%9a3K7^-1^?@-B}a}fgIP0Y z=aSvjR)-BcRXECN{xa@4g4QyBC-Xdb23Og3($oh#H&H5dVi~Be%AuUxl-MH!WAVZH zQDM6DU3QBd#>NNqy9BBme)DhI*{4d`)heDCyIpLHv7Gm&KJRCDFMgj-kL#TJ@=p$% zH{!hOhcQ``ee`Si)#Q(a>QOMR5-PD`LMU`Zzn$?_i+eZN#ruv!FQW~?DFm#ocJ=tC z^>TfO@2qcLIPoy7?rZ$o8i9sRApc%nt<0^dW;^>8A7&J1gGFt)0YtE_TsJ(~S-S%P zhrlb*bt|^Gb$ld@2&WL-?LaaqspwV{p}JinHeF*ui6%N?%%I-Xx^r)zEB#2DDgN;% zAN5t|Mjh<P3y#jnSL})5*)u99ug%4nUL%&M)mK<?A?D(MVVttkU1V$_g2FYnc_K5r zYxWM-RHgWdI-2a`WyA4MaJA0x$SEzj(u86dwo=b_c&*q>fUk8)lbg;hpcA*A&B_pB z{=%w;RiQxaUtMj6QPG0qRwdrHR3sqUBf;4adA{7ed6{D8J98w3Dj5Sj<7F?1!Mo0? zWr9A^@Zm`<n!RdboxF_fMhSG|@?F&BO%_+>RGh8Ed${~vgQv(6sD8HHPbYG#Z93wH zHfh8{!rIUBm^WMKJaaa*&L$)FPblY@+}f(_QpSYNnjx_tq_B{EjI9*3<^D5ecXT7u ze?d$gho?7u`WEhq(#@E?Kx+UTuvM+D8fSgo4d-K@V?Vj$|J;1wIlh|ayxiS(Tt8=K zxck!+jfeeGw<i$|?<3<>Fc{pW*QU59k`r~l&ZU0JY<921-$G5GtL>xn-w}Da2vuS# zO{a=OHf8qZ$PIo6wT<eY&2VMHm*wpW`AlzjuAUfcBk_V?>9oIx7C9~i9~K0&bvM;! zImg7yKP>7`irh4^3C}<Is2``M9+7ps(g||PJIM{(5!Yc0T>pmY@p)-j=K)q;p9kK` z<G#iYZ+Q_YR}G*CO8&94G?7|<CTS&QH%5ka@k_1RoT@q9qB;i~l>Q9=XdmLagy3)a z?bqi38%~pofWyNirh^pMqYCp)s<k&8nfzH9aM9>fE)a<Ys&BG*3D%4TuyB+}+D{sW zcHaBjPmlO(JsD3tNYeR;O+J3)fbD&lh@z<Ocpdm>N+#!^J(?2E7gI0bl)2>~PBA3B zuqg-EnX)W?Zf5F|TG&Oa{@hk{P&OZP;%V7@F}gs_de%?cK6X8xIa#-G<dEFi+`UKR zWhb0aPB1loLZjuQUUlOvx~3YwG5tJmyOCUu&+<@_xB-#<U3YryggjSZR?rm@a>!&W z!%$!z&EnY0iu1cIRR<?KL0-0)^1vSKAO*|MpYqn&GffiPYL=XZIL&CW4bofq0r-Vm z$%d-5@~q|5`_S}Ow#GQcD${3HG}pqf>G-%0)R^S2>8fXO9uqz%i`0m0d*6JP)`ys4 zE?SG$QCO;488^5J{Y|z19zA6R%u|lDUrqxUNcXfnLf&b=$D>%5N`2n&vRBGaMWs^l zFaF`A_)}b-yQY3=9mP`Hm1<G=l~)>tbIUS~g>JaYo&llIxcStmi99;+eg*13P)shp zhl|Oex+PKaft;f-c1hs6=J1e+o30Y>YuwwxP1V!e=f*+Ji9j^~1##0>!oB@VZ8Nid zZW7d-3T9_}ejl|3e;R5D{N70fHNqn^fX5vC{qx`ZU}Y(V)eji8wyo6r3_yx><62=f zgplt6i*d_uySARn6fx1g4us1o1E#+AGHitjIh}q-Km=PSu4}drM|1SuDLPEgy=xF` zjtGp{ffdWP=AA`Q3d7Q$AcqSP6$%0cm}s;EQ5Ytb?_w3GAm_KSX&@uTq{0Pai11(t z$z>>35JIo!<P<_aeMV?P%;NqaqKWAJ=}MVS+1SL-{i5axY579#c_XlfGSqV1Q}D6g zIN#W$E1rQmapL|orA&uxY@+8(G4q6NUliT2ThhU#-Jsi!t6@nhk;HxkgkJcGP81^= z_Xqz7KKK1O%sgpBD5#y_L)|duLaq%y#tu~M>@<I2_q<kYV1!AIuLu-mY|;!<!rnc> z-2ItKnKs$jBsuL|Bk+Np#!$nD3^#0&@cKMnBeJ@~>n3zuZSLrHGNcDa(tSfS{a)j8 z7$V@9>3?VU%y0Niksn3CSENuC_sqe7!s?eGY%w%L?mAB784OhyACMMPP<ouk?+u4& zOa|FWAc;Q8>;;2?H!J~{z!g&zZ1liH!G;o@$(G}q20V)9wgi_Ty@L@pA&y*x+hTAV z9WDtS_JACw@cJ6o3jqtSWO^UR8;u_-r)Ee$&)@nlP9X4;WE=efhmI{S8pG&H`VPmt zmniTnvLS*%I8mVdvQf>n5QkD!59N%})qi{pOGGd~k$WNt7{vC7fX_&|Xe+X!l>eg= z#c{nsMQTo#>TN@#1XF$0ImOPPZP}&Qd&dKw`b(Jyzz#q%*Bq+}TbuJ|331xDw*!eF zd`84?DF9O#1f3_^(gTl}Knp=!M}?r;1F;nBnCnQ-0Sn_@1u?Pkn}>fg^-Wqrdnrho zdoA$H$yp{&7XR~NR1md8&?!hG)c;XpJv>j0Z$coX>8s)w_O7@RS1QOc%H`Map+QU< z4@RZzYn}8RG=Xw6LvKAX^|*7!Ek)o0ha_VkSy?gxf4(&*8Gk<<XO+E;cpewOl6amv zpHwi-rfeK{Kl~}V&UwmQG$B9~Ki(4lrvLQ^E;!N?ABO{<5*Eh#H%5g)KX8E%S%PRw z_!e=U+$d0J?-w3VQ%j9*`du&+1g>q-r5PuUKClZOl>eAo5%RCG(`{GbfPR5wi!;Gy zKyVf9Kj1Gy9di!4;l5z?Q5^ej=&}O%u@Fd)v@2lD7&;1odp`U~2qL!!sE=J5=<qiv z>T$nLVgz7?1<aGQDO9Y*CF0ej34@jj$M+&SDuH9MQjxGzDYXd8S#}#jLH>mjhvOWU zM${P3^_$O?+JWLO1&zeTh{MC6UMHbs*Jlm{QwsbRjKBXEiq2F)$Wr02ePHIi-v9zs z94<many>^Hoi3AKhkjfyyyGkw7H!(dgyt@Z>JXZu(_l<YT$4tF5^`#^<^namRNH1n zq;$HV``C|4|0mo=Sbrn1DI9U0D?U)j)O@yW*#0??Y$yL8;FDTqmWUAJD&W~din80d z&t*uN;yPO3prH$XlySe%-6c%R!Oh~=7lpcA*|%XEmLRg@!2#XBoLksYt_%^~!Gt;d z&5Xx*?6Dkd5g`yXfo=+cZaf-+Zfb#UmKK3-Y=Lf|s(@~;fo?{DZtm8BZpwjf8amlg z7|tz#HzqyH9B<fjZqDXFZHR8RoY5c6<|6T<dj*aK^Efm30z$e>4DIX<6rm>%>^7#G zWzG;8gipI$-zxE6Qo=iX27VB7rT%jf-D0WbY^f*(Ke}nQJ=UUlfWj7X+1CD8MD!W! z-`rLzMamr8YRj|xvy88;vf%IZti^dp{}rSf?<y%+1KeG5pB~*lv%QdR1+Tk3&$e`Y zV)K}au@R+U$+|~E^4ZPa2WyyudvHE}AL^cd<1mW&9B%{pv}A4(`Lt#sj(B`f_0Twd zwKA#DOF}EON{}rRj8Z;UkJ_l)qL}htv4z_H=58;U1uD~ZHg9{{6mK+uZ>~%N8+bKh zyn?=YJ+z5XcBxW9Bxq3<0TojNu0IRO)Lrq+0LUELZ^)3rn`BUrBDQD-sI-)RS|+x` zDuiKHSqo?8?uLGC|GZj<uhf!XslTtmAw(O{g5Stc;^`nTt-chCh-%-fQ0$X~@e%2x z`jb<{p&~^>n*);AGchRQ5@CWoy4^mfZI2sslze<VpYr*#_!wg!^<-CLXW$l=>JJ(+ zHzovfw=%^4^}z{b(tS#Pq`om!To%*}V!FKE0J7c~X7=x{a@D8=`PRT6Bdl&V|Ffz2 z*Xx9i#nOK_HTRNktRxDK3vSWhBR_Qg_KNYX#SZRRBDOxq#phb}Ee$P&Qr0sFf8}HP zG`R6w1Mb6`dfwT8v1x0oX0v--$dM-6jGZFqoK{c_YXDXD<^P5R+E+huTOptBhFLsW zq_P*qt@kvDKO0@oa`_Hd{IvJmXC^bMPNHtpe8aw`?9m<|p;A4`v1Q7MH)A!=Z#{6R z^&=hz$5y8miOon-anE|-#X5+>Yu=3AdoNH9e9%&w7%apr<LDRtit0XBh7toWCq4CN zH{Pt(VyC4vr-IMEqSXqr;ePGjLZlz6AnlTk?LMxmbvanMrsDf`bRq~sAxAfduOZ=W z#*im}=S;4eZ|9n#&_LZKcyDXk`;rPc&4)MTsB*qEG1ly3&QW9`l<LPhk=;Ms3LC#o z-72FjklS<Mq8Ca2sQoQuXN-z@fC2f(+o0DtTx4>S4+@S!gJ*7XbNepr#o&A@_31w% zbCEf0n$v$>*B2{7tz9J&_fjBHAeKbUhYWQmL^rxdO_$7u0QM7INJKEDjF1K2RuAA2 zISoCA!R6RsWZs%bHqI0rOJ;^nboxFV8|9|`3hAu1p|y*_2JEJWyVarG=ouNT%+E)h zleEl#^(l%zTw`0d5EeOvIC;=B0{^7Fy!yukxDCq4d^|@#reR{y+P#u6^_t%c(b~C* zW2{G)9@((jc*(Y@pNILe6=fi|)G)Kq;NR<AfPSojuIl@v%Q1pUNLpl@Awud10yk;% z;CTu7;L(k?KNq(?`L#aPJ)9qzSeyx*!dV0>|Blu6?)GTq_{5XfNllCnt)g}ANz-4x zI&$_bc16HTC0Y)%+g9%DayjXVeuwG}*0yh8;6HZFj<AS!IUyCrYCzO>AGQ}{41xm8 zHY5Eq-5tv~c=L4n^W;bd{GtDOx*;;&{mF~Il-hN#{~#Rlr={!8kM+7RD;)3>xh+eW zqKc8<c-WL81)GRPI}NDZ;@KbAo-3Z13_qIWb{OJT6qx_fZ{-ii>L4i<uaQEL7Vhpc zLO3SydZ9}7ijv{s?gBg3hYWVxE7e#26S*}xny#bm&JPtJ-O_dCH<Qlo?sB3f-tjUt zH@5cU#kAFYLC^+x5iw!M@B1P?Zzp%A<<I*XK4nI<NX|;&hxVAibBHJEFYViowu-Ia z{9c0p(tI(|^lXoOe}{syzRv^@+%-2fzaIXYSrxR|%V{=+M_0SFmHdT7-ZF^o<<<V) zQ{|8yIroG;w6}N$Tw>x$!Qy6A^QKyVu(we0O{reK{@|?oWS6(ELhT5+frTjRW=SLK z<@NJZO^vicBf<%H^ZnyL^W44Iz9*mJR;q|Y_WP#-1?|$K`yJ;N@0-3(UcB#wr)=MU z^pbEmpdF%uqoQq_zv95&PG<s%aXOGZr2<J*GLVoY0!c$0kO;-Vgg<bFXu#TQszpIO z<L;A?4#9AX4Wo)6p*VWS^#u|Tf;)=F1qKq}gF9*=4@dKpG(Y&QuY)^!As-{kSvm;s zNynmq?Ni2m6N6Fs_V?Q%2E@WS#%uW+^`d$+SrdM9crxsfj$9!;bLU_EBruF5CBFb= zI1;HWFh>#L9ui0@oWCHDffzzeIGm&3S&wX*Hcf2_5F1g$LRMkKL~S5YO5{L)6e~3= zpA|dPxbP0R;$~m~3PA>bQws@yr8eIvM9tODbxD-~>CwMnzu(N)^>W;t<!kCv?a0Nj z_jfe|X$SrqTqy&vHmNzqBrKC3zj<bO_3lO20@&C9thPw9PvaS=qFJ1wMIMI}z%ji| z0um=6Lj+`U?SagL=_Ubo>-~g7j}|9qsUp<`VR{2Dr?O_o>~d055gU79AvL0`h1n0* z&_e^$G1QAfHb?ValT8_mwrS_Fjz6>RdD1B!tfkN2));y0!g=>xBsf^Io6~s%>SGTj zL=2T5p@^a=n_glx9EFBkWjVjE<0q44c%cqgc@?l2JK4!hSzSb*xKDI4zgM+#7Ok;A z4&JpLZl-PSL<xM&C+YxhcXM}4eY#*Orv5Q69RZguT&+=7C^tOSRb4@YtbqCJ+QCDJ z%woaxo6y>e4@|>|8JR=mGqZugKs{zJ4s(Hucij{bTs#LDF~1hnm2|whJ2<i05K(od zf1{1JnFr~#9ZRk&cf9VxI~_fVmY=y@9&SdBh9mywVCCW3<tuQ_FA!FckVT&|*P3>( z6UHx_O!KU$R?LHx9*-Q2M>p@W&U>(1MYj$GaUC|D!DzhJ#%@nyJs@)IL;@UyE8p2( zzTPqCxsgUDvLFg3p_{Dr=A3k_&FH>AmKtMrh&kBYDfMYsRzL7`{(F$d)pt|*JM-Ym z3SR8LAZP;+gx>ovICLWn#Ma3Ei}i7h?NI86r5NoJjJ?B_;iZ|m1wQ6r7ZU7<=M#vV zNQ(yZZp8Fs?_`!~U*zW99MM6S88E2yAt~A@D-JNH!>Ftg=HDEeVAEV1;1<&xYa|z4 z*n8AB!7s@%Xz&6dQ)le5PVJJ1HqplOi3bLi_Ev@SLy7y2US;>|jx>VQ|22Yk<9xGw zb>{8pNx|E9pdu$_CM(!yP1aWtBHlYrf>o4yTJkHH|J5a9N0_{bVxhx$;lXg*o_0t7 zI)V5{B59KcNLz+=P}y$x9ZTWY33{rGyq&B6-jsL3IrR(wo)-Uionn6GuA1<n%&aG) zmI$5&$f~&zF^{%^%(W~XA7hWuHYO8<^7b!61Y9?qZ76CRv%o&O+wQ>D0-Rh;uBVPn z>>f^tCp2>=G0tNsoge0_$4p}=-uLcoUjsxmEE~H7`noS#_*Y|mM}A>>Qg~?ZfW}0$ zy;I#thgx#{cNuN19s+A|{>3m~x105gZyuhloU_o{7(F&=&;?ChnXoCF^%0J)d!nXW z_*Ni_;?DN$knN%Xr_=+3|L)P1`#EA9<BKW>;IF-W+bZY%Y<4aFw_058{OyW|lqw6` z*H{S3dvEbu*Z0@Js)0++X0$boV)YII6ARtQf6b09UbKPDd8Bfwf4O*nIOyu>G4zuG zcjJJ%RbAYARj3{{Yygt{tl4Y}f+}N(yAfU2&pca}?0-r|<lUbj#HTE}-O4mRJom3^ z66>K_Sov^HsaY*045?ioTD=n*tc#sf)R_RMhy!a9xf}o_>cU}FOFtf!$z%tSeq5(T zNyVMJ(nd6DCFtM(GK;NT)p33W>&1E34xh=DB7Wkx+dQtLhKfs|#KR_N`oC9UstW1R z6|-c9*E?CU(--pMV4*8QLG#%cjB!C^kV><bKf5E^rp*0ce7$p&9o@D*+?_Vkwrwk& zM%uP*+qP}nwvo1NGf6A$wA217dC$G)+%vxM`=ds!xoSRh;hB5yTD4}){WxU8ZFM+f z-(zNF!Rysx8*VRTeaiN!vs$bVH<7F<ld&bZ+VX-Fw*=gRL&USPBhD6eTkbpZP*<F_ zl3-Ze`Q`XFZ$aCrsE1?xqG8t$%H?vVzuVXzF)Vh@8V7`&1K0DYU^1%}V=f7<s}G$7 z_*R`_5aXUcb_QdfH@d4uK`UV@!di%koQcJ{w!)XZ3Zsb{z0IuCzzY|{owS@Zot;mz z1ljuz*-p64Y);|lG`F7fRdJ_W3I&e(bPLReUSE`$GrdNWm=LnDpvJMFygZl#)rJz8 z5OT1>j<JTzzB(GR?FLB<a5@^|l^AV}N6&lvQNrw{qVB13iCX6w(}>=57m-D8$^zlc zSPeSW6<E^`C5cQIoXi)+Mnm^lWdQs}a-jWms68tdc@s1@(qU}0{b;B?4UqEkBImum zXkm)V1G)Gyj-|y24%1Oh5K4pObDV2g$EIBRxXUf5wvEfg&A3HOTfug6WrU7Pxkb2k zsabCDf9=@eNPhZGy?`y3ka6YzDRoi4lUgoe#v^hn8>-7HCv-u^GtzlQ$=H<l8~qhq zvh9Xa@l7rX>m}%ATUmiKY92|>BU(lVqB|?!$C9ikwBxO^u_>QE2W|-}DBBBG@uM#y zrWatbZxTYshhKu6FO-ZAzkHi21PuMXm{B1IdB|$dnkT?@GcN7T@+&tW>j8ejL(X&~ zDc~-AyM-b8xSEpnc)ZU8(sl+g$CE)V2RQ;Das{~d#--g^e&qyYUBWLs0?Cw<0?y&L ztj*$QTv?KG;I++u9&!mmu8v1BgDqlrX3Q|<92-+`8Rt5wO~^cTQ(i_AM(r-^(Wlz$ zC`pV7<~^C!cdAM0Uy8a1KpBq@?|42;z5F<_Wbm%P+xzDBiZhJbnN0_Kf?0SP5Gu09 zA8MUsf0Cc}4o?(_gu`!Gk#8Z4KxBMj27+8Y3xYEF08d&^9049I{R>doz~(oU$T0xt zxQO?U*U+Ya6N$`vmC=>@X{RWAX?OjhrI$L&{Z-~z{K5`4d}S96$<VJVW(<@Js3+3& zQ{oSQmBH>mwPTN8dMouef0Y3xzW-zbUe~+KkMf+w1=%8dwL$J_mt@GYZsiBX+QWdG z)``s5J|hx$AIPPmY;$P2MN~JxO*G8*X{<NMmZ_l{A;IK#(U<1bJtUibWh!&9qZ4;6 zj>shkr2_LXnUFvZ%Rgy-P94zDB7#Z<=VLQqA#BE~74&Isff`bv1|c1&=>lr3EP<NA z5<z0sG-tGiNl8dp>*eUcz^>@xBBWC>uE@0zQZR^C22lY4U8)6zaC8!Uk+X&PfDc-a zh51B`5XV$QIPtM0u2-Y{{e|W1u-Arhv4R*E&RFy0++a{q1+~sh_G1g#v80_;W^3`p zev4A}kO<;Jg_E|SAF)|DyT?qw)rX72qK?N8Xz&PQ%)z5kOOpw~nq47jkg&=ZGbO-N zXAcV*BTw-s3<$Fn+hZUmm@t5e{4b#KKLC|1{{}Em@Fyhpvy$1XzNH>e;EOmHNPHp| zdonHXU+3;jF3yT4_9#2F^|FxAwPY5qtMD%&2Nv}*B55Y{^c_9YXy*_f#p@@$0>HBV z<MabQ4lwOSyRb{s-@6f^*-E~OSSf~(FBQwe)kBvVeP?TERWGfdqpEE5fAA%o8|qtN zQ3(kVRkng-DwP~f6jw)2O|7G$W9!t^^1o8yN)_IytZ%MXF(^n_+4vCMvd}=5s1{Ok zPzwte)4777zm*b8o-jZ|M<1l3ZVuks3Sw06Ocx=nYGC0}Js?=r&;o%KS7Ibl+yo0m z%AsT6WYp02t<m92kyNOt?|*gVO8?om50Beu;`@~40Et6;SGMol+%7COIPrSD{RvD) z@?I=T+L-P3(>6RC)2mqTw&_|t{rlpsIqMw%2c%bwECV49NPa)3X?`$j{@YE<(2wh! zAg>?U!9J3K&fAq>a~K<e-hP^gXN!jv?)3q{F;H{6oB)^v=`}S208{Y$HBIq@Re&_G zsciZ?1eCi3`y+IUse233I#S0WMQOKu#LCNe2VWF;fR0KsKWN+_rOpPYPn)0D&!p-x zxx%UWrRqf%vT9t!o=y_Tr#>WJ^=<h=oLF?-9l1388v;htf@DAow_>^qhhYdcNqdmU zbaSTRc`TY`YD|VfB=m*819uIl##hpiO_L&5zy!DYzI>JA3Sc5^y`A{4iLXl&C4h-F z6As6Szb52bI;{W`o{`K_A7G^+){E$DE79#!xWgf|O+JXy!d&zz$8YzOlxmW<yNbaw zPxnzUqZ_-;X;eJMuqw!(zpINtwC{M57nZ|*$)Yft=@O-@t?Yc2&Oy;@!9J{w9}O_L z-g3N}XOqsGe09#Nw4}2Kp`XhKtG`G(0g=|DfErszum`aj0K@nHSXP11cWdJ4pS=qL zHCM_x2U-z<?gUcGm;|;T4aw;bgm58<lWV4fG5KWR5gjr0fi_#fJM+_Ajo|qoPws<! zwgN@Sm(k7#Q=~NfA0=u)sRGuS|6~a7UzVo80ZX3&OFHHK|H5JZ!HEGl%nK$c{U?^s z{3#m&P~iuzKLhuNwWpxU2ef7F7X&B>hoH3^QJF$IvcDAu5B=yl0FNFb=LS_uABU-g z1<B^G23MEu3;!RLA0tC|ev>d*8B(qvN}1A7wO`WPPwYN}k~)ve_Msg*21b#hu0GLI z>K8Mt!=(Rd;>!33Y%gGR?XS^E7=~JD?bWty|Aeo`l=`qvpZkZ?BB~x!QJDhM&cLM& z7%1C0SsgwSa)EAdrJsN+8&FV|t9X3_|1r@G82R?Eo`ra?9*quYW->&rAl?oi543Qo zVa!)K87=%^7TB^5LksJ`*rqXVs5aaxYNit40b>zMtd1Wx9cQ-aNF&Mx%^<x|88cMc zO>d5sLYnoTN|*tZAFZV|^U1@__z%Yv&>Ppt*ePndP=;8Eoaon-=L*xTM~X#M0^Lzs zY1F1MCXc(i(q|caRDV@Alb_}c^HZSTJOHUXP4Y4|@#3g(3AvWURt54@X83sDL`pYL z-btH6OGY?^ER#)BbCv{j5G*kIv=Jh7pn?!0q&QM>HsJ?}(^sYtELH;kR3ii;L`*9D zM>S{0ByqzOJQo>5i-x5&D}0!~CM$Pwfg?lM5DM4N`1WY?iiT+>yg-ZTvv|{*v4i+E za#EmL*z(`ip(tPRP^6*@gnl&E0bLoihc0Dr62hT4cNC|~3q|N(>2^oxZ#H!m7wXeN zh$#6!N4$oEHTzGm+`j_NpW$<XYa#A^24BovC#LPZycTCW4r362tK`Ycw{+qjmQqW4 zq!FdhknLYObd8K_6kT<oFVnwGJVukYsaEQF4s#W{`~7&n?cDRS!A+HS*~`WARP*56 zkUeYr_LH|Gozcwil82cM=Yv^^`~LU#mjiq6H^uap>^B7grcbZj!uO+lN>prYpMtoH zN1l(KjVq8f&R$lpAHUzM9=KnNVy=I%Q^a8@gJD^ALb#trxF1crKG^>?Jb9x!v-Jp{ zmrS&*?|IV&<lo`??DhC$UY)W2Y1hIrN;bQ&U4Jhr*sNB#V%FP>Bf;0live7(*QbCz zbd;Ax7$I(!vJBYyrTN&~ogqBVyUCXou5N3`@Weg1ozs7j`>2^reAj5np=D{;&iw(( z1Y40M^&IN0PJnp>`~1wt#zRnMVk54`B<g$XQ3vCL2_F~jEMg1CFU}HlEV-E<15M}^ zIP$DalKW>bx7Z5%dP(D1R0)urczish+Hg5^WPPZ?!u3(#{jttm2$7lVMD%~X1>Gzb znZK6F^Lf7iXP(Q#gWO)TgRu3m&FjT7$AHp&y|`FE`Z$C*%4K_pVwZKpI=;0HE5y8n z|9myCvHJJV<g0X={`=*RlXY&3J5l3Te;@i@-PWz&Mybhf5kbUJ9*KpS9nof$Xxt!T zrY-KkhDty4J`TRz=nN~=n%*2AIQ~0}rRMfF(c4#TYT8SNL-d-8H_Pq&)9(u0u@Z5$ z1jOKc-n-mcczO7_gm2#NZ(5h;*Ejp(v2eQ&JIePjEMGN!&(O=i-i6KDe%WfBef4tX z{rdPEHn}Os2MeX6{od|*5jTwJqc0+t>Hc&-{rQQi+61b|&`awQ^}IJ(N+sks5YZsF zT^2TqtwWQXcKvzx;eK{Tmk{qFPj2L(sm&~G*2^*cQ2{yi$Sy9{7wx?~w7e27rp1r2 z`pxI*bWL8V<m<Qxn9&y<>9kLLzE5oG$!<4tPW=#7v_J@oiH2TJm&Qz8%w&`Rdh*Kl z?n7>#tn5VEyPgPf+tW4QUCGU=SkmI_9?g{spDO0AGT1@!>bt;>gZ*ut<IB_X+jj@t z7e7586g$B?wc58HM|*bHoHRbdp5wcD(UPIrgS+#CJQi0?H#j5tgRO9d+6y}K!zdF} zRy$q7^SsEnHa~fnAqkJ$r!)jSsw;7*T7qqE-qZY1-jt+d*VK}&iXKko-uN}|NXcwl z&FRumLj=^LBfe+)5k^A0y0S|?#y2O|yWP^3chXYxHa%M!r@U}I`FOuOdVPmLKa3ju zrmEieJOc%6&@9p&c$usfM_L;`RoUlT365Mm3D4(V=tGREw^>l8)>#(S#g&i-p~ba+ zf=P85EzFTv|Aw!0M(j2^SYIKcw+*nXEKUd3Z1m4K{<(YsV$`NSV!6@D<cf*KZTQb+ zUj4Iplj_nMI3qZEZV4+WIx82KoOHOvHUEqut{FoJaU(EYoW*4qLk4kU2*!lt6E0@V z;>N(V3Fp6R8vRnHot?5%5XaTuN?3JtC=UaJRezym*4Cmr2}shqQ_HBWMsyHWpm!CQ zR-F!PfsR>1FjoDwV%!p#w*1#hqh|l4<zFirF=<QAHaY5Wi8zd9#~WM?82fCxl8Y@a zhV<eVUD2ghr$d_Y^X@P*t1A&LL<LvZ8MQU2Rsvu4fVQ<TR}j9F#hBFmsWRZ$)7W%i z!3{JXnKbEam92*8n9>~5so6)hUUA;2dRv&f^xD8k{{HQGCu?!_s18w=@UD_jOf2jO z)y&3J#ijO*Uf&Y--fv!V_{WjbjomNS-*?!*T^jXxkDFPFyze}D=HK3WC?yYPcXD%c zqHu=^#p)2>mS42`%-?+K{eC$vyf|DxRX-jb?+<@J-8-z)Gp^|=zsr@K*<tg`=J3nz z_PIH{JATyg=<@S?dP=)L9<|d;S!f1=vSw!<;zq+p8H4@XYpbF7x;EZbB+|r^_At>` zNNSo}JnPpY8~MJl5?1Oc+xohyW50{O?6o-^BQImEm^6Ju#kBE50PSs1y;mYpUwp*s zk3+T&vs{4=6@lR_5RcH8IWhw06RpRu)F8!Dt^7vDN*>6b6NmhQ)$dwv8l$h?6AXt) zja+@~9sO+-x>bnX3Vbk=LpxgX{~~Rj{o#`TQ_T!K?pr5~cC&1_zRrKNa1j<55}gda z;`~MQml618K_+|PcZ|>KTmNnDeO5kc(d9psxQVeX9Xn@6p?#zExs{tlnYOfY3Mk4> z|D#*y_y48h4Mr*?BfI(^V`e-sE+0SUA|(CI9C$82jnn^&w+5d5^Z)knGpRdVPiNYH z8Gg`XS=x90k4gC*XcwB9U3<eENJzSduw0D{FUK<eUmgC=@n5|uA2*>IKc*oB`_1fs zE<TOZmrs^fKvGua4gYNvq?MH23M42}J2Wu6_L|wB5Ns`WsTvd>))D)}p>tvs#1~$l zTd@g}seIIgYV_Ymu=_Ui@zM%#{?p3ftgbQiw^73_Bak{q|L<^)*dKm3U_4;;ox<s> zM@=I2#g(*=g6Qgj1Sx8VO8#$i%DNzJ?<_q?zYpr7PS;h=(oS!&Fh_~nh;coduV$ac z!i<_xp|g^{tgiZJ$N_KOQuw&B!Niz1>|Pf+_<H}ETpqqIe7w(p*}GZ{qGc_o416bn zTu?v9eY+-_R&~Dyp=(&QF7aItG^O<(#Zy(XXjSaB5@<rt{RIbS#->%F*OI>uJL?$2 zj*?lkWT!c29a82xa9|GzjDLh9)`1i`K)BQZ1j?&^5e7i01BC5cOsI&J0kGEeimJ`6 zmgCEhC^aE)U|qK&Z(Bt3n(f3%X?<gQxMZ4eh(q5>n65`50qsFVcZuki8iB%=Um|Y0 zIHZ1-@#gg26&Yz`V|qA%s-FHq3CndDq{WKu6L*lX)%PfiE^X1bDZt=w6com^gkBc$ zX4fV{Y%-j$>vr%cG23U9kP#!c_i#bNMxUMo1PU9nUVDh<*QR}S<4w;(dl|&pFb<h= z8@5a9Kl*7g8CyRy?n*k}jXhMQm|u;4Ezk_dsOsJQ&Ba#5JJvutq293ZorSGVDUmeH zm?nFqNt&FMFtH*)l3`kz<q{?x_StCrXhoyxwAHw6Cvsa8&h_$Waae~{dV+6f`Hrhe z`v}5YZ&X4zt~55Oae%N2KQ^h0Kn9;usB~biVsP+eey7~uzMR8#qhwc0r*m-rc%94Z zcKFXzoE>M2yHz9PFCA)^d6C=V4JQU|x=!f1=4Ympzkrcxg;4?n7UTg2ruqXIZ!4M{ zn`={xJ1|`O5!9G%XZBpni_pm{WvB0SxoIO~ckY!P_7t;H#z1ns<*kou`xS?_?PPN7 zZiDO|l^wVY6H3$D_9}ld*TPPpbkfQ);a0WWHDa{W%QC-yp8!D9sfQISwyhj;Ev`+& z9+fRwH8V;z+twbrmglCYH_BF@wDKu6zkY}1UDiyWc3*MLp5o9hLe$)Rn{<D)v0X;; zwwgmiS|5|Og2r^J3ZF9(Fn)K=F$wN?qsV&!qa|@?=2JyB-pqk)ZN}R8q%EAk-nowR zVR~gc70JBSwl$Ey$)yPbJhLXNh7j;I>qmdnQ`0IaHmy%OJVZdV8=&(WAJY)RS8UrI z`I}t_L%<4KaBFdqYPRjy{msuqt00A~K54W4QOyLM-jGRy4__Hz$IxXURRc=4?fL+y z>F&dy`NKqt*|u)+2c|j-;?Gpm5&=`K2>NHLVa-Qu+vWNH?LuiVf+MZ(*YqN%m*r!8 z1iK0qHAGNy--Z!|xV6I$hY>zIfB1*$N=A>O4+8}oYZgA^42&-7w5OHrx5tb63Omz$ z)4i!GvpEcto3oSG6cqugN6W2qXRPMH-ng@_i&)3Y^9k@%xGMHs7IxHocaJ!O=$D%; zR_7Nmnjp%9dsogWarfg%EJCIe6>E;0ms>0^{!HZ@^nitTzlcXuM9jn0S2OpW>#fI) z0rFN651LlimG?AesAPQI!>jM2!#DA=!{c`?=kvWbq^1+fMF$UQ+vD+{yX<8`v1hZR z9~`3w{nSvK1n2J@pL3!o)sk*}Knoup4=taF&8V<)tcZgIZgeiYiuwn_Lt?|=p(<lX zK7uXZ4I5^leH$+q{&fHa@iQhsVR^GgDo*8LB^tVQ&wK&|f}H@ATJE95t+wB)uGi_K zt`~By)vpcOEA60F-Pj|XuKP@$)o(4BhaHf0-CVQm?qKAet>dS4i%l}Rehs-c*H5(f z)=8^+t!7zWZyUUu`*)bX)+y?GBWF20UQ4|Hs2(}V?C>k(*|2D@9-8!~PkaHC(jEY% z?vdG6_wx|n)?ur<`zCr_ukk#atBun)>!?-T7c;G{dwdY>sMX$&{x-~0o;*>j@wY3z z#QwHdCwf(WJl$P2$~!=&0(?2MPf-WM(+ABDKis#x{)Bj&e0iPwn!!+KR;X$Jxw{7g zbH8r2>ER~oQSItsv`WL{Q=U&Iy_ug3=B`hfk(Eo&c^eHa{Qs^;=4o`%v!Zv^R48Qx zy`IuGAM-{?UEB!5CC|#eTm3kY?bKSMF5a!=qg_S0y|lD~{Ldx_F&T3jhwZ=qn#fJ@ zB(@{3O=Ha#ta8-r3RgvoMs9pnxOEHt$_<3C+h|J;scVhX&H8y2saQU>Z{57Ag^BgH z82B*j&AjaheC-pUj!da}FU*~f#EXsi&?qd4`Wcm6H8b42fD@T^M|kt>qnntJTzk%& zCc#-A{#hL5!K6e9@r+f<(#_ye-Q?AN48doukTNAU7Q3Z3saf5gFAF?9=)}LbsMmJ; zMM_Y`Xg~y#5qV1`8x%Q{7*g)(6jyoWESqkEIjoGwY+6x@+ceOBvC!=1!p*PR>6wps zep@yic%6TM6U)sbNVt$=I>pNjxfe_D)j2pT<lETZU=CG3&y%Z?{#t4LuBAc#bGT9O zKDX=nb$7Y<UC8+NyA$`<3|))alo0!jwBaD-)|$m;gWZ#!vb@r~9krG4Y|WVQ18)_& zI#Dd8QLROCWEx0p<=b_dNZy`Eot{Yio=BUXNctYw2Ht=Q!!b4Dd#hi{@T|cYe0^{| z=r6wy!#?qKKK4(?+4!2@kY*9Cf%)?P+RG$d0rOQx_-*j{+vtHmVLF(vF#=041tUjU z6nT>$^ha-8_kMb|`amz&;Tu8n*=qsd;3uCQcn9J)MsNI=`mO_jBX9}n6iP_ZrY#29 z>5DsYPuH+x-csMc4y4mBWudcCC$N)<ieUdbgsKVQ$Dg{vBe$+ECzlECu{uT!9|xw& zAMas249z2xC`0&pRy1rKu8onxl|I8Dm0cqf$sUmKXHN+EbEX7=I9TdI{8);G4NS%6 z=*lOTyOws)ow^oG#cb&EKNzNE)b^O4fK$B&M-ax9%EYYPm%v3j3c>YggdFk!70WFK zbub+xAKiMMy$RMpMv_Cwnv9x^TfDs}9ZG7Ty)r(N<iYkDP?k@V5zS$OSTaev(v`DY zK#fF_z1S7x>~MqozTZP1oP?_ZP1)9nBx`F*jJG*cGY2g797tAfE)LsnTkw^*u&a_| zA*>`AP2Y#H{EM9%4-~mpu2awqPN4ICizE~&2c@`Qqt#=?L7ZX8<$hTCQ2}3uA&38N zd9{RG4-~+xu9g)36rg~DtSS^etF^m877v8-kFNBC5IROf575adHQn~>!W7Kvaiu=4 z4fTaCd6_?!y6mO?p8WrwrsAbyKkoo7$syqWJ&nv{0ZQX**h>7wS<#uy5NM6#?w^(T zsk66w|2eh&bDGGe&PR*2IZ~zafc+i94xzj-uCAff$5>6BpD5ePV*yk$K~$UUpu&c= zh&v7GMnm`AiJX^8-bN<M=9sQ5G<<Q9ER$uiIu?dik)n*PYjOSoq6HM{fdbN>LPLwQ z;d>2f3MPHExJ-^FjfHA{<Bw$Y(rPLb2x?kU4dRK&xg~59d?P#qRqs++N-GQ1_!3zP z;FMk>OKxSMn?Ee7Nh-3txFSwrW5yb6Wa9!`Ho|W4u1ShUp|jE3;x~~Nz@VDduIdGS zwd#lW$tyQ9s$@+ysYiSd8f`vRin-1<So~%{<}e|OO|A=>BhRnrrnTHvDVn)zlhmdU zA#vp60GVO6)1+u7jaL$n>zY}vuUfRk`70Z1@vE*_04~f36>=eO{{`?e@0!rDbBuI- zZvZa!y}YLe{SEmF-*gPPS2FZ`uKK&MF=89^c&=$GAxl^nj=a=dbi}QU6JbAAr<ox) zMz<chbJd!z$fYuj4^6AoyqB_ISme3f?S=4(%x~iTZpxE6htstCkc&V0>8>2xUG8#t zHn;YoLRT~sZ%aOv!Pd4-48T;0hoMidchjuZL&~ZL8LRJ)cPl~QuFSz$r6WXfJ-Ais z58`|_h*>aDz$DKGv|6+4TLD2$>aUPf-@0g^kmm45aLulF1+J{7{S|WRU4bjBiGPIq z?E3pZn2tXJ>?a_Fng?Wu_>poyR47u6bE!ZrA(zUpfQ|~$gc<g+5}7jCFHE1-sTS5D zJ5EjjrNdHOU{{m~GdPFlci&bpkZcWaU$aFCf-pVH5$!lTNL$pc@*9{_QY=Z1OFZq1 zEV{S8?S?|}4Z`&y*k4;$R0|nU9(uvRz}EVvc_5Fcg1U|eDgqIw{v{9&{acXZVfhss zkmUyKc0%R38tdbOeLBS|ZbJBSJl6La*z9B$Kf?2#NC<F%--<ShpW*x+ObWJ()&4j_ zlw<enSQN6A*}f@8fNS$xQVhJd(YHgKAm`ftG92vmk-2HMQ25gR(3Ai(_-!7e^cmLQ zY(Y~j%H*|Qt_mxPk1@6AzLR7!P@bASh5TH|FhJ?Bge<{+i*I286SQ8;6qV0Hmvkb6 zo-pSRCyxq6-nYa`KIBKbo%2VMf|nsJFGl)vmFCaYfKp`T56~r_k-*PciH1<VEJD+) z2QA=12mZiDG91sb08d#Hus{G8ID&_65T0QRl>Cv;1{IiZ3mL4wV2UF^ZY`e)CNRea zCP*j18k3*MN;MrobSeuO`ZF=f0+N<*5l)5znp=R<#N5#YMzV=totmpN**IOGmPEh; z1DaMsEG<TwRb3-fmySh1-GZxA*f_I)V)1q$9%evtY5ss{5ni>l6f-~?NwqST;Wgc~ z-jTign^9nWqKk9JC|giU&G9fkYH&(zkrY=ccD1(*<9<3(buhk85A(FXiM8ka2ng{h zJ7oAz#TcMBMvHK46wtIrVreEoqu5!x(aZws*xa4qM(O>MYhB*-Rtyxu#op7Bt6kRg zr?f!XdRlU&OPk(`8YpW|OD=aw)1OiRW$9_jr7j+ND>9(WGcCE;MMr;143z1nB^SEz z=&cBWGTyZ0d>1_ZDIR3-Pn0kN2u6V$BK615o!JKJ{gSg4>l!)hkdau|hEYVF;lu~G zB%xjW^oC1E1Qe}5B{RPxc83=bA>lT2kTi&>0es->Dk6nDSACgcOt7md{*1)dQ$mDv ztZE}nva2cKL}czQB|x-Lvk@-b(~@||KleK|kiS@~1v$doihMdC|B4<WK)lV86y<S6 z65wl33-&{T?XoD@bxjJu=13bFILUTpjQGA5Ex>h*9W}IYrwVSuSeq))vL~{T0gzML zk`?HDN4P>zJ*u-}s4Tr<b+HCfHJS{)Ar-4)6c+7YI+dcZTCl6>a<qql#2prynnUH& z`oNzmk%)c-=v*QZwSlBnqQqpj1n4aNYqEpuugOIAmT-lv{)v@wk!T!%&I*y}4S3Za zSq8%;fKH%|CDVEqS4U)_fVn6vSnz+8*Zxs%_(yr<ALZ!39a4wMN~^mAnkA;zYg%Au z)H((13M#gml3VH4u0&z6{|}utKxd#OGBQ)jJv=lS4VRD-ui0p2ZW^0)hsue`Oe|Cr z4-d?{!xe<YC+4fsrYGls*$@C`BN@F5d^$+~z|0a^NCTiVV*T;eyR#jYJ-RiRn6c$> zRF$bXU9~UX5A_stBD1;F+IVq0KZPOrs##STvY6eFG|Tu!yC>3UrC^`klT?zq2Kiuj zNL2ZdY$acH;5c_KQz1jnYGfm@*;N~cb;>THktI(wDFq^;r7VSM@FkUu_QnFOqm?Lz zq%Iiyunk-zL#}AF1;XOioqUR^mwa@>8;8A?Uc6|8)*$qeo>}#9(cHmC0Eb@pk1>e` zz*vM8+yd2=WV(T`RCvlG8<)0Lyl9x(0Q`*>OZ8Nl!uO3pHkvLJ)ATx(wB*;JABr|$ zl$WKcsg@wBKV-(cB3w#Rn4mzUyN3!B8;KiAn^6uy{KwPO<tuHz8SgGRDt-hh)iY$S zXbnj6Q<9Qk2TAvj2r1rxl!cU~CtU{7ho!(su*Bv3%-IsG4CXJ9uFn4l_<iYG0RS2p zUX=sDFeNE502m$-QUm}gWa)9KK{S`eL5VP80B8nhi!lJrNLOe21O2h&2tZ8@4I9MQ z`y_^{d8$<+EpL>rnJ7OtHP)+=S5C`~4`h=~P4sJqlymTrLpdoj(Slhm7i_#F!%s={ zRliiJg#H0AQoc4e)~TZZ0rX_=Pfm1eiu?gQI4UyI-dHXZnS5!$DwftK*G+w7x<r8! zm;jgEkeTju#TM7KjESoy@@I*E$<h?iPY%FYcmDMAE-08Ko`tC4<7X41y92o<c(S^A zTm>NfnChK^!|=6Zt65bWrp!ywO4Q^_4K_f^hQl8UP5J-|L2LQIvPS&>vH}UPg8U6& z1@aFoyDa)9Ut&O3F^ZYL{dEXXz#+if0fzwn>k#nj8FHk3fJ4Clb%=jiqZc>o`|A*3 zfI~pr0}cW9*CDX$0f+Dh+Q#|?I7E*sq~bm5B!A1t?5-?L$VyQ4h)?7<6sZBK19%`z zcPNwm6QM&abCT&`AQXCr*ng<<QJUljsPc_4$p@%<lc5I#IQ{@Y0HUxtTi^krsMFOU z0iyQ5EwRXd1feka2N?1XF!UcFf$U!($v;4VVfbPYa}y#6|G!Xx<3CV<<G*c2`~!`M zFd;GlqeO1Hu2+TpL)u_A+tkE>CiWlF;G7bfXrZitUcDsaO-b}u!&j#S04%dGQGzyI z*Zl+N&Ay$S==lfm?3l<%`(XJOur(>sT|HHm5|I2j1W*`|;;wNx*d;{=Mvcm8@rnJE zA@$~=F?dcDX{co7_fObBq(xfjA9j`y0-8x{VU~Zn2eaU5_*eoO!CRhcf>no1X~C25 zNdgSzS&n{^Nw-L5!JWh^5t8gaSChl|<<EN;<;m0k`Zv1e^Rz6!Lrk^B_3blD&!%jj zbeXyy?I}CcPkwZsa<u2mKz_|0SxmWTGjp0Z21dK1MMu}0@2%)atv=~K?eu&;G?=^Z zJkO^;PFg?xM10~yD=!R6OA7K!5etJ#+G~>Vc-zd9sJgW5On-Gy3TZEBKdF1YoECe1 zc+Y<-$?%i5E4_&VGG#)l<Gly>POfifJ)SQgO&-WWHeL=h^2yoN+8*(}@_x<Tet8`{ zIH&ZuGyj`@@M-Z(_RT|EWKIqUl;GA=PJLy2G9Y^YQcm|J=Z!rHGfZ-Rc$zSe@h$!B z_6FEA{CNlGab<mP;qtoty)NnM0qWqNb@;3E-(`5k+ZWmgKVCY-(F4Y?y_+<?7}Ydj zQ+M&{hkbn~*ACxqTWxIh<WX<xEMi_S!)Vf{<&qjfZEhFsstfKlKJGO_xrwwS;F-Xz z-$@lWz8Y?hU2fVDqa39fqaI<5-^K|XQdJ(sliUU?K1)?z{*)H7NeYDB>@Z^8ZjU=P zAtNyXL7tp!-X&o<XYy*^d7eygo$tvz?}^&E&TCocQzMJk*Qc&0ITw{DNz}C(TZUx+ z7l|YkJ{aZ#D?QK0Y92nkNwHSUktEiG?0ZF%H|ln0SKlXi?Wa}aYf)WGG^_L7%2o#0 zgAI}IH1q0xDlJeUqrNn3alrb%#+R?g?{W|SX0>Qfugcetrvm51oFugW;5M#;GpKfL zN4LT7k?%)u&-3VFrIt^nXw^>A;>|b9Ly{&ZEuc%U-h^Q+Llr_uHJ;=jXjWXJ9X0 z2KXyGzJ$SDrR8BSceyk~Pfv{sBvN``z02d4li44wjBPi3R=8T?b+Ni>Du}$?-ags{ zy*_u=jvKLTmi1H*IDQ~vU7vkk-&6O5WWIeHeSf`w*JG|XpL3g%m}Zb&f~uUAlwpVn z!z_VDh4p{LFK8fH2Oh^ZlXY-zuZ=0MLKkW$NhQI7$V-8Nqk({cK!bqRvngwye+W_n zq*&k}1P)^pCkr!MJ!U-vcMCmJ3sXBi8yh_*JtjRS1`AswN4W8+=_#o=Hwik4Xtznm z$cHH@2FYoeX<CUXhskk9Mk#uhCDu<Yid3@n6Vg-T)sl4MQ?pHtl5~<YV{}p~Ol&Gl z@=RccL<5j93hr17=JEgCpHaK9?%z-#AW~!?AO!#K{x}*N3~Nr?kxHPa6(tPZn(9+S z_G`kOf(a6#J)~{gh<%;pa?OTG0)DF2@q^_nwsl&gZdX-P@DV3T0yzEK?FI3^%_M;N zf%qZ#fn=wxE;sCvZQmPnSRyEFZ0lS;DBS6;T7BJvhH@hfmE*3dCDf6HX{yY;Ru^^{ zrd0Zo_I@o*?G?PJ5bkm|MMbb+5F7;JRBcf6vU^FZcTgwDe4&_ko9%BOoLsX**8bLI zu>O;BB2+;v=RWo+@rf<Ff^e~7Po%N{TT?}iH^1Nm$6ZmvL`IdYAPy%()KWKQyN|c? z0@wDSSk3*hgG=TR%biQZNG>1ZkVT)0Xz-w@aJkq~n3?BZ$xitI?@zFj;v!L9stXnz zI4rt_ato#xhXHYf%K$oD-bvg%#wxEl-jSS}6@%wr?XTX%=byz{=XOrqPfPB|J04OJ z1J1hCJvL->FDK`rh-v~hJO)?APv4tYb<3Zil{3uEOsH_GnmEPZ>Q6f?3$ISvC(6vl zs58bU;NnS#V*-JPyhOzjU#4)g8Nq+*S*<~QWWRlzppwE#B-02N|3XHi->?ikBAu5< z!r=Pi8gc2AKL-Je@kC|!Vgm~bLvn8^K=PVCmrjMt{!!#2)^i4C&vKFyb4$Sp<2A7T z!|AG+%CDd*o=m1R`*x;ZOCHo9WM5pdu9S<`>&Yhidd1~`g$KKD^s)2c3z_s;j~xWE zq$Gw^dYsu+?ebpc2AG0oo>F;J)!uPAkgXQSKPn?h8*vROp#r}UUHMP2cnipWRLX<3 zs1Y<$lxsrir1^)kE~vO|+9xz6T$TqN&SfCQv@X8&${7rOoVW>LiTN6x)a1XN{r94f z?V%<Qi~aOI48q@LEu@8N;qCe?m0vSA{eYA(k)vL>a@?==tC2PPyiOdAc+WofOX{?v zakgQrf8dM6Q>Y)uni+`?`Dw{iPwqjiqGdi|9bQ^Rt6$GovU??@O<_z#@)aET#Sgwt zp{4BVXHLTsA1e#WUvc8CQLfROVh<Yqkt5{sxGzFjNwk-<WRxZj2L?NJ>!uCpYmnB` zAq*!Rc;rqFyOTU0nq7jQbCOCTB(<Ah3uZ%5JrQm&YL7$ubUQfW>sjTs#HvBo>{0q4 z`-baPF#=-*!6{324V1;z5dv+{&_HO%r02{Fmg%Hvh4Z6Iwy3~W=lny9s-&ftFqGZ+ zZCG3jS~Z~0Qa0FvP+B0C;pB1UFBtSsL;AS{nPA|23Tn5D7_}Lipt@fNMZ#bj?uk?1 zOv#_mM8`v8Wqaks(jYAf^B!jIWv{+FR5hW&d$Me6uO=Npa%=5;BP+0cKyYcpC8U&V z`zWN<b~IsGZ)+<&hb-SX_jbbRMrGo*z<-K8w=jQkVz1F`%jcdX?&+gbY;8E{W8aAr z-BLxIw-}=mxxqgvN?48H8n#jsV~bMk@J<ALaN4RlR<V<5t*8x*cWSn2Ohh_cLd3%O zD?&RT5R+3pukTV?YMZMgU30v~pP`k!iXM=qO4HiVL{>(6?7<n|_jC@jyn0x}^Bc%= zz0X%-){dimA7?LVvU1*FAdBV+B_~QvvN9{yf3Bv?(&Xh>Stic>{<fcQ)2vJIt%nPR zwT?zExBKjy@y9Asjl=>Qnb|=fpRH}Vx^dQP^S44UL_7o=m$4A8&fBd@u`nU;ai$x4 zJlN3Vqm+pw^xM&o-xlU@IxC78B}L*5u#LZEr!qbH1<Y>$KHZ!swOMk;-TJ+_DboHO zY08iY8CT_SxFI3ewMc^M*U}ZGy&m&S7bJ2w775em#BhynV+U(fB<it*JK;!&;9z(6 z&uEUix9}LlYYnj?#JV8vkj})A#zL4ST#MI*&Srs_g?K28V4X<Y>vJL%GtFI8+Zo?N zsAoNI(MoyXv}xp8p?X7NvMktfG@BwA;MVY`+^Fg`I(CY@%e_U~GC9r&=#BT|{l5@^ zA+A@T27G=cPe7p9Gs3D1fbO;>VKjTejj}gQ-uo@6{|OClXg9!yU8rvTBW|~+`4If( zKBOEDCgN2Y91cE`?aGwsVynX5odq~s_OCs6f`h#A!|iG<*KI*RM&m(vMBR(wDVWOf z<=f{$tyO~nP8AQN{!AGBk-|yKYkVToqGXP=rk(1n)DTg`>8F)yqw11;z7O(0ot)uP zPrN?ompK`|Csm^?HlMoSzJ7%^N=uc<#25K6V<q{h7nfXfd#?HP^pW@g<^ZZ-Qk-A# z`|tAN0(z4hG+306;@8Kg6D#ZK$fJ8HFq8x|*gw@KNW)?{Q8sPCK@#FV@B5%dMe45i zoEU=Yiwh|R_{|Jqa&z;+k(PgCb~uhlxjafa-(RIjd1><p{v6DpP%)#U)kt&TfON6G zH3s%6^csUyaR|nM!LwL}eY^u-?l5~-p%wCra?!Rq#id6VKG%0d^PjIs-DN!YRHU`} zN`diU1OA^r=9_xBON;GY?{XxUO=1WjK#S_c_LO;l%IC2U=BCh{ym?CMcgXgwc!s$f zN-v7B;eEu9Ce$JL+*FGyib_1&UBq)P6L^Dk9pos0btOwe;Xcq@&EsM);hFGL*1H$e zMe*x?eI0ci=*+|Ol$?>DmH=KXUxA^wWZ(6mxv-AM0ry1PZ!FswhJpjR+BWcb0%`Ds zwEi4s^0RWUZ2d0^98k{SpGp-11mmEw@4{!o(@^B<`uE+QD6co11P!rtd<(l=o(kgv zKz{ANgZ<xon4rvXB3IyEArm-&+lK$wJ&dD?lbwsBk%^N|R0F~Q6TGmSU(9wqhr5*E zBB)l)f~euCKN65i`=wX-1MXtCTUk<LLqPzyb2yR7jh)9f;p)4hot)^G`{f(XhK5wc zCAyEA3@(K`Y)*Vm#>j&A6GJJorwcpKNmKok1SYXZyvfNUT`R-R`8X|!AtL)(g#WkS z4IWa{B!VCyc7~uJME~!8JDD5Un;iJScq@xs^Sx5Q#0BuP=BIYj?6f6H3^bgg3nC?3 zq5j%>F(m~z78&w?sKpf)P+$u5FEsD6i*et*>S5n$6TQ-$b>Drud{cEZ)um(_gk~K- z;>*)fy7PIhtg5=as2ojr)ams0urrPWE0xY+IbZWFs){>Sb1+}NJ@FN)GF8P_WH0h8 z^DPmR=BqWG*cURpkGf~1I#FYBvE-{~eOgwYEwBCD>G^{pE4b77xUuEQFD-{%<yT%4 z`*z9Xx2QUk!u@a|@bK>>v+v)dynKJYVZI|iqbBGINsXiog{H=>)mb}Ri?8oI`lgAu zT_-9uz=WyFWKj4ZA2SmGZ$-eUpjO@ZFfQ1b53BobO(KeP#$mCNaTrd`H71Zl-QPNq z82r-rdh9rK5Yc#?YmC(O)h&L;DqW@(dit$H+N}vJx<(&JyW#OiJBJrDdVOB%B}dmc zoRNFIiYd+T!#t_RC~!ZUULu!tzrKj~$Uqdw?XogVj>Y}%CgBZ|C)A@TpPVJ}wM&_* zG|dkWBw8yyIe&=Q#|=-2dFe^Glaiv5lnPD#$bM+))2ur{TE+Etd$p<-8B4EV2anBX zZ9crS7fLucxJ&c{Y||^-km^TOZv!)I{99-O!QuF?-ni91(FB4}(a4>*kqI<WFLH;W zbnkb@1lvv^Z`%DQh1nQb{^H(>L~Ia_s9SOA`Nd02m^KVQaYjF<ZAfYA5%}X{y8;5r zdaX>1pid-ks-2yoLkKuuO!wuBN&HU5^?bPu*Xo0zd|qsR(2JPxR(3U4r}fgeaSn>T zoNH<Y2R!}W#Kpbfy~uC;nZDpaPWt?tTiA10V~t{WS3ygV5>Jb{zOGkEc;pTb2cLDn zuL1Wrx7ZW7Cd%IF^H*V#fT6)qYIh;O)iF<7N1pY*OTuGRMvbW-r;iW`I|6t=iX^@H z`K2=`eqdHM#;OhrpHktgeR1^Zr9#23%!T-_>&sltMl&+&`3TmhhNb%irb51XWHb_& z^hN|kw1aNH^e1RTL=(0KyswHA%0x%S&{SZlsoEM>U%u0$7<3sLkNcWHUYuW+Y9?EB zy}AsZonI1&!D6kGCf2=;+@6ZBZtk*%qupLTEU<I%sB(1~-~Mb&U{;ayc}aACXNwel zA+E`3XkjX$lC~ZTcR(i<OHP&WGcOJ^aV|PLO%pMu)z>{eMpXLfHuUo~f2e)uZKpr5 zT=ol(I#NjVoF!qpdc03yIL%m3ClM3{hOv;Jv2nL>HG9jgn20AlA<m^P@9TVm{cr$! z(Ma@)-Tm#T@W3FgWN2lW{-~v^d4$Qkt;Tlk^X~($Q-y}>MuQU42a&`tz9Jxd4#wF8 z9LiQ$dLLdrfA1~F7j3pJRE6~tvTBa&zP(kFW80*_cs@c2chXK^<bU<kFFp-tZU7rW zP)iyXNU(pbZ?A>2;;234qpNa(eojf?A%~`U)3aZp!R2kvB8=LP#pAPGtG9jQ6Nn)o zf2|Zply8W1I3SfwVF?rNOiN8p8>}Z4b-SSyc0|Nd()HB;<Y!3cw)7h;^yA5)8Y_E! z7GFd~zs~D;g1pG%*u`5xLTw4@=&35hD>YJW*$6S|Xma%F$vZ8IBB~MMdwqD_Tp%bE zn)2<hvR0M}%P=j9%3SFt=>)^r`YEDeX(%PE5PwoFZ1VgO7R^5RNpnzEde(B1GeY!n zFxJX2zvljwGlDl}eMmHcUkx?UDBjs7g(r7=S5N+h3fr%!Bsv**$(QfAr-6Y#iS9gm z-t#!iLMKrBMWS2^ZeHI<KC8sFe6V&1V36<OlPd2T`IYtfCNV`^b88kQ?snl^Bq=$$ zZ0y`PXA{-Y^G0piF+d-YRY>yn*-4lzj+=idkM;+zeLI09$F&@~<rMpCiMGpL<68Uo zlgpjKaXy*ygvl8~V7wPqW<QvPRvwNb#O-D%KzEk-bc8vS$HmICH+z<+`GkZWC(!3M z^Xf2Ugp?DEN*jV-AxILrg{OZOJ^wa~6rW9u1QSb3O*@~iD!28`@pQ314sXnT)3Byf zK@Fn0-<{K=BJmlG#>d2E);!>pJt)r97O-ApI%ZTX{ei=X#nkLQ=lQlyLLw!aJUkK` z9TS;?$5be&;m+&lfLBvrew$XFmQtLqVRHK`EmC~-s^|fq*Ytg!0A`jj?<mjZYMamb z;l)?PcaTx8MH@RMmq%=DHsnQP>}v>*#Mn`Yx5AiJ@Qd=8ts~T9YrKpgLbAyc`7GaE zwb$LL)}t@xdPwK(sUeI3%q$A98zVz%jbpF~oi$=4s2-5XZzx05Kp~AoDvwGLgIo@i zGy;8F&Htw^Uj2V-mVzaWz(fE4s*AvFQFkI&udCiGj0avit3$<gGT~Ytq?j{~+}79a z-e5jb%f%)s9iR#_U^;58>+~?}6KOECCHm}#Hp=_blaV*)<JpAr!&!!n!5#f<tl;Bn z;_$m=EfEOVox5&c(dX5mc}PZt_2`Tb8_&HqCbq+HMAe|(HxhJBz=V4YjaPxuyfsfU zqdDjFxM7b##VI854D}kKUoD9;+)II8@#w_CaINb_blv4&8H?|d9@9C{|14lj>6W|; z-4XM2qt=mh(ODN6jPfiYMYn<0+~OTk0u$y_6+_a2M}#`(DqiAIu=@*wZC8GEZdvgC z0h4$Z;~HE?Pwgj-lF&Q>iyi~YwJNcj)Ld_ire?}nBI<o-^D|*{%m(5d2S<w7*!(qm zLG%L2zUZ|?!Du(?J__+Wl49k`b!ogCnP#P&qEyK$$I8=OY5d)QPjvvQFKkR3la%?A z%o>D+PWfb|yvgdDuMz%PXU2)eCG=x=JbGj<@q5YFjegIIH3415eUDB8HL^_h-3?ov zjO8wL>zeL?#{d$lFa2!awvVa~Qea%Kvc3ev+$U33#;O+CFiE!@<9Gzf7wsrG_Qan~ zA_lWeGDbkI+1udc!yRm}b*ReL%4C1FB(14wH3O%RE~J?r`Th}XMDnG=3?|g>wE!cr z>qxMscbRt(YmNmDon6_Mco+Q|nHX{I<Be=V<vA-cA*N$=W*tYe&jF<%`$ll5qn4Io z(!|Hpqz;u=wS%uoyUvIs9rKRi*;H+*&l8613v?KbiWfDeqU>h}RoFL_?CN!{hR#`G z6WmNttvOgS{YAd#!}9vo6ZhT)X8S(-qk=IZ=-1N3ry;pDmzXScc_`QN9x8_8Unx|C z*2K;=%e307Rs>(sLU16_M>phuDz@|re3vXSPtlayBHzbMZ+=L4m&dniR=p+T?XV#U zqoDdKp=h#ssz@O-dZIO8S_zZ1W?Tu=_TG}I-QA+$j%qY<?|0&1=w|iGyeLxqs|OCk zcRaU<mSeSu_DzXlvB|DsOU`XDKKG{@^kV@9;(}EwfhUp=ZG*u5@`3gqm#)Zi?VY7m zIm!*jiRr7o-YE2MYEFGG3bwP-rC#=+`O8xR!B3eaX|Ruema3h~KkwXf;?s=K)}+p# zYDXoj^0I4GPhm_i#$6?cr>u-8TU{-4xTFoSq(i+Rql)}hxeXG{8AVV)NTv23n8u}f zOV22FQLn|j89CMO6GtcU*acH3HiOUT-BiJ313$MqO*M!L4dS>Q59M0J7!f6@qlyP@ zlcG}m{j`f$!xWD<L^xUh{Yn5n{^Chis7D(^|N4%Ir<kRfX^LPE_@_cfEvvmsrW%H9 zCAdw<{i}XS%~M(8$mZb5dy}c6j=4%NyZFRrP72nICG$b0!#Nc35ezSK+ObUBM#@EP zrb$Yd{H5lyr}1;}{i+l$Rm%mHb>^)o?~Uhx{^|w^`aJj6Bz1;hb4pDMM0~47B1xBQ z1{7RHg-`Vk?3bWH%DY|MVD0=g3cXX234M>ccnHf5UYhPJ$zl_tn-!X~1=DKgJryfb zYi=BJsP_4-h^rGeyqWG$)|ifT;yAUWz0l6({YsOqB&^}(;10Dw8AtpqXsKol)&^*u z!HzMN*X5^^-c-bw;S8b0%Q;EvMWQ87PrZ_9vW^nX+-dpeuCUu=-I7o1r27~!Pu6EY zn>M&KMV5;>ezex~w6Z2Nh|)B9T9Ue*Mz2_^+^tZ2k1=QgyvV|ESHhb4q*r3sr673s zB(KnfJtt_!l{7RrXdg^4mwewxa3>IbptQ5$E_oHUWrLtn73qOYHmuv_QL$#+*>e*| zMa_`*0`=k?qcv*aL+bLh7y7YwxlS}@{cI7`7TN8FWX$&iOTFvD_#$IMkNlm@?jT|~ z#F6nkRBOyuNKNimDLU^sd(PStLrtlwjJ_llCamDoUV-t8egqAh=0ms^vsaKKqp)$i zJM=#H$9j3MpY#XDpD7zOtdl(FSIRH6Cf7EGrGMPVUdaG)U_WJk;AH_`^4A9>j8OjV zD$+PfoU;@w;3!rfBG?TU(uZ_x0H0z`mD&txi%^?C@ue}aqSf2>a~6EqzW1}@BhFOc z+r;w3xhrV)RviPiJT4l^do`B6pn|(IcI&p)KZ5oV_wqADJ*}-t)I>$4zRg`(Nn4A# z9^6JnQ_beUrGsMlZJm-$1H`t!Fg+z^=4OSwq-_B~V7>$E!v&s*r5rkK-{-twX1Xz9 zJ5&TUzP@HOwsP}3Bf42F%gvXn=c8V^0%1+tG1ojrWuakAk9BqL1XXEGe1#m#C$4_o z!T5}nW3Q;NNo|z_&{54*4TLj$XXyQ%6eI}WkHL<g;^teIP6pK^zr=>jLwkhAld7C5 zqHe};9zU1i)wX(fFY4s9ujtB5vN&$wu106yA2#!KfHP-6$`PlFZ>iP#rp$G6eGec_ zkoQaKITeKIUFH*+wP*)jUW<M!EY0;|%DW&I@-*F6pOuP(;WgzcPovR;Wk;r5G7(Oz zA6y})DYE1Ik@{5%6QZ@D9dg^*>cc?h9qpW*+6isl>OAO)fyy#=@;SlCotE^&H{RRV zRklZ;LiRANB=WXW>hBv`CGX>hy%PrlmPfRr`ZQAEOEdb>eaflW6*-Go0Tpy2irkaT zACxp|i(Pk&z$xe~qWNXg*+jq5%mmXRZ&31V*=!%bC70`elFC#6s@Wmm+1o-DS+;D( zL{R0^C(Zl2Qs&2DV-}r~R9l0murFxPm7Cyw3VEa0z_*6n+U_MtM1w_RM=D!x)AUtl zWosneS!T$0v>jcox%MoyUiRUJ;p)1O@!n;vUpIG+CoBc`T9ys$(!1FA3zNh2w;8i{ zPl-Og;8}JfqFUS>dR|5y<FqNB5^i0eG^!2LcX_%pjLaU&6x;7I$I5%D$L)!a(s&?F zdM_ZBdQX%+uH6diEJw#si<7-33Z4qBD@GVsxg)G@S*Qx$Rx7Op!m2lyb?(~sH0Lz^ zBzBw%R(4NvD>`Year1<9Ug6yWcZ0Jl<z|)wTfXw}>ykGM1=OW!S28S*><@jz|GG3h zA?}i~x>;4Sf>0DLuHG4<EI*g(zaf$Gp%}i@l6BVa_{7WkinjBq<<k3hX?dRKeJd+j zpz}E<jYrSTMHy3eKF(h?({mH%PIHy%+0RR{nsPlrI;C#awXhc}xhd^amy}v>?Ro;o zkr{2xy~CVDu}v;j&D(Z<^JhNB#)Xqv$Q)tKOJR$Tmk`A*+P;?BZB+u438E1TIBGz& z#TF8y#kNdEvh$>{#WW#!@p8@YyE4^n1~335TiBe67_@NlUa@Q&GaD#NLZ3)gbHArA zVaB_;A&6sIrU=I}C-{aLzw%-#nDZqgvbiS!<65FVWDCD8<o_e=tfJ!RqBf1Y1Ohbf z?(P~a1b26Lg1bwwAi=e9cXtc!792uwceknUpR1XR8P<CG-TR!kPFK~bDta|lo0K6n z8{=qE<R?yA`y&cuUD@Zcf(!-nD(U>j;%Vr)jN|>mwKbFf))uEUtOjcCcq`!QrFBD; zCZSm#jMI(w=jgia>W>fKA>~{%(n3E^AHN?}weGxa*<rIinC10v66fX@oiqh<`z}$# zN4C<ne~*uU>S>d&m_(G2Mc@k;4RA=r@l#9K!|kwY*J{M9TZUv;J$>k@fVyek0my%j z9H)IPi@2t}H0EUr&pugo1;7l)3;b(e<Sx!%gA3xXdgBGJ@sKdt+mq!60;_EcR<MLE zT-48+X-FxWYv4v*I64J4BL6dW94IP_>1qqgadWOM1Pv8&6>%m;Kr!tmfgMPO&op=r zcCb$O;dMr`0vlIV$%2Zi<ce_GX};NJ5yWc*7<LxAd6cNkbp~$i!xwV794$LkT4Gvn z2X5f-Qc1oXbStHPGhAj?nrk=8Hh6RKb$0-sjMq7bIO~G~DwVG2nJq`ke{m;l$*Yfs zYv0rkI>lFvMAw!_R>iCL1{(Uojcbb0=yyCJz<wGKUDCy>ylwcWU$cFx*1l;7mE29K zb#y@aRe%HAIunN8&$>1vMWkUJ_`m_nAIXVv$anzLu^Dznt(L0tc~y8ObPDyWEuV<+ z_l3n`_V0FtR%GMBZtdj8D=w1s<2n98IL-!2Eo(c`LHjQ%+9-BJR%8djHf7`0goWqk zD&*m`!|05J_1)h~`$E_m^G7y11mUQV*pcy2F~$V=Q-|d&(#(8eM*7pg9-5ew_08u7 z%FSCwsfA#tY33HJ-&pbI8o>&M{cb4`jck~QjeQr)h+Hc%4J!=qO3di)@T<53>f=)L zts0$=Y1+DzV7CT_C_Jl0)I&PsK<q&@<0Rfucvldqy`(rZ)yQ}T`O&49>aqf?>-g7g zh6d`Hf8?~_XqCqJqkF`b%2ii!UVfTv^7-or<{_$R4_XHJfCJ0}^Ty{rFEx_NBTQi1 zz9&}r6kV0@>SXep{Wwf$OTFt=eNncw$wLnxxGfj!=5IhQQEc*5k1^w(w--F;@t|F4 zn*npULuu+hiI_{5Z*aR*7w7v=4`r6gi(nx1jl3;QE%p#AFtAaCiuJbELg6PdKeeep zw^7rOl(YJAhD|~oSpG<X8NKDK9YO2A(*jn0ZO;`ecpPG+?Pf#f8Alf_&~EmH!JnK< zL!PX6ckk5Z_7B?+vRHZb>eT+j7HgsXKzA+KVE2T>|DO>qeVwrlsa4w_$1%l?BxIAe zt9(1!O`QunQeD-<-09wzbQ|Fxu>dk{GBHeSxpga^>q&iKb|<fi)E*ZztFuAV&}6Tg z5D#><Hz%~}h80{f{|rjZQG2m9d8i3}lk8{t1t&KrB_Rgk$R%bubIdI|RtZCbBzH?+ zI`xls{cm)y6|ETf!qUd7?2qR`GEeOy=1!(&xa+v-CD7c?2HsNpM$|>e@qr1BI;QFZ z<DZNt76pV{>L|k4mr5mExHbv(9E32pw0Sx$J^qyQItGTfWeCG(t1zrG)nPAgVoaQ5 zdA>Lb@+9B)*cyFmtr$>mEYnl_-$+zjRsYVh)*$}^pG0?$*!a{TkVW@LBa>&Iu;$OQ zYr?JEaM0G6YXLMv)DZZHIhrNY_M&CnqKJE*Re!t)Y}~%Dy)4n|dKU+EkZ^`H!>Yd% z0yL)hh&CMgdwv5<^Y*=7Vogw-ETuUxsPkNZ$XN`Onzk-@r(~1sXzOC+<KV`$Jal|b zbu~#YcJ4sGUp#O0)`pX(d^>{JxpQIhftxdTK=K8E(L}P(yc3UeY}r-FDyc5#ds5v! zI%Bx<(EgTaLCoF37vT))Gv^sAVmC`l#(hw*)J>@fEGJLg6S&UC#v3x)-C#oGlOY$^ zCOm#c@v7`j^wZD{@RCARi>@I*nJbFMvY$#_nX%d;5+P3e^1)PV6~MSWWFTW@`Vrky z7p}WwUwMD-Nnq;*Itx7uknN0J6e4ysb&DBzzvCHP(;DEp;?{Wi+8N8Wj_YU|%QL*D z<pOQh{9)I~v)q%1!F#%O4Pmw1v*$h2Y2$~&d1}~$aMAyMmj!q4*p1Fvqtn*Y`!2r} z6#jNn)7w!SKvWX(Mo<kKJE^v_2(C*n@4~z_6x|B;;0cmz-Y$+G<#!C{ObtAT=ko~M zB=pjc^Jocl(1hH=JkoBd0)NPVZMd^ph3J^9;8rSK4cy|N5`6y{{ABM=ZUt>Y6U=QC ze%^hAx^e1KD96uTyW>f3OU*TS(8UU3$}*T;hBm;q*E0GNV3CbAi3KmoA^CR)#2lP! zfU(@7k8NyaWc*}Ti*WNVx+?{?jPV``Dl@zIuZ1O5JEfz04isugqaZv!T-gf}C&BLB zBd7iYy;DxVr}tjFKQyPJG2>@9{Rha+J?m%Wzk;FuXc;A}DWeC(j>W?AAo$|QgFiAw zC388NToRQwVz%M1In=FWSDbyQWt!fok$#p*+V`lHGdUMd7TUTL2kk;PG9^gMi*o8> zUMAQJJz2B#tknNtQ9vcS-h<nJ1=X|aduMKKoP;`gg*myH<jt*CsaQF?QWC$%U>Fgq zYT*uJ;S8~bP0H8Q68<V!U_gwauPG_qTrKZVcgy|#*;Li+Tv%_H_y{{)guf_CP_S;K z$+4<_q?lJwyLOefUKvr6n|`mb1asJ$A^9GuQhgJxRIP`0`*7z}En|HuQoi~<+X(U^ zb~?N%cSV;;l4a;$uH^uM*iHDqn8)z^9P0(^w{M-%{8c?DyvL2_NHd+++BjQE3oMY6 znSV5|m>p^Np%2pk@z3C5>N-HglGQb%aXKF2hy|cT%E#P<pUcb$QVOHsi<`JmSaoMV zVLx4;O^o-lQF3n~W$?H@1P_JhZ*$KqD=p+i`_|=^2B7eAW5qrM0nP7dKr`nSEIvWh z&{jMPH<mmP<N@lSIJhp)$~awDbSeahN;64-l@USpwJmgn>_Xjrh4NklYI{B+Q<vML zd|kKV<b;QBF-M$&^R>+?EILbDp2Ob)ekZoQ%>N;n9y$>#C|cY=!Dp(vBA!`K77i`I zZ)uzsyZMr2O)R7lEG28(z0W4^B5NB;=wRIv^j{9*+=4cMU#;vQg=eZd5}L8HmN)#b z!yA%QM`tHw1evMo32j77w!$=n#&8PA_AmgC+hqIz(-{pA#a@K$r`!u#H8wsU#DjEn z#*qNCZ8s#Rqkb+#Y>LMCb3qYT&;P6v)y(?bCM3`{>^w7<QSGO-qg!3PcUfYfu8*$b zmOam(&;_#N^JNTacX$-?KhQNK5COJ&2t&z=%d3eP7G1$kbCMzCEGoi;xX+VT%D{s5 zUV|dHXRmno0#A#xyJw8fNPpVfqIib_#Ee{1)W*0mpQUjrqpCj91<kP$q0O-0Za@I9 zfbHowzI1ChBz%;fAi`5T!As355P-#LYTuvc<xOvD@zHzw`n{wEOBF*<prOy)8}1-3 zn)Y)nN@cGIV#Xmdin-u|9#*b~P3mw-^8WK<<J>Fc+<=69&j3pXh?OKbJTjvPZjvUS z3Ebwx{ktT&{pR2Nw*jUr>!PDo!2GD|t7Baqt;oPc11!J#1hiX|Sv9UbTZ$EIa8E^` zbq0Fji*sHaox@JfkEzIg?kv$I&eb?hA8J$?zkZT<hMEzq%7T1K(>T@?r7lk1FNuen z|MuqC%U0Dm@x>rb179IZkpcA%aek}_%vQBv2#JrJwt6FG3aRSDU}gfT`atExXq3?% zCcFmKgWzQk|4d)FLd?$y)fG}qkPFjAakwUW5y~m(c3ye3uNZg%#mDmHy?Q2ge3RrT zmCO%vcRlV_S)NA_wf)n@CUiT(^A=(|?(I=L2gK1q5HUh0+AZm!6UAZQR~Lrs=ZfM? ze9{9)u=c*g%o+1chj=dajF0FP)X2)`=h}&`_+m)c$YNbjFAf-MW@&?>-Zp*Iu&fiY zRIzDGQFQSP0<nv(jIv)Led=3;xQ3$T@LunO=o@e15Agvqr4?6cH@?PxM{2><Lmt(r zNF>tW(>H{qE+YM;xB0;O1AN)Q5*-38hjG!BJUm(f)(%?u3Wz;c957+?zx-1@)TE7S zKAu+X4q5>$Xmd@|6o@4gka>jj6l(Q;C5zs;eo}Vn3cpj01s2*c^Voa1o{1+pl=-wc z$UN0tYI|aWzt?L;a^()6R=~Ug&8gpA8BN?63zA;X1g5Z+Hi8FYuNVFgC<u*t%zsdH zWhd<}ETS&@8f*8-&%QTp<9clX_oUWDDC{(-U1+r41KvH`N>QdVAlr?(*0SDR;lid< zwmpNuqXVL0N#MSAj=#LFSy0&>)1D8Egma+8Acdi`mrn>aT!q#5U6c=ZN|Nt1+Lj2? zm=O}vh<PlhmJw38J_|><j(LnsSM=o5#2`PZX9a3hAQ71G&%jxoe$s#;FAvO6EgC}T zcV#r`ZH*{V?3BP>EYO~)2$&FR#2VxJApewTI-}1q$0a1UGurtQT?q}@1Q7w+S^53} zZY<|7BPeH!c})0DbY+d0)@P}M)@K5`DuhL-B<upPr=iG-LZGHXL2@vU_1W0Tgw}o6 z39V|Q^~vQx>TzXed!5lwg8rh-5gJvm7aHD93x5N-$10K%T`LUQyOeFFgyLxXjqux5 z8O?oX{S(}<HOBpZ{wXqgQrB)!y(TdJS7If!Kc-lHUceSQ>mk8RLjqr@gZBPnOJV%! z$NUuXH=nQwB54PN8(ShT$|oA59Qw0PFEpx+HrJXhYMxmkGhUa_oJLk^+kdnuu%jsY zx{OgtxCVCYpn-WT6;AYtKgd4(1R7*6%>zD#8g|~k{sT9-`O9UXI%zyyzcl(foBkkt zO=AkRrGk0P_)83V;E%JwKr+zY3;-!P$lMYKe7fK7y!{6_)cyh-1Ka|<10c-9+4C?7 z47bvDjJDDWOaM9s=q#Y~fc^n=8PK&xv2B%|{L?^yC}0sysEz}m`55Rv1@r>YD?o1n zy$AFOu%83gM*yhZ{8JPF8~{=PdH_xUVE|Wzqzw#hC_Oa&Bq9v`Bz;WaK>w_tw1EZW zI6w{pa$F$C19E~ju+w)}Wp{S~A6Mmj2*|N+GCl4``&L??uvXfa2tcC%jR7<c(1b>@ zwZ#}eS-qq#IlZJedA%edMZF|HCB38z#Gq?ba;0uIyO4k9Gm=j@vyxB302XzqQ36BU zv<?LNNf*GG>qrVHIiOU4(f~>iC?lXOfU*I~2`D$9d~4v=3s>dtCje+S<!)2}5C9nf z0{|C*2!ITLDu6zKCBSz8AAm4`IDmA30)Q&}kbhbPv?QJle&2h~@_iQo4maQiuUew7 z#ag4U69BdW*xI76g8*g$$l9Z?zXS9EV01)Z8w1n<Kz2r7D+A;KTmgvr5nxH*Gmk|) zFpmvCGLOMOGmlBX0QoDBzXACN^Oz<CaNt2Qk1avjE9re|r8UF`zy}~{6#Jg=H{Z7i zpbcPh25vAcB>H+0fJ%Y@YYBsS4D=Zo01FrZ8yElw$Z>%j56JO>94M9mA_4~W0tWL3 z2m$y35DSp_KNH5HpqEqwOcIMKpz45X0jdM2KA?txngD7BsO2j7vf3ESpQn=L)ee|k z2Vim?fys6PCi^>(y8!*O-<9wG0IUK01=s^P2DkvYwGQb&C_zQKAOV?+kb=zP0Jgx~ zRvkq~0c0TaX#f)7<Z%S(0YIk!nHvJs0DKexwtMqjN|5<QAvf)767Y5@z}u$+nh;nY zhq(qREW<pu3E=;YdCaiM2y3gui0IM}8MMcu-%1;B(=YjS0%9K9>T^<lCl8OlZei0) z>Pg(rf9*m6ES7*pd_eNa7T9H5i-4v7KMOZtX$LHCsDR~rqu7-^8fdS^1@M*t-e@Ag zdu|o-kAWBnZh&C?zu*yAdNm^28JD^MVa5y#GM#xW4?rw~d2AaXC=<A5W!cY2?>tkF zNIn)vI|r6TJC_2?06YSamI4<z04IPnfL?$@fKO%7&b$Cd06zd~0hR$E%A=iW0WO7= z&`u8O$zrx7`M>~;Tar)nm{|nE{Cz3ErK$CjM0jT51|w*I8QT^VnQNlh3~dO=Ie0kN z(&<y3x$!YqN4}T6^<5#e>(5EZ+2UYmYha;%6<Drlvz5NTu+pCLQxNbj^A_Yts1V{x zs6a0cO)Wb(uvF8%x4e5jKj8%3C*8d|*s9aNtb6+}iBN@gxe4`1OPx->_vVlo(9Eq2 zEZ@8}k;f7Z3yH8)wX6)ZHQef;Vcq%GY?Ki4x0ja?%Ki6Z&^}h;)c^3h&NY`Jt|}zb z_^jr1{P3EUWL6LIwk%_LU&j9<5c%h~<q|MENpML$c|+D$lbpcBlr)K55jByPe;jy> z-iY`jZT-2xyk<cy6M3?@k>GnCPk9<DQ}_@^S@U`tdWyS##B-D{tB{F2V|d!fYqnj8 z<=d=QSuXtjFR7h@Imr>HV<Cjk;FGGbOJ`R>&MUDaRVhehhY3bz-}6SSD^`xNna;$_ zL`=a??weh6DT_;Ia^Bs9&+^#pGca0sW6&sirV)7o9`}#NyzN@H!q^xryL@ZYea`~< z05-z%G;TJ!SrjYP8x;LmZquI~RCgS0rk@ovGaqL1tdUiPUHw<*1VaiK?TcEod^ybL z|Lo%xyQ~f+p-(k5GL@LM=&n|i*ktItSo`=7sS2I-UR+@YxpT5_+sO*hG4pKMZCW4r zm$Di3&NDNH5$RiN4({z`ihnwurh77qK*Cz$+S1cfN>aV<6TxO5USd@HO=vi%#OC7b zB?c{r##>k%B!z@lZyhfaS>T30L1>scW0<}pOY)pK3MoC@n3)hv;jp?<7}~&bHjB=^ z6JokLe;7GnzB>NZxcax?z;_W;w)VeBKfk@CXTb3!@pb<wVBde#2?@XQ@y(O=x#8%J z$=jZ|3d4;x`pMF7MMCje)2gw?EBu5C-`d&8At@nP_7lFn%0Hd(A>69(+LEU7-}c|9 z2n`jK+h$QfU)1^t4Pj<^_aC8t3}srjM&-T5<nXeVyv16y;)9{({CP=BpymBr1M@;+ za$1?eA(K86Zv(p#ve4z6Put|7@6%uVu#pB)A}WZVOJ-qH&D9p;baATH4?q1P`FUv_ zJPH}{!I_|Xtq!41klvaFmYKK`W0F6Q$Qg*3cBOUkRr{uzwzH%5401V@Q;fhv8{Xtx z?2H&t<Imy~mMgZNxKd^!GQKu-;CJy2RFuZ2<JBt0|0PiU%UVk0R=%4NoW74&-Edgy zzfSGMCn`;lK0#z^_OCwk=UK%2)RMa^VbAdJm1}20<bVTzUuT4^(KFA%6e!`AWdG4Q zS%GufiB0NbQBTojMY^fKwzVuzJKTO~5hmMAt2;J-C47$}fPbad*Il6mH-+Wi>Ziqx zcLs++M#ai{&c0TX0vF>;`Mh<;<dOCIx^<4%*g=qMd%}eDxNA6e7>9X=qvW<#G7fHv z-_DU-0USxOO12-U#KJYj;w@+YQ!EZX*P~q)PI`&&rIcl6iLaDG^rWi$c?p#idv5%! zN(Efj>k;7%b1vUpTXQF`cY%2`0<Uzz4a#`LPuFh0sLy;l4jlbrRQh1;ni9U2Lgcd! zUX^0+Ok7|k5=K+ep^i*+$+P0-s5BS|^XH0+fr255sVkU$k-5xEmF$c@7xUN}?CbYO z-zu=D77pntqM(rvZ5WVBtXyNg#`+Lkt1KiHU9P2;Ry;7QM3*vSc+UeJSn;lA3An5@ zc(Ai3=3>M5kWqAubN`toI<Pa6_{~p-@A*Kr57zpIer>@|+3`N){>1`MI(t?uL0BZ= zftw<peyH#(jUxV#Sr^9Qf}2sAX;vshJ$&yIW&6^Pf3y_s)0F#4ls24^E4iWPJd7O! zGte4XuSSPr1NF$LLS|a`EO`;A<4X~@KSom>-H()Sl4?|s*lv<8@(#ZbTrc7Z8Ef79 z<<U%b_-0Efu6TThmsMQ*?c|?lS&83zRcL8%qDQ3iYx2j+L1kj=bI}3n_(SV$upbB% zbDQs%x{``A4ywMb#}4z6_8t@-Y$EQm(YhZiSmGo&w$^(%bQ`F*B<wQQa(5}<K^@Pr zyG`*!0*QIA)t&}PUo4k6%@<g_U=jP@RvWK0#cgshzHH6{dp7I*&@U6$AmTPi9ooU@ zHqUsaC04jT|8KVx$(rxBo76``<hFxU?sCjuNa<Ys6r!a=j!ayCkJ~nE*aNobe(LZo zJU{Et18#9Tb|#Oxvzpu#7Cp`1`d{L@>ms*pq_$R}`Xl1H8!q~>bGnx*b8`L$Nr`AF zJL#8@Osd}Z7v-Xi|FphsSj6jYyp5Jefj53$tUXOt=)p@N8b0zE?{CO_XJr%+SFN&? z=xa!~0Gb<)C<p3Es3*A@1y<%p!_6Jl`3GxH$-lXvj0@LuE`1B{pwG71lBA^1F4o@Y z+{Ti*v6H%_D4ee{3(cRyqh^!Nobw%U{z}ip%IE_-m)?wk5L(3l!t5(_#8`p7PGOz5 zh?G#<I6`Sb<HE1oU}Iq9Xs=VL&Bapt!o$SI=wmXkGEl!GkZrmZ*20%<tL2_jVjgZD z=gmJ|dm5^6K#(GIZ{23h2NTF#o0#4;Q%l$`dZ)pjPkczufP}X0TBn>?K!1o?fgMbC zs50P838!yC#o^PI98>zKz5iSI0I$9^Wdn_{zPT*Sl7IUuwZ%QYE(~w+eAB)DqrkkI z1}Y5M%$)|Rg=4J^>1!}PuxSk?1hKU49VOV!oj<6+nReY1n|50F86~=MCTJ9Sy1-uU zDOsCPMXLs{opt=!1`AY9A=u{$RB2rDmUN(uqaC`oEFuZ-yT&C?oOY#zfdn@!wb0h{ zq%tvHM~?h&k~YSUAaz9_8|Opz=>)ds#hU|v+~-Beo4Bi$NKdHVTa-wnjypWureTM* zvUuw?<Pd{hFENGkv>C~Ih0C-z;y(FGDW;bYen=?VYOu&-P)bhYDigv>PG|fkbeEFX zU~iblOBwim=r!Q%i`!+Sg@#f9vhV(ZP>@<_78*P|9=?am>OELX_$pbm>Va`5Sp%Hy zV^TG`IBa&e;Y=6}jyhbQx}+VgHF%6d&t})C*@jPM1u_;X$e<v~R%!{mBrj|<rtuNr z3m#C$zZ*5q2}?rYb~$SO{8fS;LyI<Cg3c7}>GeEPOK77=LXc8$dlaC78hS8KlOp*l z=x5_56))sxq4jgRa9SRc@5it1+9&d{#BNSSzRO=aoE2*v`AkO)ljTFb(uKE87I&S2 zRtnEU<v{})&l(NwC8XuQy5}n$=y}0K7n7>OAw?u8<J<YS1(OI@S+~DtqoDbYEGeRd zejb8!$zfdQYPcyRoaY?F_o5}AHx4H}4sRojpW?G^#HlGHF6I~~>A4=gLlovJ{Xr!M zoNKj&5OOq`9(>=@6lN<O@>|!7_%bBJg0pRxabQ^I%Ya>gNuGMdd6UEvZ<S;GG!&uG za`A60e5#hw0m7==c%>*DXW8TXjie=h3X50SR4pN_yk+{eb15eiCzVMYtWKe}5Np4B z&{Q+y`HXd)%tUHT<L_GE12NeuT3*f>zJ2{}hC&zMigi89K2Sdmk!{)3UqqMf_<3L_ zB1Lx;A*L8v&g7?aF>)0xjDc#G#T_{6hi&`UhIW-tuX3PFj}?zl<~ph`q}=*8T0hH+ z$2lwD@j?ye_df02fxO<uOEz!B9|S*+Qyt!zNm}4M-oUhPknsePkMwNaAJs*sQn9hI zxB~f{I?la6v<9nxSrQ9;2L<k+;o=i;n=Gg4(<o-JAN^R~J+_XiaK)}O20nw3f`4ZF zd!itsmt`muWwP53P3YTFD_DN%BFGfBw~)7+TPPppF!@Y<>MS>Tt96&amTmG(i7J<4 zQ1$-Xg3#lU`E!X}#_!P*O%lOcc5bTT9)#O29^KH7Y`Rz)!tV=>>2(Da#l%H9go0lg zlu2C{AyR~>o*OW|Y$#6|lQm1#nUnMvFW1Y|m=n7*jQFF+4#_3!Gc)dhsJ8xHc&TM` zk=*yHU4xHG{*FX*UWP5X*!#LKgu&dSDdZ5V9j!b`XyLwC^^m_-Wxt|J)6%;6&^oNX zM2Es1=>|t*%)rKHmClu^JW1uOQXs5)_S=f?*$ogt)0>An922(VXpRZHHh2^vEO{X4 zuMxqXlCGr^pAu>?l_s6co@Muy+wg1U5+9>vl+W5gdpB}emG4935A%l<mp?dujdO*Q zUrcMq5L(CMROeV6y7jc2QHxax$fN1hCii`9*J!l(7piYVJw&ZBSs8Uj?1QAHRPic* zSXNmM-cOc4Isz1Kd-5<H&}U5%?`Dq1)%Rp)7jO28A(erBoP{KH>;=14Dxrfn9^cdU zKcb6&3Qege*NdJnk2vC>%hYCuO>}27wYh@uty+pf_2t3%Vl8=~E?9Cwn$KNucdTCj zW>sm}5&eEvXYhx4EsQna{^U^%RUFF_R$r7;YqBO=n;qXY!N}>UcEi(PqvtMo9w{g< znaon*QkOM~r6yEY9eAEd)8=Ye%{g#TtTAg^EWO)CyY<yjR|+}&d7IcG5elk28MCfJ z<?2*qVO?hXd{;^`GoAx|A#veRJzr+h#^JXFDbJ^L{)IsRY!I?reJfT{W>Tuh_%a{2 zd9{satGYd^KCF<Ak?FrIr=fc^gS4QgDZlW>SsZtYd^oYb)6a!B=H1_z4iA!OV~{$U zlRFR$bYU)hUN;?(wqUi?<2?=-{&#I>rbion6eYKY-&FY#`7@d$%cb#$truzbujH)g zJyL$2c|OytypOtH=6F--Cv95q$;{(Y^UR3>1q>W|yR^>CDIK%_<PKusG-y3airS<j z;zpFF(pYZi+|hN?j-WEHY!!8qfT4B`T-}RZq^M2;w5()`U502?Xd`C4($B`-J9NLv z1ONY7>ntsN?nZlrSBGx=uq|ssgPkS#wnxZK42NFZ9`&Kvl0(<bL4Ry{;3$@H!TEa; zp%{w=*$!l~Gl@4HG9E~M%<m}7POaX?fa0i$5zEV{h)|NnvS5V%V0IyEC2NvYg>iUB zQX5wSPIIj8)&|GMOR4Bppes>Z*M+HKv@XkFT58J1y%NmRkkEiHH8iWcGNO&TvU7B1 zw_7=M`gWMjxJ#&>Z4>a!NWfR&EgUF3_GK!pT$AXlp&8i$l=)f6Vb_0-w<n`#R={C! zhyKj_pQ_7zi~}PtJ_X#0aXV|s=HXUNe3^o^NqME|$^_PnPc5%9UzWYY*21<TVfT}_ zNQ3!4o800J%(GxaG?}muL(1o)aywjIu3DRCPUNAV<%9Q>&y)vgm$xW;cGH`1Bcr#v z`!}&@DG_sue0U<ZgtR3yB<a)c@voq_91gzM>8A9vZ8kHGU;1=XG1a)B6BhJ>8g|3X zFlD~v?&(f#_>qA!Zl0Y%CS1mn+39qab0aU9$tmCO$jG~{&t%9|HekWT?s4C4SZH(9 zw~U*MOJ%&Vr75WWYJ0fstfz0x$1Ij}OX=Cq6J4SSf>Be`zLCiA;{`{V6FfEjV%NCO z+yf`{xZYsF6bo~AeRH&1q`mL1#yI7zJ%Ty@6Ta9Wlth{t7HwQHrvXjU5BZYacN$;U zaE^m)m7rJj$;nCIS^2}aNKP7L$%CLu(f3q^aUr&yHWw0x_@-cm$uIZ51^T3xi2VBM zuM;TdaorjNn-(FvGQm?LQY-RtnM_s&?qdc@jEXp%A`hW<txwpasxV9=Lc#^ANFL25 ze9|-5>)6?&WG;bO3|0=M4TmTq+kMw+T$Q2wQI`Q6Mb4Kl)HC^{#W+JHh#AR}l2N+Y z=`@FhGgaii`ZT43zelfJ#R5FDi|+7hPB>t)*R8!{u8eY74wDoLIG&eC+eBxw7~Po- z`VXhviovT>=ADrB3NzMu(UOnrjK`G;GSc$d_!a4p4d*JVZoJU*tTDM%hgma|<i7Ga zZg#`f&vCX(GIbPQBlwgcB%MEXOafn-F<t#F8%~Y9nstQT?8ecOqG9#Z2F1#HQNBq! zN3O29Hq$dF$-*w0&-(fjgNJWjV3>|1_Bpn34v_e3K3m(LE2NeYX<N?o(@%eP)u5SM zZJo=lao^1TYWvx{R&OR<n-Hh=>rkyz5O^?q#FzT({uePz*J{{fQEe701DbkH{9{qC z1n~1z@g0O$9T=HE;g8iK^e$EK)R~<QdQvKq4t@qjKTWkyk^K)(pNKEl>|#rn>gX=8 zPHkX<`f~W(KcfsVT%r4smz5}u#rN3T6g66vJ)J5uv3U)WkGCktbItZA*{A_F5&`Cg zS_V{BlI~1q9z4okO<-OAj4-4<u3(3$>aa%*#fy(>Y*$_B2Bm~fg&BG6v$Or72X8SC zb9HnBl`#UC4LpA7(CJgwCbM%I^ANDEcuVvpD&CBpx_6Oq2lX@NI_;t5g)@dhj^rd) zeYq*Q?KXC>|22PV*ksj&e6ar&|Ga%-`i>*ctxw6^S`20j!gJNHrlh{gr>bE8yLW8i z-RTpe0Pd&DfdUS9zIK~0i;alGP1TvZ_Q9J|`or4kD?9FD(+9+a)sHvYlWFXH#hX8f zR6kezfggdrMiY~7gAW`3=sd+REfD{ifx%Ew#+MJ5e}Q%T+}<3I7jl7l`>%ZpNxo8P zlj(5JEj#%r;sL?iSl`mT+42F&+ZqU@247&fYECLAENYZzI@*TK7vdItp^p5;mMnNJ zcW!S2SN~d29FRYOSN~a396(-^HKk8EP3`->7>j8h5NBFHtn`0*`my?AzFIZ0E+I&N zI5d&kR;naSeR#ia%1)%F!bqaoQ9J=^1&a#jHOH=4Ee&4Fh%K4tjVM1Qfjc;IzbmT= zGacsGEw?KPQygYYfZA%cgc%MuCoBnL$Ed}4%*l4XJY|7j*a2sPufYtF_DHK}H|b$B zU|@=8LDEThib0Ovl?`?n{W1i-J09#X={q$0evK^_n?BtN?(tQhd20(9m{m8oYss9i zpeEE1;@~zNyQ=y~9J38>mfM-MD)QBz!Ljb-D^=+xv+C#xM_#&>e?tWGz@DW|RealO zfjLVG?|x(0TMK?Jy4vsk_Vg2MKkw-xT4aaQD39-mqdw*VYo9!nIhcGcB&J((wg2~9 zo65}nky<qS`Ae|-R|?fd9N|xmUij(9HD|uGR!aCa$BMCjl%=HEn#sw+IBID_70;jP zKR$B;4eID05;MJ=28$$YPijh>v6^=+qQalmvH;6~YA*6B7tm5d*Zt|X#nnK)&7aQ7 z2^h`MwFzOB3h05i>Bdw`nyRn~ebkvTocB}@m^vj86DYYVe?J{*33iv=QGe5}xq!I? z?gCX7s7V>7R$E*kr0D^1Qlf4@kL!ydtol`4QlE9&gq|SZlfWjUAzZ8~<R4QEA5vV( zEOK!zem1QO)-U<}ujY-?s)lo6j->cWdxqFH%QWa!Qc1?xvxLh_{+-@xni7XLz6TLM zgHl=Tow<}zCjZM*1lU*x6MI}IE}TY&Q*`RuN+MB0@|rU4ep5&goGnpN1??ikx>mqO zx59znaxhAK_Df}PaBCJvc(5SBn$`ww=D?HFJC@^&<-ohq5kEcSf;a1Uw)B1bY|Trz zhu7TuHom~(FG&V#4(>H)+`D7kWv{z|mc2Xot4EG>fZ~oDLBDsqG&bxu(W+NhZ2SJd zeUvz~Z&iW&xc=SJA79U4e+@vz(a+N*TIX7Nb22x*%DuX0OV@E;H^A|Y7t~pIIus0p zyw!3{P}6W4MhZe&kR3Ot2(D(K?62(Ner{5CY6~Fhu63leEEhz|{K6ikA|`70^Ne1k zioS~@gX$|7_(iJ~nl*iOxJ-!%52ifuR{KSnmx7x-F38Uu78-Uf826q`nK#ugnsYIG zONoe_;M68fQy5?S)!^@JKq6&KJ}b!kcI!+tw-!Qs$%j+6Fuq>NV0YFop%TY!uC#Nx zfa2H4!lFJY%hh3$nseNktPnq)_BSRDdX^h21$w&Z-96%L`esDO_KYN*zu3gtDJp%) zd&$I;UsXif1F%Ob1o>@FDEUAm6+#(V!L8(8vC_ko^YKjnRnn?|>~e=q_47KX3J_(I zX5R|F8eGg?$zUG;(W7;|Jlv9@|JJfkzVcVO>MQ>g));|MMiQsCTJ>cqe`N4#W!N=! zoCUQ^DK=vgkB_Sa{e9e+t{^|7OuCyYePWBZtMi=3@Yy4GTrrihDuE!q<Aaq=VraV{ zaD9tYkjtlvTk`Pc)I25JY|l>W>xP_O?GV&S;pBojS@7^_R64EoMouey@N6Wd>QmY= zh&xV1{&`&Z;KeC&^hueLK!{(c=ry`==0!*+i7|jfP~`9I3LRp2GrG5{278}WM1&we zhjky)-T?8WY=(%G71J$798O6Re)4^(v}~enG``P70mtvlO9Moih_iCcpNuOtj2i3? zdNN0s%H{>bbXHc{M`?NHd-fWlmt>J+!$RJqHI#y$lQ5HM+R&O`>=S4Ny%`-{J(n|N zl*XIsI4m@leyf$0|5QcxJfXTQlvb=v|FT+9>U;aHLzyw6N-UJkL#~(D4kIv<8PK8- z;E&%fcs84DE3Tp@yfZuCRkYnxM1@$b6?Uy1m!oGJ{&S2vE=QZ--^90PzPKEHAW-=0 z6_@cRoOg%*E?9cH3iZ21#Y4XIbPW*bJ|s%BR009XOT9EpHR|^vvJ>n$107(goNM(s z0|SD8F|r4?#9fIz)SVBx*qTQ7@ijiTHk-Nl+VUUX0|oF)Q6|M9T}QLFULpN&zs5{O z#@}qeQ4_*RZeAa@tZ(#7jvA=J{!mCKuE^l6qdwPtZeF!0Osw!~vsh(gBhtZ0zVN(N zbwFalcN?eCamy5X=JE(a$R)=#Xu2W&vkNibUbEoxCuXNuepyn0KryX!BdkRJZ@OFu zo~^^s1W+M?!{itBwpm`SO83bu)pM@*`KRaGnxqRaSFecK60k}&@Te?WfnuXd_r+|H zfwt$vLgRM<#|0E`63^S%uZB_S?!vc+5h7%RF=-@Tw|BYmCKh3FLeKY#U)eZ-8d%To zK=65!hS)E)JLwCDTEMZg?6XR~1=Q0-L5&1;{_fARbYY<}GaoS{Z|#pZY0#dTI*4Fi zi?rwRu=DiTL_}}N(7()GYGrXyiXCSRCoaWO6GV_skZkDH$a(Mu!yr*ipSmS-FkLSU zfsja5BMi(=(5y+@bAR}Hia+k}THEtFRM6lu^?5rCZ-I)+)H-6-QHY<XBfOp@{)DCH z#_Bsf*8;>-m-K<F(q7aqIx*9@1JkPGz-_9Cw_0w)pC$9N!#Sj;>haY~m0d;Rsu69* zeoHfh+)=L2>iY7(J4sCU<T^EiURMe-ozF41v9*;d<Qr^g%Ih|)<f`}ku0e4QlWjod zs*A(10h-$%)~a#QM2Hn1$Fod)=?G+R4N)Yj9Of2o`TIRyt}Y7M2-EsY8Makg+oseu zM9@`Bb_#j37sOqk#v}s0^a{AC7e<buC!+UAh=oY_#X~JE6{g)8Eq6F=#c%bfySEq1 z<z8%Cq4*diro;KALfCz_eto$$fu9{gnQIWgRl#8xcaT8Z8zuARi;i06(#aE~lBjVF zoC+l?RhmMawbkZ~*53h*wUK#q0sZ<WL4sToj%Laj9kDD)C1HnmXfsMPVNtuCpSm`b z8|jitA`Y{E=F6+)VC3(kfl<TPOS4GwJvgoth88R7Zwu6!CZNWRKYMkON?f=>Am<B5 z@1>J@S3p~tGC!YuxwXK7r|e*1O0o>IGRMKLi>L~7HvS=uqEFsG&aNq9uhS}}(d3Ym zzmp9LJ1jt}HVYCIkjQmUN-<yrMI8P@1IIpDlBI+mHURG|bw}RDDUs`OxxZkT^EsbI zAaHadHDDTb7DObO3F)l;Lx(?*`_Kk@*Ng1A71|1V4JG9u;xGX3Ni!^oyW-v3SRgt@ z-8Vg^D-v#WkBpzsv}CB_>9t_9MekF-YC}czh8<`GSzIksU;osfnf^rF^_#m}oo@U4 zb^fQxscPo?+j1oX!hCJM?6T?fvq>s4e!33sM&wy2FY6x)<3PV&nWzCyz6ksE<X`n; z6Lc4(-955rp#_RlO}TV!q4U<GMU~J2um5@KJT@_3v-M?xE+R=oF*{1S+gnXX#lYVv z;q*AdB^;HB<rkS`453K1C=zu(dursD^kIpF3FCY%Z#k6s_y4;t1}W29&?Qh;LKNly zTT9Es%wjNE%;q;MisXY!#&TkJZS^nS8F_e}!zTvIl!hY&`7rTXricfWx{vAC=l9kC zoeoI)v`v@}{f)fPAaMorGpjUVIE4!mt1$xsHuI%P%io%BPXy3UU>}0tQgSwH`_mu% z5EYD4yKu;cCZglu1hvb>)a(3$;O+`qRgT1r4UxwUE4B07m<2;~UxQcW;fJ1{rK}Hl z659{G-kxvp?S>+Ol`b>%jDJ1Ys5T&lrN>yVw&GzhqE8ur@b4Ch{U+r=Cx2a+_(5Q1 z{$-t%0s9}EcH1YOk#FIxVjA2qDAg+N{1SpW*T$QQ6t`s1g14amvlhpwtQYr?FC;`M z0MY-u7Kf(;1hMFLkCvUM1{<&B7gFHI!kCryKV|1NWo_0Ws%WCAD(6eh*y??=HG8}e zxd2^(ph~{*3nxnD^n)*uWh&<;ZIs{)UC;LVB+>K|18gU{i=gP?c9lz~{)XL>ZWbOQ z6RrN3>a?;vy<vssMw<1s<5{70&U}H}H!6ULTGq4aGr^h5<Jhz4*~2%(twVRMP8#XA zrZn1Wr*wD8Z~3L(u1h??Ay-MBb2;X)Qk!_;y{?clObG7P|NZ*Mv&%p<`kYu$&}vQ0 z?u1#{aEbgGTlPHaaJmGt^*Ny4Wa!(!cTZNWMS<DBQ-STTukiD9J}B&OGz>XUhS~ng z(yQ5!)O{Q^QKj9TR7@5Skp~&bbgts9ITy)%QF13Ml1|4iO(x^Jn7B_$Kkx)16qn}u zf_<N0sWj8l#~>_PrwqZ&l*J2!xTV>|(<^vOp?k^f(w36yBAts-R#hZe!Vc30IB*w} zuBScAIm5>yjXd!Su-A`=BF?Nh{v34Os4@`rm~faAyb-85G-;$EhLbr5(V6s4Yi(+5 zX$y+$N&If4iVf%SQlir{p8~HAmgO%aU8{C}ZmE;;6}|fTD8Vn*s#wkMXFC164F9$o zR7B?5Cn7Pe(Zr-nBm5>_-XMUpZvYYZxt!!{*+bt;(!=7ApMR3r$Jy2yF+|IFQvu_T z0whhItCaz)NBV$KqXU9JI996vZq`SP;MKF#p~_Xb-}IlW*!6Buci@2UjqUr<xfQ#l zh6c<EV>-0FdPqM{RZmp2^sMc>JGJ$l91C=dLx5-he&|_mZ?n$P`)_K;Mg0?&#B!xN zk|RKK7zlc&Ic*;Gbun0m8YmE3w|W{-@Cq3YM9xQx!g2cfoJP$W&!SaEBCvR6q|<_B zRXm(&kmsM<HS{!IZ)w?ZFOf#_flEct?bYyp{(I6Q7o>SYIL3q7FT(>iE+3WSHu;Gy z;!(BxRbZCQ$eGA9^yb|UQHi|sDv?K`02Pr{Web)Q-v(!b2?+{$_;A)kgTUtR0J&tV zCT{k3Ta;ku!MB$E!DXK5vm?}S<H+7le$XxIrSwuI1cR+*|C;@BPv!l^W(=#@7Jn(8 zI0diRD+H?g*g1rg#)$UZ4wMzaGCfB9diGUHUT86$udJ2iew%uy&N5_E?YFF(nluNB zL~(^d4fY+Kd<pVQY}npH9o`4_E9#<3ioX=i6^S1@YLSSvft{fTK7U}^{PyaSF@AI- z3SMk<EMLaS5X>Wy1#2;ulQmi$zi1Vhi{;eVV7pQuE-XoWjYGG*tW63_5qKc!LH_Zn z>R{}>!8bUtk^d!Trsfk0ZT_b%l`H!-t|(G|z5{qJ>I;f|{P;U^-{+WfO@j94_a`K$ zb)QUv+=9Zge>hz)f5GLs?;lZ7G;N41Kj`!~3b?;8AlI57N>sHlblW!BR6IPb){#(Y z7yM$URY}Azh!(E7f0XL*6oJJmvO!BB6{F)nGWQK9^s3v8C)Btk%wvB3vvU8gdOxe9 z&}}<RZRrv1^+oV4bz{RVqWDfh-eosYC$P}&VHw&_c$j;O|I^9xc%$l9`3{e~xFU;E zc|)34Hkc^o=caVQA@0J@3oIy<V-|6p6*GDzIq<Y%)n<JL@A+=&7TSd|MejQT(U@5z z>7`9MX}Y#am8ZDEDmHe*V|~GDP#a3~UF;bsKXry#WB;T&uy3w1h_8b-9wE<A%N~u# z``kuyIVvMK^!waJx`w*0oGiV)XR5tHOB%kCJkU;mQ3|12fAA<`j<y_pBB|Kw9%#K2 zog35M9a*ICNt~Q<=bN%NZbGa*%td%dk8!0Hy@{*PZfo_<?<vMbTNE_P-T~VZruM91 zgd=zgJI!|sm4ceOoKN+A1U+clq_z7Ov`hW*8*D^-r8wf2Z0^l+(fe)Jy0vx~vf}nR z_+PH>xMPmzP>Er`+o(ifNaA4UVDAJf{sP5_&#V!<UVVeU#26kw=C@O|jjEAX|Gtk1 zxsZjB9Y4K**mLf(vIjCu;mX4be{&+^3bdbWwNqv5BmeD1?@BV&`n7Yb-;1R;5MS%B zJq72pA3gFiteIY^NWb;&R=0n9Gk;0Jh1w79B8Yl|>;XH{>?b=Cf^9Q30v+pNQYjUP zs`0Xlwn;ogqBk~bI-BHmG~b@3_G0oiXSlG!z(=NEEwUojDn=~uHx~p#y-nX%(``t8 z2^>THs2)AfM@B!-@|tUsy&|Bv%cJt29>MR2KhJL3D-2(eG&eno{Talmm@%zT4l?mq zShgZc&_G}1q0g{KrmA=)hk7t!!-={BwW@k(<7T%|hGSNaDro@ER8Zr5Fg6jG_qxNw ze)dVKM?gwvVaa4lAiu4hEF7RYp=5qe4GLscyG!)OYdW~cmlA3iX)jWNGUn!6zPJ9- zrYUX}p87ynk^DWG>~!C1C|fran;eWjBo`M5wLTSM^7pD}LLpax8CuQO;ScBf>$2su zs<|-l?Z$@bQTTB%gMH1W!VJZS7<!j!G0%ivC2ZMt(R{As%E_m;Lr38$6}tZR68^L% z)RA^B0aGbzRQ$H>JTwN%D}OiSamjh)jV?b7O}e~4hD|!~VY-(2RVU@Ktag-r1HUs& zQ^|Ac(G16VBB)0`H&cXA4*veEnb^;^?DhMf6mOOBMxqZLkKnCogfP6F>W=yX>weJT z<bhv+$0>SWgK*)8%r&O4!PR&2&ocosQT2#COF_`E;}*SbCfFuzS2TWCJUUgdgalE& zZLa04R8I*Zp>BmO;&<wd+QG`5{A!=4hUxmI`;C#bk>bvSj!nqFapBoKPdBG>4P!Rm zTbt`Vz+v!2+7}c&JWpk=PV<z(;hSbR<djSLiJPSm^AzD{Lt8($kiY7HWG(i*zDT#N z{K7mDacP@2#)m@L)$28I=voUJ`nPW}c%sVr&A_@`{y|@HE{);jUvIRc12p$L2>GRI ziJo)QFF9^oj0{`&C{>b>$^Jp3Kt#-G+Nr>QB*AwyK+)gjF%GMo3vGoI?{K4J_|-!o zU^i8>Hv&SMOOMJdGS@ZxC%qEYqth~W7L}g{qt+afy`*pC7CNRr2c=J`*sO7fZd+71 z$YS{;SkvqyQb>B#-J`XHXeuEEyww6}?(e{$`P72nf;w3x-9D~wx4#jb-Y=r+E8Xpz zkTxcU7~jLmCYBmtY>ioVEF15JEncRb5uefxm;E?nRav~WT6#x$zXLJx4E--rRI66H z{u`uOrkWJyBlefP^-s=`&MEvEYSKoiqVzN}x>kZ)a68R{Tc?Z<4VvMiQ_#P4ZUu6> z53Fge&ubslO_pSvz}l@_)%yf^6FAd<5TvSARv`lw8msMN-AscZCLFiot7ir)ZUj^C zWyKb1v+n=egReyxZx}lha9%_Ttt+ns(Ob*Ke=2Jr-hXB(b}DUbE0LV7?fddzx2$w7 z;XxNCQ8OgruhKLssP*hA`DzZ&vG`dypSMgz)Z+(@g}l$+f?DLyFETQSXbdSsNhqk4 zp=bhKQere?$Z*FE*;Fe+HGlKmV(;9O63T{5F>q#FUUu^GHfX189n2c>QM|?dpS9^l z3hRuu$$J;fXnBc*v=_EzCO9##PcN_;-VIGp*58lZo71jOzvC9f!9E&;8oibIw^iFI zvbpHztsaJCWqvZ1FZ7@nSMv&Rpbhz2x0FqJiEm}e8w=um{HE7}J$O2PF1a?HA73gP zC}a=I(%K|6ifpeMB?oHONN2}M_o{1=ab@m~#P>|1r_Ir!ql<kh#qn@J{B5%py?~3U zwMzuofshc=ISt&cF6m8;h>u~&35V~VFpIGK+FJ%@Fo@j3Wh99_q;S%#%&0V4QEafo zQX%lss#ndair2w^R90LpUvCX3%@P0`NhUjL9T$7#+6qwZl2p|sylj4=U)63p$a{L( zMVmpQF0_}wadwr78<pCVtqlzf;wvxB^|#u7a!WCv!HZ7Z#e=;a8p)E^STnT>oW$gA z64$p^GM>8=Goy^=rMJ(fj4S}2G+jNMVNv#L8?uvWFmKB$6g)4u8SJ!694JwW?ksDV z6S+31K2bY{cmO{VfQdJ(hmvc$tuT{LRO-?=ei>sd8QI~8!`F}Zuvl177^@V$gi#*Y zx&Af2xkKNun|lV=Adale_;vbw9y;UQN_OB}=lYZHh6D(5ZP{!5>RHsk);iLi>+85E zSzx3HQ@FhNwTY-l?2vRDX)zqf8t37u@y3dvZbw8McB|4G@!Lslp7HAQ4;7bhluxUE z@;EE(d|APC3=11Ptjh&hIM7IG=(2&zG!R842^0;9KX*gIMj9d!g^1HjeiE&*c>KEh zzBn}HKt#`TMYwCS>4wcMr*;v#tu2`C4RP8Gb0B6|W6JKtg!FnYei}-%T!|)H!U47B z2^uvuObl%EsUei$_?O^dwqfG<!{F-#g-}4~J7XNtZV}ZA81<n>m+n33T75<@D7>b7 z;A<}FgD?&;<$z2W1ctk$Pz=1w_T9@m<VppUKu*i*WSm*^+|9ThzHByQtsoW)Vz!2m z?7dCASVV|BP+%3ywV@UG)70mY{KPwGC5x9Psp`+Ycwsj<KZ2JRYdSbs%;*ls@TK4& zV4nHivQGIb$#<k21r9#Fad}j)`)zIRbB)=?byIly-s0`R<|14DVuX(Vl{~d0sE*8n zm?eoY6HYvShWO!@PT*!#w|ROF$qPf7Q^gjJ%&`$<w3*_jsw89ii0k6q*QSZ{33(G5 zFYafGq&H%23w`I+U*vBE!jXpKje0FnY4Q$<#Kv;mj&{vo)XB5h@t5_?O(8s3*b6XD z$2xU$lEzCB3D75Q&QzW@ZYp>Yj%R`s`tzCS@C>bdG`Zv|*$>Uadxn2F&2%e^vV2XA z=eA8{d>ux)rVEW5eEo{!X(L7??v<a(P&-u9a+Y_k#tiNnj=CRrfV=eiXgml*^=-l% zkF6aT^cqF|#Rf4NW}%umQ$T5(xdEq~Cr(JH*vScNykMZ!@XzNYzdOrOu75ILLok+V zUQnKk*+=ggBx=C@+<O0f_M|Kw-ncvQ&3dFQfK+J|%d`inHB+M@Ya-H8=q(zDOosU0 z@14+?kgZYJW)YK7JdZSyjvk@L0gm(C+B3r!9`4wBec9thlnz&4<%{L|(x<xz&$g2D zD~>r67Pc}Tw&k@|c%S7JDg`Lm;BqH1SNBF9IdVd=;P-+;1U-4fZIGAi5bk~srWma2 z%WRf4+L+rr2JD0o<}$C_PBil(w^GVhL3^XnWYMk$D?MX1gGKwcJ%8BKOGlp8Mh5g+ zjqZk0Ica4aG(i<56Lv|!$8!%48m({<Q?=3PzHYVZ22odHbRJh7QEs#G?!WSgmk~u~ zTPD@NrCe+@pXE<qzsh|vrC(y}(8uZ`vza~(Ln5R~NZp6zJ26r*%AJ(t-`l&)X;vey zfqA4~bUiaI!=Khx>*d1x8%z3QUDAvUVo<y4e*tDdnZFV8tIEZ<X|JDYH{tTr#@V+| zFVcxZoZ#m+V6O$I-JIsXL=O#gDed8vP`nkppw2{E$QTPbCfilTh;A3UtgAq-1$hSt zg$xXpn-wjZlGA{MZ6ifC>F7p$%d%cW%^q2#<V}45s8}1c))>Cn2$LD-`|LYC;sOhC zO1Y9|4xKiemmPKWhU$?j=%(9zpyww|{22T>(JZE{6apS#XbIxAbj(?fO_ipH;%e*~ zngSzw(uk8~PoJx@)|aCQlaqzDeRL6}Abnqm!!^a5;1z!^ytwPoiTn+orYMpM?ECkG zJ=Hgw60x=kYy?S(IdP5U@U1+*B`2FZM0|3IkFPlAg1(vjd6{|iXj@l0%32fjqnB=6 zbNL=F=I<`>z$(8#O@&ux7&s$oNiRH<cXZMmFL$ou#{Hd~TIpylD9bCYBpp~&<X!<z z9r63hQ$vT#Ljtt5t%6hGv-RR5{m1U&Fn1sW0PXN|QX+!k=P(bUq4Ip?=7VtJ8}=}& z$;x0dBsHJM_YzNzhifO9l*62+-@IY>CI8Sv<F1o23{O?&7ElaO`2(WwfkAm;GkJ<e zNa)nRMY)H$A5}xv16T#J;_)Y64#nc4f-io<vZHjogxr`S8!J<tqvTXNHSG+HXla0s zs^34Ryye%uYMY_D{nOYeAV0JMta<)J{IeM;C&#X$d1@KnNU@%Lg@|!ib{3p$SQEgc zN}@)-=uTx~fNwl+NUQdm5SG0wdyxKh)7zzMAFfIICCi-i`YN6AxjR?h1En0|z|VO^ zuhP;mV#GE`6olT_fI9@N7(xrbB~9hpuNy<*=D>R$s=3DIu&xpr4|%a5&poCNN4<rc zc0Y^?C(&q4)%aE7_S=L6oGt+XTsmwI>2wI%?Cv(2OD$r6-VA5|W2^}+At_n$m|!<& z53^uMF3yMaBRnN>VIOc*h{AoSY=IWeuqsbJ%g{miiXp#sc00bZf1^K`SL#p0T8-=p ziU#&?%P-EaMyGH_v!zp>QpW2gu__snk^Zl)*?clX)W>3EqFEyst5kr^4OD@t*GjdZ z?*3a0+rMLTg~q1_`tqlFeIrgsV(qh)xxm#B31_|?E!#{i%EUOQXVL|TcbhFI_oRRH zV&qjoJ|2mGA$G1p_@XO=7&k^7WF+2W^~o24Bp@cZ)ri4Wa2i?uH|6ok0J&FWtcV%; zGuRadX_Yda_D9lR-qm@;ID1TR>bViD208ipjTrV3_E}bw6ft{SH48R$G6itD%mzVA zV+7zQiG<tO7VkshwQ<FBwjJ_dO_-3nn37k_(hFi(QsjS~lFbsf;B+PZ{+d1x-p1c3 z5LWQ#g`Qn!LFkVtpRlO;I#}7!-k!RpIxh#`RB@wnJ|y8(PnZ`ln45i+I3m$QTW`i| zzQd#pFmj2_9MR<;*#H?=Iyj`i9r2olm_szBm6tmungw%N_#d~+HcD?Q6evb{ZB9z4 znYxP<Td2d3PsE5S@?YmrnO}O~w0cu(vWj)15i55rruC4cIqwB%d>p4B^~aF>m<THS zNVkk|W3EL2{KKhUPlbrQZ>|>B6wMNG0Nj%XrE06~O|wAe5S|>#+CoA&;4y6{lM3?* zJ5sm4dwgA>{9%z5@Z=)h+m&%q(~Vh&j}-i2zxCn9@0@C<q&Aw0J*{{Yg3BMLCn`0^ z@FXBSlWy}NdqyIQR=qr;%+Z*TK*mB2pw!DaHw12-Z%~fJ+IfcG+`3lUTDDkV)tbmn zy5$4mtct3c%iPfj%25r<JOV*kM{i2@&Vc5vn+{V)NCpHGZTurQ9F#pb2u^07+EY`p zRI@QKq;3G#fWwHk<WmG&J>ZlS$kG9Ljp<U+{i+KAAJFn-CsAl|I6=;hvS10ZVL6WB zha6710fOs(FD=$NnJ7ljn2P`3-c8QJ{v1E=AQPtLLmk)|@Q`r?=maLuY<Og0{Y_j8 zUhupqX|ZfpjFxL7Xe#w8?5LOJ@-VvUiY+$>Lmv;eN&XZNA~+v9WW!5c%&DUN>j|5d zt<vm3jc*{aCQi|VTag#{Oog&^q4Mtu0t(WeSTkln%@B!+zNtjX1sV(t4Nr^o1p5SL zB!Rd*%4C8gw`E7Z%ETRVV7SlgedKJSIVg^GsGD#63p$x1NnB7gcQsd-n6Ie=dfCbH z5{e)TyRw8ho6I8~0p1$^*wgtx%r8;q^w~*qoy@R%YoCzOa<BTOe~2hY^0a2RTgMb& zxlI+De_MacbBMcN10Xe!lO9IT+we8z7O(KsN8Y(B#ozG%qYS;2kTSQPN$N8rO15xF zO=#ro@gawWH)_osg4x^(&_dliVmy|p40N9VN&V0}-09qF$I*uhvaB7+a^np8=zgEI zn#ia#0YpKU`G~2HVrJg5q%IrM?G!zdrxSwNUbP(?ns(3<2bWD>dLK1FH2}bL-$=gw z$A$*Ex#0x(TkEiFIPcuF)Fid*cD`C(wabBm2_c^Hz2|0zbv=$1{UVB&9n_wtq;Cn< z&jc1^a5_+MHe+}0C?%PZ%S6aJ8{Cpq0})iGa|@lYti$aV+~twrNCeqVuj;)4*{uU$ z(+`%IXWG^^$J$Fn6>SrY$s)-=P9#xD_qOKPXn<S_9tvPhhRU}Sc~VZDa^)(!39;2r zrrt(ICRZ4EXe95yS;~xlOg+l%z8U(#WV4s^<|j&amFgI}2FrurZRoLRCivL7hIFWH zYYXeWPK;H!<fJaq=rR9_g!nBeabgcphGGtJDge(!475sNFuosSAL+%8{jQS-{a*Ob zaT?GS=OmYf7!m?a+i?_5v#e_8UAe(`n)-~FA$rdsW66ekhW;v0u7r6Q@0Begh|}<6 z@*e2oVkHmC*F_I?sYr~KrTJfhq%q4xXl%Q{^yX`yJqR464afT>q?XH<<x9N;SnMrF zeq`AFu-$kts-t{YXFS@rg!?U0)5i447<c<8R7C7SHQk^7F49z2dpLd(pj5Xtkl`CW z<I-I}tii62eMZ3`AC6(xhiiFV(7j!!jh}jSB{HURnfV~P8WMjRbbhlr{_%C(hb39@ zWg7CV@}*rMTD;HA_?7CHO|Pp#9T4X`r#-*O=-9qO0MMOQS@VMMqxd$sh+*|1<9z$% zE(A<6zCAhvAA+|H+`SpJv93(brc%^fNfW2U6T|7Na@S={Nh#T%-Koj<K9vl_njt}I zkJQ<Y4#M<j$20`*Uf?q+UYUd9_l_l@_FE8Ml(t4?7Vg?B&%n3m08oQJm07D2F+Iv_ zl}GnJ{2ijso+uu)Ai>Ct)^9MALx(ugo-n>VDL>O;8Ki5NFvO9;zzG*6?%J<qg1kQ2 z7yjI(t^iY)d4kmSfn5>AP<bmj=h;6e*Me?S4)QCPq|zZS-$h29V$c;Ha5dYbU<kz~ z;ufKU(^(#;^S$@DY!#rYWVCH2*kkniz_IMh&>9HHO^V!=;dEhT9$vUVVf<fI183gi zXv}12VC2XiMV)V!^c2Y!07rJUm48Ba@#i(PDc>jg$}htq?w-k6FYDzkgFoRseUaQK zRS!0FO;(EllfX#g3ZA{T0~3!~e<DDm17^;nB3&ObFg3?m5BCdXO1`Q}(D#EK_)pP^ zIPi$uzW)*(MVi+LJR<QHV@>*CD+IYidwKTmlDuM&H{v}SdzQEu=>Tqk^RS?0#F{)4 z9PnoPNm8#XkZpmesF8;iN{kf2if669LGq|_&;{xck8H}VSDO}yUYszMy7R>yU%q2N z6mhCz?o4WZ5?Pjv>J>85sAxN6lz~P!)5a~fu|j!juFPQ`t*nuR9&aGCl0=I)JOG|* z)#06Tci|wUXo2&fgb=wlkG_rPo_8#3&MAvBBhZY<SWU3s?_2lRdc9fRY6*O>EG58C z-!!92;<)~(Jby*bdf6O?9H7y?#0EgI^aTD$VG(AJ58z%BiL7jb`Q%9JGohg)y7H&s zCJYaB6CQ~AwO64C|C6;b1O{bsl97o@59KY1%B5(M2Ul;(b#<V9f@obk+YRd{DvZ0< zb#QG{EK-#J8i1%_xB@U|zb}1PC%tOCvXb4ksfWzQiJww%TtVFntWPB?PLu$78Z_t2 zrb>vBm4RU)c=P(*tXz?xm+vO~P6Od5+R$XbXWZVfsVzEbs)*C%A$vD7QNsH9O$GLG z9Q08VWakLB&P@$7G+`|ZGr5a6^gvH^R$k@PDImqrnJ6IPK{J9LC{zAXc6RnV?NZ`t zA}aFg8)keOF{5^UVc+GVPfgKHcp#>n9$Ry87<B@f)^+8W5=zq|zGsp1Uv{dAxcWFm z^+&9w!aeE<{AWeRsF?5(CK^lHAww5TNu9Gaoilz`B+Xqq$p0KBc?2Lfes7}OtEee9 z@+~ac&Ge5M5mMkiKcaWXP3deEnpOWw_700wWsp;nRBM^vEJ~zarddOkRXXHt+>D-9 z)kGuv!W%dZ^G|6C83&?S>kUY99p2{uRR^PXOqI%;vhNWw#F3d)SH%1@A)U^F20hkC zxRIyPRl5w|rMf_GI2fHDlaEuHCJ#s4^IZ4DD{pUi?|!WISSPp+XI1gK4<K7GQE=_i zc+w|)zzKJJZtFE2jbeQkvKCCQJLMj8FcS>LV9X-`uB<BkgE`NrI<?VZtKh7@-*D=5 zZMhqo8^JKa0)2)?^Jc8oCvF-Y^w;=0vfYGw7jg?`cs%fpwRhvp{@NdT4VW7<721Fo zm<buNq1zOjSvkNk{UTEs&JK`Y;jmx4V-O&X{SBh|hW%gRUrWIjZTN6Dd-=k5k+-e} zMxC^$f04lKE!kGB671A1HvXo6%=k00hB0@{u3eP<L?&M@odlOfp&{Lcdb+ud2Xemk zf=F@u_Om%di%q!8IgPrfc11Jl<2($snhDLZ<aHWhd`sX%_>1$%O4Qr(_B-P+D= ze>*(1B}v$oP!+sQ@om2OtB?vJo2ny9jqaOEzO>{uF1kqYE^iOq%rKJW-q#a^v&#aV zDbMha8Uf@87g2f9Vqq}JEO*IoPK0nT(vTo^@W(n*+39eAAF>kq#b|yH%y3|%I1zHN z<f)2g_WI1bdlXCv%i4S(pn4V%_w*~F0vqPA|9GCKm+N)g;q!>O_bqb5tndi|R0K;* zSjLEKksg$NbU*nOs4^Dkp_*Q06ent1Pv>)f3tVBF{4a8L<A6bqqPuqemDRB(v>q-) zHXrf8Y>1_bg2)P~&l%%J9fSQt2KLXuv>EyFcRS>o69&-Md_Qtk|FG+i#xR&5$Xaej zsXn3MwFNmC>7M3bFCKjDbh!23jz4`@>Vs>LYJ|U=v9I2RPweQK27g8z%592U;PbiO zu3h_zj4+usKA8bpLTFb;JE>JVW=)npQD`;&B>Q?RRn2jp&3r%%h0P@lqC$bzC}gGe zY;UoA`3j`udMxgGa(EffIBD&I$g2ayN^NOEhNf71DWyprZVDY;oK5gNRndN!v@|aa zQ+7Ol)Tm;QGvy(g1GJJNUh3t&nvywm7@n4#4$aShjHV7yc;VOgR_;kJ<<v8n%>DQ` zhIZO7yU@cjc%_i5R!Rm;*vO84wM7FmNS1I@yfzjK!9umV|Jz&Ux>v+We$kEa1z+dk zVkZ)_vXLE~osy1l1?1E}sPZ}L;)Jh4ur29Mq<y6zXB8^n|Lzo;=jAB6y;Z~WUny^N zE_l!<P1*i>h6*l0`yBV;BzAYo`DKUJ;Bhe{6HO!cg&77@ti`xdf9x*A+(>KJU(EC~ zuvruSomRmDo>ZWt=|i|tqX&`5%m2HG6PY?syNfk304@16wyBumg+9OD^TxOVXH*kJ zv4ww6u#m5Ix8G$Pgf|oUASu$}MX;wZ3^&BoOUxI#qj)ygLdInmVRL_AfPbM8mmd{W zy+N?Q2d%r@uB0dOItV7)ld&0T(h&8O3%;i4{F@+}bPiFXd)&c<2PoSLJJgKt(sE=H z^S4~yTugw@jAU_v`&x88x3@jY;pF(_hR?9G65cs}y}ci1B09RrY2YeP2Y&4u2A3Z% z#C7X?Vq4@I`OAHtf-xwR*qPY>H|Ql0@<ln}3}4i7brQ5-a)PA+%W=SL&OJzNwD<2K zCLE?QO`68mx+NC2UsxZPG{l3}YZkPn@L&N-eJROa++dX4RT?7OYCPeSA11W};wv?U zFLvSY9p^7fX_*2f8p{jQsljy7_G|$pu7V#mIBllnKC+XON{GEf!)aN7*?Yt-p!v#- zA07MgHPgEW_$XXxQmHo$vtt~zHS`)!z;H*zI`aZ$is{CMcijzn16{!<9oemB5EdoE zCrB5LA?{5h@p$VH+c~F=!12BL8hGgHOR8~P@uU8}yvY5EC350@+omZUE<|^URk4SJ zFi6wR1WX&L4r&SCimq**Pdo7BR*Es-VHV}YQ~8`Avs$oQ++TD3%1^4YYpFBBRbuGZ zm*;9Nt!c%W5o;{)lH*WntuPzt0tA7BDoW%7>@cs5mq~y*=Ohqrt7+3DJ4?4!p(JLW zUsz_qudP3fU#qF#TwSIPZv<0uR7KX!3GRN!P7Unne;N+PWoj;$(AQ)W*;k49!#rKQ zi0{AGM%k|mT#0Do^|pL2!b3(*VAdVkw7V*sHE3m>j$>2cDzbFmw6T2HlhFgMKvu5U zxwoq2teuq@fYi><vTfya)%Ydar^#Y>EA~@GlJn-(3$5bR$WaBJf+8K2N<09)4y%IM zNXQc7K>-9jH3despMtCB;w7U>ow8>#s>zK`pXB|l6T;Gy>GAF%Sagvj^;0#J@Pk-< zz=ev}71&biuS@N5V(qB1ZIV6-D&sFymCimJE3#bHU5|Y)rF(LMgDL+4D?9do$DtQx z8H>vw<zD>5Z@CMAOz7G{6aS{;0VgUu-&J(*ifP(zCe`N(#>cPjC*fG4tCu)mqVyJv zQXx3b$Z&9<@BcAJgtLI^LCTWQPp7cqxZrD;KE<dOW#mCUq9G1{tT&0JmsggA)vtIp zYqR{JAk%7~S}N6E2d;{5hHiopj_CG>e#CA<U4_W2U0P~S3yJ(Ino*S2gC(R6kE!f< z)!=cxZ$`DvfWoo6p6&@79erGE_>D-`kkt3+wFDpXqN4s4uTrye4Aj{4QX(ZBZMPjB zgw%G{s4@^Dw)EaQNcN(H{p&_~HX|to{g)~;R;_$^+R%3s=ZS*;)?XHc<#R)Wh8p&J zUT@_vOJ|Hb$aHLo%xpjN@6%<<lG~zwe)s|x5=56|N%SuK44@b3drZ_2WjyK=p7&oN z?kB+l7_1?`aj?>nbJC?-{7Slx(RI!TIFR&>H{GpG2N<+4?AN`1K=YtrDy`MFv$MqH z`9abQ(^KT*v&dt~;5DPYHkjUTSa9Y)Z!YZXq_S9cFy`hV#m;b%52diZ@_q{YPDXE9 z+a0`GYs;B@%ly8{k5^CI{rJl}(PHW^en+WAMI4Aa8@vknJ}$?~8k!N(z+hFH>^Q3R z1;cIWxc^50R1EHR(;~gE3}gfZ;`b=R{4;)<P&yl7UEXm`Lx9~<u^gfQ06$CtTr|^I zA0g<ua%D!P);|8u(3J8oUTLRyrZ$_?`UE`y(%a%o2FjKOezE7}@H^#Zz_+hJt|!=5 z_#?-iQ*meG)6Kf&IX=O$faWY{{I_EEX>!d@R^zb%O3$3&Df?{vzm$a+01<M(DLcdN zk3L#)Z-ocM0?M~?`N&h#P(q*czna2`l;9Q7m7p+C#H0SJ9Lj2X$AMg$a((cra|NmY zKW_FycBmg7nVe>C_y^!o#1?nL)v=WCYlx9|$8}SKuO5N2OX`hSsI)0^PR62X!mD#E z3=AiqoMM)XD_iPwd~cIU(s__)ebFA5b*IF4%O?t<&&Mkq@}hzK8o*mGcA;CJYzV5U zm)>SezzK-XOg2~Pq?WRa0TA&vOZNvD`nMjrzATL{aXh#=47?&>PEggsfE7ukB5O7Q zwJV<C{{C88C%<l48~_<x8rrU~gPU|i*tp6e`hXp;V1k+0!+t=eP&f3f@8h+IpLXHA z-ow?Xo~z|lOi;7TEZL*w>JBqnM*VS{cyQ=ht~=cWR7zcq=vc&6TOD{Ql4N@LBJgWU zjA2v~VyU<|-m=*)!$0hSSKS&-uQ`_0)oiFgFttRz3lvO1txGiBAXy5-GW0EVW(={b z2@CQZMYi3Du~*YQi~d}_SM>d7G&Y#pbhrG-dl<6lePOn?T7TYr=j&n~!!<vDN=CU7 z4s=b0C6ONdm+hq8x#hENQanQIKMjm-=@gq|1)ic`+A$YUqg$JbGxMiI&T%+VS5_T( z8yDMOc5ia=@*z}=_(_Sg`<^(u2veLt26FOqUbTSblV{a<>1`~0b0`o<U;fUCKC%CZ z@7E?cjE-|P<w~pi!(CG1!HkX)bFaotS64J?R2m)hmi<_4cvdkuMM5ATARuO8Ffbq> zARsg~VlYco(nAP4GaS5fpvGr@$sfE>7E{$<ed~6Z{K~gVYCSuL5ciSIgT+R8)a6M) zto~GuD0XN^zM~Yl6l5;}^nClJ=wKC|T7qt^3)a>xdZI@YZk3hADdbqP3eYc8tz(7B z$TgkCFk#D$Jx~M;J50Y0GEsIFdbHdOapQ=qa5{Z<A=QQTy)svl>||It!^GZRaN>~@ zuTLtd4rxTXe+Dju<!xTmyT4M~X&m@r0gMZOCi10kn3QW;3cOvZ@CdniXwO!4QDA`K z!^~JkaWHQ_`_KHgglC6^SH8%;XitrG?A5QnUY6LPZsZx0W3R9`kJ|8*kh7N6`BQ<6 zs~iwH+kLnJV`)1Db%N2Wpv<f;o+R$Qc736vwGOge^Fnd1LV9rqn0@9&f9Lg2m?a4K zEgYH+EU;NxK-v3mRC**|TU8%O{73WBOVANEAA`z`P;Q<p^AYyACS=B8Yz*!2Dff@= zbzB4ZfxVz-??&RaaJ=hXh$z|`K?UAlVAv3dSCE&FRy~EY32Q$trZ(*9-gRZlvi9B6 z@;C3H+@v1QZ!K9(+)$wf$({bfF~AtxA57_0@*H)sU1;+K!(0j1!_h-ntgj(;>w;p3 z<mlAh??|-nlX=cg&D_?Mb`re=5!~qme9Zzh3*2;N_};RpSP7>UEumIyRgSfCVL1bC zkK3o2wcWN9^Y?tXnR713+|C2nqsmJ!m5Td2cq?xvtzH-I1n&}ldaS1!tAC!D9vFdb zxgX-%A>mo@30cs=nMbDP!4tvO^PutOq)%s@{!8&d%lpecc;XYu?12gZ{j0tpmhLGe zNZ9iO{D0t7t(N6s;N~Sxm64q-0NX0qufffl7^Y_w!I!f^i)V;*-(kcu`)y{r-kI%8 z6uCJQSO)=TO+2fn;mf|*6rQV%&LX`Ly{==BvV)}c&2v587bFnc;ETf&c)N(54ZVnk zXLcejTgd*m`b<U;QW_eFfOZL84lL*W<;Ez;WXovOHX>W^6x3iI;6y)ML!|avpq=0y zVtFC@XSy{%Wo#Ixzw9MLNjj<;1|EkvOAJ(rs@=MRXt237@-N~#YqI3NCU2TAW0=I~ z`%F_YCN{y49^Hh!&NKEfxU=CLq=IcnA5Uz^Wk%8xN$sNf4OV=mChjmwB^E+^qRrl; z>6*Z$Xipo|Q3o{yLJ(51a^X-a1Cp-SE<EX-M&w#q_E!6nX?o`8%-+E_{oug_FusV_ zIzP?~Io+i(2;{vk$yn|oNs_phL?A+771S|KA8Iq9h>zg2H|h7X!*^t`9)JUpt5rI3 zx`*$IC}*d>znnAPp##}LolK#~aU9*RK92%cOJV3v7}T_<2`6WEf<FvKf+`mi4-xpZ zM_$DxSSj1v=ZpH~Ou{QMvNh0^2bU%0^Ax#${fGl=i4a}x8jw>GWw9QL3)kWB+=+pO zr{mrvC95YgI{RXJz&HBIO?oNR<$JV6jdX`>%U?dSYbw@;+H%ZMFw_(T$XJ!-CYe~= z%AO@WCpm^_Zcu=}QLimkliwG&+&|E6_4}po2UX3#V#Fl;5Usw{(sVSsYyZl{nm7v3 zJZoWYRpO4>B0oY$&%@%Z@~L3N^JGUR{TC!FjOR@cVlq3T+J&Ofizg~(k6^x#7Ojv@ z?%%TjMLW-Iw<r#Ho6?2YJVw^89>^B(VBV~oIyf?RD!NE`J<r=(pusd{ukl>izet~x zTPq20m!MqA&tT@v45rE_E#h~z{-N}!BvhS^5QYIdK4rD|Ba*-*2hX1W>*`F{gu{?U zTI@$_7bH^^MNEE>YHUnbw&+b`Y+a{T4uL`?$#_NO==alU>5pv?ge*`o|8gMW-!w*4 zR(B~+h7Gl=mjH|kY3fe1P6?a53692|tO3@Z`c#+3RjOkXGgdTF&9f@cE!Lw1JwpZL zZKrm!%NEe;y!sy5BTIY=2bZAITynzU^#68N<TtJU09pjQ<c+ep9$xKrT9Ip{OxOx) za%$I_j&d_JGjugiIPW84F?>r6M$+Mj>p~MiVbQQEv>he$jC&4kG7a>x6WHY8YIqVi zf0&wDzRir<PQZ(px_%{OTn^P&x_ifS9X-PiwHq#h<vqcL1Q2cRX}W#Gnm57^BFN~d zQmp9D2>l^E{+(8VG=X3stTA(K26};m=!~RcwmUv0HC@QhWMrkhc0H+H**D5I4%{LI z<oFoE4me-(=L^22u1AIi3ahGIyf*Xzr+NGcBK%<Wh_*4*IeO{fk<QvhYV~rt&5TV{ z_^zdzeeh@?3-HE}f1)`7NzCa6PZlP*sn)P=kCIY)MoqKvh*g$sxKNyE%9k!$q*<3X zHZsv(Owjn9iTh!5AD&#xvFxm3cl`wW<E+U)IwM<hC|utyworb7b4Zz9BjhO;!x$4i zc^Ih4H=zYyUL5FVGJ~=>a25~lf}X>5N`%}Ng~5`+hZ^;ULt88Sk;=1;Znb{*0HJ9X z{OJLw1PEkZz_~>}N!93r9-!3@ssFF+p8_d=1^iJyL)X_(sV}+<Qh#~%F8}Rm0Z_A{ zKu7D%BSsXl$z*r9nVf7KlBjqTl}pFtSh%!O=PxebC{+`X@ZKBG@wQftrAg@{w<_R8 zY>)TN<$ajvwIeY1;f86#N$E4}Qym%Sy}HiD2&>(`JBwajw36juZ(TrQ$Qe?8rFNCM z2jn?q<v{(O>?mO`2hqLT(}xnyVG)Yim54n{Elz>1<2Tz={V(PIfxGyqAJ_SV%ZNz( z>OD{bc&Mz!#QEuFVorEVkFEc3am0_TkzhH{nQY1y0x&`vkB9%0+_&>Bv+nq7-+G74 zH&cZy)}b@LZJ&vCi|flFgD9tdDCge$AtI^qJ}jfEFrRe3@N~=YOaL*b-23f*K09AV zxUsk8EEU3;-YC9Qo{eIQ?t08c%A0{UqC+QZpQ9L5uR$Brm&orPdL@T`l#NY#HN{ct zC1*-qw|N*xlOiQa&<<wRlU7o~i645{LtJfhKRnwb&=4D;EFtUTN8i-YTv)fitkN^3 zooTKnsJ{Z@T;ynThMzhUDDm0Q`gej-92{S(SXQru!!UD?vDXY3w4}gcvE=e%Dc;mh z&sU)3`ggWt<ao$x%zsK4L%Cj2^uCm(!cP#m9JHm)h^KPKg<bvK&0fJ*b-}wFA?_W~ zTNm@7#YDeHyRoN1)$;IcdF`)X^-xx_Z6v-~jI_A!_ji#nw~4+!zSH2tXtNx1Ud}4L zrBXTFq=8cVZw52i3DW<K?jV$=Pp7wU7KS&sT83P_=~wv@K(BCSqoDXm3WoS5qzeuX z-s}6cr4kQ;q1_GQZ%$wVRIk-NRXPy8B+afwACs=eG~z_XjS`&GKUJ#BHbN71p=JQ6 z`oh%_wrU>oZXuoT`nV4={N@8!hYDR8T9q_Mxmig0FOkwL`bMWm?x!c~3&CV5(v2>E zb4&nR!A!15S!MJ(aH$oobtNl{-}sERTKNfbqE0LO{Jy~Y6|`B;!8W6>h)M6)VpO6% zwSSxOfIv?!RWg3jJVtCn@_&dcHc{UM5Pg~%KEsM1CYHV-$MuG9PisK|RmUC<`%%=g zjm1LCVHi|?As70T(Rm_r)a?|)K5Laq4rtNKK2*d0@OtR>0@R2i0};Px1KeWIEQEUO z2Aw-u@wO^~-=EaA8A&G^$8<;#?=;Fp%0nD{U_ng8${d|pzdm@2ERU7UO~B*L7`YPq zjh|k_mGu{6v!sPN;>($y96{|b8kLX<THs1_e&oLnT}kG#tMEvYJeQ|&E=ELLcxBUx z*=v`T1P$tAeGH8v@+BDySRU<P|FD`8sXjgLJQXyjfwF}TUAKm>%VGEq1u-R<{gPt* zE(dlSm8pONpQ7o~hv?HHoS{GxICpC${{}5vF8Do_Rt3YgY5c{nm{b{+pr-O5V`g!v z=iMx83+~8vLVNN_2$$VBSUZQxS;bZJNDx0IIrGQ8f_Fclq$MYVlA!Ocs$Dq`aYL04 zUw%7@m|0FEE%0a#=hx<<Mk@Vl2If-SoS#WHkW2`1C~5>_5t+2q&9=Ep$+lHdx@X6j zgATHXx2Z-+X9UctrSg6^S%J7kym|BHCMg>M;TMMXGB&!eg`#8?Y%z8c{<g)lxf`O9 zaO7D#<P&pD>#9r@)`Xg$ek@vR=c&hk)?P=2kZ<kJ!x*zD_;ZAM-7wewGCnpnzf6-U zpyK1<*}=cmUGU>c9CCCJcl{6h7&c*W)F_j@aAGap^c9xE7F>^0a6{#){(N??97<vi z@1!paXg(CEQhS}KXFcJf*FBT%pt=g*;v!b_QsV?pkzjHg+W5dFL*>q++#5qhp+ktH zmT(SsTZbKUJ0ir8%D{tnONXPYgr2DYo1lm-?GVmzqQ)uc#+2>q3x}P@kgLH>8XXR% z$`I-xU$y*+M{?qUbUshSQoAj~)Pv)8r-xoSbfF&ik>2sx`o!*NBw4*p;m1>lh;398 zf{cY=(IQk+Hn6j80(Uif^dvtAFZkt|Hn24*S>Udz%81isNSE{sr{klQ>ZR)nUE(5i zmr5udQu5%&jD2w_%^kxI?xLCsGNMX|@^FxkYWJ%}{-c$%^5t7jmHoXIt4=lng=7_} zHT@*YTiz$R)7p?eY^-U+V?zddkdlOY7Z3!FB!g43hCv?zcDjUx%pUwDh)27^3%gWM zy<p>%Tex$UYw)J}#1u2QG3iKF;I7(N{GK8cD?xBlxcGP-k|h~WU?X!IM_~L{nRMio zr6=5Ko?=;Mf8W)x@mC`ulNJk2JOE={AQWc$B`gj(*B)sW)S`-_Tt<bI8#?u*xIJq0 zpL^Iz^o3$fCI+3EEAo7;^wgFndKPg-ePc{V*72GD#J<be+n5-|Z|U-(4n!95fXSQX z!Z*+S9`2;)NHdWHo-8!u+Ou7ec{{elcH7{_@QeLRh7xA0jC31+sEwOifjm7%Z3EUY zn33JU45C&?<U=daFO`<1p)(299w;M|aDA&b=fK!3@Bayig%`jpd7sq%;{R-8qP`(H zWaZs!D_})8t#Sta;^VLo)G}?>2j?VJtVs%+easa4z}(2aArM3>EDrA8QUa~FXeUf* z6Az@YN$aVklO|(PQW=}G$@B(se*1FJ#K*V>Y)w3SC9v?xKT5@Zd2u1s^LmtbZ1`B! z9SE-P&BA0;;0?Bv)Mbw_A_7<Nnk@XBP14*ahR#xVhg|pLmLt;=?Nl0EuMQ`=Plj^W zddLZxs*2^EKGs>|Krnew#2j0k6p|72qwu^cd(+2v#;3u&K&GehLiQ+=hf|z}m@28@ zkAM^#s;_S}QewVqWi~}`fmq!XZj0XNxS_vS-}yy={tH@btmD69WON(<pl05~e2cqM zfabI45;6-*zdh3FCJmNFGFW}TAiA$#i>J%7^`C+RqSQY{KApZFJa4Bt{t%Id(oZdy z!IVoka1Z?s*GYz_TX$1|ZuMUBGz@C%3YH2V`*mhL4#%*-AqsG<vnDNrrBshHK_UFe zyH?Id5j@x9O2^y8%4Lno*?Gz_hv_iyb4sS<TB=2%Fj4xaTMsN~wSdUEKc5O#Yno?z zzdOLZ<=;=r^MXO6lL89Q!>r0=>t({Zm6v}%qmfWme8fp>nG;VQ8Y;*hlB;)nfm)*} z={B}KKH;6Gd%A&a#`%TOo|4Zw8GFyNt3=xoo&$OmZ2Frs54H|GJm1wh&`<3y7@dF{ zl&;`uQ~D+^cduqvr>dc9t1(=Uu;AdgI0q7WV4LBhGttMS45S0k2C}D+%ZeoWCr+i@ z!nBH<aseG96&w#RjfAYJ;s$na9nKG|FEbvOtA_`hSRn>pVj+ylBzb3=Os;hB9h}ev zKZzVcaFbW1HH4K02ed+(zE(1-#H~@#LHDJ0v8MFA*QOh2nMf;YWqm#vXSFWPZEU-t zJJ+<s(%(fhfh*fQejpLhfw(adEm&QApeZ-Wb5ukn5zbse^Tce0JlN1u=U84W=3sZi zcR6V2zGP2L=bia|Y4EYsJ6aeGhcU6E0!*msb}!0a#G6U*3#GpD(aF+EEC(uIuvI-@ zRj5pL8*tI0Tp4(MOQgJ<2|#?RY~WC*#Vd&E6Y7B2z=^(MNN!vr`@f>8@wpfzE)81% z5Y`o+VOT4w&kY3VC8w06)48A4<hxPp0%The@%8R6twqz*<t*WD+_K@suxkLX7(oAd zAh&ebea|RkN^;zwxvj9?yP`%fM-uHqR^r~)BwXM52mp)4x;Q-vWqq50_^h+%3-W74 zKGf^|Hk>%tE*jh_@-K5U6Fnk=_&be;(IXLj_NxhtOMTf$=S^K{=3G!Bge&D1C0Vwv zD&hFl1j&@yS?Ocg7KkTSjbh9j&sSBQwyr-ya}4`xv@%}UZnl9ID?y<~!i%m|`+zuv zB2K{>t)B|n&{@GBJ6+CrP-pc<z4W!6jtk2Ci_tt<;L6!SghAcfR@2GNQ|SHP=xc(| z1<-<aE%GOB!(+Xr_Lc&?C=X$EPYwoZNKQbroC}(X9zR*0<YAM`Xla;LKo93G|GbJM z)q=7`mdpg2@-DEz=}%e<wb6p^`OD@%_8}$PMZ-ZS0rcXb=(E~3VZo*EqbTP`-lg+w zhn_0UZ1-rA#U%LYN)d>0-RDaGip7k;ztcvDa=%<Kq85i&BE8!E%7UUxbR1K{s}0>@ zmB$jUy!A)wl2$a`^`7x(^0F6%QZ=5h-*Hz>h==}e@LYpnK$$@+m=xK%xH(YXoi$p5 zM4#1_(7*VwQ6dKisbX_dK7g`0j0f2RjQhr?vD8f2He#=AY%IIJI{>QiQ(cbhF>0}# zCD;{sreMVQ3Yf`1-4ZC<aNfmJqxkNt2YQY{brum3X{%lE+T(ae`Y9VG;s|dJA~LSB z7aot3E-qOU%UqR~Ra;Moi#FL<Z;>F#1Y`a7gKG!>57sU7zodH}Wpal0fmmzXhv>51 z+ORYxx)<op8b{DH323X^u8u_qtF+d*vYH1<+#QB2b!iX^UV{rX5HsDjSKU2HLqDt0 zXBysk&sjQV*o~-hO^us*S3LtJ<Isu3h2T{S^IxB&VGhUYwTWJxr_rC!Qkmj@C_Q%s zg?+dzyNmZ-0+aks>;xoh(Jt~MfqC1S;&<x6H+Y<vzF6K);yu0GH&0sWYYoYE)*;_h zMvY^*DK{mJCWB02#)-zOgy{JM9J16s5(8ga@EL^Kv*HkJ=NEeaxApm!B&$~2!9_VO zcmh9f65~nu+;OYOdR!^m!xQihH46#C3d#SWV~jAHJe5RBq6nlyPTY!#rV`SA$*-Wu zd9z-`<Oi_G-Qlbjwd8?*x{UPr`9bu7L{tR#uaOIT%4NI5<yS+0I=_>pjBZarRfct~ zU2Q>6!e8AsHty>mXR+fW5>s}tTXuquh=$q2GQ^}znD75iWW0r)W``%nzGnb6>Z+QN zOK^10(VBx?d$u0RoI}1Vb&187qJSazoGhVd|7rJ!NygiuC9#X;(5g7<=Ye!f$&x^W zqYby1dDZEdM$5+mAVTb$WB&4#Ru65*wOeepbEc6MkIy90&v#UA!RDmXsiCL6#gOrF zgCdUll7(8ht)kB;tW#8&W{7)3KER`0j}YwrdSn$CS4RY7|4^thO7#Wt=j|p2oiU*J zn%Ysktx{GPisF}**tfV3Yxh*R1A*@;9z)HO?g9!2M67~TY#CCo2NF2sX_{YsEhbm3 z-<Gw#0-uX}!tD@fRz_k<F3hea>e?MPnT+8;soWHJTB&o+8p~JX1#jxR*BJ66K>Ojl z!z6l{B^xER57RLDpFVgyLhn+1euOc!QK35h;mR-rSOrM<<xZnX&ck*0@6IQCF9kI$ znQhV6eL)IAi{)c>02@H$zhOlz6E*8z2^)`M<^ly%sWQ!6r*K&9CtTIJnEr^#WFT8N zzMXwXDdplWRej4~!2Nvto2Gi`RtHKLz^=5O+&}(#AYcErAtympLJa6aldy1|*H)|U zgUthDj9mqe-_c+Fhu7ysOUyo3y}HpqIWY&R4L_lo>A(k=bMw^OFF|l+KSH9{=)<P} zO8A4!odRTA2>5K8W0LEgWrP6}cTT078G<CP2>X8oia>-9oL#;)b@+>c>L)7h%UlT$ zFJFpVRL#M8?hBJu2aSI?JYFvxMuy8ghK>}q=k-xMpu&Y~l9h{}=@VvUW=YFu_8u8; zoVie5XA5ZnY1sWW^^OSEayL9GBIASyGp<ZrK?3uC8i>keUN<Q@tsu)WFa^N0@-0t| zJpB-6InKz*>x+U52Z8!LXsnI`f}TtGu15%<5x!aIq5Ubl>$4(TcExsyC7qt-RG-Xz z5gQmWMzT}e^f~!?d#M|XS}fx}sS-ww>*Y33+qk!jzJ;4ZAv!lHg1TXJ*vq7P9pH%* zb&8Y*7}BVZd4t^JAFPn3ql%~BnCE7**3r5!9dSi<;xa?At;n6{uUf(rg+}_J6npTh zyHELdyvL~Si0%)?k`M2O9>$6#^{%w-fjnGOi95gBD%ISq0V35aUZH#K0{aqq6aO%p zO67=>AS$*%8cbT?9vBL=nCz7&R`ODHS`R)k4ion3XV`wIDM3R1FUaS@GDs{?sw@t9 z-iE+$?hu<KG#jalzYFzLJT@Bjub*#wF9;tC>EMH)yNyuS5jB&y@b?XxQb#7xqD3pG zvmzAij}et1+3{gHV~*|UYxBnm*_G2Pmvw#N;+ohwJ*mI5UL(_a&7y}+u9P-PzOM4s zs;!ms8)ghN@a7~v=hjFq0YVBt0yzOZj(BPK@xV0}uq4v=pYTa_5M<fAFxMz8(I2|h z+ytb3o@R2#m%h1t9lYZDiLS+brF|8<2Ac(2SfzNpl-iV{OZ-38ytaJP8Xi>jO#gDQ zk==vMbbrE3n|_nKLr`|WGM>ZctL69o+>o4UAV*_)<X*W`uwZxV7xqVi@}6mNBAt)Z zuhxY-`2-AZ0R+?5c)H0rbog!3c9n2!7H?n7kv&ZxE&rJXjB!%L9J@l`7xBbh1z{Gh z-Ehlzj_tY=1qjj5W3#m*Xg{6sc>vE=j1hoi+k9)D%2$!5*Hiy&;~wrc+`;Iim6k#1 zGjlQ`5c4QbnYe>qcm$;{5n&1^1P+QX4lBi2>0@*s&jvF6Y>tCUA1(bUa?dA?vPkh> zqo#tn41P+iRNXeMw}+sv9jp2Knsp(1;0Zj6oyCd1An`XemUTYm=;_xB%eDs<HLE=^ z#}?Fujzd2uISbW9^Wmk4JG2?0RF$3v26;<qUUc3{`Nk?@o1;O{b<G?xcHYKNAfqvf zwl<c5i$sJUIDc6RshvBtc9uAH%*AoMEm?aj-A!5;<UKtr1h!t8Tmr@DwJb4%=xmTi z^~}tg&Nyg?3WKG7PT{GqTd^#gP!L)Fn_W6ZL)HLdm1GNwe@sO;wn%3fs@C+uu)`P` zYG1`htzpaxG}W~1!KIgyYo+_8cjIO_2hlz0SN&4M2ux;I@?hXt(*N4opq0B0X8LAO zv2eo9#0CnYHhH@e$8?KOPthP(=W!V`<>f|;Q!kPN+?jJ<(&{QSNC+m{V4l2tWUI*f zweB%*60KsX*+F??s!?H!UCQ9*E|EOQw@NXmb#ksJew;?3c*0za&VRNMo!5dwvdE3= zn*Nb6>7|Gr_@O?y-N}>u!tfAw<|xi>vEes6FewG;jZH--YiVQ-F20t$Vc~o1LE}#$ zti$W;X_u3*!Uozh_V34m8eK9NBCklJ8+~0q2Cn`JdRU&ZUTaS0TQwto3C>v;e?n6W zD&G5Wu+CdB16Px|ZyS`#Wc2(F<_WKcbdjOwfACxQp3lUS#DV~Zk>R+3<bu_M<GQ?# zki*j2$J*&lqTvyK7xQp3HCuh^d`~56^?ljJAqm<};6P_5^G=;nk?GRmDMu&hzrdom zW}}SG`al){{(A5jX$k)(V+!VK*5)LpPf3-$AY$I9+=%fY$6g--vnnoW^~yW6l`Opr z`l!<QY#M=JW|pwTXWVq)Po<?;7YD?VGf4=EP{3%DbE3=N5b<#`w&lg?yS_+R5gC_k z7ei7lk{awZTF6`smcnGeh(I>JkjbivCB^#k^)6>E9mW>m3!S+Bajo9JA)Nn9+iIV$ z6S5PYu(A1+Zoau1Y`a+}3shi&SW)j+yVk!8K?|bt;_sd@vdOgatcR}A1{WSMOn!HS z>YFP^88r!EOx}E}y6_yL;qa)0Vb(E@`O6J6!hR~1R4DwD=RLFLv<|Q6=Plz?QRqkm zqS7~%?lT#caU121%L=0e03O78#v*2nRx7-BwoAKxuB(1NMQf2^iYouSwLlv_{Ez(C zSYJf*fb1+43+{;E;ih5C^^jSb3Wa5NRiGn9hRHjQO?Ox|25@YNv(JAcn6|&9e-bV; z^8{rtkDjd1T0}5)O+B6n9O9JrK%H%f;=5RxQ7MHRJuKMk0S9}Ko#Jr%*8vFM9NQpb zt6H=yT3cMpt2|wsk?2T--PYI8nAPNHaxqGrr#OcKP%**|ouTK1bq@L?{d69s*Ru{j zU7QuB-LH@+8^~5w=~X~MW;3+|^@#_geWy;$37|h@c+V7V^nrnn!;&Hu>gu;xN?8aI z_w4=5_}=a6I#tPkQPz*&{)PW^W{v>QiXjcb9(K^9WXAGM5j8RInzuXXW1#U@=}%Cf zx+E49U6idWjQ&Y)4={8LeKa25US)Q|LlGq%u#-VT<-9s&_jN%W209|n#plb=e&D#Q z<<4wMKh`E?$<uq0dv`&al``1`%ooj0ZD#<hNQ`&bAZ{9*HrqvAU;~}Fw?0x*-n3k= zQ}4<g$)b*<)Z#=Nfa}F^#MjPA@f5J18c}fKYPj$E+2_)3D#IjR5WchC7WX*;Dz;2{ zVvOF780s`n6Xp+uW(>d>;2{KnsosYV=$Q$`hVtXP3)XKxm&QuguN7_WA)ZS3T?5d! z^XS2-&~#a^*gXG;Y>nfGTfQsovd=*}5UDYvMU4dkSlK#PHp7B!J9#p20Ek^{ER+^% zg8P-|`0hnl2Xmx7wDvK9;4He{oNCYq(;KHuW`$v7TGaSuI#N=QmxLxz>1kxw=HKDN zJ~l@un$6}x3%3JTWs|6x`7eg3e&fqG2=J2WMpQAveP?=UCwic>#w3VVyUjv1@qoi8 z24fKq6g4HSxNpTfL9|}%;5=R7g#%^$hPRXRm9OH$i=NANVeooOT=v$M&3D7{g$KyL z7!9Sy!;c~o6GwtINkk(;0PR<(B|bw4OD~T%MG?Ek)j93Dv|?QBol##JV-++Nkkc9J z>G=+r4!^pZmo&wFwP6*zcNA;StU9X{kCeOMo-duE`{wxT^74?}+D=~G5@jh@yf+?g zgf^yIe*ob)AF#P=HYBj_f1-T3$Znx#5d;#eTrLdY$uyxk0OS{-nGcl6(NGjbMYz%_ zbx?dU08cNJ42gNLQVYujgm9-l1+RKdtO+{#J%{__oi(^tl@swk`_A{m!w?|OU1{|l zq^l1^x!0iPXSwx~=e=3r!&vHW$~YyLgMjaxH;UAGC4w{{+h22ldUWfdq1c|5I#XFv zKS@EpCO#E-*Ik~Z5Ya#UNEdL~w{t#Dipz8z`iBoEgAxx{S-qj(3nnK!&O&3&+1Ek2 zoAz;oSF6@5#m@bLyDFGnmr{tiP)ipCe~cn?a<?PlNVfW?<kzG_M?}_1XfL1osr0NY z-+Ws3G2g-@PQ@|yE2Qodbt8-V6t8i>izkq^ZhnkCA|234t<*`Iu$%QKXH#%s);>7? zTWfJX>aaxF6BO@8+N8lYNg+up-D;=s_ExCbX(u4NB2{!*M|&?u%nV<=z-sA-ck*1W zGcUE^#w0W2RV`6em)|WU3YfnfG(8dZ+U3QW_w3B;(m!J5e=1EENt%2x7A>DEYlKxv zb=w$%N0VXNyTS{zFYY}auPAqulwS4T`qwm~MhI&Cxo$V7<M9{G`dx;N93+(%UwSGp zU^-4bI*2ajUZ21p^n(UU3w5By2`ks8D`YIPd<C47HZw$>?X5G0I_;l0c4!Z}!9f2( zRcwA}P6Y}G(X`wHwIiws(#_+m?>at7RC&?CLa1cb<2!tC4^F+})+yVFGZ1H_S}-zp z0s2LWR^eFJ$%9jIMp!#E3m=&K=EwpBir~-)Wy2g9GL-p@Z(}Oj@~Bms?#nUABU00# z6BBJyr)@X}(9$G~I%*#jdyYkRisHMDvvw^aPKlFk=SM;U5aN0{b{8qK%OYqbcw9P~ zKg$oH4&^MkW6<Ze5=r$0#tDa}C@d{xPKv+v+;)D>R-IVQ+6%V&Yq7J?IFSXo$(!aR zArHh(DfMpMf9KihYcyskp$uplG0Qdrc}Sks_>PAG1lAvwG2{-j|5Uxc7SeWq5YARD ziD`>IAp66fF8bc%LC}NsiA!!}dMK{Neq5}tr7^J}Gqb!$SlXlw93nzwI?5>XIhf#e z6BL9H(AYN)GmgRZXc$s>%On1<x#3~IV;uKd%pBDh*DWs~E1lOY-vE(Hz^O9!H>*OC zw}{-vW&aK&hna>-Ku<_|@;Rqu+6!w94MzdWPnPSSX!;CV8?3kKD}#E@_iak*DkP*L z`H+V%?C`f~?y09PVNqM<{v`vM-O$QetU9H`UI*N2n%9VR4O6h2%jh#}sjUcq?VpoV zn3As&DuEmQFHSylSj?%(rPt1JsUd9d76Jlqn~btqZS(`BZp@VWAmi2JWeXf2SWmMP z-WNIqRKJWQOisIaoxF2?{7GEH&=U@`oZ5cG7e2+zzRw85T&WB_yf`U^kmP|P$L}l4 zyK6d7nl^ts+ohKxn<Tc_x6+u?lNg=EYR&B!evw0w2YNq(xGO4Ur56ggkkSBXm#%E} z*Nk2cT)&uGUD$`hs%c2U%<-ejp#V)kF`%I*tZP-cCVJH?unuxiofWr)$@H`YMfNV{ zqs$nUYc2qd%Li>_85g1i=`g+6(5~_}982l>c_k-Yeu^@h`HQ<4%K1v(P<6Rihp<BW zm;^f8qnK_=c7EoJ(*-M;2Hq9BD=;$aqn>4P$TrgIPmI!jbbvru19DrS8WR1_kls>2 zr!yO2EYC)m(hR(AjF?UmB%BosR&IFYu>r|W5EOBsR(Hd5G&Z<->Mt|rR)1nwV%d+X zPDR9HaCQiF_o93V^Rq3sj4!M6d106i7=AT?;*_Qrc*PCyDG{%Z%$=FjEV&NVeL@1G z9kK(Ey+LxUl=xELB{r^Jas%nmNt?Z<QBsg9oblH0(j~;-OT%x=eZ~SR88rbjzO&B+ zKq+FrZx`5ol53Y_f-j)4DJ2}??naE^VPBhEn^AbVZ_p~jq}o(4Kr{WemKx!?7RMoL zZ)V|OU@lnzj-&l)(s6z=Ha0=qvj(I?d+_184ngQP{9z@V082o$zgtEz$cR=);QE%t zr;a10<j{}!L=JCP?sXkm<52im42U%@{^fQld+_v*<Fo2DFuZstBk1gxZdzpOa`SQH z_l!Z#-9AM^?`WRmc18SaJP0HYjfu~wv5wktI!Fa`0N^B2|CHX-R9=0Kyyj}7xc-G? zyVzCe^fB2CwaX@l8Bq>QwV<Kl-&u@9+flRk*ng<qlW8+XAQdG9-+Q*}ArZ+9bK;~& zbYxw6RVMC5v?q{N7&Jx_nIyo&kYRPA7$wVNZWz*#82EDs5TV>@VB^(KM`hf}OKL-I zAx>$+>wE@>D`&7B5Y|z*(%wOnGK?OfmR<pY7dfmJihNq#ci*FHIHm<!CAKbwkW}TI z?kR+=)}9fm1zI40q@A*igi4Awo-ye;RMh9bqM8H*<GxZlA_p3?u$l|W-9=T2k6mY` z+J@0oztGB(^yge4d@`qmNNR-#CmV*9uPUwka-8uGW=s42t>wuTsFXyTkwssAuEGA& zi=TsE0NUq~M@gcRg7*<GPHC!pM&=^aoKZxNcQd-ArGmc&nlPJ>=$9$DJt^q+%d0s= zJHaxjof5^x0&_-IVVKRtDTyU?hozv0Wj(v6IV(rZB<+}MIw%WdIRD2DipqP>ORTiH z!#g_N?ee-dk82_rH%?JEnVD^xnMTmQc8FeDRibM5x(can11OTQ3i}ch38b%_LZ~i9 zb-Q4bm>2<8yWjNbI)Mw(E>7elLh*Cx=?V6bUfy>8?fs%_s3Buq^J?M0uQ7Q`lO|nK zrNI1%np@)yZWzy1`x<KdGv(PZ!#^n<fvB(v8H*F80EIG>sb7BX-@y(a?ztDz=^z+a ztwc_wYfJsPio-nljN0KV)8RC1orAAD$I&>AH@A9Hg&+8m)5`i2WIf#k?ObeLrbMP1 z80(4M@d(bk&~^#${=s9v8LYQ0M3pWnU(#*YEsw&%54O*FGWPKZINs~m<_Sw^#KoSB zxH;07(+7IVXA*}{972LpMoJuRvAkaK5hAt$Q$p<q(*zr6)Pf2GbhIzM-{*UCTG=c= zp^CmBPBwsRc>m@U>h{XzpJe%Pr>uvZsk&&?3sQUnoZiUYOJ`h;agHINKTLXO!R^#s zb0~w~Jm>;%ets~h{L~uU_LZY2$V%82wM?F|Od=+e?o$!#2=NKLtdIkQlk<JwU%Ehg zc8AcAWsQQ(=HWKkiA%HHOBg9}tD|7G;qJV3ZKC#~Q0)R2zMwnEy_$7GN#^T9OT_d` zh%sP3slGy8J)w@q#haaHZu~<(V9~wxmp+KN+9mRh&p_H5myQwJ`!7d7MA(BX=%q-w z$wk2gf*?K(oxTB{2<)=T&B=|Hr~1^uXiZNr(TDmV+cj_)T1NV2_<v-QpzGBnxW1T} zWV*m>J(h8xtLjDbHNwZ3RTnVu6|<aV>-nuZy|RG7zT|{3do+x#+(2!87>0x6)!M&p ztp6%O9>{YgKbPVSebI)N@adQ*hw1*E<Y%oZN2UUXDYsnBQ6uBHsZH<Z)+gAZJa4Ss z-n`>>rziLB`~Y)ezz3@VDqq^Es%f!#1}9D^zyl;?tSM-6SW(sH9|<Y@o(G}^<uVEZ zkPX85iRc}dm1!3g;z(QbSljvTXy#mx{+aVaSDeTP)9^|XSW}~~8Qw-uBpl1;WaY!T zfC2;dSw9ZH!QTW!?M%4ylFiUdbE9@#D4awCs{k67d=U)`uKnOA2fpBpQa~N~&k;bd zB4hPBmm-6NcWtE8kxi!X(K&r6gL|SuD(dYW^A-#1*-ubiA*)dBNdel9W6fvMTd4|l z*lF{t`--Uxk2%knvwOqvMI&UIQeSTM#}xej`~;=~!rg~R%T-#8s!=J6P*nCj)Q$=o zI|Sd<w?|h7CsB6_Ke&i5nYs4zFS4IN1T09Cln0A8am%|eGNAWnvo2?5%(UzX{7l*X zW6*7AjS^|xTb(Uk87DzZcOv)Tp%?BSa*T4Cia8GQs}pDR8TTJdjtN5TQCsXA#C|QM z$e)Ar$_2gkF{}8<5F@U;ksg$|#pb}uB)_0^&ffGC_w7Z<M2^AXT*@1!u)L&?kma8z z*DoNAz&6=KFKC#i4y6bGUSY?B<<rU5m92GZ$GL?N^5`ZvPHJw)YTY~P-N%k7Njj<X zA4idcEndWol@blyLzqMN`Zxghy4Br0UgE!RNy7M|7C@wMYgtQJXLbm0tHra8aKe?h zpfl$fxzPAP$SE-6-#D9fz@9u<Kiq6de66hix`VV$VGPT^1N%%O-8nJap6VvFC^r(o z@b2R-0(JH?(~Bn>pw|DPw#r??+TOefdmYv)O42ID#&d_|GW7%B{`!8<)}oKBS0n=< zc?NBU`&0#;WZddycry@3Iki`8Q@sAr{WQgW5Tu+HNz|@U67wx3<82o(sQ(3R^?1Gx ze6p;?VOHVNz}0{Y>wmt>wi6E>^6{*<w>90LZ}{6J<}v$3PcbkA^b!%0u2;B(K<ORa zt*-0oqX=DFD$!a#{$<Ps@AI`#FCN<kd43|n>HJ23z9Fz-kpGIUad5*%`QhX;+U;|T zH^@kAEjCX*<3oBAMLBt}O{o?(dfaldzWC(y+eH3b5yua~J}7<UlNQFiz57;12}!)C zAR%xYnL&yawx+wQ#{Cbq#6{A}#~Wf<Mgx-RN%gWheCKGW<{)hXgg@W+8|NCJ66*ZI z&J>^X(?L(*Uydg`DK*i#02lu9+p9k4H>fj^6L@PM`of9f?kOU%zE=2{45bmr8Z$&c zgGnpi1x6ZLh>Va7JTFEgFOVyP9&_9%g3XFO{BxoIeER-|;(qyiR_Mh&6rMrsydN;t z^QriT6DWez>ToPRl9LRndKi1G^S~jubR550Fp3Ia-YT~BA8?t=>uWT0RQ~K+kXALO zzYM>vw4!55fO?pmIJ(=YK)$<bb^_Rf?^-Yt%5@3UzcR&`s(V>v9ma|wSk!vJBf`MJ z*mzNgL|7$9$|<*ST1i2Q08qvPO0DM-f~Bve-2ARFRUn*oow8qO(>8Br!|ERg2|&En zolI`lKjnGH9;L#hqUh5Q7G*h_ZI5z*kJt~Ox#JOVPvF>HhKT@Tm!I6op?&{EU`s(Y zZL>ixZFS3#_iE}`!3ALUf(T1?6j{O7xvrkdgS$$*W#rnHJ%2)GM~Q2wcU@As+Y2va z8CeaWG|KuqV*^LwSJMO#6R$iRDASiq^m@W*AwO)rvePgzB)<RKXv9dlr+!#Ez5nar z%WbbZ!eT&R3OdOpUW&~1S>R~#T|_pim3x{S!A<kVs=w;BzKRCnL074!nfxtuCJ6<> zY+#)fVc0Z_a9^=3+m6s;%=FdW;rSajChAa0UgzZMHSl)YBZvN!rkp8gc$I$<?0u)6 z0Mh97loW;BTEjs<#Q^2GfGtZ-<h=tA{{D|>=1K?D*_o|GChdk)()|V=ad8(leQEWq zVpCq?FA|O*gM)Jg^WKZ2uy>i0TA+JPKcOA~`{K3_Z7_eVUm}KQC1TpgkQsvO0SQq4 zs03ZZvLvsSwHrZgf^;5CRrfcs$HIZEXDdC3Er|ab?tdb!z)*uZ4!+BhbG-hO9AS3z zZ0H(fdN%wYs$#ZtpY8kKwyeHe%mQZAmRqw*No#_XCNed!Pony);9GUh1O@ZHasdl~ ze<VkG8<2|6XmP#ITI3ADqA03Gz7<)ro`d2`z>JyKkR8LNES^4jlqtAR4CdMhyUH7Y z#a%bVr52_yoloVamU(CuMX|k8R@17yhOlGRKbB}ZL@Ekrvi5WGMT_8;pCM$pe{A2; z<mfdwzlGuUhAul}qjF?k8>CJW+!)SsL)Z4gpG2azp_$;+g&!Vlph7XlK$q4@^#ZPo zu-vxPZEl{)OC(N5R%gbp@`qqbDTq%t$nz6jppFKD<Xo9&4uJ3dN`kh2)lsl~{exY7 zbZKd2`<?oA_1+qr-yEy{l;i_AnmLKKKdXJ#rYNVr+&Pirp>DEHXj2B>{J6a3yZ6HU zfWj)^btg05D6zI0+{=F3dtY8}ajRdduaUsiD-c<Sh`bo4WPr#U#I@nS>ZW%D*|uwN z2#Ea-D;NRiFxH~%s(Jk<xhG50E=kH~PUpZ0*QcPQwYRJ@@yTErS|G8U8*B|LD7ul+ zf1ZV4k0>X6PrYx&Q1JI4b+=zh!MGae>ja@Iy%Kl0t~1$mzoWgJ!rh>9*#1o4<9fvu zQ@@l=a3JZqS8{)CE9@`rMu4NMj?r=|bBu<>39M@%_<ln--E7c=qyr1rh9ruXkQ2ZZ zbe!k28ZQ}9Ywyit&G(;2CB_xX-A*H!@|g{&kONT(NbNMxFYo6u9}mEZmF&PcugQ4H zFi;ia^f;SnGvq+<>z2ng;QX=oq~oN_jJk6pjOpTueIL|i)c^{1F5iX;<Q$BU%fj4W z;OP!b!K?oOi*tIe^kLh8bsClR?ep#Ol0I$o_>%4h>lM?!Yw!Z^Ym5Q(0ID|NjZLPv zx~}+NQ;eGUb?#R=_kacZTd38k*WRfCmB)%ZThTD0;aoU)(@ckdH8GeN0zc{DA}iu` zgT%E~yHDt(6IC#oOQ;s(>GZ1xXRk<D(O3c`C)(-e9&TRH<iG+O`=*lET&-M8OR6Z# zx8yl*s+0|9__0UuHPaZx1?;olO$|cQn-F&p(?_T9e^Au~LV*(|nUabITjz19$N!X- zbV)#TE;T?YGDm2uzRmp#5zezBBDCEgvM8py=kfw`WhYD0)sqGgLP8aWoH(ovU5`p# zKoSZSSQS7aV<A9H)Et{)M_nXv-mF#Mw{P6W5RIQOj}D9;rdgk~z0_XQ{WRLttnjE_ zlBY|?xvfF2Fv-E2-K`Roh41k6B{yvv7iJVU%(!xbHN2fasZG?dTJ8UL4`v^T@)%T8 zGrGb_OzaAfbgV1yN~+(2)MJeG0rgv)ybv0USxrKAy^^^}jrIu^C#4UK%uvf*fq}3A zny$VJ1i6Fl#f|k|<j1=BpW)NZhL$D;>PCS(K+*MO+Y}8+X>W1ZGRROtaBlsi|3!#a zH;-MTut1!<WF3#~^J>y(lEPGyARp3F_n?nv+AJme`Xu@Y=@in@2-a!fWj#74^yW8` zOP3X)YRCJ=oddP@ak$maqK2GEu7I!Nd%MjNX+@wx|2*AO{<&PkUt9P>)C+9jKi9ls zq8iFwYs49(a>!x|UaT*ys`A72XC|k+9v{k9n372`88UqK;vSD>D|qX#3f3l@ia}*B z5X(Sg(V1It&)l;vXmqMMZVW~E(Xxy8ac}wP#0Kg_#9}AEv0QyL_y{TU`3iUZj5@os zSkoLhNPAV&jd(+H`p9)SA6IZeC{AkmVQNpLW-Wmn-|{b`X+<!|kZHhd#k#r@(Hp|| z890VgJeGF|bD=Q6+zIU?-5^*EJtq#*{gcUQcxW7`OMF2Ttlcub04o4om&-YT4%^z- z*JpM#Jyc6W$nF1T^SKpH7MSDu272$FC9LkyvZ~2&sy`uIl~+M^EV^vsO^yiO+otxO zNP5Tqwyo5i+sOSQqKTl^TN<$W>#aC#)HdTJhoolMn<e@dF>vV?%2!G<Rjms>$k4T} zK)Q%=rJ5w=R)pqM`$6K`1Nl_lp@LZK@sb~S+|b2s(%P)&6v2__p{Of+WuvjPK(|Jd zcv5rW8D4n8v`hG?<Y%MlsA3SJYPJl5zcg+2iE2L)5#k`dk@9(nbfmlzp#Ec?5$F`T zUpnGR+)~%a^qg?p|Iq%%*YkoHc_~|(xFEzlR6fD9tWF5tD;6qw9SHYor{3It3TtJq zy}m4}ztldvSiaXAUm#`q1^S~2Ilra}ULs=@uaCD@4>Lz`q`i?mtl=RRz>9cvBYmwl z^%0Pd2KvVLBo+&Q7e>~%3;hzdqH!yp7J6G!VPl>|2QSm#M?zNkPwHE`wncNY=BJoc zqGN`BtbP%u|C7ycLM*U9OQ}c9Dn&RVRV(xZY_*p&TI7^~3g03n7_cQF3lgPzR>4wY zBY`Lzq4Lx23g|_T{EnsOH(A1YAk%N|Ysn```kIrUUJzdcZ%&}pn&V@X6u5#$eN}M; zxr`KQSv$!YR;somB94JYTdKOj_=SYCETCUZ92oz7Kl;SwDuIq04bAsfNVaJ|9`#B~ z-9p|+PWU;xq@F0*3`1sK|CDNl$7M6c+`E!(le!?%GjRhQ+;Yz1GZ<a%3FcHlwm}$@ zU3nB~DfJh>04&@|EvL`Nd%Cl$=TZEPXLqD2ns%4AWtOPz2Ikq;eb$gJFQ$K-FmCEE zunaZlqzxcJ_hF2(5G>D}xtay*b~6p%7QY%qkY6NNZHWG6BviZ$>Guwxt@3~;Bxn-0 z%vmbZRNe%GZd^Mr>AoN`e>Y8*{!&z9YR-B~=9>XIAEF)wRDQh@^p_1V-{z%(?OXGL zf7*!cL&ilYzDBNhwdP;O#Freo(i2BF>lmDS{$kn7r$#u891Cvr=3l-Ln9+w-LsyS+ zh-!`V8aGx}GAPBdN2%R3FPrPp!&a0EhgjM+0O1B$Iy+jbO6ZIdURWau@l?JgHufyZ z%)YN0!yn~}hnoknD=T1Md?z|b#7v>57I~n>vn3~NTC;tv#S#$afH=0h3$a^SO;@V7 z4X-{j(=L@)^6I<IhF+#^U-c1ISmMXnqSh4@^^;pF@y%S>m|*=SiHjBVKxW#lCH;p! zw=YRig&COSHY-y$zuieB7Co*sB`PgVb02|$5+U4PmKO%|A<<J44?2^%iHYf`?Qcx< zHwO&mx(C=pPwd`ETWQ%46vkM&Mns>F1T0B6TX3BYIUE@E00$Nm*2PofY1NKKD?G~i zH~Yg4Q3nnwSUk9ph)Gy@tG`m9TIOE-M&X13m0;2bEntJr-iwGtrXAB5`76hgZ)F6I zo1IMMV+I47|3Uon3~CObsSh!ieqZmvEC#*C`$W7oz+$(47%7OHZ;!b}+`#8HB^yjs zoO2X0Xi=_7OHYb+tbp_{@MJ73W8$;*#F{5_5U~NT*7L)DhQNDe*$P^yfB5Ww<Qi)* zIfWw)dnMazwSPX6%w=)`%~R2XZmTiZKAn$D$l-A`f~+x<2|_b)6H%p!=66iv@AslF zGtDS%j{`sbfYhC|y6#I4OfpQB1}WvjK|ECaYq_g#Td%Aa5FjmS>$Ci0DaG+DVzRc^ zd9CJvRN8s(4qc~LcP_Wj5-jpV3W0g(B3N}a??uXswnQjHJ}KDE`_c6T!0JNWz}2qf zVt;&oe-=7y&)4}|<7;Q#%i04Iq=+vZMdrvhlj=HZt+RQ`Xrd%bpIXAUb3=D)oMVq( zl<mU{{ASCpvVePcTM}=V^SY*7LnxZjmiE(SeftrMJ6_isNd>8}jsZtMu5SkZqNR8a z_ka^&>&`R-Jq;G*&Gjs0Xj{6ji;*9GZ_gJt_`>KlibxD7I-9t;6vxQ$hdeogEUpPz z=TSAYJP}-DYv?;rr~(arAb9;|HD`tU>PjGDgNolfKQd(lyhO78$WU5@&7_<p3sM=e zW}nIN0NDyHGR8%Jzro(K0zj3#9=D<HU+eRfuL$Gt7BpPk)2}HC^}!SgfL*UhH!SlK zqco!uaIJRWuo}ax3rMqC8h55@@9ACKuPOF}I(U+@71gLwy0b@cK?zMP<%yIs3esQ5 zq_Ocuhn-xt@Y9t|&Wn3w`)M~~Xs%y;bbHbY_+u*s?`iAz2+%<TBZu)FK_0JSfRvi4 zjg*ikaC{(@TGMS%&VJdIV6(K5orvIiVNESlh#Z3aThAR=8h=|Yi0^L8(L^c<yg|Yi zsFdaDro?UFLzx|ZDXz2p(!0{f`a=*_zu*N7#|2ZciJ5Z!yW*FzeAYZ}++&5sabo4~ zR_C~yJ&*q5!t|zFzpR<M3m~o;iK>-&f-T>?BAxnwhLH|rF`VWmzJCmTO9SNCH~ZFC zfmxzqZKczHsN7}4EhyyDzWjiM@b55zd?h*%%Sq{PfM79|y@;e?P}~$?3YFrW6HV1D zvL;PHc$&<2o>EVjs=D~l5+%1ov0|%A%j47mDn0e-Xi$b&=^rRFECb`R)*CJ)#~XMi zlluvopFBEALs3G6E)i)#q$P{`tW+HW-Gr!HBiq3a0hEOHOz}w!0Nb00aAsbbKwf|1 z{6ZLRm#rA&EZ@buuTKNW?pz8|3g;?SF$hy;<$Csihh}?*d|u7t?T|ON#tV?<Njr5` z6|ikHtJ?F!u89O<zbG^6CH2$#vu_PG(2bCnV$ZtOG;;<1i}+LA#f$O|*luo~R4JI3 z2syQ5d*!SI<)c|>)wtY6UfE&v{mN=Qu(QNzsxt4l)<_9l(%_LMvfEK^Ieo~$yzkB` zOQo^UWA{&@>xUc5c58KDo{W1vFV+Q9Dm}~qLzNwA*A=fomk08!ifLNmcnNFGzLc|< z%!00(>CV=m+M8%U?85UfSaJS_uYGy~Au2c|-t@M&D6M)1q2_sbI~*yIB;{7Dr(|)& zfwD{fnKhLONB02(Eelm&I_pTO7fUj+sT_%32_Ep6CYE(H&YJu`ouzJ_CIN;G#qAnB z6abX3`%*$cLb&o|qPtG=Lw3m@!(sbg>y&P-dHh-u*<oZUK_3tRdb~ZBJZHzGoIw-D zqMm*qyi#jFz-wYd`p3CAe_GTD2Wma4=Hd4~QKOh@1Z)>SH0>AS-YITD@o`vYItSt+ zhwT3guSdhaH9OCrc<^md2@@AFBp4gMyhY%)(iIGc&5;!E?`(*~x6VPC)$SLY<fHv$ zi)ZklEhTudlAMd?pUoIM%}E=(H~Kj^n^a<8&S%uA?z%egtg3Kr2Y?+KKyUOtM*MrM zP)P}(Z4blPtxheSyk>uI$Os><NOABuw8odi#EBTp_h+;$6jrh4<`$c#MSzx?{8tRh zr?~6O4_Nwa^#zrZJL(g%#8d7Y=PmW5j&`;0EBJ&JDmoil?~bz{DA>aVbeqxW7?y(a zOLPX#v-zz8@J7t~C9w2Eo74GW5(8}3geaNXuJj{&&d?vDo-0U9fOCbP8{;_5^NoKF zo!E%8vxqq*6Hfjc8-^J#G}mJp0e!J_@gW-+B4GGz5ty>W3dOOs+@}C~(qhCh*AK~W zNBGhx!ic6i?rU>e#%0p2yo$pt9*zSuR|5LYPi;r7fh`ImtPWC;_0jB}3phVmOwZ}x zIEl=e@V)Oqh=35njAdzaTz8G3_IrzAcCM3Wp%`66fBi1~+_z@K4>k-_dtZ$_N$soN zm!Lv9MxQYa*kQ>R?Sw=Wl%jE$3|VR6i!4_Y-+S!QuuZ3bq|#5x#j$H@-mj+HoOUp= z6G<JgbJxTz2GXlRt)4z%^eda(Gw!C2oxb$SfZRti(b-fqB3#F>7{<bq5kgG)6R0;h zL?RZ%z2#|}!9Ym#@wLVDib~!oA7(W_FmQf)R!9qk9>F&%yxpd%5q&)anTDx5#$v7j zDujIZRxKbMVT(6<G4r2lbd;Tb1}ceA{;yi&GnVsiZQ!cMX`INbvzlcN5s&RmnnFS_ zkm($+_diT=?;AMQI=(Am)t50t$krR=rSpX6x2^L?7mBaovPMZF5JnPF2Ep|I(7zfY zZ7mSSpWYq|!?|qYpC_70$S9X2EJe&Y+jKI@X~Lhcd-&@9IR)xFu?A_4*puPu^=2nc z?8VRv0J0!UgRfAgk|>O3g--h5wyAg&u-kI@#_ZWt&SSJm{730|uaKL-lo)X=Jm3!? zLSbmMjc4nDNXK2BGk(NErq+3g?~7o6X{jOrCJb8~Z01FhStm?uL*pMehkg$K7T3{* zH8PFS%i;m>&h?aFq006V!>Ij}<1nbpp$5n}_xX!7n8Gl>MZ2}ofI!3P7v$2b#(0sz zRrIyMQ`~$KHg6g&$STuBlJ~PA!2s22@Xn?+vbUW^#$V|a_ke~5g`s*xUwAdSYQEKa zc1sdgAAxrY+WG9r!9}>37?xX3+_<En^cQgVTfD>=ywGR3)l$aat&!jG<Y&S}jl|m3 zA0oZ>xZpJL)JGt8iO)ZcS&nUp_!ouoq6V)I&f6k2Y_cGh$qai>sxaf7u@Bl08uDs( zo&pY(Xv?X)fm(>PnTjzpclrmeXh<H8i8`fLwhR_2ZUK3gW)0m6pr+E4q%y|6_EXst zQhxIDpRO)!Z%KUKGVu<;?0PWg+X~_F?F?}>fYC5^=?pCJ`^4%l$AC><FzF*e#p}<; zl!@ECxA__hNlk3dL?v5Ea}Hs1SZsJ!F*rp+ARr(hW??WeARr(hG-EO_twrQsvr@k* zP1zt+S;E34P5H=KUUM0`j!axP)S}>qDI30?lmxRg98cxI3rxcOUg3TP&}^aP_8`bE zn=k50H1dH!l~)PbXubK_RPm&@#RdC*(npH$UTi_JUg0^A@Q!Jjxz0G@%ebPJ=%H}0 z^2s@~28Ce%zbr5A?xa@9q<$$!b)iF$KmH^n-A=x$39;OQNH}a^Cm;5qSX{P+2l!id zL7f+^*X$=rH@{N43{OjV?fN1Y=Lflies<%9UU&x`*sWyqQ{6K6O*la&pz*2`R`-fk zW85X-UHf$yAg>ib%kMaUrgDJkr1%1{u0dWgkVg4HMTGZIp<H`T>PO!)&WV&OT)HQZ z@1FtJm=gKymdw)j6gC?dW6L3F)%cA-3j2ZYhgC{7I`W6Wpv)nPgdz`c)Pt{`lNK9l zY%mgauNRa6T#1K<Z@R$e`?=rtu{RphE~cClC<+&&GVEvwUM?PVI!T_XmV{Y~#OI}` z4h<37E!Rhw%qHVw2GZHP=`wg0c&_YygpWrw%uAMbLg`NpClqdrrdEb#x`<^&MarA~ z$?A<C-n%@T;!X!(WcZTDjm6PZ-!%Ic7_c}H9E5B}dk)|96jgulW%|}~mXPC<8K|d} z`stHbQO!-G<jA#P-H34Hy?)<7=)6?zJB4KC2IkC^SAeN`Kqh$bWR$`R^8ieGufg`R zK)N&H?hbdO430s|uULj10mMS*hTujEvK8xw+dk?Jv2qY)u+fqGlPhL_jZkB}24ga7 zlI6;M-tfn74SABWooqn5C8eOjjf1oG;dIVVdPBT=ci(0BB>V~0hXs9$$m+{!zRo}z zU1E}<U!G1)E>;NmIenYtN0l3=ko`S<QAveyp2f$JePHjpsl?ZIULD6>;T8KA2w>t0 zS1K(LS-I@IClELSIdF^42BKrq{+cyN<DwTxm+q<m8V!cV%uI3R*|b`pUmX4otdO(s z)qr)Yz~f>-EwpKjs7B`uT_W&hLn?|nPp`fxIX;5J<<cC%EseDC#_nPmqW)M)#ba0m z*=W-DFDcoevj&`|DvD`0`Y@UzSbe+gcqh?I@vGp#j9Al;)KXW8<74D;ef^I#xFs$= za}s#QW{}q8;I%nys_XW}KQb(*dE57<DxRAkIl%@|bLbkOA+I?kmdjz=!O6>;=}x*2 zu76EWtm|K`80*x-%K32zfEj1JdlhH(sL3OLHm&{T&LnKnnd*TluX>FP$|6Rb(0;#M z^G0h#p%L)W1c9P3_8$C|M^Eb<g4m2j3|~3%-s@0E48^(K!Jx^www824Yhc{QOJ*B+ zl{5%XM}e|fAgQ+MKBwmEjm(-JBO6pcM}dIqLrKa&Nn(DxFro-6QYU>3zh2{7WSSd1 z95x=n&i_Od30daaQkEP<3H8zMFk**=Fa7)b>s66)?V<A3gqVb6d`B~!D6NK!Sj?y> zw?q&W2pfK0#zUcj_xE8~cA{p<f`4nt5-#8Z=5hb(uUqAr2b=R|Eb0p`xaATUvbeE# z>~D_}uWM1bzl}W6LWr2^ge;}nq^Vb>#QTb^2}4$uKeGo@9yZv``fT@Ek&6+%CTgl^ zK((B@(E;(jpnqPrN$n%dQ1B{#b+syO?`=!{s7IwIzG$LbFU{Gg%vqD<4QK!;F4pKs z^k>6$xDih%;JRVWrFA%@5`z2@w86<5bj%3Bb)v?sWgqt4i$omS*`W#zhRu_Ew#?zd z_Lm5>@P!k|$&|C^#FJo*i<7P33&#n3yZpmJ8=N7#;o<*C*p`YklS<kNm8&!p8e0?a z_7%eW2~)Z78|m<~O7H(bhinhfW%8P-ETVc1YABGg%=dK3%$+@WTS9mC8S5WgbqA}I zAjdhBn3Vs}>Zxh(g{>rmueVvwq`2_9Mec>pj6)h2kV}h^j=<EanrtNS#yh+oU6|q` z?UfalevLIQ*ivV4LLyk65Q=W&dmvwLJw-3{RvrCAyKX4es%{Wag+HP=y>GHO?lpKO z&!Hg&q2lFnRX)gJ(TCyBDFRG=;_KIEyWCR<bVxN;o|62UDERe}Bw)-g%iXsWLAi*g zpYsW#Kd{OxsbFvTYR2p7oC-Bi;lp#IX+sM`U7{ilkJ}AxY`0sf716Ei#Xgz8iFC5j z5K@}LO6~j}@k&7f5Yu)aUs-RJzdu95l$eAN--FP;5H0EJ%ofVcfff>EgfXjHjQA+C zgw3C7Q<PMMVS;4f*TY|KI&Pw>M9v<L5xboEc;nAXBp5ATCvG6;NY^;QuXq|my)Z{D z$$a8W#;uZn46QGUqs}<J$}@It=-HOArFEk2ETjU)D)Ocf%zXx@x^`|o(FrE9j%AHg z-fJmIK%4x|GDoa{e90Jaq)tfjoxL=7`)r*45^S-v%S6HF%?n?{>A7=RvMP_kiBxFl z<Q2-2)&<P?rpZR*HPZ~kZ?ZVP!(Zhg?>n&eY(jCfoma(XcVT;vEmu)huQUmWCQZl< zWBY6dzu3nNygW?)WBr&7j+EIUCS98TE=e!*3G9a4I;uhRMFyT>mh7Z!zq_IaM6EZX zB|zSM8*^@2t$b*i3XnA5O%yXbu}R7YLuRs-5|SzEEDJ@m3V~)8<BuY4%IMTb1DhVJ z!@JIfduug|f>(NLl`a{t4xRVrkz3#ayHL3nwuymXckqxb1ZlvjTCM)-XUqBH7#m1x zE_6KSg1keePbyW>E22!&5T}c1Z~c1&#g}GW#pq(FmNg?~Qo%vWg5ppT?=qAh0l%Z% z0P<pb_)6)Vt?0ux7@45Va}d9ZSDdHS>4I8Uv28Ik&5E~{BhZxz=2y$P3teR`XO{&c zYl6qC+ZzG}*mPu1%|2=BhiB+(8U-jyy)5&|UbEX_mqUN7Q+e0jG=>to5tKJAn?g!x zI2FXTZkczc1AA^BL0!fYXbj$-ef<(t4>SE6m&3H!KuS~^QOooGW|J2IMyI#;eSC!m zx*TA#JA^{Pb}w-Px$8=!=nC8BH;^|5Gxepz=V1~)stK~N3G3j%>uTQ)s#l16rWBRl zJ!PLfSnyXoMAT+UA5Ne1@Y8}WRQkUlOCHI*`K7LPGW4%H(~yNYexe6-OoR?08wE|> zT99%f^*KXyGu*_0D3|(@0H2>yYvc2d%9OmUetW_o5eZ;HkSIyM*B+ogWP95Lje)|7 z;K^+bN9UzpR4lJGaBW#3d3-raO$2<Z$>8=uPnm?wp@3(jYl63&D|e@EO8?DZf&lqq zslwbK8zpIl1!`+TU=oCO=1T|IPZLajy(F?YNf(NbMrP@kMpPZ}6K(BcRo-eCmyOa8 z5j^9#z=mHy{vuT7v#|}JiK=|@C7sM9WBwRTREFw!M>3n>oi7o!;YL^24)rhbAUYFM zlOz*pk2qCEQJg80sxLVG_#o{7dRbtM_<kpN<H^NTt^v(!mTd8!mau_XITe?WXZZwS zLwwEoWisc90~J>%7aSAo(`U!C&t~|(3zCi|wVt6TLAqoyvpX-%r4PlFp`ne?uo3M7 z1%a~PTYX%^qV^rHj`PDr&yh4@k9&P7q1fbHLWV?-yXLNu?ARDT?|G%!LXTGasG(2> z#R&|tn~uhCU1TI`9V0WEBNO@dEReU|&F;rqHgSgC0#AwMW3J*0QmXsoh8BMWHU#v| z9<uW#Q5DXuMLDL_#ph<&cR~=$m2|T2OC@dv%v2pg(394mJBXVg%N#(d;>1BDe6PR; zNXxZS{$t|;I`hDL`G+>4LUgD;-rxUd0TLcv$5)mP{0$B|OsOn=*8tA$&Ql(_5`b5B z?bCF?`yapHwrrnAQ8GTw5zh>me?Xh`{H!wW<)8VL?kHCxw_@^?+?RZy@%dSNm984G zN{@B#7=YJM=Fn}r6uLD?iOY!CtpJQI)@^d+R6zCbjT#N`OkqAF3Fk!Y(a_i})?btc zszJbi`YD+K>_O7xQ^R&1DN2@S*M21gl<V?jLO#~BDWfs4A9Fg5=l!*v?B8pJ@_2da ze2RN9B0N9Z*T*>(!N|w`pQr%eKE1!|cm};4wYjpPAEGkUg-d;U|0#jGPsU7luREBp zh{k5$1gM$!Sm)N0C2v0VM<rV^+%58PL+oEeJGXe}w;Kzjr!DNCt(56qRpVb-)5uZc z$>asyyGc`_b+X8~81=GfTl;UBqF8Co8N6Ig*D%N&L3{Q8WG9jmh{$(7);86F5PE9v zBK%C2aH?qGJss_^HH@G29B1@B-X6WkUuL`*RoPcSkll2O*VM|NG<4(4J>m}CI{h8T z5y9c;oh>R2)?Ur!nAXi_VZaQ#jjvoTFP|xn<I`%uEL0Pxz^H%3#eq;EiEd7wRNocb zSiXSah1CFL-Ma<g$gy;huUV2R{T<gpiq&D{1amzB_zp}_wxABOVvh^yt{1<qw2X;@ z9*zdPps1fYYKUTP_b|*Bihq(0$>%QkMr&tN`Y_Cf19V(>e!yH34C;T~rpWsuv8C-@ z&c4~yWt$eS9AvVX2vXh5T@=4_!MI6;fUf>J()azIx2EfaHZrdPG<Ip}@+mzmYt(wu zAz>q1B8nkhqjYG&%_AMVl=Q})EVv+Y_(T0dfq_BMxxLbNUocYP7-&qgn79m%s&1uC z#5=O=*uI4B&WmCpN+9F}29fb5y~xt^NJH#P&pTxe`B%%7SCO>jmH*?S!Rv9mdyn~g z(mcx%Tv!U1VpE#*MN%)-b8HCgXc~VFFT-g5cd3<o3YMe?%HEf4rCP83Q~$1YVsswk z|2Nc*hgtlmw`bp$xKnLIR;_OsQ8cfw!*X6TgRJ<DDfW>AIzg?d{69lfP<$wSF7~&l z$H3Ieo({{`)o(=j*98$MR8mE9$vtiJ_YNq}WSqP6kk0~1-720%m_wupkf3EzI_}g0 zo@S7QAo>ZH0<`u#MZhLDAG^%(v8M-Vbfagb>QDQ<8BV4-vzF_+Ju!_<a;};$a-t{S z*U6YDYbz)pbgQ|K3C|8C&j4{N8}k*sSz!YIfdyz5EQ5UZ95c^IO!1$6JBrbKtNWKg zedVBh=>X0!oW=$`04`d$+%LJDWU2tZ_G<3XW^P)T6rP4CD`~m2_BCS?4aRr1(1}l( zXyMsE`x=T$njFkvo6YQxS^?o7(<Iu%CLD}73B%Ylu{?N<VC3rzT7cj{g>ZH8K)Nku z46S50Om0n?J&sRD)lhm+i-?WNNm>VqO_q-$bK1l4J~@zKTJBDAh7hj4CG#GWQ*|;K zz0DMD`4@ScmM^lZG`Z)7_nV;2E=I;6NBr>dM;=Q2_jA<U%^X%o7E%JSL^w4jta+VZ zR{%X%(IU(o7yo1^84cOGfQ>wqkW+$Bfbls1w5BXgdX&p2PY<-9CB3NzH?`f`aOtPD z-B|iP(Z2W8_vp*VGGEacCq8aw=EQ0HwoU|5tSJ|oos4^b_b``{Yp-KG8$vQxY-^eS zp89di^R^`*f-2Jbm%bebEuy-#K^VhO6>*fwu<7>%gTw)W8HCZ$aa}@i$O?YEV~uZE zGMSv5BGl(;$x*%d?AGO{1DL*J9R|Kz?}Z2L@{UR1MX4#NCRjV{NQcymVeOtQ_>qZp zh;#G}JaY;WZ$gvMU)hl9;>ZT?Ub;!?1Z;AF?t{wcqhlQ09oye3sJ`w0+XL+Y@jdNj z3)VEFgJ{1xEYvU^!e|)&lS1ZnK_>m=wtI=Uo&m%IPw(#S!Y-DN%&*G4@(Y5oaEV0k z#jU8GN;Pb_L)^f%t#*nWpComtwtUQ@cwtMH*z+zlv-!@H4|7w*ZGiE<j)mh4FK7@; zrVxYzxy@!bB%=IF*QXq>rl+Jp+Gz^cSIgUG98A<Rzg)MpPVec4@O#a}7Gzi;&Hw19 z)PDH&XZe5N366=EjQm)S6Nmc{nM*Dic$Jdx-LRezwdM<V77x-mf-$K1mq{pf!EQ&{ z`2Zh4;J;NcD4_r?oJE|u5}33ea+FLR8P>j=tMA>KFmy>ilLN4#D?RP<fWJ~puCeR- zhLQn*?2xp;;;C6Auik5<8ok6!5F&AM9itOV!}_&p$%n()_f1soJg51P;!XUHP+wZB zUjf!HkN;fu8co{2$tA73u~TqX2nijC7cJ)4^TLt(8_;ncCgEljD<qt3`>jNMSxxZ@ zl}w)wkq=FyBmN=L{tcF|`k^Gf5P5L+F8iH0`uibQeZuIHx~WaXkz_ku!E=Z`dS;R@ zUm67ZkW|1j2(!1wb?~<WnMrs6+o^qCBpWIRbi>e2*U<<DX!Igx0f4l#`U7PpvVJ&2 z%;bTf5%uo_4G=^3TE4SlAZ|2<oV~6djcxRYOsUW>Br~H^c^ag_tif3eqJaAT@bGB& z<Az$GeQ_DRyf--MJ5G%b?<=1wAsov$dmAb0_$`R6FrcD~@rfp$KK~s1@fGu1r9=QO zjtmr?XbM`NV^{wuN0NPW#R+R?U{fMLohU(tdwc#xXjmEXaQqh;He8+j5y^=39z^7O zS*hS|8eqH1uHV!qfMCA)fFv&x19t})%AA@&AiEQWmJgpe-eOs^om{|!5m1rg^-pPm zab8TDu+e_{TP~A7?PX_9c$_9)f;)zxa5zrSFaB+L2gvLrByW|aHBSzo%t4LoOUKN( ztkF>42JPQST)IjvGBq{|vO<@i{9_>k<Qv<q33xQ(7kBL;kEXhI_Ukj9Q?+YXLr&Th z75aVvu9JOWXGr+$L27vfkok<xm~u^xj5%`_|H(#Ncz|`*@vnlfVxduSd_mRjOO&xS zno@O?FBp;(DxK$?wgKMgR+jw_ldmiMm2xFM<>|6uGGq1IDs^+F2;T8#`R)yii?2>y zp|?cFGGT7m=ULbd&%LPEdupvKLW{najCx|0dr&jdSO#2}e4)7lU1Lb^nL3BpAPvBV zGx3N|LGN}#2Y4G6v3)i}ut5qrk9!?NhP5&THD%CrPsi5KO&v2^H09R$+2zscUNh%p z{B-hB*?UAS6oGp3z%u>#bVQTU#dWGYUXKim;;pBI132!=rHXcYYFF;N4z*c9CluTq zaGmuW64!HhKk247%RXJ{K<&%UNq}(oqYvAP`kJOGKM{QD>W~SRwQ$GM?zWFC2UyI5 z+Ne<Q)Mf3YW)gfb4!7d7!eYzn`?2insXE73iDTdD3U7W644kEJKSM$@(lP<yi*>-) zuK_eh9ipz=@_cS(2!>^RZ`JKI>GJ!ewEELSmf(&}SV3<X0mi#J?SCXO$+ybDZ>k0H zNJc(6KX6BQ2}?C*o?1s4z$JINA^6%^F(=FMEzEVm_Z+ps!op(A|18vNNEtr*0QCik zR#q&f`7M(I3*549)cf>aRd1|z`WF$;tMvI93jPgaai1WaxxlOcEE!}Pf0((3l2LEJ z{JhYvyF*oQxDgRrtE}xDg@(Eb4{HN!DHI?EY8;3PeBr*dxvk124VA0TnFviD6F8uP zrl-<SyOUnEa`G71{<X=?uQ+6B-f3jdGwg#pJrLb9GPi=2$T_o%Df)B+F|T3ugvuzm zt=cL!_M7rMGr2VE5H*OmdW<&X#^^Z`Uuk%F$3%kB3o$5f1q_Maf&~Ro68m~zaYf+7 z&4!NK4Ms}{to5@7Pwbv`0y&%jD7lz#?5wi7TVp})#A&sH?-q${K^wW(3uS~fUN@=| zZoHDpFe_0<+^tfx?a5sI<EYKrTHU0n1%kZgVy8Z-wb)Z+@PAcUQu7Fw4{kMt$B7AR z;8m&FQg>mo^8G{4DLO3R46Hz8Qmss#d)fMP^$7rsQ;p+0<Jq<2vIDf^LkG&o*T<{V zO_<ig{wl(LP$#OlS{+KYtIw{<%is_q+2We=795X;9`)=F=(&Tf@1P-XcTBsS#R}h| z_PA2Skpgx^%Yy#mdYr^Vhs80m<J&Oa^PF_t2s&<|_WC?`pk}3I0(AEi?SgYCrn%q_ zhKb4my(Jwvm0H1*)s?>leu|IzDvzaW$}l!N5rx3yEFz?ehDCMdCt{jxnIrTGfT+R0 z(V!GYI>`LYU*FI9^|J99D9AC=x{OKz0hS!k^IBmn0&3G!*lu}pN)7@71U2l_Pw$!B z{n+GpwCWr&A213~qAcBKEVDj65-d-V3e>_gALqbC^sjy&?0-WXC{9A}VE8wd`$-P# zGnVhdpb1GWM71@{Ky{iFFjz-~5%jl0A`2#y9b+|lyE{5*Ro;wVJ@D=4>y=`|s|2ju zGU4irIB}zEHH1m0NeEyyFUK1eodCZBmjLa4p-C_)ri*@V(-`aOgQbmJ?!T=P20r^; z_Mt7d50u0Ab!3p)B4`_1d3>Sw{<^Ned6Me-kB|ZD(7NC<PW06T$jifgjQk%^EQFo! zO@V(eS)MBU4KbBMY|1P`2v7~J_CqG3oJuzatLaJDIz5$9%KN#gbeqyiM7+bTiX{q{ z>eZe0^}&Xy_&QdDlnhY$Rnkj;N78^akd|g&<h1HdCFSQTj?@v)I;|fIr8vO3R#jjQ zJ)48@dQU+0c<7GXomXt5M0UOzt;L#3M3=ij#)=(s9$1lM6}-A|`!FMT9eL<q6kzsq z)En|*|4SP%f!*Xx-yYa76m-}6Ak8hL0N1^%BER>r6ZcmVaJvGNyIK%xQIj3e^DIj! zSf~D$!`{+!AM8+nQY!UXTUl+F8VLE7ERFy{Lh&+2%vRT3>D`kWL;b@NH%J(evp!@t zELjac=jp=iPJuT#us-|DiZ{b^WtQsksrP_XBGcI{qUtg_v9<~q|JO{65&m8c$+@+N zcF6i4e0bQxQFjGvFbzDkT-oo!B^_Z;T|IPJ!;ltU$iWC6pbyjZ=*|`6xgPlXxa{2e z$KEl@-2dTwnw~7CV||^kT`rm0HFM{?Q=io;su3gGxYcqMEh$Jg|3>DMe=+0@%&!Q6 z=kwiV18FiOQN3f#yz%yt2WwOUL;?hO=fbO)R8Zz|2#8RdGB$$>Ik{x<>Ha!GUEse@ zxig*N@_S;LOgjU~q-T$eamYBPj70$VsUenO4ApGigu^u?q@P*wr-8EfAP^k9vaLiu zo@OV=SnxMOCP2&AJBAPi+BYla8>53;8v7(#`$FF0DfJFUjZ4>7QK`t|;?2+v8$MaB zd_5}mm?}xk`_J<ics|Sb(!v4Eqt(DaPvgQjbv6pmeVaZDtbIQQT;q2-eRpQfr<4Sf z(;&h5u3E1(pmw=hQqs3h#q*>LVp#?vd1+4P<XKEL2N`L1jkC$f#$0nrQ=6+Dh?tK; zAKQm;pw!`RCqkRvXqrHe!UQ!wP=*b%n$?n)Z^C88^Pg|>zcqDrVrm?#JZ5l9ffm1M zpm}jn6+G!uO8>$(j7=l;&mg5WLC$vKyNMTAQzZZH4*V7wrKg;~7+1}1Xnu=4{WFMt z2pBDG)h9l6s+5EQ4<DWSZ=$aV>|^SW?E!;Ea(60pYJNMuti8&RS?YxkOH!yg@olS1 z2f)h&`~DbKv31uTT3itPAE_ogcjq_1C=tm-n8Op??gFTn59RrNGg6uUz|AV_)8!Qr z899uFL3OoByQ!c-#yC_dT^$H`_B1yziiF8ui#QXO<O=_a`HGf%7Ji?IkWo6mAqPj8 z0b0v8Q&uE+NTO=C79d%T$%Z|fDi<}v*_HO|YMF}cJQ#J~Pu~mjn~ty5WIDC;7-4m> zl0KrsndVz#iN4os3x_HiV0%F)sa`?H0&)7J+}l(3s0f{?OD#$msiF}Hf(#0UfVaUM z<#Pd88|!`$I1r8+^n|F$rn?WVox{=z6s_5|<<vBopS(i$)X`7pK3#@(QITB-Br4I0 zt=?aPugc`s$rui%^eIk}zh?ysmS8I3FbqvF9ZPtssH?t)tujIXIBuh|X~!Gb9;mU& zTivriE3YeG>|f*GMC-F($><M)03P|Gi0XP2Bi+YQeSU?77DYoJ8P>LdtszN`uUv79 z*Kx)^GPYrvvV5<pToV4CE7R#Fd}ilR2=YH&07P2&kYm7e8MJPB62q9u>-Z8n^+bxS zq~KRY<RJ@Uv-I-uZ+2n+#4T6uidW+$hlMHHVCY1v3Jy~YF*#1!bbX?k6orus&Ur9q zJQ!HdEk=8S;2~@fzcP_y7;pBcAVG`w^Fx?aeJ_i5Fb>0S$(~vz*s-e8Ajc^+0~i5q z^~$@_gjRGaXPd4U*om-}t{v8FBSNx%_RgmvTG<S-u1y6cQ2mLP^NiN*NrWkr3q>@L z$-QO3y#r)tOvL4s^(HG>WVw5BO{#?32uk1>8_bBX#k$=*&I+J~ZTGZkVWd`jHG|!? zm<c1m&^sZ{Xon2+J;WrGYGNL;eSo3(Yz$@*3~#7Bc%0k_T-`^I=!tw9_WoNZBZAkD z-;P2lOi7uda|=p*U+7u<;ngzYhPBGmGZZ=7RJ9TafAgNg*Z>NZTer@1s&P7b6sR(z z`nS)&Rzr7Z?&808b9o%!dpKp<ObK?I*DU~+gO^Ph+tQk{u=2ao_`f4>UocQSLW@0% z{9_Vz9e1)vhwhpG_}(oGf%E*=YTisWravd|Xw~!Eb1MVoTu*=&s~?(X75n;d<LS7h zXe)YQLMJLn%WJJo*!}fMwz$-9q4v<!Di^ddzbI!?QS?Z?u&a3JnO->RJn!h5N*FQA zRi<>ej`T|Mzfe*{WaKN|KD)E3_pL7)JI_PR4=em)SAou~>x=i86Q#Igs2@p#*r7iB z@sK4ZA_ENH!E~P_o-~8Q!*bd3H3u1hq}A7hx?5+4YC`);Ra=<S<7uS8(+F+cMs_h! z8b<+hK`#J{xK|q6aPJY%AZb5i?YD3hRWA6lJK<p!G;)<F(qRk8ov~n#oDB=O7bhAA zf-&S3;OI4+x|%6i4|;;;SOX*p9Q9Y~DO_?og;RU72P-8!2m{B(;!G_6Q3g5B@oy)o zWvoHb?E?Wq0{Liy_{ER-)&o%w_VM;p*R1$BM?P~>(yZEX0zU<xN`BFBO(5Mc-+qQJ zn@F)x@UMz6xMduI3eA^lZ*#R;B~?IlJZ<=0jT33!q)cDI20c1Z{XB}_xru?VP?fJC zSE~awq*|aO<3CyD*ggp-kF8-dx2zJ~q6Tz}Heo$sVaZC={+1wE$@O<g&rd!yns+9V zX7z%`PB&6DS6SbrZ%Fem)}zP~*(C3-wGaUrhs%yQs+iofxbE1xm=f$YbjD|3XvCx5 z_o+j&FB=l`zgCNmoiB2+07zTf3cEkr1dLJq^z>}H(@2Rrdg-)=ZdH>y9(pL7?t}Na zF{onE?zMaCNM+EqbzM|z{ucL5{}}_ulfNj<_Xp%pqUvg9ZKZu5jjE}@?ePz|EH85E zhmsXfa?y0~Kqbu$YwcoP^>XJqZ}IweMs4a;<m)KXnaF81^qwu~ax=x$8YTVlynOOZ zUzP*Dlk~5TM)^=yrRTUgNaFKWD%|ei!Gj>Nvv87z2tVJpo<9M}E8M6`;eu=5kSSqK z7DjIahCu<L>Vi(u$MG^e0;xTifo0M!Us19?{5clNOXsUoBO_<35vGws9A1e*&ObI$ zS<J>~eh-&Zu8aljg`%K;09in$zX*3bAfQuOwC;+qcE-F%J@Ic@_b@o{8Dabf6PxA4 zW^-7IN4Ct#gTUh@1cuPN{{1XTmvsX$`bCZf(|GOyTwwaEm1ZFUx2cYg4iEA25r%?j zasC$sR`tl3A%9i-374wuSKYFC9wL2lU#0B;{NJ#ZzwdeZJH2>{HXb6gkg?n3;*iol zvXI50w~8<^lq>sXZ)>{Bfoc)-(DZ?<V-;Fw{MTh7iwplZm<JEqCWnuOIeAaJxbp8I zceSulIewSI9uzAxC&8?<rbF(D7WfJ&CMHtxg`Du?jw->3c+dEMG%=Js1bTrFgh!r@ ze4g^ITOiW&(b(S77be6SHLOU>&3-;=HM;O1nR0c^2)>iF`ONG1NLA+&A=^+gdEmc_ zd^TZFzD6kyZKHGDk3iz5Ud-4a&EOWD)%taT@}`K;F7j~r?UqhG*t){_hQy1-fGn06 zzmbzPfPh!0a;W-;7+kC}a<8Q}lB~(n)H|TGhhv|*#uEGml50?aE_%yMNtz{6yrNu= zLyGv>?vZ2At1X>g?M5a>MTxEKT?GcQt1Z3Dr_pW@sX!3?(u7B?1e4nQ?;nFjS3u?z zt6dqpfCQ@GCN~1+%xsGj^Ep3mP7?l(fY>jUpk@x|k$1|<{ZcerY&~&aq)BE#09YQc z_JiF6S6Y+d<MS$ef*pg4NB8qfC6xD(6`fXODi4g-mQ<ep{`RS3GU~_TWJKIH&<{tS zS&0gL6K+HVn$N`8upZu`oj@J;zQ&Yc1R>HXK)hjebMM52@Z&q;E}wNSpg<^sw-_AV z-X|-2(<9DUHgbdX+3ClHU?+1~G_vCf5wu65j`)xg0?H2%{+%kv*x_<G60Kz+>2pK( z2|2CPk>r8BKq)tiU0k<|nB5%m>I>}gu|1O@Z1YFd<_XbyRm{lM-KkHbYtRXNuAMIr z=1270FZALcJ{n&c#T73Z8htaLVihTYP}MVXRfRiPfNwSMQTuLFj%np!e-zafCA;?M z*Pmd{F8O9*>L^V{Y%U0LujDXf;6k?XSAgUVWr5ANq^0+XEfaC6*!CxnV^b~gBX?Q{ ze5b=hM+4M7Pe%%C!MOqZ{#;@;6jz9-h=nK69Xwfh2(3`-fO198WOq>rgae(%e0`Q@ zF8@RZYafy1t}z~pJZ7E-i1Y#@l6bGMpS|GTYbN$v;93z~!F$I25<{D8qq48_0Z2bF zhY=X3c3$e4U6ej<-w%bFF2i=wXsAF@$vxqj*il~PT{Ly_ILG-F@t^CR8=(S2hmu7E zqz?aTsdc^4<=_l&ArO}8w5;%dw_1De5ic4OuD3*@d$S+hQI)zpIxOdUCTvrEy)R8` z;A|w><pe0AHj94&7p0HrjwWbXLws19-wLhdSZok18j<S;$EEPrI7Dwv-Sx9~mWOyE z*hYi<6%^yLCE`qOtjNswWy*>|m<k*>=qRpZV7B(9#e^<QnjNfy>O|w?5<^(~<<?@@ zL{7k+{24;QFMWPiDpdQ{nEuwy3t@Gwq+PHkkk1_LL$MCcO?7x#T23=NJxw;Qu1`l# zHgG(e?w*<$9w#PRZ)~7}a*F=pBZ~&HsUiqvpk!ay^;a-m=4%d5pq#3gEenJ->%cz= zM3W(SY27u|Z+t#@<XYZfG4YeQIRJ#-zbyi+1pv5DaaEq7hr(U9sS0*)<=$gMqm}@u zbFX<+FoXGga>-hqdpy9|l#EsZ6+W(iJ*D{Xw60#W(;iX`ri1}q%Y_iWE2du}M@)B$ z9#-q;t#H@wbo`V^C}}6%W5f~7bTM^=hjAuZyrp97NA+G=({lwF!mZaCk~GMXa}FQB zHt9WzYa-{Z_QE?inf$e$-=Gyfed%C^?2qzYM)q|7ZXKp`W=qmG)xL(%Kp+kvn^d`& zI!vV<eDEoXMw{*ZUN}{8eA~6m&4DjFP{jmdj{$E7rw)HiFJ2fOj4#3uL7rr&8Kdh^ zf0TsdsT+3AHE~tAw+s+-xy)BD<{GER&h%Y@i%2*{nTe@#C1^YF1y0QHa<Nut`rWjw zD0I6$t@d+AMe@LfEiOL;cYm%FDh9N1C8XN;Nq<(u>cuf@cpNW{`1-Jltgxaa8+6I3 zVf8+tHZFIMtR8X<=ij7V6u)%2!s|^$gt5r2T@t_PBEP=p^W9O5>EzdSo0zKn<-?E} z;RBauKf`l(yVSTVneN|(LD%0m-Gzuf-{xj5Dy-$Cx68D041ISDmrPRwU+@_{LED3J z>E$9<k&ZCb{-*#<%a^Z5kjdF>-;#Nh6`2C-i05;?3+RXZPOMU3+V>LT{8H{sM+(K9 z?@}!!ofc&oAZn&R9vy!uE&qZrt*OjxsM;rwa(;GQi)?CNYH8-!fTcIS6=D5qv^q;` zaa`hBGkk2wcjRgj=7mLEngbe*b|EhZ7Al`~5lyY}4We$Wicm>gU^q;L(54IRpk8w5 zDTiw}deU|GR#29N4s7pdn)mEf3Gog`sR=fystDzQ(u{65SdJI}^FI=-Dh8s|WE^%R z8rjvj-#`<p?Vx)xyu0o^ZBq8a^8i{T#7bf#9QI}4+%J~o$uRl-8wiTyiXIdc`k<Ol z5@`L~`YCMraLPZWIXFim<K*(jB1Q*Hd~4Zna=Qm4cMVS1S&Qmt_0`7%aU-6FTs#d$ z6IK`Ol!HfQyMXbX{2OM(M*{GU#dRoQyVok3r6TEK4Vp!SZ7X8m<JWwFMPZ;Et|R#& zum-zApTbEB0K)o^0?AP*6KR!@z-r<sDE&%uQQ>9mzIS2a%)3icxS=?(Ln#5nmhm3h zEOK9U17PJ<7X%>T>l#xS)}<FYKmlVQ7#5%&D9CaF4RGpDCM^Bf&mXbryuYh`_m+gS ztK;nt5F$=Ecr#Sa@?FJ&#WPYp*Kw(w7XGl^^m7DSw}$Zw{{f6%7w05ELUS+5_tzxS z(nOhzxb0!$J;-cMwe&6`2141cfZ5n<j7vjK$3k@d$WH`T{#)phX)&NM;3LN`Jn)eE ziy$MN3BV748F*5t>l>`n46F7BwES8a-2O9^+S6*YaU<VJwvQ`V0aWY5B4YpV5f-rT z?ITb~M?^hj`_q1n&2mvkm?Z(_TL6=y@#?SOXi^ozJ#c?$&;pCl2kB>HqfaUZ>lV&V z-=2C)VdV6dC>~;(=j=LUUMtpha}h0~RPuk<+u3!A(e<dwiVGqlGDhT;kxguo8b!vB zPr?8lMG_G%Cq9xy%{me^8+VZG3uJUHjtrCPwAyZna{c0T$*-L$0FL1r35r{^3NiHd zL#ua;tSj|(tp`rZ-EQC)Vw>t(XZZ7HmOAgQ>6IQYGi(KS`!E|_hqskw`a&nWBnsq~ zmUu?$WLGM*k8U;V+^-A@gB)#=CMYzPj5%N=@M|3TD51*gpD=R8#k|MJ<u?kc@Z0sa zNt;)tqQagv!p<pm<PJj4pq8>9xO`~q-EdNe1djs#OOH5=xU}6eOy8@VM+-1sk?c#v z@TMuL^2!oRfw_FLc>0E>Gn&J|Lt5lmNJ2bQC*Sw5i6B7Q5cofJ3EQT6iEM%|J?fi$ zSu&mv<{(Gr%8FyWc6@VCmwMuNmvL1bOkV8Bqu8(?1H+g|c4**jmgNYhf@N6*C42^j zC{5_0on7e}^(f?uN)ozdLg}g|t0HUIH@z^(u^LVs!>bH`xSwgbd*CRli_%(8dY9ml zKxyXrKI(CwIsdUJEb`|_>l%DHbO|k5JsAfmYRkadGqiQa_1&>EbrJ2Ft^K)gy&-X( zQgJoSOXk8##^k1y2a-+g=)kY7F=5e~FSZG@BA$9O!Braqt8}tJ!0Cr9hLyZ}T7xPA zmh|I)$WE8X)<v|^ZDhRkv2)~ew`3%M-df_KTKbF*GDWftM-&WZ=J%ykKINMb<i)Bd zBj!_#P86pUw>oQjw!Y^;f(qNu30WT$-j6*kf49~@z8I;=xGv?c@_k9+nIk~T;7tKu zZ)eP=X<Q=5hld8eJ^U^>P*?)LuT*NmI@MNjde=zC3gkF4E`Ms&c7$%*S>-GY@gRr^ zIQ}PLN`24ZH$u?6Z6vzKuC|6ioMSxw)-W=7IM^Hyua`iLw$9wX?VRV`FNf{z_f?7F zvln)es?#YT=hs4HmBm9hYTiV8G8J-oM>UB~aXTm&dyw#{cSq&wswYI4-=OgI<|SL4 z5#HO!t)&5t*bOIDB#wT-CAaDy@9<g0x)4J-ZtHHiT(C7!*?4c^!NL2c6+Ox&jVig7 zD<(y?UvC;jnIK!fl)sC4(}$R{(o9%lCn6n#;*DgY$K_Hcxvb)Q+8SI^tXJnD$f2|^ zrUFbec^EiOQ>+~EEA?f6X8SN(HU>}XK50Yfy)n4;q&i7G@4M>+nNzJYX4hT}$pBR? zH>ALRpM|r2S&uq48_QOb9W(+#QJTiC%CV_r&07$pNk^SUB8~ruzEeT+hpF&^C@!@Q z0%sO2GvGfP*5?S7y(bBA%crio^V$RK*(!=SPFduoMV=jgy=xqeRj0~S166*+!Dv)j z0^X<{*_X6(3JJ`)sMBBFgZln3u%%jt#>iTEaP0I8CM73ALzYsn^Y2!8JZ#zhTNGfl zUnZ0_@9Ti#kT~ZAwWSr~9)8_Fv<m3fP7aa~8Qt(?I2E0~WEw_rGFs@S+a3n>hB*NK zYxjod>HmbF^z5B9H3%r~6<$--K*2gVQ}V|WKHr6js0;T-@l8#1)vd=n?aiHF7K+|! znZyN`D8cbYc@RcM1(j@3r<6wX=8N%X3i86Ih%1PbQY#{D5J&#Q!@N`wB~CxaC+>cq z`o)pl`Nf_p8<P!U61NLUPdn8SL4dqdf-}7h%i#8}&iz;a)o*^J%$Fn|50>1vTKIoL zquKuR0v*YzoMg<$XPrC6<%)w85qr|aYwT}-;sdje@L7YW&Ezn5tV1XYl=#%77+lfP z^^nyr(#$$;mmo>_1i+yo){UM_D8D;ERuN*ZweL#G;pFm1G>qG6P_<;yb}|bh^8asN zqAuVOr@#x&z&sNDL<h!jw0)_+v(O4`316SK{^NH^bB3QVr!G)OHT*KK7q$gkEG$F0 zR<mfJ7+czw+>WcR!`%*^!+dMm#y(N_{ml!8scS6Bz785rB^2{VFYCnTSzLJUHA$ZY zPC?ax*MraqRY{|cPaE`LiAobU)ae+mC0uu$2c(;F;nx~oFm%H)J}q(ghb)uaO;@|A zXGlvQ&9!y<0?lr^hXR7}dz`QyHV6aBST&Cb*M=M(XFIf5jk3r<CghV#xC*rFr#1tv zB6nP{hKLLJ9)9_nbF^#$r7^QhZelOq&QFWrp<BSVk>mAP97iXPc78I{0^b2jgFx81 z*nOvf1Tt-TAtHl!64!Zl6WR)(K&|P4Jw0P<%83d`Z_Pu{%6a225sK@^mpONZCx{Xl zv&|LwusTfLDFP-61gtDLMNnfvP4n@HmRSZsGL4TBMz7p;<;U;rB`cm>a9|F);?T%i z(*Gml5q5%pBo=Z>K5o|F_M)joIm!;{I}Huy$72;%f0=UeV}iSb7QNp7Vc?YDTQ=2p zD16f5KdlDk^=|vcg841xBtp3Ko`hS0OsWTI_n3fv*K-;Uojr=(v@p~WU1XGIUkE*u z+m*LBPn7d9&&TDniMy`(K2(nn+RM7hxT~KLO2ngg8kE5GQ+qi0QpW2l{vXQ#s5ud% zrv0;QYMwDhqQ0UuSGi(_>-M2Uo07{Kl}t8H{R8;>GQ-UeAy0CViv;1$l~N6?Z7yi- z81WjP2b#jVTN~FgDRgNo?N9}=RKaH0*;EvZQRqdg&cZsbw8jv5nh{*E%k}GU9Ahg7 znVtmXIuTqPga%VTZnUxqmQ}J1>KY%`;^^9WF(`M>^*q`z<(=?-%COJBs~ZLcUEI`( zMrO~)CBlW#TyP(6onYcEHAt4G!Gn!MFp0ML{pdM#KlV(zlMV2eq5)#w1N+EQ0SJ+9 zi+3UZszcvBc8WgY&qJZ>JZFSCPYK_;SL&GeDY&L(o%0+&&r4UHkZ?$4&-^0GSHI3_ zt462N(EWC{>{1pbwXE&Lj(7metYcEY7X~*S%w|I!p+$p{av?9@eEJikr1#R<I8$2W z5mB4@d`*MBEj{u%H2Q~^fq%iZc49Ae>v5YND?ihaupfcs(!2NCIotOZw8#d$D+kEd zFS`oY|6HGstL(fVLOpH*!xccVgix%1C#=?C_Z43ngVd3la&8$5X5K5b+kj8{D13iB zR}2F+@2;bYr1T?oa4Y-lW3#9a!&2Noi8$pW7wJM!hfJLHXuB;O=KWsWLb=`eKL}ZE znf|#7C@%VI*&0H68bwLra0Srm$ReAY#6-rJc%<1&2sx0Z4`k$@@MD#!x8DVO<<Qpi z49EsL;f>#cHIS6?OC-tXlMHaw%lCRP8zRUglp^Qy$_VrAt*o!$5-zwZg<Q*#01+sy zz%|zFf#pSVldG7^1y;0~M4Xg4pGwQ-JAI;J%Ex<8KI+3&VW&L%ER8aw<3^R75(DnD z@*i_a@6S>Af}lq=6e@5{e;CV3cf1pE36fNhCJ;?l+pXHH{NM}UHLv5hOkM(4ZItb6 z(6wwgL5<ziAYI&^_p&<482e|5Z2h!FIpVO=6Ta~3?>Iiep?JkM)|n<~{E$7tSSc-J zd**p>^IJb+`5tspG>abmQwqTj*sXLkkxEWLNjzRO$TSKWynVYsxMu;X!LZw$^p(JT zilEgUns+C33VG<NKX`15I}5;q?$oD6=2pQBtj0eoopemvabE)nde9^mS2OAwBoV-~ zpg?9!Lphx;{y^oPQ#NK~^$D4ULP>)QB$<&qQJhwyP_{pn@6E*>xgjV1sc=nAn@3@$ zSm&UKTw)96565xLH>x*9h1!gyDitJfDp>#Gp7#t@UBZ^Ai#*Z~*msuI;=I7C<Fuj$ zADsSAd31Ml2G~HTck|^|LcBf?VaPltdP5Q@aQ$h64vsY5Z{F*#akN<FllDKtGaqd6 zqsA82>TRArqE1LeW5^Z;=iaN+1PYM4e5O&jGQGz}vEYOb55(28C;})X47gU&0|Mf$ zFbRSu+vajc0;#9G21CYm673Z7s7N>~pTI1fU45FzBygh||Lc~}$NJK&9FfLM-iJ^- z-C>;c7{_xC_1(goRu%bsko*I|i(qaK3;QhQi;S&cJjLRm-_Jond6@?{(VYWN-?}p) z*?(tpnCRaO(dmI?NzTkxj&wP=Vnm(@_Ar^<@{jXNfoe_!SpH(Uz-%I>Z?sm!bZ%#7 zM%`a$r-u^m(hroccdo5G6Ex$D*{lL%pBG#jPniMb*919aY;2)==KPImMG8NvKoa^m z7FE^~B7mF#+xlp?GQd_Y3y+*Uy;F_Olc}ugft^jl`Oq|8Co5vSsqM%*o}p6P-<ynI zUM|fXlcroF`!%Jt`mSqJbHCpRj>9WT(BM75Gs@ZgnBT)q-enf#ow)dXb!xbLQ8~!; zH=Z#4wjqU?7Z!SM?z&gr5WXzW*$sz5wvs)I6CU^v9Aisa?vBkzDZTY6d_o$ElP1D1 zQfes4d3~zsb>&rk9fcINaviUq6+1Y%Vil|+mYwU=bP%x?w%g1-dQ^<0Udwz8H*Qr0 zCR3n}pR@3jyZpd(WSe6Nn{d9$Ys+3;CQ+KfIg|^=ch(tkJfa&ws_$wrm;v!D%-2Ki zdZe54`xY9vnjq{%_YX~#suB_KqMVqOq?y*hU`2dJ93yG*;4k(4vHgA>j1##gK6W~k zQxPAx9#@-iKzU5XTJ(w`$vvnJUc}p_ny^^z8Ok+8pnaRCM9v<!&V7;_D8*Z4fvx*% zn_(p)7eOI2Ch7eI7VT7doY!2osBH)|Xq@2>XF~B`f%{iF4WHbs?}zWn=2OjnqgR{# zxi(ne?IIfF3o>Fw>4JxMdkz?Gj?2wV!P@)w0S)B479(z7%F++Drk=w-sE|$&aLp(; ziE}9+g|-Q=OAi}rTQ4YLu(mJ*VPE|nSHb*sW}bSzYsy%v=_VAMc$6q-z<lu#^sGr{ zd#M1kG@&qX_(1;Lr3%9_kvx&S*jf<KtcZ$wD(V@(JOxjUX7dQ+P*`yHcv73b%mMH) zBI%cNkH~|ANpeXFACdFiAW9eKJ}}G`Q~6NB;B1W`P5Wqfz<l~~YLY~&<U=U&w+;!y z>!{SC(Boj$Da4i)g#{dt=P3j0SreIy6pjaxKP>%OZ94K26_F}%$3s{K3NNeB=fB&S zDxcl{>|4Ir$c^?Y|NByCitaHYZCX-08bg5B>68AbGmOll@Xt!55X@;)#dJ~mWw`%r z?;6c|4|_J!kQJS|gRIV7QgmJE!s=(fgt?pyPK0Pj&`c+?SUHudf|V_a3-g0`a9J@l z0&ydH7S_0ayw@Ck**UWAi|T$Q!7t^<?8L%w&9Glr^BN!#0og~-_1~|A>M5^{<K^Qp zoHsw{%Vs-|l*E-7j9Cy^<QW7cs}ZMKDJ4}^;4W)e;<w4vy@k^DX%XHrOM)6y7b1^M zTu0uw_rA~7FLDbMyVboC`HH0fPz15ncem8s=)~>5n{pVtv?Qox_4Q0`>(Gr*0o#;0 zw8%2_7U)B{dEg3l$DuWV=2khodvjl4$<g^8l)^n<Qi`ZbLyHS$%=Wsf9sm^cGcx1d z(~`@5AqW`(7y?kRjs6FO3)n8h7esn*fSF7|L^jTDZX#el(V7)1Aq@vpFuF>#)2%LP zqF+<g*<iub-41JL#F;z|6u<2@U~GT*8n^&PH#m%Sq9x>?K>x9{K(LsDTBMU52jw*t z8pH`E<m{ZVTMv%C8`eaTNh^;-IW50b!pdhDAAx}X6*hXC6=@VXccQ4k+p)`N{VC}) zRVPTeNYMDXrB2B2Ywf{;rxcj3V~l0x)$hfE%EK5?8D~xxE=9>xLVaZj4bw^WeW62o zOu@BDay>EMKYn*cTpY>-!HF=^QHH8u{Wr6{yh9NhKi#gCjV?TN?RykB`f}^?bSra5 zfx(2v4--+`;)ga#-59D1;a$5=2yPsLioLTX@E(vz=RmP{QuoFv*8P}T8UY@?l5vC& zbSht|3sdl@4h)CvOx&Wi>Y&jnPxW(mkQI16;@x9S*H@QOhJWt7jKdziqFhEOpTM<n zQcKdl#3n^&jy<uC4^3K2py-ltk_|yruftKUEDgkT8}#$u1GLW?!xxK%>bJO8;8<dk zaR%V0(-4Q4m&BY1QQ|EF=)sjr-j$XwjBOtnaqOC3x4<im5F_>Vg{zl$YJO_mN$pek z!B3~O&q@;~Z|ViA9!C@^6kPhs@)+6(*5VkGS}fIHxx!vd=1sA`Njbo_UY;k0*H0Cn zFbVn2!jy%WJL4;U?w?neQ_R%_Hl<HkYwx(M_J`40nL8d@6q&uV?a4>V7Tg-F6>-JK zg-f4q8cZ^Hm?qlogk3#Ywtc59bhY#!W5zxVxm4=9X=BdEz8=9Z9PKzwAoYM86R>y; zwz|o`&J?sWbT|inxfiMgKhqwLJjVarbF;3Y%=`Lpg$nNgeh&dIa#J&g!q+N`dE9D` zK=8K3JQTnS(l9@Un_}PxelOMPBN)IIJN?Lc(KzO|Zg<yaq(v^#Fd(iZEL!u`gEwCC zKK3f)hPhJgbj}{(T;XCSV1_-4yQht3iau!&E4DYyqJyyu`pyg;oJ=vKt}B%kG>6Zv zL`y2s{FMsBGd%D7Ys#um=|4|N$%{jj80EFr*9H^*oR*6A%awl%<~U1=8+MvjjN(nz zuBVW5l1M|$XzTL;;7hwpiB<U7`c@K{)zDn|0XA@JX)7%0N^h}Mg|T)}i*(++d_AzQ zHMSy~Lc7S)V0z;<<AqEB3%0AM@TebkvqBCXO_rcXj~z?LwM<*d(R^DW%#eL=Bn8Q1 zmIW3VaT}i2%j!!MV>6fa^#&-lUQ4&wsgI$2=xzt@z|iRAo!U!~=<Aj(eX~bcohq-J zSZZNuubb<uADkx?@4yznZII#LA;&x)-@XO2^J;!7=LZSs=?v8Tj;A=-S;c*@{*lVV z0qPogE-ZsRhmTegD0-}|!OXqfw3R$ZVp;~Cy~a0TCnr#D5R(xPb+%N5awjCpU6PS* zB-+u~xtj@n*c$`+u$VPY8Z7@qWnaMEL_#=L6Q_h(4e<+3zaOEMZlj-ocm@AUA0$Ie z(nvZw=^>h2HCGOPvt-VaCh9%fA2>i>0rnqtn0f1MtT;R_U;16;y|sz)O4n|mM;xIb zXH!Ks0h4T^wsyY+!zo0zstM%_=O_!rMTR7&X|^U&F`7)W$Sv3Qaukb%c6J2GV<)3* z3G{c-y*>HCjW^xpxF8h(@8$vk`4iM$p6Gn+g4xuBYaJN#o&nGX+N%QalBc~l6K40` zk_eL|S+R_bCFeHfuqV~!#8o$@^*t!wF%5it2;E{#`PrYhEn|M<#T!f_RS@g7g&IuV zDiS!!^TM<6UGiHcnwKA>4y05c2qzZa@1etMl789QY@c0?TA|5EVwthL6E9_S4_Hgs zU6neq){MLtA~qQwdJG#fRmc6zKp8878~j0F$1@FVL*@okD!p6)w!F@Oe8^@9bz6sp zHECJ+H4Skl;Xg|SzdPGk58$e-L|aHc3Pft<=x%!PAmGU|h<S)xvb^%yRH~6wcG<<& z*2HhqOS>vv2JMhD-x9)XU9*o{^r3iIizpN|0?ujF0G;ZaR{t?BPfU-<6;Zj{0ER-T z&ZmH;h<XFd!r0SgmJj(hhzau$saC`00M)72=DJHxk9M3FhjlWy%uabU*{?!+C5WF% zLPveZVi`V9ma4fL?M(4y(A=Nkj}J<ijP^ChxULv(1RVmP<`>{tT)?DhaX<zyOk~5g zY1DfrN9wre_67hL{5zNbz0V?wnSXa|IIemENIpjW1<k^ll)XuwdTHt^C6pGCaoH;U z<$-!HGp0@GT$`T>6OAz;kX4jBt@5pYzLQBc(|RFCvO95ausZYn{aNep;4SxFFtY@K zYT@V;{hCJv_s(`vZ_ylTZpnHGY<Nt${Q)~FyEEQ2w0%~(bYB6;8BLQs(+d!lvSSjA z<a4WU(E4g$=rDiT_p1KE#NEATMhOWxA<8VdRO37xYz_}-GIL1c{I1Y!0thpR*GoEO zWj}jd6|mL8_)wL=K-*0~KAathg}Y*zi^L-{MQ3SvS|(ZZ6mNk<fcBQ$0@ajVqBWsO zL!_LDH1u!|wVO&ODVn$e2O8cb!J(>(gs~ZG-22+|U`6oaV3ZVQSH>8bA~TEGJ<2$z zy|9hKWRI7uTICWeizPuh{@_4`#kypjEPiQfcZ~WZli!$pXtn7tX`+CYg0>+lB_3#@ zJX~lUp3!^3VD07{7PTiaKNGAaYSIo4M|w-12Tr1nwhX@Idfr6%2yvM3u~2|?>HMg1 zhN=53i3Vun=ZxipVY)}<h!vItwMO#dCMqX`+|ek#+>L+$5)C`kBRrU6KraUit}uN| zZ!@0pZ-fX1B{;y9cc^GF<pRplN=8f`V1nYhUF3tH@E>zM-`^AjAQK(Ifdt9;Tm2{X z5-aCwhws61f36p%ynH1>j%~qcW5?KY!VRPX`Q+`o&=gt|2Jd-KqB?AbJ{;Oo6aOX2 zN17ZL3Hc$8^2G>8goqkfsj>8yi=AZfF&=*AWZhw6)AxG*6{krt4lLpx-ubrBA^)9F z0VH-s*&^QcRhGmzH%4-_<GH4qY2d<|E-j?1i5h!b34-77@HPue-@S3}Uo2bv8=9GF zM!rS!)jNAM3q&6N`*$b$$!^7H6jhx4`xIT?cM#u&sc{}xmy5`}Fw^*Q-c)75@f31n zF-%QLWh^vQWXb!c^1eIdp1u<fM%=R`M`%4@5#Dh0Ozji-XK#V-jebRBdKOm(XtqCA zWDjI-qwLlRO}UriYFgXu3bLVLP8;*=2|D~&R7vK;4U%U<m-^YemeW8GQ$6R-NHb4j z<Iz;6c>S%VzgIL;iDdvRp>xZ~CYKI1*0uqNTy1Iae^gL?JDTt3QSQ^Cp%&oW$S2|b zBhac)q}yunk5{tH!BSi?Ly%zS-68)ry?yEBjhFVWrNycs9YEGa;dhg8j3<1%H!cd* z$uj@`cm@vzZ1oVU2`Bw_&x`rPB;eKVWIP{$))rB3BWP*`*n!8|>>CnL9ZJPYx`4#C zv{O}uIdhrxdkI~hX{epvIHj1=PkgF=CbW|4O1s_zL$|3tvr(Cw-xdaOM*=ne@aj4; zSuX_qVnM{gf2^B7YabjKft3W_DCqDa$DBr-@mf;4YNy#v=an<MZG>9R<q8}*vNrAz zy2{M&uBSw8TPS||<<eud*Zgre8MpwR<Z8YpKG_DQL3y7uW_dz49{_GE7p8Ir`4B9e zfCGAOyPA93{-cT5#)(6C?sV}I4?CKKu%s$R;XjY2SPVZ~5DUmK$!As*nm}2LGryAu zQRJ+fe||=4FBHAJ8x&l>#ZKCh+w>x9EsG8`m8oS-KcNG5;N^6&)s9}ra!!6lZzSo* z$~-i^;eSwQ5OTL2gnpu&l8^!uu0AzpI-2m39k8}_bJ%Ms?hkPMRo55&J{~$%CPC(E zXVneK-w>h)n=roO2^e#?7Is-os(AMdmDEWpk>yCbH#I1IURNOwAx20>2f#FG?bkyK z^v#Wv)Pn+`*}#UYR@@2`)dhAtmiDbARJoou4#QHl!;$O510o+Sc8uoReH~(RqQ8}x zwJa$4jr#y-rRk5VvKGB=TeZzj5(X55khGveAC2RJgi_RPrNv@X?q%TU?C7Nzq4WW_ z>B<bb7^Urc^JxzD8e*mv<Sa(%RE6+v`@@aUeAwC6GK-i=Z-fjVP;WJw{S4v6CKc<C z2r**9rr8M2m9@QTyk2w#3aoCO$6k2$l$<%>whXYK^P@RhZ%O9VTU}ng*($sKbgXOB zfec+n_=ux&v^`2xhp3Kvq~!c}bl9ts&CrsDYUxD89n^*v5<tDV=BY$M&BrtuW@1hV zfUd<Eqcxq;vyE@}V2kpfp5`qK)P!BlI2*Rhldx=C-pw*H;wRSHp~`;y*BzwpV3RiC z7f=tg$Mv4cQCQix0tG56&|E<7?R&IkF#&?D1kg)4`cUJdmd5raMW>DWoOKgh^&q$^ zVh{iBU3j{RWryySx+xk7MMNSCU;9Lnxcoz@>#|HGu)V!*e$s8EaMiZFmekZ#_{iX< zCPYcHM15LrhFpMl{z#31?a6Ia9?o$AP8^AexiY)Vd{NZSv3l|`HvphZzqFmq;MiOH zx}4^bgtdT@&o`i}TM1cO-z^ei?0OB`OZXOzaB|M@ZMHauV>pY6Eqb@V)kn;qc-I06 za}hPlwe@rKLBVE)=3Zi6CpnvhP(3@RK{86S6>B!Q9d9t?wBN#~AM0g*U{5g62d$w7 zUMla-QNd_TS-#>Kfnz;p`?VH=FU>Sp&AH5L#}-{{g>g3>;wqao?n&<HYYJL1UD<=x zb74r_$97GxxRnW$p;r#>9U0XS+hkIKHui9_UCn)Yzj1l8>gWQL8HP$huAqzn5g`l- zCu=NVxo$^w=|5!XCiqC=u7a6ZIZtmV!P@zJq2nC-d$quFn=51rreW|sFAY*}R%m=_ zr&2`M;L9T{?n5~qDstQhQp{%L$_$;+<my*TYYb9%3bQ%W;PTy~5sdS|&G8itr`nGm zzMCQ0oDPN8HtuacfI>Fu*iVLq8S2||Pot5ha~6R+lM7W^j29~A_9I1t0!!MdDcL*6 z<fm}bx^|)@*EQaN6aCgrg$tR*R`TYbuXAcsM3tdx-{H<R@%?N0)$FlFrKPF_b!9Ue zUx)oN98|{)P3(;C)pReEktg_XX7mh7QefC1WjfHljgN<v%}WS|C)g=3@hGt!a0C^X z30=kMbkU4ubx;go_X7L?crfuXHuHf$;pZwsvxGY@M8%}dR{;ny?deb#!oD(1i)nxr z%2Jq`Z*){1XKEb1F+ZZ7SboEd_cDigxtG2Ej~jyCp&94Dv*x~!Bk4L?cR!-m|G~3c z$Ne2UV@aV7UcmMwRm(w-wO|@aRZq*}bbtE?iN&+6k_^vYWI2%d|JudHJ(vq{_<9Oz zF|8B{=H&lyBjJBl(zC4Z)L;}vW8Fsm)6Q7+*O#u@({T7G+j4{G#GWcp@bZD1G|VOp znp=Smf$ORquiQ4B{I2Z$!y=mtgkU^Cp=7Y$5%SSwd)X##_d>+Qy(>3m@z)T2K|X9N zAn{daB0$U}+}u!nGQ^KmdVEdqAx@s_SVEIa&>mAh@9GjRt5?~OS&Ue0cvdkuMM5AT zARuO8Ffbq>ARsh2W-vO&_$s`8AW$99Yi9Hj85c*ms260Q&5(|1*^`6#%W3wut>lZ? z>IectpMgoank>hL4qUbD+b}r64?iS6!9N^n&?}Y~-jy()0vxO3ZU?aRAiw=ptWIV% z60cUdrO^4kij7Jpi0{<15#`&Ydukm1r?+fmaAtpSt%oNY>fqkH=gpP{s>i4h14WO7 zKiKZ{f#nb!%YsxXyKAu4x+B;beO?nKl*YF094&sB^c@~P|2A+uEhOc7duF1*pte&p zE0jU;o(!L532RK$a%}pW3Wi4V*54J_xNMFR_P?wMZ&zST;*Kxsf(M74S^&+`Ns<eZ zS}HhD1`o;71(y1iPlH!41!>>r3S(=hvc>_xH}}bukgx{*0(clzwKP@%`X-i>iKv)x z^f`s?%?w2rAsZLdOGgbyQ<@o1n|J$GRz4*pK0@HA+*)|}|23qg|KCglc1T={Ir7kS zo>=gk$F;rv7qC}ZmFxw%-+9olWQ8nWqi&rhW4J>8gvkuO3ZP}DZve&s$XwaE&H&VC zBG+KpgXBlHcHmjx($jgYK-+fidwH4D{uZE~s{Fv=kg=jJ878R_g|O>^tgSNZLNefa zt!kcOwv_z6Cr^pFRTUq2oLXM=xIKvodoRpKabSDu(1vP=h;#e;6;Ve#B!RrvG(`4T z#PvCLe+?Bnucu$iZhr~)+gbZxX0R0pxY?VJ&c^}ety&NN#;6%lNB>Mobe#v=4d4@| z5MHXPEYE&p?Vtgv-O|4hQts=L0VSErd8%!XJAnbJXlxlU%*;VG0Dc|sr3C`vTzLE# zyshUj*Uf4o$)9KFGa+{@H%7gR-w|Po8VM}2l|YLOns&f4%gdD>TwK#B5EQL=cv+g$ z27?unb>=+2{|ff#%F3kyWIYPY<PkEL!F_;P)Cf#ez`OQ7hAIP+X*|5n&O6SzlhL}A z7C!9yGJb~O(#Au<#)32ip8YSmG-<hH?W;RU{-4z9IY)DVCD|*DVgTl1A;azfkeLg? z<Q}cO)Zj<{&NC%)Au(hQlyc0{_Yk2pmjetC9Rwf9&Qg7^t>mKLcet1>6UytSUuqmC zjkl#l<|Qf5`>U4|Iq2T)^*Vf#)O3+;!0$LtXh#A#REb8e0;OstCkvB-qpa=AFLokh zjpo30*bDn4rQ_nDh*^h^y!rVAL%72>h9i`jj(brN%+;NT-4i<63xu7K9Tun#{%oUt zKtPoMq-zP$Jm98rdyOk(5fR>Cgi)zcj)ixk<JSc=3<f|m+ZKq0yZ-Tut?KFKeqKe5 zH2H$b?ji#26y+;RINXR+7blqwle}c4LoMP0QHq<?{2Q7$D~S{_B3yJTk|An5)n@a< z5+3Sjvu1}X9q4;9-Ra-lx%^ZB?;2+5;@CzR_CAL~(m_Tik*S2x^*Z>^MbCLM`RvfW z+d2tcS8WDaoQXS$lai0JDPFTgH7WU!$7M`Iv}~~a{IX^$QP==)l&SvjhBW;tzzX^Y ztUWO(5u3F4u#v|=vpRoZtM*KFPumie@~f(ODer?>x=s8D<rdc#AU^Bmga14BMn#I> zq$dhs1yPXpUzR*jQ*<+$F%}qqw-^Rk<zb=0wHxhfNB{sA<3O_Gejng4&)WB(Av|Tk z&(K~%$dDMR<LJR>Ga1w$w7%lpDE|a9Ih1L(quoI7-g0StL4ip+qxF;se<eQuYLT-8 zH+Adl>Sq6R9MOTJKBWXs<>e;fuP)f}q^-2W14G~gk6UpuB0Tk@+4NQ|F&5?*`j`MV zUa|3Oeq4jpH=I~a8Z8AZ;`gn`FChSdA`vN&L|AxUGLvQOIr9|`R15g!{j&fGK=!{; z*H#D!;(E+PLbU|!CouN~uTL8h;wl-vMy?%61}6z@T>FQml5zdRWa*9TlPao;EY4bA z<V!;ITeVt2!6l4t&b<iOBsKQv><K#CrIhgh8vPA8%+*SnsR)R^2N%};HC6*y4#^Y! z1uQ$z&b!#f_K}=$)t{cc@vPuHnYC|ip7B@tadJ-_;mzs>$gs=O#d$0&N}pPMj!Kt+ zb$Wna${yM+Pl+F_W)U^;cbIm^7>qZ*BFfeeHIxqdegaL7?fY~Zar;HM&0~`LCnDV5 zHtS}xLj`G#|8;7)Wb~1bWMmg~goQ+KdQD4lzW9T<Lxt_STU1)r*VjBDn`!Ie@zZSc z+FhF@jBTDr20r)#JJk|Df7*@o4a6VKn7ms?8D}<~t`8_TzDyAY^?-&>*2Is~W*jQR zZl0uJRXYS)kz<=1R$$SinCBT<Xv2#H9q*$mPkQ{Z675WJvUG3R=*U?*?WAd(_yyAs zkt~#3{oPDTks<*qAGQ&d{&)Z18AKDb5t;G^&jJFKqyxtSZ%Ali&`Gg(puGOg<Tr+! zBQWLJwHyn6d>76!-J5xAyy_P7!9jAVp3<WLX*YHOtY%xVvF3djw$w-=t_gY`F;FJN z>2?ban3%HSDVJDj?g)}Q34tZe6Ayr<q`5oHy_=-tU42o-KpAvcUliIN4AQF5e{67y z4gwh=S7po|#vZ~of3lN(gUkqVbDo;R6<%deSnU_~GZ2~22;oVCasO*{GEX+8B;|~{ z75-IF)FX$$iVg7Zztw=xt~0n73MSGjZp0JW&Tw_3G%hrQbSjG)dossRxSCO#-D#8> z$#Y;q4Drd_4R$(=%l$;>sC?LLhB8{IJv5w_m8UR@8GvV{%`U9ZY*_aCD`yOvxmy;j zQ%1V$VF$Jz$5ysRtPQB>Xh+2dIksZRcP?5_63(rk836AAK5mS@JHi9>JGAF@&Ec}{ ze5zl53aZY;P+7b%Bj==j>c=*UPjCVB?#0a#ryr#!jroZ#*E@?;-}jf^8RIL6J+#j! zB3$rr-vX^b%KK^|+kwFh0m`SB5?HwqkC>#^PhhCm22^9{a*i3uAW+}4G-s!}7h%Ci z=VFtp5b!bp7On;+;u;A+sDjdrY)l+Of1ZWQRxcQEfQVJ;X~9!CmRP!P`V_IY4_WaR z;C^~SQw@7mwlK@?kYHLGP)&eP3w9IEd>mz8BmldZ45+C7NPF9zEi+drdA{V6o69U? zIuehh&QdP&m^Fcs#mNH^o2;X0wc1Y4|17jsjlxiwqn<7Fh&5%wUi4Z$>YqSjWMZ)g z$nr0b<bklztw844-ci^;o#e6Fxy8CJ&C!C776=(n%_80y)<9+~7#UZLs1rL_8GxKD z(ow2<`zAwz;;#BS5^#?&!kDdgE1{`x(*1_+qc^_X!wrBa@?-o)y4}f*R(i|{WnzR! zY#jg^2JmV`ArTs%uwO~_bsUbW0;3AzgqeCAh&e%?U*mdHZ=i)7sLNnVQt057xzbL) zSNKu3dCPqK0Oki_L|i$*ePM^+y5F_;T(mAPU2pN79?kqbDpHq;N3Ko?nLMK)Eq zs<+}=3un;J^fV#L;UevE^xJ$@Cy6qx#=DVy03b9VGS5O^*;-8Wc4Hb|^t2rc+SiF2 zAA~@rl%Z`hJD_z}>cV8t(LEh>O4Fs&7)$pPj{{`NktJ_?j>X?^@OEqObYjWyC6G8B zwH!?K@_k!#Y2O**2eBZaTE_nT&=OAnDrWzX$8Q%dEt2QkWa-&~>aBq1*^UYJdvHsD z(5*_&+|4|XI~*tcA$0p_-IQ^iX_2tNSmLDi5P~vBdbEiJ8xbSyUg2e3ANqW7rir7) zAot-Z34RWz)pGi#0!gF={>{lk!bQ)h<k#eqO%Lyzw>%Xih7&8n5kVfY`Aua%vzm5T z#CyHyVfT*8rmZ@hHy9TxOxY1c#h?LC|LBH;YsY+Kq9tIRg_Qk?bDWMBFhV|wRH+-9 zz0Hyyfjk$W)}3_*{pAHAC$au8GKW+zwJmae67Iq`#1<4km8;J4-AXk4svOO5n{8hq zxfwv(P!?(4pU93z{Cu9%&>aqRCt}h#8(Juv9C!p--=yuZ<2`QD;X96(lIVDFg&*9d z1AuChsd+2EnDr0&g)Sd)7i`yvNZVRo?|^^c=)AKbrlx7pi6X4>Locy2Ez8KOxU+az z(TeNb?0McY6Q6~)T2U?YW_2)oEm6#r{vN|@^aQw=%~zapNSb?XoKcdh^UP?>6G_3T zhUKl>n__6BZa3XKdpbY;2;?7CM@fEFWdR@V3tn+de>-UGd(D}ztCt*<Wrt^$F{?KK zvCg#74FFJ#$<a4=4nmNVAp-E-y8O2ygC?QgYxXc8%^my=(AUZ_?|lHQ@>KkWYm9(t z^^|SWU>89IMBw~FiZLl~zg|3nV!xZ5svpLrRO%0MTp`EO$<YiRlL?f5j`w>jf36z_ zJzpzHGD`RS@+Sj{WF#aV5ZUPazSfv#Bkjc?D)mL*s*X+3)?4=8tdrMP6@gj8(HP3A z+$So3l=gYoJ4Mwx{6lH7^BA?+vgNuwB=;jP{P?K+Fs39)o7=$0@ZLr?KAZ2zoWWAF z9-+VIR-I@vO(zNSk@)zvNGbLS1mB=1Nhk;k?KKrc`)`)=sftCHQCUvJYDNhBtGkb| zrFea&W8nyBmsN!ze+BKj*CK6sISeKKXsH!P=dFrE4p|5oa6fsrb}|Woi)PrB7moH) z+PR@#n|_~Q49z%B-?_xa>df5)#uo0$K+X%;7hB8zqr4f1K5X4G?|LLB?0D_K$NIS9 z9KBO=9`r5~MI!2bFbJ(qm*DEO(C;yHOu9usgS#l-$zM$oYP?vahza2#=>xEULqB;^ zmE^roP&b;Xq`U#>Lsv{+v@5U@W!Vb_S4~HfXnfEUARDBiy8a$|3{yJ_03K|(l&@sU zE%)wLfT~u&CAUmyms7GS^6KkSHvr~=<s1M>ZuXh<UC_NUQAWj;xEA?<bXd`6(De7B za_OQJyW&5!C`7HKX{Hl=d^bqyuurZ6jjjc!ACt5i{a8~Jk%<K>D(i%OB$`w1Yhnz( zkW4Q{e*v_TxSJ{15y~0OJE%WIJj^`p&>!?T(#nOe!&*f>WF;i@iXAAv=TRmhDqvy- z?=&I0)pL2>>xfAukrf~>?3Oe7u&OjA+Oip>Vn3;;=}b~&x5|)xq`oMu5<Vilk|f9I zWB3F^wx@Z;*z0DJnqh_y8ga37Lq5*u$-`@27z5{|b0oZc<A$kzR`_gjDGtO=g|nGT zLto@sjaibd$(Wb<G(CAG-b4*AD8R?2BxtETeI{_Z4}Spo+x$PhU|13w)*QYClv%|< zqvzGA0{ppF7?8Xl!8HN+So&b!A<>bBd3~N{HN&f$Ky9I^RES^%ByG+kR2Kzb2yd-1 zaFQQ6UqtPWRuU!|5i+VJ!BfbaB|W$&=ofIWipGR}YQ|kw?fH#7kHMYVMfvA+5~?!c zLg-4ag)h&1>%q#1iw)jkv0p<BHE-G%s=zH#jCwNCe#Is|oV;wOoD=mDg3k}7eZoK^ zbGLh%A1T|E7CP_t22PX~^VjawX<THXo`kw@O)3_v5`<G0!fF`)7A{PtU3Sgk^nqg3 z7$6X7$S}VLlo~F*1_tp^L*IRAW2Vq$9T$gJ$j|t`eG`lT)K`sHER}LLA9F*G{fho4 zX=`#<wcY5U39PR+nLHH$UZ^t)V$sy_xh_Oeo~6;`gdIrGvGBYEL&Zu9)cTFWkYZuJ zYr8-A42<Lnm!_TlI%~j-cvyt!$H+?J`*MIx8#9~@*E6dqNRQ7wwRtHB7PMFD<Ll00 zaM9f&0;`3DUVfI_31Hk1HFeKH;YaW?D5eEu>ailb>Q{<YIGC9MRFgD0woawo7`j3d zrcYRT(rFb@RMndj6HG1^GyXv#WN8;E)!LtUQ6)OXh32g)HS+f*XJC7<)tkt_<0Tdd z1zqXE$v}aHjbKCRTOJlkN<WTy{NyEK5&&EY=3Y!WXOzr5WngzXI%@}O4S&@jg3R#? z+<~4mY_h9^mGHA)d|+23+#js>1mM7XXxWU`CdWeszqv|>hG13-XNxGSRnO^Vk+u5Q zr$oe9M+ZNO$k35GdKDQ{<g~44MgIwOUQtO$Q@>5TPkzOWR9s{6M{=cr25?<^v3g{9 z#`T&2iprh2R)k%+xbOh%>iy^^<~=0(nvj~ifH0oCO;~+|8O}o_dFB6_Uy(6B?4LLH zG>WErP!WWkDU_?EIdOGL;Z_>9%c9CreaN$CZ~mT{0K;BxRuVZvfRL{j7s53UJ1%cs zNT3YM{^U-$!9c1LSLveWvktvEUG(R|2TELM6f-j2E*3I}%JtK6W|B@i+Lq#e4U4q_ z*7o#SOmh2xD7;Qu<lTBG(u+Oj?q#peDh({zU<q%!;$+U{7D@WfYd{|?;z<r3;;O1O z$=1rBp*RJ;IZ-(LD;O}GRsYVR0}g^Rt*vMSP<|$?*4WVxwQ?M8D%stcUGuo*>ESLl zjWUnux20a@y;0UG-qNUsp-1b0^f~mnhfc<||F&y?0QOu?CBVy3mDrgtP>i-}YaC@| zaV62P()?JXuP7V_M^>+g%kkYuF~XYDE<V}$B40FsYKsh`OjX^{XUD?EN=N#6(o7?B zDL6Vx`xXG9W?5w<Tr|t!Xz=tk%yiF0<t`JroIx*1$3t4LP~i*J6S~VB38_G83_zv& z&7O13gMEq2)ICFhW$6-UrH#UiNR$SWQI{A)C-KLNx2j3r{A^>AK)!tC54|9W=_>P@ z^rDOdpW}CFsAzs@(7b<uQUhKCb$i9gv>z@L5HA{T<Df4D7X^sRjhm02n&=D(IN`c% zf7fruphlJ;4I#!y5&uVeQvY0cZRy_K)F6f&CY=Q3`DXZsE*)ZLzQGEB*Bz|MELiXb z4pO2uVNYVXbLazOlw!=_Qg<;LA8;4TGzqJKY8JgjdUoHY-inNexmL+Ob#mlU1ZR(w z6tvaM#LxdZCg0Xcg+}96*$EtzpDgqj9fwuq;cu6@dj#s1uB*%$Dri{xCG4M94&3nb z{p&;tId@C%5<^+Y8qyT;LULv%7PUUr((bO{ij`&j-X?X%n(Fybl$&|*Hm?2u&ji() zxHTvfG`^^iOYS<rI#8SLloRb;w2_&KX$t>exZj7kg8m3#QI<re(9R5aOQQAirHJfl z*b0gm+t(JyYwo<GFc>{&y`5ZeD3~xFNL_o%{lE1%-pSvBE=y7Q-C%y%_a)I=yn$JW zgd5^o%oOptRhWLW36je)(IOt1stZ7;!?FxH^)x#kI}gdn^U|%`XE$icR7}OG{?Ibd z-Lo$L2!zVhyag_Ca=<;w*O>Z}YNI6L%Y2Tg`9E(F%W5`b68rjn;&6+jGH{Cb5sUep zw~%Ht$&`s`pttUX=TQDktU8z+w`oVV;!DVFH0`@Ixmbo$CdG`#nSy}p<~0r!n!<+t zZl)M9@ul++zG()on8i@bdW-MOq)D3+nRO4)P5^Q+cU>28`=znA&=d~48Mp6;&~){n z_WrG>;I65Uw}7!M(kwB%`(-G`6~zVKP7WkV#DBz%u<9B3_FU6y7OhTjk1lo_2{0ie zZ`qbvDa>TNv+e*tK)}C3i+Q60cjAE_P8J(-vOJKCC^A%bEhP!}dqxoMAPPfACTBAM zwux2kBVyH<8)V?kh`u+*X2s|roFqUjY$HWhjuUix8j*t$<c>V76|v_^O=Xl@i|m!p z82k2|9%N01vVH(|A`QrVCY8b#0rm_K3CP&yrLodDp$n-gQTr~~YWfWHNlTBW`58P< zh|rZ3#Er(Tq0oD~TOwb_oiW%$xZndPMP!LtkU~TM#JX4eQ1r~iS|m&rZJ2RqkuRE2 zZ&{Rn#UatG6%1x2k0iNMd|$@0YtP;ItO@(q7l3aPcr&(cBp3^*hxpNO&3QuH%WXdN zq_Gi}sakl>={HJSq>B(GE{tMF*}_2tfz7dVJp{0aS&MceHIu+Aw2#nte1>IOTN6lM znfq>AVs%sp^I-&$2FEda3Esj(Jtok8M2RCEwgBq##159;7`m{1K!_?$fo|zfQzfwA z!A#Uz$;A<32T~7wv(8pBA4=ZbVZPWkaI)6vK&<3A@x+IP^qWg1^dWQ7l!nqVjJ_AX zjgf87*T6`bdyBJCZ6D}mql2)7X~p<b8bBogFM*7LBJpMj9#0Fyn4=9l=Z9!px(LyS z10$XIRh-z|XXZNq7}cXTzM*O~T(J=NiO>yWcX!EZureCkd*0dviU0TIoAhkF1N3aC z5yW068@-28HNyQ)9C+9>yDS+(;9fx}u_x^OX`J=YC)|3@w_-s{e;u5{*%h;7d<}QP z_O@j=;09LRlz54V%#0q)Od|@I51o@uMq(>%BPl0a{Rm};BQ77W39Hn2`!NkXk63)G z;{I)X#}1EPjT&{r<(}U$-PVb>xu)P~l{Gxze-OO?r`>d;`cA^qCVtLd4?cU65O+_c zyTe5RT^b{~cp|$&S+sBCa+!&9dXR-Oi$bopV7;jc@1ie<8-%ee3^vIHt-!I$@`4Ew zvC+ssG&BBW&}?Mf9RSYNx37i6o<upe5pL65`p41QmwT5H4ELxz?C3O+s0JL<*m`4G zBF`jLH=eX%+S(;oi&o~evN=etxcX+RNnUO&Mm25fWCvbsa@ts&r*d-<BxH5hgjlFa zO-MpGvsq#{gl*~;^MzX}UK)=?1f~)cP7ON?xd%`CC}zPO3~rUU;b>KayMExj{yL$F zQi*o!?I_rgdmKVaRAZ$1npzBmR(+<<Xyq-4N}#1L`5GvD*F_ltJmjmsijrN|;M@!r z5a}Oqrv;=0)a#K+`e@}<#fBhDXuDy>A_V3hHOv`A{tmp_e>w}25H0QSka%ftCEc=9 z*yw;QRr_ED$u-|E3EwTE)C_BJ?VH`c2kxihY;gj}F$~pt2ssLQks`C^{2b>w0GC(I zyFRM%Czxqadiw3jWANZAOJvb^7=rAuXbWUnmC>{C<kP+l%wT5XP7bl$43W^qkY1|< zileZ#%-gVpZD<hWRCI<}$gO?^5}h)g1HrAkW-PDt1`;w9EUOF!(S4a`cmMxeIb2RK zYS^VtTl*v^P1)KZWFsoi2s<ehR=>w1*A6=aybl{(j!G53+Tc(X@|*$6YxaH!JT6ap zDxz)@sx~i<(j)iFIQ?>7*fVkI^rc3j4?Gph)6jl*hdzq}+>O(S$B0;LG;<M#wQh{w z!>pgz#BI#RW4TQmW9hb^A?m=PQgPejPCXpE9ZcHs=ru~8LyQ_*QM!u%W?NKM@~yIR zj}@N-shi)_^s_e~T9UZ%`d@aaOr|UEt$fCr_q<?O;3ph@r4GdAf_QWLgw9ig`#ai^ zX%<&m1&6u0oeCbtfo~h*^H>10E=`8PK##eu0I>t`lQGCxSq&P~R@vMsFAJ^z?k?!y z8~5mVx=Z=^roDxh^UG5IIbe$LE2NWMUrTzt5s&)80<)7QzwcF|h$?~ihRFw_kuPt! z9M6!xVjPs@ykYU4Y3AZQbuPq`SQ<=V)&89Io1b>+fZ3PH*BgBvLg}>JZL?;~!0HH# ze42yEPMu$B-aiFrG~7DyPvz6}%Ic-hgFNcHzG%&<27oy%Z^+}3QhY!nG+yTgnS+|c zBzbvVNG4s=Qzc8jhOmVY-~>#*7P0ZUV&TM?DR#KoH2#!=AbyB=G6NoQTF8>4^c>An zNBxZlxV)8&m>Fvu6zmJ1>tPqL{a;wi6@mC_FN?}eDu<KNScdU;4S$W-(!c+8B(}Kn zQDV}h44=ecc>O>nL6TLfID1K@oD)$iLYb<`O5I%9VilqdXL3N!R60ZDzNDEt=IRWk z@@7J5)&tj$)0-_NHQbWBMtFc_!c)-wR3?l|s#ntJAp~|MM^c<#{nLDtcjA_OOvILx z9|LUZFl8#f8+1U1Ae|?`NA3Lo$zNRR(`X4B`j;v;hP*CAhf!*$_qqMHRDAjb!&mmZ z`!ZE5R*vm(CRIypJRPt>4lrU|FQKN$IVl83iN1Nqfn36u71!=rL;~t<H<kYJ%r0bZ z&UpXU7QlH)epXhd!n-Mi2ZPlwM{G&-4#4BtJ_Le7U{{|W!7hYZy31Kg?%NGHjG`^t zap^4Zc-)4%;eIlwxaMj)WQTBRwq`RbZCUn*vqq(*5+e|oeQYQciaR;6EwtVta{KgE zgfWcWzEV_K{}TCSI&5R9Um?SCC?bi}Z7b?svKT|C0V@aHm{=9lTX%EB;P*UV+E`I5 zSU5QZSs%`0{CLfwjZ*sd5tW_KcAq+pZqa<xo_S5ohNo6p5qU5$Iu(q#@P#T+enXY{ zke|>4Is+6!Hn~#1yxRZ=1`GfK<rDuw#pV|g-PVcc1ZRu5ky?uOuoxG;DuXd3rUDOo z_eC0xooRb@c}*<wwZoiF?OSWt9qi;$X9I@0l^n}gibjiOmEWu?$BYqTkD|>2hu3+6 ziS3@CC+5X&JW(RX5$Kfbr%QJw_+0q9l)Jle>4x{uqg`My63A)Jn9zwgFRD6Wzrcvk ziSGocGuGt~X5?QJMO&z#EPR!84FZII$>n+^nXUfDQ^I}PqL3ejQ<h4|^uC2={Q==Y zu?h+xPNNkB!{%)9F)%@v9cbGxlD$5Yn6K!xmHt5}Nng{KOk~&z$BXM%P5iMHD+Bdi zr>3Spd|NMH)I^n+)>40)3asct^S+=j)aj$v;9Kf3Tknl%kfny{lxRmy2W|8?5$hS8 zX;Alw#l=w^awNrdvtBI|!!Hv=IMtw09^Q&epI@vHw&0YocH?LtUyy*>tR~)zYX3+o zf>tzeVo~uj8)C~dBdV!c3TlM(G)NHbeO++O83gyI>EOuUVIKch(P}crb?BbG(`DQ) z(Lqd<tgpi(CnrhFsXl@~BwRmZT~j`OW%J$D4hbeX9p(9+2jgzd6iL~%y_jLZXu&X( z7mOl_jA<AVv!fC$pWL_j-*m$uB!D0*bJwcFQl@pf_CL;-<np$TNSDxJg;NAFeg^VU z-0o<Jwc0xhh>wDxo>>Y|qLtiEKem8iiK}DGumY+4)D#n_08eIt)KSkvzb`!LV-yz{ zNgQ`zK9oB-2L$>cYKrD%OpalJ8XP7&Bo*O&+-g;PSjCgc)sMdV((hAZrOl)4fj0%Y zU{pDJ*`w(s{oG0WXwo(vF@T6}8AnE0^(7cGO?6ETHeUDo;37h|4tcY5w50FU6`QIO zWO@y`KD=dnxTM|n0TA@@>dSg_06i_2y6VH}O?7wxUjfhm7@k25Pc`r?-d+-GaZ2e0 zI@b4pHTpqITrJJ;n<sTI@TT&Qak>~ps7nlBVC6R}1WF?P44^a$N{2}Ec{x_+eSW;6 zrEZjr6XHS>U;s~3l+oWz&XKz8FQeR_!^-u`Im<~z!9T|J@G;h|GQK^#-2}VDf$)=V zE6-ofg_6Mp;{Bg1W^eon>dx6}`XB_-Vb?;19MEwQ$1pvn0HIowFFPr93>sgjnrP=? z*g_{he8-jC(?&@r-{1xw=TZd9OWVg>-$Vk_u=DT0T+Pt<1^Z^@R+;+778+NOBCM@s zj$9QnQouNYztJSht>TgWm4x1T1LU_9RtJ`CLtHE-l6MritqMKVjL}Ub?|vEg#Ycd9 zbhuj=otX|6Ip!u^aqqe3*wDL&FMR=@>ikz1lqg7{WiUyXUNjw(+Ml*;Eh6@BuA06A zm1ITu^IcVJNlo5{al~XwcBxkYrp<a1ooOxjZF@0-WMN^;WRvcHrfjshqS%DWyrnL~ zs#4ly8<K=dG}cy(P}>NXOO8vNEe11aNUqc4uD@+M^qwK&WYXSH?au!q?^Drk-8P}6 z#*!2Re_XOn3&z8`mB%d9#zu`n8^*Q=)!W}H&H5V|=0ajW$hPMHXM}hXAUr0It6+b6 zbxV*-Tt*ZTWNq7bI39ig(+7n?j&Z0Z5A9>B{JF!oT!G@)$`DB%R95J4b#79zaI@ZR zYop{wn3-?=+OH4GRMn3hdCZ(aK0d#4o)CO3yT*cYdf7{-bL*ikE*AGH*NP^$+QSpQ z4B&7Y*I_2?{a%y;Hv|YZYMGefys>k<=f;PfNPAHpb7Ld5kgLas)9W*fa)3vrmzfPH z9zz|q18e+x6G|{-XCx2eNQB(Nd<QuP@o^_2dn;4}w;V7xgK*ynQsptdB;D^&$e`VW z5DNx^7fp{WceVozDR<nOcIz08&jO`=;H@4^p_MJMf&bW0CKKKkg`yVWg>auSBZ>yA z1U0MFJ|aKDul_nKx>uPv$^Tez)x6vg$Cv6$Z#lr-g{goz{E{n{dc=|qk*Zi@w`f;u zeBw<TPcM*LIejgF{I0Nr>$|4?zvVA|+IT0iPm4+s^P<aqbMHs1(?Er#X1p6!LbZCi z!bIT-sEleRO8ms7km!BIes2*&dblqbROqTp*i&HG`Qmw__?9?dC~>HO1ZlzZ$)5r? z0W^2INJ~DJus2Ioko1$>0d2V~OZ<;TUshnkVhNs&fx*cO-pVeGmJ8nQqQiRzTT2*{ zwiAI8iV-Eu*fuM@(%z2+G6S!o+5kOCCMtOCutg725oV)iT)DD_jsg%ge9}LqXq={F zo7JLLaz+XNWg-CPfTxxm>IhH_ihkkd@T`yN_a_28g7s%HBGFnir#`cJj%9n=q~zwA z!+CQ+b&?K(Fkq7f0v16#2Q26^LPmatAdt=DTWM>l5&}38HFDqgE=)&~uAU{<u2}E3 z;9OPF3`Ip1TLY&hd`ZHO3muK@Krqd%zLTXIT@&xLXSe`2m!HiE)0+=%!K=Q6CvPQP zW-eDA;GR~q12`^)I<Y*ZDU{^$#ZHr?wG0?QN4U%XU`_m<*g#lCQ-O^`f-)$cQ|{c) z_&!oXyr>V#hqw6P?vFn8uff)f+a6@A`$=>yM2u4w(Q5@4%r@JTIsUA;O^y@x{=Xe? z_xHyR@2aR3K(wVxvARqoRi*C)3v0HfUuqzrw}qXOOb86K^6I(h5gueVFoqtp&6VY? zLQSTdtpvMM?c$|a9_@ATHnsw=^|d1&hYn`7s0HRxSDScPB3S^!Fa@W$C{s&5bmM5c zDATpkMnM3>5`0>`#|f{lI@is=R>J%Oi<N&vKgs**D^>U+y0w8TqvXH#wHlE5ci)3( z;@JtExVG!^s*EPXog^lR5v(99<NB$P1C=?ohg`yti^R;68z&&EF#{HygRA453d)RV zwX3GV406k~s2>Ca-Ygi^8DCDGO^wQkLk}4eDl^M7uyRco|4a!rL3Rc3=9@4rX<MKH zZG5KVW_wR%g__0lAuQ_-$|ZnDvWJI>Uy9&e!NOTL>eAF)0b$8OXKl)adz#yA>X)O? zV;QcRcH6EINl5`)U}Cbn$x_5bf}r9yrzLrwsLq(4tTw(ZSV^68-NGl-o;QQ$;|Ppb zVCB_a)^JZNX?I}@x&l8F%%EO{qFfwDyeRAy9x@n?ppQwdRYqOcJ&3s43M(t}2_{9* z4+rg)d5#>P84(zW8b2QM!`sj06MH~p#??H$Hx86l9lnT)yexbyla0rprnDXtU;`M? zfQ+bC-1aEJtxiMVadULiII4j?ZM-PdN*D>juYv9WM;L^ev>Jh@vD{9%^falP4Qb@@ zhgVu2F~HPon3XMM+_&hsuEve-CB8(^{+kd$L}Mdt6VyM)g_j|3mI!xyhJxe>5p;1W zWuQNAh9VT}!6Oy1`@Dop`|A5#5$|UzcRLkCir_CyNLf^{`Rsj!)B%gm;aU?1BT2jQ zHZ<5s?pwj0AsZi?3k(rFSw<U=M7voMrT*2WRbB#iAhjvzmmL1ciFSHiGVn-GCpbp{ z_)Pi`CMsTS*Rww8KeA9AYC)Txf>b8SvBCAz@^LfyZ2t8Y7A~!5QH)E92|JRK3=laQ z&?grl99yI0vMB6uzs2&!GGTCICPNJ<pcE<>DRt#3Cc^FEnOW`#jMeO1LFWKgnc#+e zZR0G&KRr#art4{lM76LgTxH<IBhMKW?-}O!Nc)eJizcG2G%ixQ{yfR1(y-UiFDmi2 zs737l*4uF+JP2HsjmbmYtxh=%m(OOaJ%muOYRpwriYUcmVNG<5M;AeRMAmEoe*S>{ z5pvzt^xo27%j^-ESv?g<9i09YWXR5TCE}aBj@57jt@_(SVGldqzjkzx>H0tcjjR%0 z=|R0})tKHUIEu8Q_WM8*c#M)T0SFT_Oz7117Y)nC(GK0Q@12BHXj0PQJ$4pF?*U;r zSR$0cmM3(f{{bQiu>@@6^9W(OrA5$OMm{ZYN5|$p`F4!|Bt2Fhn}8~G7>y%dI54JY zC`|wU(4acvkqtqJ7tU6Tk0uGC?tR|Js^`8hQ@7W`c_*53wWYy0)>Dov=vn_KB?~bU z=ZnGW@oxe@@TOr8t9b&4a_@EgtB6@U<~hpXMn;*4FeZ`}-TvQ?_GQW`h_BRM>5L?$ zib~MK;gP<ng%;i~e#@G|6|wqy++P|57{UP9{Z;Al($saHvva}G!&DRa&Udiq{Jm$W zGJ@hZm@zTgqtEgG#bPH;wg5003vVdKM>O|;rSq7AdxD2)sQJ~o{o8<2s%tR`G5HE= zUNhcM0FNr^M~YJW0uMr=@UMS02L|*963!hD!xhd&e{)!mV;VL|7>IbHV9DtG#{YQK zJZsj3YZe{c4JEX29E%`v)E2-Fa1jk`bSzgxF9Wuk+KVRKaY3Yb#<FVEgV3Id#qxZE z_O!*g?ObBybbLvNtHz<d#@1>_gA`B<#2bXep2YRG8YB3$`y|wFBD0jW_w)>8Hj~nK zc>1cPxqeJSXx}v&9VsrMjQ$Q+7BNbe0j8B8%LAAx#Hq~A3M7&9F&%Nifs@hRJ$E=w zQHvrX_IAfApm|k$*C4)-bDhn?R3@Ga9Q5X}o=P*lezuk%@3Z~MRF8_p&|M2I<#J-< zc^=E-qnwqHJsi~%K&PT<*k57H`X3lI?p&add_Z#O)wA3QR>FH&)(h=tkT&Rn(#R{3 zkH9{cmF3ZulRGwd#KdlrfkX9<(RdMR7G9i%WjI)+Sa-Uznlzxbt_6L6*ZFXrdxr&} z+p=F!PH=>lj1UOa&MGu)*&!P{Nlu`C|F{HB6cu^G{^cN$SHI#0ecv1H_7;O?575sb zAzwc`Ue>Cq->swT!o&#c=`$quCgkhwKPdv*&@F&Xj4x;$xGjb?dH0DNVZf)5s<{d2 z*d~Z(pc>6~`*ncf(+;H=3@c%{3B3yzzBQJKCP5J9uS`&qT(w+Yss79RQ1bkih>R`X zPTvaO7uWSLPV7mMe0I8!j+juL^I`=a-Q)&^$U2Haq5PIK19&o(|GgswQC3hVN0sdy zxIzPkwf%o0-1`8W#>FI3?6%U}I*yLKVtHj~UxKyRe*bA3(IkS#4l^;$Hk;6;wp#AO zzIYoidP2v1vFs~8?v+ZA)q~j0eGA2b8BVnOi8S2gv?vl*neQ{+w~{V#QJXVHmiW5q zK^EylS_09^TFlylAYyL+G=16~GTisg)=mZgzs`lbEVf1;%@7yrP4B@5bU!2NRy$ah zClDpPe+PaLSoH02k}0{%kRzJYkc?+M7#U9}jLI%Ot*2+>ugn5ycBWj>kQ?J*I{&i< zN6#U#?}8@1(xQDBjb#fGp>I~vL%?lSfas3=UJziy1aeYD5X(QIW!Z*9%QkAMy&k~D z{RSfUI<V(3N5c2UEaoPMd6!tO>l_P}hCp8%*%B`lnvKce|MYve<y|n8{E68A%m1N@ zUd#OB8(Wd|u4~P=1D3d?)5%RZ^ZR+SF~(i9aBhRFUe7wkdOls3v?$hC@2_B5x`4*A z&Ly(`7$!mbd*wQM#CK_&IQega5M_fvaXM(CBNY`r8AWkXTTRhMECIUfBqNZqZwDP^ zlM=8P-#Xhv6TjXvKrEkqDvY%Z-l|EYE_f-Tb`>~OPjqIswD6W;y(U=B<AjX3!N9Z| z=D``iU|O`Wdj3&y6QlirCS4C(Y*4UCbdf#R)TEg}%;71GdOMi1ombSan+FVarX|D4 zQngU(=rD}cziUnEZsScP{+m4Qf^8Ug-&m5?RG@Omy(!%Y-fZhZU<%cm(RvAH;BypD zVcm@o-l^LITRo}BEm(Wfg;Pa;Ypq+M7D*6~%d?p1NeRHe)I<{&aQF!dn8%XuI&@Bn zzW<tU$JCx$GZ#NgKg1+~Y(!BJJYDBC>tvFw-spuMoUUowb%W)Q+76}Up*Fry3klbr zh9SLj>{#<7l@hC24>YSH6e4>3b_mL!m%)uFXq$z-CCb(*VlHXHClAHx#J7Q&D)08k zOYi<@fAQzU{ZLh|1I#BZ)L8*(4C=YHnm=&37@am4k_|>EbXo%<L+>8Why$n+Ptf+z z-v6{#-pKxE$gh@wcBx&nIZ6go-_0_rECpaiJM<^j{)*}lp~5^*BOs**z518oOgVZ^ zyDH{5+}II}$J}bFxkT=#YzH%ETwYHCk1MCK!0QQrst?xCFL?ueqY!Ax%uDVEEAq;c z|2!hJ@HT@|K-#-Q%7`Gt02iOymB%RBun$?bTjav_GNQp?F6xG(jchnQRC2R)s^M|( z!;Uk0ryN9_p3O{U;HN8jfa|7%<k-H^T7*Ur%rrkk#Pr{{)0jE%l57yW^9)J}64;e) zbw2uPKnp5~nmcld(|3d=ym7s<L31=hfN`w0j#YuTA$SQDz|Q^;ruU3SvALXfoHUu_ zQNttmNwL=MIH)K>I8#=>K-5HDlOIX(hJgnp6b`oQaP}QYygz0+^ishhGOeIna|wJ@ zSg$RDq;FqOuddu3#v&)YZvR}amt<S)`*{PQ%%N}&58eAl<N4~_P+W2_@L=xKkKm7P zfY@Lr22LD{u8Gj9A7WGi^7P%SaVg%K^i=|zxmrjfE8CJe`9*|t0zfh~6a^4KL|vq% ztVh2jVwY-+A^OXRnVAKyDH^ZVRty=rCHnRa`_jrq&{qc*w6NE0GAE2YfRt5^V{Zi@ zZ+dQ6aOzluJ%~sDEJq6*o9M+v?ylv;R{DpQ$X#=1x>I`HVFLj}BHf$^+_Pdlx}Sr` zC_J=Fkc6oTem<qL%@3J&{YNjaNCG&K3ep|+qh0C+n*(ZF63;(N{Q@a*-C2g0A|)>t zV^Cfb(T@DUpJ(I3I(<)gP7;m+Pa$g9gw71j(7t?>CwgEMEPDPXO^WVVe(m6R+i@iY zs$T(-p2h5t;j|~1F)G*NUom{2uI0v#m^q-sDVeL2x!cOqW7U=8CaJ)T8Pb5yZ#dil z{v_PS$Mi%(hfTjo=^YphT~fpYO_fx<XjaL=bdi9X=vy-c6N$H`(F^wiB_ad}l^W+` zs>;OOM<HD6$b}~{PNGnCHru)&byrhsfv(Mw8MlQY*V(vBd)jbJMg`mJjhVMX^THET zbiiiYih`WQ`1<2dRaH#THl$g{Q9M}P1|_L-$s6(Hw)qR&3)`-+M(*h%qJr>jy1_{3 z_{-`Cv~LXqQD%jxgHZGCE`?<}$+!ZVfsK7a=Is#lm|83n_>tE{6BE4=fV5wc9P9NZ zVCg-i=wH<yCB}|vUljB2+AOsRW8X!t#rN?II6qXfY^lV^8E|<F7m457uW<+xeosnc zb#(I+9xt@i_yH!}if@?EYxgkwFdx2)uikx@9loL3Z*EE?`ZTyLtfB87)XZctH`G|q zU6*viCssvKWdJ5NM1N%5#|H2B;FbCm-YNt`t%N(?<s()=TujXgPjKd}SH}%i!PYWK z$bDc94jF58AIjM3%RGlax91S*%^}*7C0JV4sr-f_r|biCp`IkcI(~{s3?B<}(xChL zCd0fDnaXAR@)@F8)gePzq#_AGG}*3z`OId`Yljy3vA!Wr%VD|w)9+{Yn2=|Pa>N2& z@&3=mPgEx#A&$!O7M1=Ya!&RGw<f23M^<SFn=@#QZ=mP!{3#p3=XHoXU$QWd4nkk^ z3G=QS#L3S!P^a2#fca7<+ALrB1bk3X9cs~)j1ls*%sMgsO_2^|Pzbb1Xnub=p42Fx z^rmDH$=;Chid;J-@?$CJs@uhnMb>q}+qU4A7<H6oACQevq+n)r!G5a)cFg|a*{!q0 zGuaY335v6iYpIU_ggrt`=1INi5vXXb#DdiH7N5%WG)uMiz8RZ3JJj_57jJaEMnnyn zFfya7Bg|aa`Z+Wob7i27dM1E{tgci*PDF*0C7i`c`@eP`wSUxSH*T%=Clci(n$Ue( zTcdQL@urFWwHd>*N}HXZ%A95oO8!gEtZ#=rQj>hvGh>ql-OhBqHX>iyWXX}zdILb9 z6r2|M3KkVJE*;f1K0}a^*Pp_D{{<OPJUU!6I5FQ4Kpr<@#>Cw>5_CQ6Fv6_k5viTf z6ID{~&#Cow0gzE`2TW1{@jUCiK92!{7`HN0A$ZwWNF3xGv4R8+_Gs}(V~F_FTjzKk z_o&_ls7M$Er6Gx41+$tP*(+*ZwAYVQs(0Pc?sP3@vrzn21QaIK-Rk{ly|arN!>q@$ z2=*thJL0<|QJqK#j2q%vS$t_%m*;?^J#W@wGW;9##`xxo+o}$rf+b8k7R!KX^L*Dd zvO*c!00mm5Kc8Hj+gh}3J~_5-L=IV~62bjdFx3V;40*b8$hQfm(c{!jzKK95VsPBb zjv6Yzmy`e|{phbWV_vhNV@clQxC|w0j!q-TD50hagaybCdf`Rt6Mh)c?F&ie79;He zt@MIu7=jT3_Y^`@bI6+@B+J2JtJq{)HFX;_`S&Xzw_MpYH`dNy+mLC|hbz~eEda&z zkKcn6-C@$FB5}J8NN`Wl@+FM*oPwATldtEac=bW<+2?JIUQrr`{wis5%dzwzqd-Vd z@zx{}j+N3xwioxN&~xcSje^mq5Lqm3|K@uE0<huQc;Nben+n>W6W_;FP?tg>)i9+_ zq^x$7s#)v(bw~Yg$Ln0<o#UEcH{E+EO0||q5{$fh3q1z-%nDg6S>T1uw=uWdxhr+t z(|oXTb}Mr8<Nz>PWwVHM08+e>OO!z*380&au^nqP!;qMZ4-u{37@iGP`0g5L8>HUs zuMVnC!NM?!rul{JhgT!vC&vs8IgVc8jTIR=xO1u^$Hm0djcbobl`cz0^YrY_h-l9P zJC__DI3o)^&cIkZJ+@ag5;s#zJt}mi_`JSR)U5Ms)FiTu;J&UnL@#4SX>AZn>UT%? z*K8pdb4K|*l3D#VGWd=>m>BeW*R0R3Co>SkrzO?kPJPOvr$V*uzibLU_c1%3i#BWO zik<{rfA`_ZkOHRpIPWy7Q72cJuHLm)dzHqTFXPp|>`m~Dnj(J>Y>W<l!u__A)NQ(6 zr`_M6jc7(@JZ_+vN~g6$d>1#US6DlZR#{q4&UivyQZp0D3J{bQWgFDag?+a5w1k8P zxiR?ojMP%K2E{QZYTe?`ZVlrc!S)d{M2~h5<%;qs4!RU+UGl@3SwS@u{iiC*(KP&$ zX+Swo;s3v6pS?aw=TNnGi>%>}P3gQqv&uG_B}4b!XSo!qL&mo0XOjH)9*qqCO}l(0 zd4kD!nc6_bfmI5`vV%yC3pTRvIo5rajzA@T3v{72Dfx#HTG&dW@kq<5Ccz#3F^?Z{ zJpPUsQyhrEeq~M3S~}5KY88ghXCo>2;rL`rkL=bW$GL;e!#tOciJXd|LP9;T9*%(% zJRA5(2y+asZ<>8kDj}T3d6X_x`u1Rq6Uu#qjTFZBOy9;ui6}?K4}KKZC*}xstN8Vq zcP?1xw*Iz}&MkMpFuT1u+}bE5c@3Y_GjB6Pyr|K(A>@3M@66P5u`#?lc-pv9E>2)9 zh9qxQ#$Tu*vkZiPsIE%z<(YOCt~LU!m#y>b4G`G@(>v7iDj(s(VOx|+g>vuh8CB-# zz=xk!3WD+aN8goASfwM7ZiG^_B8N#cA_2T#ubB988J-&-#NZXs-iVt(uLpGi^lx!~ za-FLv_dyj1d+@Y()SWc2eTUhKYLJfK249joWUBOgxP+txRgCK_EIs5+!Ta~@7XqO4 z4^!q5A)@hvKo~<LVkd<|JuL{738Yum>;s=@w7ofha9TSKN;}4s#gVO7aIoU^4c}4R z7YxOt1OzCA&W7Kf(n940fQ~y^ES_|SuvYMqnqzIFZuT0j@!dgTCI*7=FmbjO2}jRP zFs#c~XrfhwN<b!H)q`yrscis{Cv7{NK~BSUFxH8fKOA}TJW!sIChw7=Umg4cVLv2H zkVBnVFn80HLavkfr1>1iD-i!B6e7z|F${@mB{)uR2p?Yzn;iCTO4dhh0n;RPxGTfc z-aR*Tbe~)8>kASCo4@!x*g*m9uK4{f^VNT<w8ax}%1%1?$3$sxw`@yM=pi>WEhG8B zv#)F6^95k-+Wrrfc_ETNj`E<7w_8%7lm9m9e`BKU5c1{?1CST40rrkLfoVN8BVpyg zTX0zVvI8uDcS-Gu4d>Nvs4wz0&xlhAT~*D0*wt6HD#;Zt5rP0<;MO+n0k0fWxpD3T z*3qLwaD{g6nrG>Q1sIn#xjl|a0b)mCF3p;#89FxXOr)6s!yPzFd+KBaAYoQA6Es1N zn=3-r{u-B!v-W8IT*1s?{*6x_&z^(u1$TvB6dhTdo&mIY#)iCL+GuG@4^K;O9JZRU zT@U?or^jIeXrVs1Av!?rr?Ivy3Rz0^enx#=btwKkMC$~?Fc>gE0_h}Zo*9inuBxCM z;F1B+nVv%_M=BcrnqCHaEG(rKYHuFECpsnwj}IVjq;`zo6OZmwgiqCgKfcAcB*oqp zAc3wKT<2kKP>uKZq_xpV?~i)MQti5KgP=AcAwwL5MTgR7M}r!e&IQcuFs~@HR*$cf z1M+V*8gst%{!Y!N(TW0&WT?&wV1{|>0|L{1Sr#b@cFUTv_<-2gcKq__wVqPgiSYwC zMoF|O⪚=JgbJsf5gl9ti9gdb@VR|)S!)+-fm8n$J0;xEOrG|a)z!*kSB=GtioiH z(;TW%Y7?AyY0f%2Dq+sC@Cza?jeh`21N|u;BkM@}BG~z2kk_HNfgF_$ti-#3wJkuO zBXT#G4AvH8l}bovn@-uwvO`{%;>}rFe2eCKQHpB<1A468s6}zODM(+mZ>bN>1>Z;> z%~#2P-X981{GH>2OA48M>-w&e`B~jcC8I&OS<oIpo49hI-wTplsZJ+`T+5^TB@lfy zueJ(xY_6R<cddUX<Q9y0+0qo5J>P{1IHlggRPF$R$ZziIPUG8@(Hfy~qF;~=ly}p| z7)d{gLh`rWgYJ(3(jqqn!1Ozu=EpQd{VeKA|ACd}wx(zc*T6Gi;%;mRR}bdeJ}ian z-VqxV?g$5VX%fld8YH}CVk$4^rCU0g@p(PR(!tQp*B#DkX92zyE8nb~DmLowt<r*; zk*{xE@0sSL2v+@(?dgNDY`lI^lJVfQExbb#9kV`~BWEA8MJ2I-Us?iuMuuLb{X|dr zhKaGi)wgHd+Ncb*YhUzCi-2;?R;zU>k+u&b+9kbv@~F}GA##8$jm7IS$rV8igQE-y zc1osejUSWuEc|++Hn;s9&)Trf@jPizUtqehgA1q0i*mG^=j??I@GbVE{sA?;?6hl% z%xfB%$WIOlAJ_d4%Gl&-B;*ZYP`=Yh-fxj5!hx>#v^u1UPpaVNb@5$-DHf7pESvp< zM~ckRtK2jG|C>Pn@XmIHr|8DYomA~3jk#giS!TP7!uRui`#~0~&^*k8g-AoURWM?) zg&FC_d3wDo=?=AIU<^q_INZ0~i0YBM>QPH|MXIJOmZtx+Cy2gemZ%2?-~(zxv3Pi% zQ5<cIvnl7An$Pq~_sKETp#3Jph~e62y{NjpsVn2PQ5w@VOi8xmX#A>gNIwOQpq9Dm z;v!DccyS;^^~GCD^3QU@69;?heuhag+VhbTtdCmx@ZlzJ{aa9b`l|m7m0;)*d`MNN z)afD+XswHlW#`xa3`TCL{kHQxsvSb*tC6j%r;0YzC`Z6j-CLSRY{6RoYp<3l^=m1U z&kRb?5!73Rt_l>HU)!RGAsC*nd@J^ap*q^$L_b|m*$TYSwHa>(s(n=LEN4LH@hVQX zri|qw9uF5`il3R<ko0he{=9v-T7D)qHMho*Y#agOwL`p!_Q6zgd$<_WD+GZev%t(! zOoo8?mv408(v+PHgkupl_cIcEBAxsBl(zYB)gX3a#>n+71JUG{L+qR+ua+8Ova%mJ zxW1U)K_V}>mlkY8aZbPKdLQ5Byv2mGeX#Lj%sowJl@<5|RE`x33L+FCLFBx_nac=1 zYw?XdP)Wd8N7rG>3JOl}@naCXIkx##PehSnnhf<)-2@Ib?{|_AG_ps-x=uH<HJs&; z;|C8jY1%LZOsO#-6nmZxaz}TmKQ|&RD+vRNTE&z8*DW@4(hJ5`QvR!(=z{aQa;R=B zVlJCZmfeA5#i*cN9L`Qa;6KxD#hK9WU668<=nZQh{1(!AxgKK7>g?fCUCpjn_%~iK z&K}TYp8U>aW~#sKwSIA;T_kPNOQb%hjb#`LaWEnRHdu9gv-`mmOheiSC$*^o*gvH% zq4wA%8?lWSx925LmklmkDu{??_Xr*?Dw95ZjT92ytwbuVV+BAhC2>HFV}7iAIPIs^ z-F!pRi3~ItgYc2<5-Hm{OEMP8%KMg%JHQb5sO)!BB3uX&rTx$kCJ&z`>qcpEas3k* zbl}4ekO^2Fk7CGUhHT?(*NgaM1i62KOspvZpPu<Yl=x@)O{vpuAV+8^o88XU&>P(Q zp*d*wLAf-$PjS>6k1gUnr<zhPuBY5gm{iX%0yZ1&C+raq6b1W$r_M+|bN(gix$)F@ z#`T?~I@t$Yg5mTZk&P6&{k3&IZC%I<=rQErt@r|S;A^yI+gZn<)Sz(H-4P?=A<=vF zxF+nR&hnZfV4WCI>lDrF>6IWWf(KY1wPr=e=Fz^v!eqJvudD=Ue7%l*UI<XAhKC_V zfAi>Z_eWx#rI0N3A}T^3%q-kb4bWP-M1SlFihP)rLN-+;i)C&uAw^Ioh+|8m8g0ci z8Zxe<xijG)f)^Gh6UE~{g5)HKHfn?sboE9=uhZ3R_WJ#9wO!%GfdP3pxDT~qSXk3_ zyv_kKdQL($L>eVN**E{bnH(SHot^mWAnj8hXKaXrsXR|w!sjfQf;_sjW4iegjVU$+ z#1%y<cU!z<Jj7&wI7D&zDbrCm+fh`W&ADC5yAH4>d^xn|;Rd~4#zn&HV~`=$F!J=- z|G9%}065y{J%L<hA`Fxx$aSTQnv(tcl2sat^R2~Lx=P#X`o|Jd=FnXY3{E>tb++Nf za0Xyq+%WH?B<8RaBaWHD^aByVZ;wYs^kd{iRI@%mE0fJCx8axqq-|!?$ehE%#p?yJ zY~1D?Aw>(FWw>@I>B4BgV|&4dSWZa`Va3uo^qib<E#lyk`Yt>Xykr(VTs{w0QhEB3 zYMW*z(&|;CDkq#|&XL&8isVI|-T@oIP6Qoqky&jRqQ<~$><z#9iqsCLC|2oRS4>Ro zZV>9+LDCq(Q*7*gJ0E0F?Iy82l|VRtH{SVDjaF*kNU&oY*a7P3@$;^Orwc2qksIt5 zbPynIcmsWk_^(e14y`YAI{+R);lB;pJyBJY=@8tauUu%v+m}e*YENftOV#Xz^3C-o zIYT0^S8LftvxcTSfmJaPN|S(SUeqKSghxvVqPy7NKbMttAPCF@&{0vZ&DaO1elc|` z`;x#e6eanP&fDUvF)A|3WFdu>bNjT)mFMr+_TBQi8D|jkiny@E!|jD)7fSTeQBO%) zHUoiP*gE%e4BKn>Og-CSDcl7L7hi8FA%jUx3-gJz!!KR)$eFJeh@eGEhBq;zfJC@P zZK`OL?B19jd!19$=KRcg%^@-M$o=I_ZJfpS;R(%7;kEV9yPs!~c-|s6vK$0wvA<iI z8XKQLST1Vrz8I#{{EQv_UuS;|WLWy<ehQqwqg;vW$zuH<nNud1ct89s-}3F!Sh-d3 zU{>${!52pGTwKNDtb02N_WcpAIqK>?io(*U7l8Wx*<N1K!2}@C>U_$h41m2`VuEA5 z->FAhXS5e+2IWYNR`I~GXFPq>Qfa$Bc`%~cJTJ<_mPeeiqX9pw)h`<)#5>6adjtug z^-_E_CHD+W228S+dhJlnI)RlFcw8F6wb$-R3wTeq*T*^3w0!@ht$~_l{ubh(j#~0? zVC?}x1Og6(bcQ~B4p7C3Ye;AoN+QThtLo$eXO4N;VMFl=P*$_U<7l>lqwIzMem+s! zy8(gAydFUE;|v-VeC+i|8ba-#sNE7hZZW9)tuNz7qg6C&|1(_NPM0=~^6)ZaFI9q= zfss;ma!E+A?nnKQ9RTnnN=vg%#oak3KzF@HpgwvLj%TkpGAOItIgr6*8ODlBoRW%B z-9Vd7AmcnODlo_Ra~FtWdoup`D{R1n!!jJf`ai3+WuVc%N_BT~*qggp0%blYx$^#Q zUP1vEsQ@zQd(}_EO~pr>);$K&O3g^s!hf)9e50&rE6E#80YuNGR76^B3?q)gXoti# zO{L`WzDexwBPlIXlx5T7;h~di-D^ZTF&acaBvJ3HJ`JAZ9)KMjxipIvLy0<S*)d?9 zAf+uafHj2pv|^iIE3~EG;zO<}KxaS*MTAfH!?zfr#OZw4=k0&#DKsbTSw1@#D&^a{ z$tXPYlpz(`y|;R22Yvy88Dnl-opbU*D6*%l8NuL56(oR#UnV{hQ!hNWAJ+jQKUCu6 zb+Q-(s&f6)7YE-}sQ4;$4B}})0*6SZ3LDR^S`lkC*jQ|MRxvn5LLeX@AZB4OFd!fx zAT%>DFtV}TRS6vVCIZv$MxsuA{6T%<l+>JzyTxu(=MC_;i+Q=_C)zP|ym~M~{?DX9 zvx!Q6&yaR7*qvdHpke7?7H2MursT{na;BMX(UfXVV~=lF&l1|;#oTXo9%eg%7s)Qi zh0!n6&1#L_%^RQoQ|v`bMtjJ_SF8xZ#Fl?&$gdI*#WqEpRSCagecPM%7}TxFYslG_ zZS!g?-Gp?()(dYH`X9L-Vjp+&6~6T|c&k4hALKYmQ=;X`G72p$yxO*VKDq9~>dmDc z@-P@)EdGx##M#m&_>u4>#FAEe`iTk$cn(0zttP8w&dxz6Qoy(ao(JsYZJ~P-3;Nzj zo91XFmW-o&J_?nVH48~GJC~j|i2r4}`QJI}huEwZV^*T4&ei$CO=n9ga>%>T7<+?9 z`D^XD5f%GqAJIy|T9>2d8AZ2hcuA-n@5zJx@OmQsO&Ae#)Yj9_`LSe6sw!%xIi}I9 zQF*ao1|I(E){yKCqScn&>jzO|^YVpHRGSgePi%8!>m2&LsT&Yef#WhLLLT_phDgF0 za{Rl;cYtJ_u-B{^ikeF3>yVNP#kUKX=mS->m0j!nK*OkZd0J8_`NB!H7G(x>x+CS_ znKHsN2N8l9-gDN^^&y*ZaEnwKg5kJ$!VwqGodG!q2%6hLZ-Zad@*A@+z+wt@(%aEL zKH56qOYwr*=cYs#_w%Dn1)e;$Wkp?1_;h1+=QT4>)FvRaq`YkysT}P~k!*WsI!OFN zr$nr~Fjl5$?^}`KR%BKm;fas@FNm~cNKU{}5h#ar1wx~@MHbKK6E6hiqc~uvZU6$P zd@_^E(D#F3N31wULb_j+0Noc?`KkN0V}lhZhNX?^uUMw!{=gaFx98mxd%C==eO@-| z&z!Jcu+Rjfk|)Ees!Lt5<{WC4;nl-Gq@7;eXFvH$`_ZU&IJ_TEm(8@-4-HzrXE7qg zFyv#zKV4U<f_DUx>v;#>s{1m&#c2Le4|&^){-*W~fU}r28_;UG;wVRZn10rIe-mN9 zP~YnPP$Mo=#Hz!uVw)VYM0k^N`67IzGAF|kwU+1#h4(}phq^P9wKOk5Uu}640^!oe zWvTj-do~FCChc5(_KLp}NFTY|_!=x`-CYsSf$B%Q951tmJnB4E${uc1Yce*Eqy*BU znFWXemnBvsW!6MSsaEm(pl=NNp9&I3TIegpED+a!Ip0CdS0X?yF}VCV{k_fVQJPv( z^`*!!u*|keex*$ts{zXOtY6vaf!qBw(nH-Jbm#d;HT@DrA@215h}a+}kjFC4BM~%3 zR)pKl6mX?sdr;yP{ZWMT)F4Lt=0f+`oLw6M#)XHxb_&dK^4d@`ao@*wto};=7H#(p z29`A>!PC$35{MTvJfGc<FOhvY2bqq`M~SxI7|Hzu5}z*w*x<hP>)0STD50`ghGhOr zTE+()nFba5S&MpYNXoKt0*m#+@Oo8U&V=^#c}XtezoeM-%e@%!P$}o$g#@Dw6c92k zdX%6g$<HVGJ@}leuoo`vOLFg%X|aemYaju*xdx0Vg$}2{pPCoFVijr^{R+nLSPT1P zDW_WvOp`#}kr@kt>Ns4ypeEFY*s!{Iyyy^wXAC40ba|vEptjYr-YagQ8w9mv5WLpP z1OVo}p>>iKp7pnkFaYx6rZ0c5;m@p(BuGONuV|(3<s@UI)GpaMte5PPnZa{;0EsR2 zR)W;a9*z(T4U~jZpOq>Ms;r-rg5yr$1iqkt<!Ru7$^6O!s9lwL7WwY6c~Or%6S$rI z?9)>s^X3T~$;=*w>RqOogU#H2sCO#qIva;0^5}nhD$DNy)B;I^vR=?5UixX8?3azW zE|s0dP5jFHNLt}2*+Ie6eeTXZ<<1){VFM+P`&T-us_YxJkz0W8^(WL3NPr6HL{S$= zkrb@q9-^fu>Sk5-=zx4SdfdmdxIcbLh%2hm`I=+(EHIe91OZCeMxW5`l_{>BmBb_k zHoUM-gcE6iZkh1wkea|uPSW%_mHgyZ*uD^`^G-pI*u#`8c)Vj^l1>IDXh%5;QjKTr zDsawLZvyn1E_Y*&A$Rh33u)IdBe+Vz(Yn<+b_W))2DqJ;`xEgbH!JK@wAghNWj6H9 zvNl<@@btR}CtB*j+Liz5w8{pA+sjz73x2>tK$G;^0&F1Fi9Pk#&EPo}cY)a8M7R|) zw{>^GD(t$E)hEYHABJnzlHs!Vkf3PhXu4ROLL~jM*#C>KWvMLU@{7Vot%R`r0(*0A z;RNeyEUN~9P~Tg%7ta-#f=&iyP7ux<2dixuvvN`{Ai!LHXM#nGOIba|#=p5!e%`al zyXt-5!k!H!gXcV`$SsQ}M0*xf(9O0Qr1l))S*hQchwbYQHF2T--XH|vLL~)jFTjKF za@I+@ySB(oi<eC)^e-5l=3K+JD=w6Qji`z49?Ju)aW*JfwRbsEidG8Mcp~6n$XZWQ z%ERjg<TnfP<<k|oBw4A>-Nhut?*2l}h6)G<|7=!1_Dp{<cL{_DTv=@*2*f+lvAbB& z!mPHmab;}F23Zc(Agc)*@1=WN7Fo382t4ryXk2TQ4L<U+HR<H;lsRY@5R;UqVyOqJ zR-n0)-~_U5gpTzPsam7aw$vu^=J2y=eqzfgbnVIX&v4;+S@C5K5Hg7~aSGFo6P0bg zHuDcT6rja2RcK7l4A!{GzN`fTGfcrAmYTA-mK8wTZmdAH2!ap{f{8l_`|5{kJ4~Bz zQ8Nr%I6|24_nu73m-OyKQpLdW;{B*X+x#UJ?7A%tG&%${sV0x($8_3o(AScAGY@Hr zIFz>{u26`@W)fJj$%J$P+4D6(#Wi$OVfiO=cz)s5JRamv1oKA(FsTURo4qD_1RG7X zfdnHNkd(LVzeM{LU3}hlojD-n)$FCo)IQ?^r#Fn>A-h)5lTAL*^}L+FP&>c5Xve@e zQeK}u00VkzAK*kDdvj|NocB_KO!`yxDAeI|=ju95r=e*Iz5{=B8UQL7(>rI!x1JC> zO}k-%pD<f6WS1FRi@eu#eye!r$;o2aJF>_LuT==>X9@Dy`P+orKh^<9*GlL#aDL`= znmHUYM&U64I?jvcGWf*))3b&u^VRA+fccMBf_X^1fY!@&xO%E7-8HTLF6ChHDvcmk z8R3(#>R>p}^Nf!-`9j#cL{M<V$f_b}(OvFf8`gb|@5C7uYJvsreaqUjgC9UNFgn$l z678l?`ns+=p-<cPZN8%~i<fRB50o(y7_E6mu0`g7=;+h!cSgT6WKgZjzSe8FLymt^ zX#J==HnBsw<Zg;$$)2`VnnWJH0g2=~VNtVaquwBf<OzdUpn@FlTsl^F2Lv8gYb1!M zv*oE~E5+$r!9R&tfDtY~gGRv&u@U*x5!yC{n>|l=PhnMk9^yB{&{kN?Sd&9z9UQr7 z0uYwGBx#U3+O?N0Wku>Q@Z5=f{wTOA@g6PiYy0-!vykZ;Y_Bw@Add1#Yn=<rE6`6x zEHzXooYvKbD-opSh6R}OM(%)UEhn^_YdKtqy9zv79}gzN9qxPqR36Z4WJoFtP&xU& zXQVVBf{paVLa;+=xn|J1L%cB^h2mHiy|{f^_92qudc+rj7sAmJS`Kez_tRK@x%pWe z!}NI9M6P^d$=zQ9h?>bDU!BLEvHs4BiZ%rT8^zw)sIh>xEpKclQjaBWQsU-Gh7#J7 z8aeUm?No5^qUedUHxMe%OqsK2OxX##*EZdtJM2k+*!A!}!V^X_7;M$vQas*1mBMIe z41<3DAOXmayd3S4C?5olKpGX3yem8_(e1JPadwxTW}!T~GZCrRxqXmA1gPp2f|6o6 z+@GQ~Rh9$h1I(7@w8#DNdc|-FZ_lmu{KxJ$$l~x>KHDdIeLSfOJ&;a399bcrQt%^! zACi~;NrXEP#oVs#27gFdjB}?JPmJGODHyp7HP#>%8va*t1@{`m@W<(7JuzibR~f^9 zt#Z~?wV8srv#S<k9KgO)Kg%Al<0*C3J1$Zlm2iGaq~a-Ps}AFk>gDaK$Pz4gnC4rH z)XC5YC`|Rif0<?6{~Ts#?AP0!3I-$&ve0zhhr>HC^;_`;aSUOh8p0bGjbiNEBQGek zWL9|zDvIOM_-|FUVP!G=$|ZSJ>AmYs*iM!E9pK!^1#$yo%kCVM&ixcf+#>I}Uu{x9 zlgXP`G5wbKU1eUHSvkC_cF*@HST1Ybp5QFQUjXoWDn$WQF&H<f<a7f~5Igbe9WJA< z5(t&2>tMm_$YVwVXE5kWV-6T??K_PX&<t~z<y5mD4jKH*<ptCh;;K;MaRxey1O@;! z(I6MaDKy(88@c)&X2k5f89)KU7bjh|80Q*<M1rM2M_V%3-ouBcox1!wy9G4G!*pZ_ z?5x=!@?TP&Gw7>S1&|BsMU0=JAu#VI8#S}#zbwq4TIWArOVIR(CswnA7y18HHe7Zm zd3fnaUHIJ84x>b2#M>7Hh1HajJf}`j_<NHDT+je&K$X8Kn&&j{SsC1x{!mKUCwxsw z7B^G{q$mAF86y8diaTXnShj<$qDwQk`jms{J}ZWUt$lsj^b`dN(#wnDBaeor5F>%H z>z3bMW!=KkJf|{0775?md7Gf&n;uPBR0NX)C<<g?ewRY?iJ2*GjO83Z*?D|>GOsq= z^kWk#+4I7*z$q5LpnJ44q8wRGUC_r=>~!K~u2@>$SxF0gRJo9vr5(9&E<NxKqjB1d za~aa!@}YG^X)NG(zlOZ>dtE8-Yra;_ZPd1Mm?><)%_cCUs~6%+`vi%T!DTZuCZoZ) zU5_bvl}&iuPBfa!v>U?;KA7r$Gy8B}1p!7ei@60(V{?BHFSs46rk?e0_TkKQ>PZIY z+}((N)*aLSSF8B0$ZhH6il0uT!k!6jFYkHV8^TyDH(0vH5(BN|!rA*U)K@HbQGLP; zwhHNs&l7ZdH%W)iI^d_@b<P+9iAAsDkoBdIn|ZZ`iT<quO<3@S&guz)Q#ye(PWO}; z<%QNtU;cTw=*4sSY933ZN=u)z=q<5U3VTMM_+x*5XhJDwkcHZ!sP`!#;h;y{VkWjg z8aa!vSv`$!=`?b{i}475JE9HIwGm#Ac^ryeS&wc3lN6d${W`}BJ{jf9qh=$$BP{Hz z>SLp`mw94g%j*inlN8P=Dm#`ThH_huJU}CT7OamoIzU@Q!@lRO5A?g)E%z);g-Y)X ze4YnZ*3F4&C})R7#HyV2bygy!IaYCYrKc&ISBR*wC;0e%Z5LP3Y;qyap3xzkbDMsv z<(?OR|M#0o3TU8>7-q8Ai4s{jk7mJZxPmi8Nukz3l-#7~(zuhht8EYRo9I&egVcwr zi;GCWPa&%x#32_%UTh@dg8H1jluj+Gyn*S5-J74Ry+?I8Y~PBvu1o}}b<7+Hr5Z%E zvwX}FtP3!L+E1o<2?7%toekui<fVKJdPn4s4-I4NtW%EBjQ?Lm{%wgLpU0yy3baul zUmYEn&BN^y0{|JwOj|HeLJ$C%0Zb8hMm+Y$H`*ybp$Ft<<W%ZFcqjJgFNV*MDh7(W z66XQor1A|heA6W`w)sjZ_A3jhwmmV&#|X_{BH|5}4gu)AAu=H(vzTBP1V}!Hv)?Y= zbmseh<yteLY`J~%*k~;@aXw-JV!G;38vFX8W(!Jc#k{zZV!M`0`Ka894V1=J5`F6y zztoVNUH;iR=s%;^CS>s6j_dex2$)TtXocu&$Y@2o)4Rytj>Z)w$?bDNLb1=(zZX(o z=V&^uItV2P#Up)0&y-0FWgJJFKNgKCwsB}N7<}mpZuAww{maV8Px0V6s}XK>l!nfH z;s#2z=5v%vlJjH)5~3ch4aeBp2z+&C6gE#zBqTJ?MC2dZRGN!O^gWc1%2`w(M8J*x z>W(bhrI?G~h631UyA<)IVh{KLnp$+{k>Po8wlZQLBq){B+3t%Z5By9{0JUS)_sSg| zv9N$J{6}l}<CeaZ>&F7?E|wsN@%BwtHblYd`?RUv3uX_x59wnX%%bFR?G>V>#go~Q z-)(o_Gw9tvKUcmlyds#KjIHox5WCn&XcaE2S*AG2?XjO@+IHLLF|_X>;hpvCwX>$M z6OKR<@v>p}66{*#&<d6{m%RQt5aEBQ$uBZ$v5SLId|yLmlf~lTBN>Aqfy_I5GJixF zvRCF;jYR6y_`M}l-kKe>l_1&VRAsPLrxLVj8Qcy5;8~Fd9z-X2<)JdKPH(4|0X2Tu za(>8ZlHV7s7~NiO3<9`BQYKm8j1X!+hh%A8%kC<sh?|omCXd8%b>ozLYx`IMR7%Ip zAJ@BF0ljH<<5qyDvF-N$1<qYyC*Y%E|L0lk<9yu&nPgqsZAB?*-680(yZsRQCaURD zN(U(gPM;WYu&mdfy_U5FW&(PyIhbNS>IgU{c+Ex9?BU9uMI<3ZK15T~b63=^=|{5- z4BW_Wip|E*o56HTI0svWN%Mp5sqcE=_-b!Uj5-VOnY9&$2%TscEkC-&R-Ta49l<Vf z=D||GFn=V=D^sg1+|shXIkE~ei*b~&u#f648ZZ@UIC6yTqghve|AdwXo^&x3(Fv!^ zw4lbOg3b<^rF$-R%Tl;J+lp)Y%C&|Ih|%+G?C;2_rGoB<cg+J>>>d$h^CN%(7sZ~J zQ<`qd>;PUy1kfqe_&6%6n7=a_Pst-m^w|hAKomxu`}Ek+nKNJ*4H!zSvuP0Q-tw#M zvq8~cVoGr{b=Yb4F$MGL*gD$3I-wZHJnfvt3hV{0Xk_cpgp{64ND7YseQu1HKR#AU zcfJ+)RG_=IQSmn}Iu&N@5m?*4re@tvdKB`TL3FB*empnz>fDnOUHya#!8)a>d-T42 zlwWRv$+0+xu!IpVVka3~=-_?~XSf{sL2WqK$pxtx3kXtN6fKJ1e2H#aO)fBE%LyUg z|2+Tv<#!tlgm(eHFt=n~m`Dtv9Rm2?>2QCK>O<~Th?5WC@4EK@U0vRl*TJVOT<u$Z zPAKd%3?!Grq2~{96I3zMQl5@a=&tge_VF9oH;9;JE5vhP{?Pk54Cz%q-kbJ1pKQs` zImP85@_~Ch4X+~X@Mm%b2XxHGf3yu}M9neymqNvkdXvOewC_zoL?jQDkUNZax(&+0 zdoS7rmGw0}#I>JZlIG&C+gUO6M22H4FIl(?=xtKZilcdhMtj$Rc743Vr)~a>0P-^P zRt8}YnhuIvl<B}Xz9tY?di!ubVk^~?tvyx<M#uav(GiF3ZwoHt8}zs=t-J=|n&?Qw z*r|kcZ!0M8ePDmL@+Z-g3t8E$X=Fs{0j!%SKHM)-fa^ELIHFr+BsokD+{w1-b0KS9 zJW#1-oeUm9*86%~;*k?&%71FV%NXa^W!%g)4m9HAATWgCwbMI^i72#)yGJbOyfV|b zW;-V4A}zgul2=rI9_>>&5P7_Ns$9yXIiH?!ZH5rZSfoG4^(lO_@hI=4k@ShDU)~=< zdwrGczkik~(aEvVxprAB#<w{`DEe=g>5YzE&VFhTK}SDRMZos4jv38tEm;&8k4?IK zri<>_M_H5a$#oNV5UYskj6*R=w=mhuHwlAgw8vzPY-MWTk#4cWf~F`RGuXwCmqGgE zJL>Xpp|7<()UdNzQGFVa3IKR?pu&j3pfNE}!;v~(sDZTXTIYZS_eScBMl+fRfEjGD z&qeKn<}23PlSt+hyP<A{HO_;1ckmze9}_0#X!R)mNq&3wcwf6!-~$CymfxY~x<jo& z?ZUq^3u)KiPnH=QLD$-Qd@?{6a#-d{e)ktZtMS(c7$yo*K>g9^i4oV8taJjY1lLId zx5KS=OWNcN{Hx!|9o#jA6!bt6Gb=A^2_|LQI%y^)FkL8XG!_GiBDq5Lo-<6Sf{~{J zqVM>Lx<GOe8gDnm1~>s$^vW<BA0$-{e8S4yJoiCI8By7IQbTNa<iWF8&Su`eOO_W* z_$i69xO~bG-8T48y27b3f)RhD?x=jAimpi7k_*@%v|l26)%8tq%>AHP=DFsKkglMA zQ0;vitD{R_*wGuX1$vd=u-PaCE_}B)zW>}c1x`poQmP>&8-kdxkanyYqN=XHF-C=U z;3MIQyy=!_#@HHfXj3E3Oml*a7Me7Q+uGftD~jj@*^`s<<ld1Co<aqJQ@)@fafji{ zeu=*WZQZoAE(bq_;|m#X7(wddCJjZoFeb-0kxn<5?zgti+XM~DKih^q{*A5CY^4#c ztxWEKPCT`YByszok819+3m<v)9BMt({7n+~ZX^{SVh+9KY2l~$FZ{(2jcpkzUc9~| zi7x5Hi@Rnjs)yf-W_;J451A*cKw2O-)3c?ZTU_WiEa{I@x<ck->L_ZA4+_fDaMAAh z$u)1M90*vW;m$lAd54XaD~aM31O4T$w3EZ>kD`6jI*O69)ByU(*K@~J6``L9y&r-} znmds%y6VyFQw1_Iub1o*Atw~=Ey?u|I;RpDgzj(kWlw95h173V1t#4Sn&Cdhc^~*i zOyX!4zvcAGlvF;+Lw$>im6i9G1!<~udq7u`TWIh=ETkpQ8P#f~?kN^jjkbt;9EENU zmD1JlB}jbR_j59b05?6^kj+FejWf%D+@=jzPu<j=jE1>}54x>ezBw0(SSQDlU7w2Y zcz~9TZ5V9%<96Gye9gYs@ZE5(Yi28s4$63;I%kCE$nqVL51^^HGT2OYK0rAW5wUE3 z@(s)hi99LKN}+(s^~#55Dt%-@5!DAEPnv8@UWR@Ik0BOSH6f*SMP2a4e6=^d8&ux{ zi@BC&=z;8#L<fW1bFXilnY{lSp>L#O?cYr;i{ZCB15BT-MWpuVM{eS}Fdaen2cw(e z@T4IE)U5Wb-T3P7jl+o(Rf@Q9xm4(b6z3e#>3*7D{7FLO8EpSyA^Gq(y)3{@p5FDp z=ss$C42D5@%IYfeaxZ&uqe^719i!SuM9zhgPGiK?dNl3<L<|@+S(k4H7t6FfEHq0B z2G>3LoCSE=1XR!{RT{4dtk4MXnX+x1Qi*{pN35HfV$p;mWFbA}8sa;HN0-X*|GL0} zPj{rZ>^TA&1skj;9U9xWYd#_K-@)o3+?CdUth5#SEx;O~7fbCDbI^E3m64t=%^BWf zx0s|~iFc3jH$Ec#fF66K_l?!*+O&akzNZn0+oceQ3e|^S9s&5nY3a1RNBu|@sYFI{ z;*&d-GpK{`8BuIMn(HE)>40jdvdu33G}h(EDEZH9VpD@U;uZe~U@?fPkRHHA5U>&K zFQnNPp=G5j&YysssVByMe;b)}1oy5jWblS`xeV5OxSg~dt5lS;44z@cza^0NwsL{J z-wqN*ZPHp!IcbY9Qnq4l^_1(Bw@39wDm)2nw9Aj#ez|zD;=X<7PM^Y{eVzYO9E3e; zgVid8T1yg}5--3w^y3p#o*D1T=98atD>)HktEXnPor4J&<CnKp6E!5nYRpE)-YAUZ z)S$i_u129(47h=15}AK#1r&q~yhzaW@gI>%?i!(Epx(-JUvGMtgc7|W4zz&ph>uaE zpeq1)LeI|coBD6Av46<9RkhY&w!$<R#ecs3G2!7pe>t;q<;`2xXIO%n)=H2SpW!ap z{>9prHlW@%K=EQ1B_>UNa)?ODRg$Y1ASK!Fdi!cB>PD9u?3fY<3Iy^JW9!IcNa|=G zbHbwK4<2|)T+b7O@n@DutlPv{>*<4-QrwJ3yF>mk$rCL~Sw?`SB()QO><leZFFYQD z-8Sc9)8}%ga}VZmWXI^p{adYn2~FC0ve#k2dTXeaI2N>zlqB4V)4~<m=Px~$pURHT z@w{}A$*zg8;PSY$mR&;Q@%SE_NUx#ng=doYO-wI)3%|OGB0*)MNTEpaAF<&$ZEiZ@ z6fcyV&9%&?Ke>D-w?!WMF=tVe(rkzt8<(D(m`R;_+IMINOhw44?%}7)6Q}n^m~>|E z+3k`}&)aDS#(xHSJ=l)(UI4)av}ZEc-Ib~t-$JN;7_iSQMVh;=^o;4~4nK<soki(g z@kK&|N?9q2&Ie=6*}<hKDSjemi?{aoLmR>(BW6rvTwKeSH&`Nn8gNnt0-l;E?`nrh z&+yGyVHGx4ut3AYCdf1n%~*9B{md81&t?JB0BEEbYF3z(oX~9w$uTo#;K&u+g=>e> zqE~*Jb8yq}zg~90JD2sEMe+11!BuTEcqSkp32b=b=w321gzeS5XjWgWeehs%Xtk|r zyJYjm*Kz3hfxl;RE#yCh*UU6Rpl+3FThlUt#t9{J(gWFN`mn$XW4m<9Un)hF*tsm` zZ6!OFo#t+Gg%hxLb|TtsHK~E&VRfN#^aJIJv9iWr{W#x@=Tk95Ii0WN;|LZG{ioh} zFi6Ex#to0FiWt1;#WaU$QvBkjYHwiiQM92cCtiUR$SGWcmXJK~m+{+5N<nA1$a_!8 z9kKM_v;nc?*wffKw=j&#s$Aw@`)D6YwXN>O<XGu;*+ged3jn@8f7ozL6z!+pKsQ<q z_@=^!rAtD-Y9gvdv>9+ccd4g>sGc~?{%*4^W+gV#JWg#X6w@?K$0p|yV#^&N5C?`1 zA77&$lt-Kf*#-F}xg|%sb&&o4xO@O<Lx!g|jJYG<ra4n~>@@904bH$o2%1?v2%Es~ zofin+)iryB3ZkHHcFhF_Gp?M!)jrTd=^+_wm+Sp;#H+VhV+os%8}1z1)9$EZghz|! zE3Haq`mRmZ96V~kQk*a@dQm~|m~Pq3ew2ff(p#!qfIx{`+!&L6yoAW>2Ti&O*z@@6 zfadN&+ay@xF&nTfN;GaY{AOyS$ph>FFeEiN>uKtw!NSf*t&Hr-*mXvN{KCE<er0!q z&E&$z_phL$5)-tz0<<vTY>AD3x<K+Ppd9H(FqwHOqRp3ygJmu2rsi<Wg1GAU0CdCl zG+Y(oQe3LNYL=JhHCr7QLbM|*{LZZx>-LdjnTS-D0m`~xd=<K`KA`p+id|uc4x^vE z=td6??f=o8wS^i?HTq`%qWsY?qKunj3qug85|0q}+b!lI&VhK;?IS@0!0wx3p0XrA z%vyj$&X$i|+4$7Wz-o7m17%-0f3B&rrt58+g{?kvRydp7l+Rs8q6a$@C8VpelUwYk z_o;o92ot7TX$W)H9h8I=Y>k(5>zdvn<9WyivJw1?)%4`%oyk;*(ma)ovYcEtgNox9 zl|!<5I$i?(DV`qnnzdK_W8TY6ysB33^Ya#?aJP(#CSa4QD6Ji`wl2M4iKi~C0^)Jw zWG2+XjSM0rv~kZrMI1r9ISoIgqoi@BKGH#5d){{_tysAK8dMbHHUCYR1c3Ve={@?w zZwKWfZn;_MgwLZ&FdY7LgWNYecRz`h6?ScR9t@I7>|i7^gR`(Sp&Z30>ub2_Jig6* zMvY5S+d^Vj=V9AHE~y0WNo2ROUs*8Z8^EhMOE^00^-7nZIdW7Oq<n=yAARPF9F7$2 zub_XXPte#q;~9MeVX5=ZH{X9%JyfVc#2S{@PXG1F;(krv7m*OAMSrHDK%B-Pc?Y^# zSt~Z0#X+i%DM!X;J;4CTT_2-Db0C>lTvpd(rprh^%;cDis-c5&7F++EOHE%wib1{E zGQ4#w6c!?N_B|*W(#N|5D*p$Y@of0$4F<{=${af}*z?o?L8VV17n0N;|49=ago=2s zDT{ClRXE88<Wvwhwd^4cJXxDzA-{#S2;io5IVgNym#F`awAKoT0P?sI*B?HZ?g(<k zlJ~PaQo_!CQaiOTCnpMI&X}I(&Vs`W1_vS^o!Nd>P2-L3{#kaH6S+D8H}8PVv@x=T zhWM@*)wbe)15XY!7X*rP1zWvZ>V3qbl(lFRCNFyVmmf_mT9_%CevyKL3Bw!awo{N; zo0vl)6&1yJ-k}D@J~)20KwjhxAIS_vB>_BFcIMvgM8l)?F^9OaTqXD0Am}^tZ|z6H zmJ${608q#r+#L)Q6tZZb8pld8Me6#&Y%q#DQbSOOfOAe4tf@&!Y#dAJdJ@#SugkjK zifwoUYVn8gy$8fH&|{d}V_$w&vJQThE-O|a!j*Uja~uBmBv2zX@)A#5JXF*VQGm?? zzfG*y<1b{aXMV7!GmBAB)tnh9#8zYe&{bVdrig^OpN5tP7}V`@$NAHYd`BANk!og$ zspCRKz1%4{Mns!B;u7cCoc14*yTVnX;uDe$gpDu6(K<4-f(Lv8m2p{uY=K_y^^bn+ zJrPin09v=XYFLGm#Bv!mZw)UiA<$LO<N67MvRF50*kE4_`;h=&w3H;>-+%~BiynBR z$=}>C&xkx+dKl$I4Q7B#;l_fHeSVy4@6#Bh;m8wX3|serm=>al9Z4_QVe*B8wz9A8 z@*Wp5B{(+t7sj{qfJz|nj5AXAro`eR^S{YeTGV6#LQl&!V3_cutskpmB$0!?-s$Z6 z-Okct_g1Jhb}n$(YK^@jLg%gBg`~T_eU{uuA;PCY8v4M-u)CS;A|f}hxcY<Ui0PTd zMt%Yqot?KJ8y+|(s+7%>v#!Nq`hy8CAB8gn;UOd}7bpt{KatZjrY=-@KPuUd&a0AI zYd){NgM%NFngtK4&w1qnf*k~McYQNS0;?4D4~P!uTQphP(RqgU3=@xVTsvdUp0;p( zp-4q&^&aLXY7|hE_hqIwm#R}bgDL%0(7ZZ13{Q3VoNyI+Mivfle@fgG$W!6)uN$Yc zUT&>nw}6^nC}~NC)2*9CV_D?pWWUBG$hNdpFN9M+&fqfg<_Qb2Mta2oDVs~D7;-v1 zG1~IC9+#e2VbHdcVD0;N=9p+%b*@AWzY?q>GC9e=2j7_hE`8K!A4SZZW~B_k?xdbC z3+51u9FGQ%g)R<C9K9i9XZaTGt0~98LwT3Fg`<zFY;g}d?;lsVTA}#c<F&D%q~hbG z-!0c#HUJ=3{x?@tZ(yY1td5NY0MN0G&#rfslAhr=TJ3sDjV+}d3tLx8qQ}v>;BW*g z*O<>m*rES7Lx>;eL1V_1Df{cbOHBRCw%QVX!OdVITAi<j&ZzA0EBEnfwNodK&s9%; z!h-Q1jkW$?8R+itDmcTx5_n)+BvrflG+Q0|zCO*u`q^(kjs97^y)?1Hk$HoNKRath zr9KtC50LNpO92XmxsQ{Y)f)UQP8Cy0Awy}QQ&%I-!<Jvk5t}^H_k@8c85uBqb*V!3 zs~ch{5O0i3+mx5_aj6Qs<8L)$k^65<?<&^M9AnnM+u1YT)a=Zwh?lJ~$IC6s#fKWQ z(g&*3Z{CzSr#CK5aDQj+1bFfGoaT2-cC|U&mWtMS47kdRj3tj>(9Kd^|DvEa1m7@y zw*O~IACT;5acV!7*A;0l41}II5|UqkoQYCTCBAHIw{}3XY30{m^z(gL2v-jUx={%% zSGY~!y6zuLl&2>ZESI$<N4zMW=mm_6B9`6z)XGF<^HmM&jbtH$n|;Z|N{N+Vr<?^A zWaxM%mB(1;LlX2v70WI9vw_o})3lRi7|pVQZHSfzIfN^-2EX%HIF~&=z;7ib>L{gG zOy#FIWh@2$L?u%gJHptd3{+aeNxQTxwt6u??nlzR)y@nFw?{Nn1N1y=+Iwri?2_Ar z46jaAK+)UVWZqf4sV%6t)u3Ag@#rScC%4BFJr@r-pmzZFMM!Xk0OuuzD{=wN$mr?# z12u}1!b9^I01#>(4H-^D4(&yGac1k6#P0>+KLuBaQ9d}-WU)MQpcHvY1sq`*RMQ@Q zCREw*B#Iff)V~Y{2wwqn2z6G`eXU{5y5f*Wi8rio!=Ht<azc7fN4|FIEn|RVMSCx5 zxIy^;o)zr+=x&<;sid^An-cXk)%|fz^oY}%hE7!#ziBxUff>Xs`};5DuoHJ!jxv-+ zO2^v#Z&G66vkMV_zh%{z<@rg3f$S@B3Nw%*!KC3`L<o7>9RQvimh}Nv1JM2<os#87 zQNh*A%c^cFjjz=JN`e<?@&cMSv^l`e)CwVuJD_v(9(;-!-P>f;i35NuXn}^}Yd=s@ zY6%bhO-lGspl(6hUlT!Qq@+h1$b7+Deg1O%cSJQ<+ps7dBB3T=KXOHEOxr&W`<{8; z93$;{3B)<mG`o|81Vy>2$B0f{wgM^1l(n*n_UO+`-|y;kq&!D!UMxX8b&L1R$W!WB zQPE?^1i1ZJ0A#I4Fy)xglFk~ShZ61(ysuXK=Mb@<ddX)v;$sxE)^ObDHrZ~Fe@^*H zH2P@9>YJrGf<4|C$ndckr|RzZo@11pHB75iuDZ{F@M)If@)%AdvbgtAHUQ`c==B#8 zF%7O6&KDcH4c^X7hl&zVsPlFP)pFZNXEUWRDD5pKY*VNkv5WsJcQWTHJ`7|Ah$qMk zApwz|FwI6*lJl#5=yx#t!Eo9+u3!Hi0TE0UF9O%Ro}EJmDr)k`^n6L(L!^4diC)QA zvQmsb>1^pH&mK2w+;cLAJR5OBp>2{+ln*ND9KmbaV(El_L!#4T+nNdOixmG#qUqUe zUzfpOzW&fADb2XteO~+|l)xWoXxWOQ632@~=HWlaZFkSb_OLq}STA^R$t(gNyKPO% zbeZ0rGr~a{u)FuIjJ7@KjR1+MgSQ2ib;W^km1CLuSyEvJhlPT`*awdG9iL1$pqWR< z+?jt7NZNb6J^OR;B#rEVCoWSUt`cIrdfz`s6kD;{CiGN!)*tOg{BrJEx9DJB)0cd* ze<`f6H#?dfAYJpqh6ax0O~ygUh74eA?AK~@gVA1<Jlb@D>)eH;b}6?c3}0EK{dKv$ zLm=t`K9vsq`BrH}Elrng7RkE{>MudSL5M&-&6*ok!^11Wm(PHBMLP!nv!Sq<0sNd` z3K@8p5JamrziuG0m^(JOcKXW_d&23?{VK}>YW&o}RGxeGxaNE7fi*Q6LEESxJ*fG* z4nkJ<<+NeW@v2kOZiy6o>l*V_TD?wkq2n~a*t0k?Z4{LvX{HQe6-4#e3jYV~Y^qaj z$yPD0<?UpchKPd<Tuy>#8roe{jAf%r@Dsz)AwdD^QTT2p8q|^rFmpD_mv8P;>MtC^ zG-%$S4jUUPS7=g^0TgBwj8>RyQ<V*!I`DDJFh$;!VY+NHn;9q`@GdNe*emN{&HY^j zakEotvcN9@b@}~jatTlixb+jB&q|=xg%7n~?^DfX=a<{da>bIKhl09&?eeBFeE;(& zWekGoYD}{y8EC!9UEpvWY2my1?^@>IdNCZTp-EzH823T~*FspbZN9Ggr>nm!0yERs zN&Wlkazclu$ZVJ+-^w7MddIg%k-|Y_Vp9)fRm399Y&9~u=b7%yegb`sVE96CJLE~< zq}|E#0vkY3-wS5r0wloe??q0Cry=7rz-sHQdn<65WxWk((u#)t#s*Sq6ss5{j$kVc z1lrMG_sjM+lyybdLq#=5LysfvcX1ZDnODfk<2*_<R8f9}rA{$WEH(`|Gf}J08}4C( zcEjfCi~WaB7No66SfU2)dJa`I?MM2_4QMG9Y7A~-<D1dZa!c$Zj_ETLwLTFSqX;hW zTO#OnreL<#M2SB4Lk_;NHd{TY(N|$Kqe@k)eFeE-dVDCEJJ{%I5lbW0m98<?&4iSF zcvaXTs43m+JvvmbeBmb6B^U8x$QGMK)q;?ax_nmU^>0L25dWT6t#}YX(#6c8_z#Hx zVzc|+!^0^>tvyVOc|@GnYbhv`6W^Jv0UriVkX?`mc%;>J3RTMynWZg?9=NT=TmoB( ziC~+=fM1P%d`qQn@QV6SDCEU`UCyyU3w7XS^Oj8<k!k{>cdZ&1qqca@d%Nf+^_OFb zHWqiFzsUW)Ro1!eX$e^?OR5`O5X=~RYnhXWU5?RU<1R$T(DyxKPX}qqsuWX7{G-x* zWE3t_k@N0C=XV9TpM*>seTM<7RqYuL4`5V#en(TyzG$}F5iARz|MhqLJ&m!Ht++}! zU);uqD|zlt(vb3@%!AM(xLV9aMHpG+eGrwjF;y4dU#a{bSX1hVl}358FB~}|+EDx0 zXN{xcX&ectH^Zz3qH;j~Nri&)2!g~FYk9;*t)Y0BZbRNORqUEGN^atBLfJCc4BR2j zCaZpQ<{F8_3T)p!oG4-s!6U5<c8v?PVf$CIaf7|z4kw@a{*J?<#JDUoF?!j$hW^xD z54pWudi>GgAyngn7b9zS%&2GYM0+fBE7PS;GJCq(L>pxI?QA7^!#fi~?sfeuB9GTo z`o-G#QDJ6rt5uSC)9%n8y}Av5cLH%R6SN$g?Fa!N!9G5Q67jm`@Ge5)uXv}}sADl| z<V1-u3eO>(b{+0iL;16XOGWP_vtXpHPg!PoCsioBfzv#ROijjwleyv__ZJqUe-r%* zDr2VgIyFzIN0hX8h=z#|xY(qiJ{N59oQj#jz>hX8x$@Sx6ik<!B__mv{^ENTlN&LM zi*)G)IHw5T+}%5O#VCw6!9id8n<Qw|N>^0CKj6U)11RdDbb(;Rt!Cmb))r^0=rMRe zx-e1K5J$*u<vi1&_U0R=xhFmjNjoZ%xSCl`=DCyX8TD8&6&L9}6?w0UC4ZYNu1oY) zCt(GTCh&H~@s`6rKFqOWCZJ|u*(~krokU7RJ1Y)&Apda+NRp+QmE`=8hyo;F*^@Om zMSa8mu9!F_nj^ta+DUT`7pLO0JzWE24tM-%tRDeYYd95Aby0Ev(4s1)oCt&hfSRhj ztmWgn@ta>FNHX#$)c)oqZhwP4&Ej2VCznXywpOYaFUG*7&r(v>@x`25@UdsdvJ`vj z;t|M1>mGi;9M02lBex)12z3GB-F^c-_=G62tXgVCJ8tYk?rAB+sufUajQahOA@3Xd zzeuY*!l%%_20dnbNjh}k?-5RP2Dq}M4KsY4J6J8wG<FTc%cIC?6p_G~^811Ve9BaG z{O%y-tWdr={4W`b(G7c!i))XVGkJEm+-&F<>NCsi_Oi}6GBG(y@+w;Z^8OTawl=@Y z=UsaLMTwkSTG=iZI>Me^-VU6*Q&$SZ%Z}cdkfco9XX+sF7GRj>#&rl#(g?h|F&fHD z<9SN*0gWck2&1I)t4$+&fzgz(-1k&2rs{Phy)Cd7=T4S|v}Z{ZHR^+`Z8<!4U5rk% zAB`32-|;;t^g0-+D{MqbnhIcN2;x>Hw#w~eW38lqfhB^mG|YUPN4K^v`3x-8-k@q8 zux6W_EJPv$9T2iDEYT(5_L+h|vj_}vUR9Sjx5_o2osTuAseq(-$<_B;|Nc2(Y7hAL zV=j6Mz%MD_1El-6OcP3!s~4gAEI0#lruxNwW}xhCSisz;BxgeiR!`M$tQT(-B2qbL zB#A%i0^e?8LMkf|?EcMNUNKdQMxCFqX1MO?Xi+UXiXVEM%Rv86TC<3Rsf&^FU55Sk z?zBa~QMmXIsvSMK6$=omE8B!@EOjSMRUISru<QsnflQP{B5iawva7jHAIg%l$1lDx zI61<{*Sv~kIIfAV-g!m}ptGJ_eLU7n_h4ZEOwXv-XNjR>(K5+%8fHt;&=lk+*joMi zEa`lksFOLHDS;fZ(01kRbZTt-RvH(X$H-WnQ?v9Y?PK<}{9gZ>DjI#k^Uv7om=8gk zNe!ss{8I~O6bKhOt21#}Ynz6^7Pr;NT12aSHBhpj7kOSjT{3V?xrNcIwHKE)P2;bz zjDr37IQs9>InX@$AukIdHV^%MUV%rSIGTfQgUR@UNZI{~&oBk1-VtEWfgQE^a>cTx zJ!M^6&KF^e+(ov=GA4KGqD6E7LjCB=78t2$IgvPFaE};A>)ApFnc+(n2LXGGDB)pT zu_o}YO`ZBB<4*$KQ>CD~xfu^~D01*Pcu5c&jKEYFK1x(-R)1x3#u`4C^p}Yh*(~aT zW+^dE0M5%bV{&$P+0Y)M>vFqj-~Nt|;GFuubpK^g155iQkb<%)p9cqu;?xzzdM<ng zUVH4<I)f$h`=*G!J@rGj>yPg7nUeTR1x#mo{lP(tDi7*R*{&3Uy%)B7HWCAi+|@wm z$Av0pKw=ZB^dpn(M346SUhkBNTnRdEilxW2ri$FXflX<l${q?E<_wt^r|Dn}N846M zK4cV{VCZ8=gG*x8?efJL2RCsah4X!=rFSX{njW+#K2u56Yb~)ByIFZ_`cyLQIOQRJ zcIM17P*ZV!O4t*70)+4LY3rNn9@qD9L8*QmJHw}~^pW2IQ=b+f!g<d>PeJ!8<+k`6 zk<-WP+cYY#i*R*^yNooqua?_(<5h+*+^!y_nVj3whERX6`x~<k9Nv@a<-2uytQYak zCBia2_jHC%o%r1bl3J5Trag`_3E@?SN@4MbdKM+TTDW0rG&3N%@AUDiaQ9238#tV1 zXg`w1{HmT6@autghFLk#zQg$yus|cnd+ud52-@@zisIEB&*TY=K`OmzwNb<7>)HiJ zOKd5{UT)mnV&t{Q40ncC|3u-)Kh=x)K6xy=FR*9jI~R?X9@wTa8DM`LZ+8p#GqiF2 zkQ9wF3n^RXha9fUz7eTKMc{m5YN-4<cXUtU95Du6*cYpq31jDYl==h$R`Gy*Grv|p zswz-e(V&<^@!QxqHjL#!rNB80rXA$kNkA3<ONBDSKV}kTGU>>oC@UgFhz2xv#@yY( zP8`LKMxLcKWSdW8$kiOjK$P}w{PnSq!&wis<YUb&_;`1i`xkT9B8-dlJ-S6E7p0pP z;dOpKnN51ov@{Mo*Vb0UI<M<}4SV;P`K5T-tyQ<?2u-eEgXJ*M?VoUnW}($OHY2Jn zxUB&(i(r1^n`IAb8tFLV&S#j7X4xtd4iPMIq#oZ^3!}KhT$Hsotx$b6J^eX>DZYKx zXmD)nbj`IIU&cm_XZVD}+w@?e6*<@BPfyhUy4oJyd-(oCf_ehuF&$Xe0$Mc&YkPlO zt>`spdA(0EH13=P?MPsou<f?J4s$Y1nSYw`B>nc<rOyI2V*+P)fc{c&r~gIKJ-14U zn;55iYVDqdlpNWfd1=k`g^Vzpl^p(@b50g)S;7t^LF10r+}{h`q30@<;{a&&Qr59$ zTF9B=J3Mwm=Eb5xw9`o{p+Vi%r+6$#-s{Ud$X$*j9I6youyFeBDkL<!nxXdD&^j~a z-)SnZZ4ok#|B?WuQ}b#)>$_hHj`c-^_(uAq9896z@TL9&zy_;hY77NP-P9<fZYoBH z+p4!w&<}fn)}ctv6`6JdYj2&8h>OwdP$s<VMUY;F$&Hy;7I(fa^x?Q+*qhFp5RrQi zmdvrDGd}JjHT;0_cnof<0<z~+dD!I=wze9zfKkvgeUL)<Too{4QHseFDAvCAg32y! z$U4!0_^`RBwFEf={AUHn*|x&PUQGf6r%t1Km<#_g9Xj`rjsSFj9LB3<^=6#B9(HAX z6Oxp?alcEd!Rs)(dSPQsR?MY&hV?Pj`))yj2<D$C+NEfHcuf%-m-(qmle!xWZm{64 zm1xe&;AgP?o^s#0=8~d{{Q*9Vm0U`u3BZX>(uxRsB;;1oJ@pS+FMt`$3kl2#Y!U?! zQ}VwKZ|In_9?2<AwCx5qhte&Tg)yxV{<HS*SODbA>N=~LpQgD$cIx1y4EPV8-WtWZ zmkT4QN~pi$x<PLA4sh2l-?;4_WNA|M`$J;AQpkCI{&v~}!1zM>g-cZ24Di3FMYj(+ z#tfP7-3{RF!72`c$HRDZ=iF1=-?GZe3}SBlq7{5#H9kGZUTqvdAQJZhNXBCs!`|}& zP6J5j&hziMl`_^qm(|-utW<L2aa7z@5M|JddM^MKC3{k=cyjI6{i!Q#S}HX({RoV; z<m*|qL@({Xshv779QtFHqBRDdPe(<m2Gv}0WZW>38?R35jD(+0v65}qZ4xhIc}$`m zw(>UOFb(RKbPWh%zr>gv2Td3PNo@Ud>dMi+j`09g5?64VFE;hfk&TfE*6st61f~=} zx!k=V;eb;44pz|fW2jPjFb@+^$n;mHIaakB$uuLFp}q6&pS8$M8z>$M{dwCpq4A>M zoLR&xuksAnz)sJEJsk32cwZN}<@WdkJsZ86SQhAt{Ce-?Y|U5Qn&M!|YG5rl)XOKy z-~s6Rf#A9~IFZ~?v2yzIvHeeM->XJamd%^4wJ?;2RC_+zI;H?mE^U7iriH=x9mW-h zU17t-(H$9vAqDOq`tdT(l`fseYXgtIG)8<>aL@Urxx`wjWoFhgDj$}eqq))uV1qv} z3)12OxuZUyCc7ns@k4GO0wlYzvzobILK~N)B_Q&Z^nBBs!k|IQdpH8#PnGW}fzs`T z!#1%!m*qZdq|l*hqSFm(yng|?wS39gldbcC+_^hcT2=k9PJqT((&DhE=B_Eob#zW! z;3LK;;VJM(_bF^K)?JPFHqH3Dso-Oy^HKdwJT4mKt~TqWre`o;DDsojjmo%XLD@bj zn{#LRl(;mb_$q!y4^!3{7FiY3lH!-G^)i+e6Qd5P3(;6`z9RaEkM3!wTFze!y`1r` zD$l#G*EJRQ)&VIz*274i=aQaJ(O^%SeRStdvo2~Ne@WPB&%5le9znC%X@NR$6y15! zR8%IN3CpPQxQMn<nlDS)NBTf7qQFbay7~Vk#P&S>MnaqhdTal8n2jty>yA@_ft?Mo z?*MjixyLSo6J-|7;o!{D7Qr2xq%%kTRq&n36Q&6pyK}4{^|6Z&(8^~(Ua=gq*$I7i zu6TASd^UKk?IdlE8e}MthWy}8Ou;W{wF1_Yn3BA<D;KgEuj6lRc#=N5Pup1{XnrAP z^3k+VnKV9N_a6TsxJq5~7SqJI=~4UmY|+r%*M8z4kHso;66Y!II+_-vKS+rAzg+M9 zoIF}nm`9#_xh~NC<5;QWW2Yf@-09`2C-rXf@~f(2Th+-b)0v|>jwLbtX2?GOsD5k? z0P^WA>?ly>J8*}G_@zI6I6G-|-Hep9u>!DZkBdMtv<DO{|77F$MJ~{;CRAe(3C}Fl z0+8^jvcH$cwr`XV(E>AP`c**}bDu(U?t~VlsEuGJ<oE2*zFyti7`ALC=ef$Qfq8!> zP;M}Eeo1lKET)?7=caEXsY_uzUgr*$?bNLqaCg@nrocNSWv_v@*BC1?x*U9|{zyFD zb>xsrf3ai}c}0kf!#0Vu+uiR_P5*_QJ6z~5HNyuMZ41DeLK20)Sam$9ABk7|x>my` z(UA{u_w9V)L1hlBohLI(hJ&Czz5hDN)8JI{-Agxq)+7;N&~^!wQQb;d`NRv1O}?YN zc2U`;=ES!)^u`Y>Ua9^TaRsdlts-bE9*73N=A4`mTXtVUa$#0G>$qN94DntH2CRM0 zSB;+hTpn$9c)YeSkha)hNaFbG{Tbz7pD^BFTf)fUDdvcgl^yBef4EB@(tI1u4vgz@ zvbIO}qTru2l+;BOS7ZfXO+QHW`T!Ga5@l05!wA{j8esSIO4cBjx4KOEAkSFuP6;+7 zUptW(wQ9jJc#45!)kftRr*rIZRT={3cP>Ey8;E-0MZFpUErHDWChs{=WL2r{+KIcm zT7659<;ehR86tS>Ow=vdk|{6U9=6%JRX^!sTDdw2^y+wwJY=n7f5UU#tntnpAPAel zs;xQmGcggDFZNIvewAs??hiW}9(MJ~O(W(CW6}|<p`Xu-;{<xiePlOY2O%v@kymY? z`Fovr`W+FtC-zmsl9Y%-y~b^T0S0{0?4FuWl+<}tulo89$VAluK%L&(CYVLZM|LIN z#VMhCMXa^~#?#{)RrBg>{6qyb!>`<;;73e$H*lvYnk>H!)W~dgUVMiAw#u(q<J(4j z9@cK119?_-?<V9DZ5e7QV7EDK&M61*sxV|~%~d8##3`B^FCu>cM-j@8L`Hbcsep27 z1cy{;n`{bY`QC;$401MsM4b4T4=%y1i5=XVWeABAjw;pFlXUR>5a|o&yYeS>56>J= zP@Wuxei)zf**YKZ4@WMg42Nma41hKJruc27H=|^@HEQ?E6#`#>u?<jiYxgZ>7D7FK zu$1sD=-uuGl1bY^n&f&Qz$3sk7Mx9(WgH^vNM_o{RNS=Tl!zlA&eTUhfsWfMp1;*T z(2(T?8;eey#5>6**?b{UF|BN&NS;tAh@3(5P18?iCq=<EPl~H!bQ(ed$uGyGpRbXL zGfw08!q-H+66ieH*n9mMBgGsYaGd}iH?}3e!fF!<KRm>9qoi$-bGqj355L*9;IIF= zN|gngSCb!RE{6<x?eSp7j2+?$1e=ZR5*+Nf4+Iyaxa?<@ubfEr%9wRHIVT$f{|3xp zR*jg`<X<03)*60V&+!N=P!M0P(~c-?-X4SGz|cF%nA1_akRNa=Wha5xtJ`Bfi3wPi zEFfD{?TGqO2P4d`bPuNlvbLwcA_`3u9O#e^DI9f)?8^IRi_4UzHZZ;C3WF(L(Zy$v zjDOr3C;BOMyqJO^I<7fdOHGgf>snu9RO{57t935fFWAtwP?VYit5F_vkqAscL3jar z+{M8k%dmwWbw?>o*Ai&S#1I8;dXSZ{N1?;D=%h~YSlJcInB$sX9eUPyP2I#td`nP4 zn`Jy>Tf0<Hy?jg$bUW)cG$qU4eawL-Z|ody-H>&f-7Q90D1SUPuE*Nyvo^MyE-9=Q zv|p<4hJ8y4GJTXepE;X<Q;B7}^Hzo#8R2NtF?IxiAL)|=Y2q}snS30s?Ydk+m<cIw zva2p!eP%vbY<N~NI7LDrARr)SVK6WtARr(zIb<-As}R+hJ!qys5TQsXQLWmU|9lWI zQB(|fNmxF(vu+P&WcdGPYbEu9L+YDoH+mYyj74(KTQjVH+Mo0w;{oW<IYm*o7Y6Dy z{o4=CQ6EYtlfW8n<N@gAI(ZItWPi8FS52j5N;dl3lKIH9Rj)99wUP*Ur+2r8Ek<9d z(oDwT5I5FrO4=jG2h{!C+|(F9R-L!Ag4BV|nL*9<*r`D-Q=N?TW6tiPVnoufapR@q z5&z?QF1)M!R5Ie_!E+221TXf7W((W<K_7sscL%1QI1Hi(oR#@Tm0SXvq@G`oX@1~v z<ZaN@1XkIAGF&vT$NsF5#tErr{#u1xLIu(Xolzsh6vNB;Eww<#V-Aj$L>&fv!kwI= zoYJGc`_Rav@1Hj;I8{jGbBj;sDr?#&JFN`;(irth?hZzp?Jj2l5tdF}FgymMIU`f0 zk)h9!!!vYl3So=K#`xe1DsF#zr764AEZ#G9F^5<oT+>pW-P?2CZEeH0*#3I$-u2_3 zGt_(HkbIzjA8_0=>F3z4Y6z08hejYg3Y8FMOmx|P$SOl1ouMO0$ixC#(GJlBwJ#eV ze1L=Ab<m2M_pO;~XIf6$%aHdYg$NOkwq>WcKLLy7>3}Kb4b&|s<l0HM=IjG_W=*8H z^qR8z|7KjzVY7Mp(B5?{6f-UscuoaFVZb}$4sw^(s>MRe4Wti6mZS#RawWtgFRE+n zC0pZbSZqW0Cg~?e+4bi3AS(#8B?-5w)ypXyDm8p<80}^o{=Z0@hVw326)<Z7`3Cx4 zEO8{xR2EBZ&}!uqDXhTwX~qEy>26dv%m-ou8hmoBf;&N-O9{myIQ&D3p3pn>dOwN? z398Q9fjiOU)Y-oALN$6h*rXl-3DO2$Q7drDWmA5{f~TY!hS7pzODLD>v<Y$V5>^!r zK23vUcz}bbAQ&Y%%(kEA+9nOwMxW!fSf6e)IMA__g5Ut#?H3E-+&|4khmcSl%5)SE zI!>p?^(WX9jSxrz@)E9a!h_@Kd$UpAm&~=^5To>dn-#-3+)J@vKnLC`oQ(YSo?RK4 zZsthZVCm%HIf=Gl!nvZKqpLY`5qZD|i<d@pinGmJyA1b&N#+H;M!cBPH2_0lii1e% zw2AV4bHR|ox-7^Qb{6*OwpxBZrK1;Y;1&?op9_MRk|p^cw0C?9F}A`}%#fuJWpD3| z4px%>1Poa5yn%IZKNxMakfI|=xvFfjVi>;*8$uD4i(F0{tK}HbjkzByUPY~-f$w&3 zB2!9p)~K5{4m{@DY28b$q7$@PE=n@)vC%m6+PA3MdQJS`zsaZ=^1KcA%WXnAz%{5t z%$4tEAq_w8RsQE(B<Rl1Oc;X=jK5oAS}_Q|c_4_ysDwB;f9-zF=6`r2&S08&Yeeig zmXp-CKY+hBfV;mDjLk0yPldvRfTTV!hUMDy+Ygx@XHiZY&D%iZ?v^=$PhTuZj#{%< zuft_V>pV#-dBmJJvu`wF-wUrJdn+MoYy|{z?z(#)k~7*<v|xq(7zYrxb#-Kf>yso{ z3-Qw#Of)sqYB+lQp7p6EbsA<BO)Sr$SL(z0MzN+X1g*3czVH-Ci{8uwTJeFYM1jMX zd#$xxG(ZKGyLN3`GDTm`Lm8Z;HbCa3O5WYm3QzB;)tQ#Z@kxFm$}`U?{Aeq)HAjyP z^L&@#^6bWTXKZzt4FP7r+_Nr}1yQT1jogY5Nba(}WQS<B|Jhid1n&nDewlt}WfTvi zGhx*{7$);%pA7R97th)mN-Xq`dOds)+Ygd5cgTnK5gKTv;O@*JK%A@Ji3p1h7FUkg zk}>zCfCz<%;&8jU=u*;!XE!(BrrW<`WsP@i-o9jMOzW4HoRf_5>ebaaGoXyl@%9p> z+W_E^z1iIH)%MBhCtjHO{?TLR11eED<U@d9b;!h=xH*j`phl=H_9ZW$KXYf}TN_Yc zprXq>J3q7j%*<&IJzzU*M^~7M{0hwkR4(A$iAdPxb-Mb8Nw!Z>y&({pj*}SgCe;`C zFM>&L`gBv_z-!2z3fPZ*ng$8ec2G*jFvS>n_k?@xsrPcTb}m6gx=|L~HPEu}K1P|N z45u7D+nX(659_J=H|XXEx&?9@{89S!nf18A5B+wxpt%9$B^I|dsC&hW6nj#}%f2m6 z<5L!^&+so4p|mNAv|EShkZgbRb@lg0x^oWV2qeqw(D~GkV>ww56rl-f!tdyxfO{1W zFbN$5ncLgc%=uTk1EG|UD`2$Yue%(NvQ%YK0$e2j;7@92%augHd`h7{PTGv(GZ==K zeP<qEBQT{YA_~=ltLCCC^);<|YW@%6!TNAG9FN=|?*{c3_)w45Hd5WbU{U_fO(>z~ z#VD1bNBSRHBEhsCa={WqFL*GeTw|7*A>w2(M-RA__V=XoweY$hs%RhROdV#|SsS^! zyRt2Lmx07QaF!=(Hpp`SzUZpEV!Ny%^IzjuA&>UyH}o%w>hBWCYVG*6VnZ3%qDc%a zeg^lhAT3Eg1m=E((_^zlCw?VGhq;pmPIO?!_Y^P?)`Q(_3#od3B=iH?2;UlKQs5-n z8BzcYqf{I1fc*1o6E?YxXDiEk^d9iuHLXn>G@S61=lt0XSCwEGzASp>D}Es;jB3nT zQ<eVn#9XDXM%A{pLu<HbX)@c6-yA%obf%CK^%PJ}T8)wQIo!!@{M`2I)L1CdA5ADI z|H`22BCgFr+qvw&K;{X*d?d-GKy4i|ZQ&dhxzTf}1;I4(1KoXTTtkP1<(EMgVCO1@ z1P@t`70Gn-wFba;yk!~tZd7J*pD*+a8VoY68-RK5#p{wgYtrjrW)$+={jl}O+`~xV zk0Bm@-xkC>8YaHd54gRyi!G7ySIKmQu^{3pDlNW6+KaIXDuMhO!~IF~y72jP>Xmc) zPy1SC-GdnWFsP}CNLb)~KofC$@9Qbz#&CI^=eTjm1-ge^D++3@FYt8{e;iWv%F{1! znX{2dfjt2*#N51K@GoK;y4eg1Gw-q@WC$*9{lF-g84@Ic`s05a4-PGvjy3SVB$>5# z$tNXN-c-JIRE!~IEet<PcP_NFzk^+BBK+`}A+aY&#i)599NL%M4f(pBhX*q^pr3G( z|8Ft)Qoc;<4KE^?faA8f1h!&VS|pi_rfnj;$P#|qJ}6`0=C9}yHvx}#T;ob%7$jI? zzk`*%=$Y1_r4Mk6gRmH27Wt82Qz2NrVbCALv9LMdDpNk96by-HpY7UhH3hhN(KTyv z?DUK)^p-=2+Qg+Ib2{t<|AnrU%>ITD4i^8dO%GacOc9(3UdonR9ZiguHRlc8+G9o( z7+!O&_sy5k{5)$$kTIJP)6k)j9ZtZ6;O4Ro1qdbou*U0ZH~(1zjNSFLi2EWZPA~%v zRh6i<AZOSfZ5Qg{`~8|Sj$0=dl-NK&_)G};dSBIRbnEZ|Og3$OBNvv7nuKSYH;0sf z3q+%9#j(P2vaAd}@)u@MgpeKWc+`2E2lpvnhf#D_HCqh0Kjji`adp)rhfDIuf_>dB z2F3lThKKnB0ju+>?lh93!$RD#YOfcDks7kSvd8c1`%U>E?nKNQe~rBZkS0ynzuDb) zW7@WD+qP}Hr)}G|?P=S#J#8D))3&ysePiR>@7dTl{#lXtT@_Jz#W|6uG9$7o&#xTz zgAJ{=CGyW1?;Ox1RwUH}UHQ*Q$j2$86lLaY#N5lDxA`kCj>O?K>seDi$zuYd82T;9 zZGV*_XdyEMh~VKhkLL5pFel*#E?^oWfTZEv(3NbFC5Anx8=EYu9N(4jBF)c)I^>}4 z`^06>fL0|^Ke|(VYoD{0Pvjayr6sY{#S{<$J4S?}tL*F7sV4f$Fm)qdduviZIwE;O zYTX3%5Tf!(E`ziXh)`>M0)#O9aMc`#cKE|`-pMDX9}QcmRaEDxbMKB*xk^*}X^RU& z$^;24YhKG=;GhT-W%)UwQToZh)A*+Cg*e@4tkfl&Pm3D<(BZ3g{tBGttL6dX2~*qE z%=L|`ttlP!C^Shz)KK(?oB@YCfAy9WO&GWfh-l;TZADrg7!eoT&`S{JKzyWDP0GBW zC()Ye`=Z+Fuqj?ETI`=?a9}+e1n%fMM@0z>c06jjf8EIACXB7<2Hk4k1Q`RFQ-dwJ zBsl@uC}EG-(weceo^Q3V1bfUopGT^;NZYk@L$5salln!sLAgGvAj?=~wSOPINMC6N z_M6|iPPypC8s%X?fSFv#Cj#GvAElEVkXLHJ&Fjc1G#n-KEim&Klz*S8W?)*ooFz-s zWn@-I&GojHBX2D~7e@bpkF8w*lQW);NGnBm+s|O+XQ4u4Qy*X3V4%Zf825a!8%YEs zXJ-q-xu3io5RQ)zvRj-<0q#k{L8s|eA6R|E%}k~_KKS>xiy~)rIhR`gq9iesnaF)s zMPOYqFr24`HeP#bN`qYSH&bQrMB)BCl?&+)zZMk<x(IC9C3L3Wx53qUj5jvNHNmh@ zAH<o<JLTD9MtY~L-XmrP+8-Nnj9I4xu9+uhjTz|A3@2&)MBQ_hK0V+NEdG1Ui408N zdn$oSbC#%r(5fmRL48<AD88tF24oG8{I(x~{ypj2LyI>ABB=rM>yMFsvw;(;@%@{y zux+LI^h}TL@GZ!5&Q;XzA3w((G$c9V06bWzCjG!g<3jZ`nB|<yw2L9^X2@CZ*8xhs z*)Ud_!Y6Jxocs_Hva@a17%N~PBF_3L9ZQzxz<4M?Xu0B}hzH8B50u=-oDH?#>R<v4 zhI6r*v+0%nGGog63Jhe8jkuac^>Bqd(bNHAkZSM<FNU@Zbj7#G1cCAldA$|CqhZy8 zs5=7Em<CW8?oVWG+^9MPQf0=S-EU0@k&RBXNoqlSM*Vx3P_t{@s07M0z|ZcO!!x(H z1w$V=U@<c5d<N-yG`>`>N)hfXQ2g(-Pwd{jrFV^!Hq9!1mOD5pSo{t`&iz&;r;0;0 zdsvNGtMs5W!B?Qn{G;uK&Ec2g!kx#of_LHt94GFB^p9M4U!s}@54Ooe?fb!WDBymL zY|OFY)&rekipujmCbmp^fgz6i`=z{xDpT?4hh3w7f`6H>kBYl3-Jhfxu^`$KX$@?i z!l^!I!Ct+8#hVFLTh@Jw&T$9UYF#FcAq*2COgE{wXo*|43}I`*i1;PBVhKy_z(ww| z8w$9aFoHt;Z0)yL`cw8i$h5QN+Pp7OCZsH3rpFBxUww3CX6YQI^wgZj0Lfn^4c@(G zT5DptZ9F%@6{>TX9-9+@>zt%=$FR~IiS!8+ZXjER0C>V{h%K1|HNx`_sJ3ru1<(z) zoxnfml6X@I8JTo7FuZ0V1uy?4Xy<IueYjdFajO=f2gm+2h?-kfD8bFmal%lMXM}PH zUMM@IPi)J6TYV^`y0SS3@lvOEUyOy<ApUrdE>noHOppS^_5^i)I9^K3glJWSH0&C- z+XL>;x-iFrT#XA7&JK&(Q7;?8Bs|#`dU%0TI)nFvXWLtZ1qRJ+3e3DOM8u9Z4A5`y zi%KcH(E)}vE+a!^Hm1{#SyAbSkIq6(bZn_XDOSCJ&>0H+If@CdaCxMu7V`xka3ukP zBG5pt&rgB%mOO810oK*XA1lv9`u@y`Tx~;~c9S?c<WO}P6E7mGRSL>DuAfgMOb-cW z{f1n1V9d)mwU9dp{H_E68@{Qp#$DQr9DlW<kdbw~tee;_$m;=QSxOpP4>B?3rO{wN z$(S@`@*_qBC`$8t5>{-#S5Pz9Zj_O_CL4FDDSDQE-*>SCRIpI88VYjG1&(-9P*!$C zEwUFvu5hbx8nl@>Gc5FMrC+$Z!G!1mvoiU9zXAD9Bn~z(2C5}3;V2Q~Kpe^!^Dn9u z`3Hp)iaBiPLI8-nuvQ0U!*Tc=Op+%WhzNiMjQLdx@5x(*FG`1q9^VXbTrg|ggSYIr zPVeT=0`mQ!p9*@A@{~yX+xmbTGF`aq_`L-L-mN3lomUHxbV=qF;0<AhF(}cetD^?q zd?Jf&NX%uB-i2RHxW|-Ats=}>A4*{s*K9+R9f^M$`5$hRPMyWY^M)=DP^%mF@mS3C zF>>uZ*eUebF8l2@bO*ACr^v6Jo7m0lrm%Z)kQU38=#BE%U@Ohr_I4bSuz8|iH#|!Z zoy|4fF~medsmT?y+zU?F8~kZ;fpr4qp5BV`zCett7%a^Io4<*n*FG`mnO}Uqk`JQc z+;?_DhRtn}i}<-mT=nJ&2o4HzdD&G?7}_d>4T<jJ!txc3a$md<4Rq>C+l*gE97o1R z-Yx~s004EPTgi=lb_$|fdqKw>5|Pn{h{TWcvBXKYIM*)Sa1#`I7BZmYU^1=5-(j;r zCO`#-pH{kgce9c29-0g~NE7V{(X{y}JuSJpvSfnoYUSnrI&Y&@=nP9GUo|YrgKwq~ zvE_(U?JPl*od6kbe{j*C?u(fx8=`@Gg6JDVuI8dsx{Qn{Kj4Tn<ooKu=_!~;p&etU z-ORyJxh-xl1r&e*qorg~xxs(#anL7Q1)8Wqclu7&xecpwd<6PU@;URiM5*JMoHBA5 zeSMsEu>D+QZ+R*77X;35JkaDrBVW2d+dv%!5<5OJKD^~x_=<CWgqwfCW$w4z5x<X5 z%sDRw+jV6KCKD&7<l{X#{Nb{9d;hzYv?;+KW}R@TCk7r)cuQkF5D8lx9*}KrxQW*8 z`QTXG$OuvNsNYrRQ8*rQ7N_q%S#8h-E2wuJ|4J3Nb%vO5lOSkigPPq+h{a;MIy*HI z5lc3npy>M=a)`#b4mCYNcRPquKb7Kjip$Ne_YifociXV54F;|)Tnf46yLKM`X5c;P zS$~0qGn0ca(PWaaU<$nSXuYP$)PCiiD<<b5K**qbiIm@iUTITm8~D>V=a$~|NqWAE z1O1Z{e_7=>>@hLX3M+R8NZKE~xKNo+Sv8FJmE97N$3>6C0$%w6B4O~g?q7cmPp|82 z6tLGktm=@x7u2%N;p&&;n?n`J`m9@0QUph>vD<|B;|R~=^X4>=)WOX`q?z~l93sxJ zRnG3A<lrq8&m$p=3KYi^X?)T3q%yO%$KKK(=S!k!KymGfqI(Cxf59dQsx<B4Yp;bi zq*zWdxwa#nT}yd`N<k3_q9*02R9N2U6NJt}rjgF3!6fyO%z>`S@RtpfrY|Oc+S3QC z+{dkb+5%AUXX{+8WJB>sISri5KAXsmjUZ1_3yXBY8zX379GM5u%@2>Z1oy~%*gx&q zcqrq_pX#|8!xm;HKQmpEl{`Wh?qcb1r+TQ&B<pzzgGHKt4V|IQ9gg`io`**a3KJnC zH>B-pBb?EhT$kUI&!CCe0W&>P%w}Cius?Dl==Z2bhBfo?>@+mmGjD#~?gLaH=r_7C z{^TfIu$*6bm0lg#Uj6t=4Ev2P>Q7I{nh?(QIJ2*JHO-VIuMFMf?URSvun4P-*H5%P zA2ULVOG&;17<a4ODVXBzR#c$RhggJi#;0mgS%;|Au`fLVbKwG?fws&EnS$-Xo51F- zK6!+M-);~f-p3wPrE82+hO4N}1WPhHwk-~k)9x(!snBhl=ax8LT+ZlW9XCA1!57$k zz6#hY2{V6$dU#CnQ{Q5o<;RT?O-im0n#S0$9_oLUaCZqeGh=E-mlwj6Y9p<wpypLq z{Zaf&-SBgh6{ohyy#tg=GLOeFKPCRF!Q-neE~*hjX^<Df)S*t}bz_|2!?Q@Hy{4`t z*XNA!tO$P>{XF9{lHf{y{_`(BFlXiTJZbza>_!WYmIZH5_N;vyM|fc?2uVvH#539e zNZB!_!K<Q_03&ri(BD&2dDhF|8RMG+$4A?O7Bhj<?%iH+*|lR~cE_=1i5U`=;8s&1 zH_@p)z0J9vuuvKk6wpZp><#F~l4N?=Zf~w#0bTqQJodGgX5+ztAZ05rJGW{uoaI-Z z&sod$v~D>PZ9nv{u(|*pt46mpP|`j{wgD{cr0?w5z+5(6E78$T%-+^b7V(aHQGvCy z(&%!(Y-}AIj*oHVXW;Y%FPslz6Nh#!qE?W&LP5B@v+%T$#{$Gy5~H{syR7+v$$s(a zfKNw;`-BX+D8mNm{@y9vxcG$#j2K|ZT+SgHI{0z=C4U9C$r}GB*nyRCLi^5-jO4J& zE+tV|gh;WK^5NE@(EusW`>>!ZTK=^zH~Wy$RR%k6C6JFNVZCP+gB6Ju&3%Y`S9ove zdK*z_!l0444v%VzeEQhdIwTEvtqYHV6)71hg-buWv7J)QDli|vu0pn(-*E45qC!-h zm(Z11pxDZYiRGRDMv?|<+!Sk&YAjaScqCof>Wuem2K9Wq)h8-#4>s{30$_eYHy>T5 z(}ulm5BYkwF(&@+#w-Lba?%XpURMlER)I+XJoQ-QK0zaX0nFbG&f$DX_ScHvk+*r3 zxkN9)`r;_pcNzhQRWsYyX_&zj3VMUQ6~b87!+I(&!!YJw%fb2?Ti_}T<L-;tsOst; zA?i%PSC}&<r#HikPffNQuMQ!m;+_U=@Yk{Ab9V@VV$ZA8>a53Wdvk0T^mUjmXr4~v zHel==<o7B_p!@<d{fpHUD{E|UCrQ9w9*+gP*!VB`C*Pal2G0$WyyqlpOdZ|15_~~6 z%Ju)wyd#RSD?^1r_sxsWuO+(Q9G(-I!EV|(bP1$*OzZLUTN^=<wwJCwRyNUi67>_W zn6)qs$5~rgr!Uwnqrs)xp@!megei9!rzwsYmQWO#BS5QW#igg&=|^rd<OR$~kr~>5 zi{T;(Y|LHSMevwo604RonTaYEwVc^|X3SF(uAwHD%Lk0U{KiU$ghX*BunW@yPwEZE zN7yscN?H#K5HG?~aWk2dO%r_s-$eic`)T`YoQeK743YMc7DXXmjI0j?$8L{{Mdo?O zD0biHHnD<`+kuJSl9+)jR;5AQ3$vKim!N9nMDEbyCeLJ7&7pXiy5F$=vYz1|ghaY# z;^ufF=Urw2cH-WQK9)TZkpo2}t`hMhX8)Q3Q%<?PkrtzFux_jMzrIpo%V;1(h!=++ z4SK|D6fm4nm;~3AKW^8$Il$h*vZ~_@a#G2#inh%PWJ`Z5gr;IL><FlyxMB=nmYO$F zjB&=PYxtQ_E}4R6rO=UbxI}m$!+Bdv1>zJ}yElnMh{F6HHi&`j+g>@@GUf|Ntgqx{ z<1mX@u&4f2!sWeSjrv90?*See(D9g~$&dZasH1$B?L@2b5-w#kvXNS3Dm#oKXNjI) zoi5t!(j>G%{Ku5iOR0YZ;K)Lr*^HPhY=ynd>hzL>MOHx>S(5nTLXe3e4?6=0z{_a{ z(py6&N-PX2@&K+SsV|Zh*+e{sFo@#MOV`y>ag2C*eJrr@N+sG>$u$X7>P0m!CyDIE zkZR}}$nCAht`E57D}Lev!rTlPIk-g&NQ_4lf-2ke+8xbHktgQ%yJrJeaubkdS?*_* z93r*{z#3Zy1ZmO9zuEeJ*jOrW+0~?8jS0l@y(a~hab4Zg;F~0YT}LwObdPYUcG9ql z1!vhUW5vM>ZtA`$79AAQ81KrxTM!XnzbCNZuh_mroG|XVM8F;y;MEB8Boe}xylrY1 z$~M{FGz;m*@8o$p`Z0wGMt|@@;6d#Tab)<$Y3W=+>1LBzCO<q;c%jzhH&iH@`HDbS zg{0}3m7n>n)ft=b-^#&g32h8K(EsXlfL2Jz`TeZEluGgYkXiH2SxW`GUQy9C!bpFn ztD%j>nsVSF!g4*RSP*eQFfb5szOeE&qsB7=-Tz7rJ<w)+bkVr3CIj;;HADLgI+!K` zi`QGvPVB-g0KJY4U6ZWf9LWgTPzD8}7i7XM86xP9i6j8>`vYyR%CI76!g)>_VgVW< zkwCPAfT&Bq3YYM%Yc@YR(*nx`59c3_Sp^>u3(klaSS&zWcJd!JkCx`Fq%Rq7#qJ9f z*=gG=ffl$E;mx?-#yFzWiYxti@De!FPZ$<zW+C<68M2X+l^{A%3EFNfHcF@Eb-Dqi zK<aA-9AGbKP;m@=PNuj`WY=-ceioln(p134RV}MR?q<<Oedg!O&yyDL`?2n@nMqao zS5)9LpdiE1B;dy3n;)m(a%bFZ5RTn0?IeBO!-K6e;xfsooKQqCV4Y_B0px{o)3wnb zsMm4v<<Unj?)+Zo6&n6_S(n=vkCLPJZ)c<^2zoz@w|Sx*W5(ZxwhTWYYb;X$1;}VV zk8;o6Wn?wYPb1G(E=TcU%oW8FpDQnH@{Ph(ABL1o;dY@8&3Mq!6Z%s)rw%2`xcK1* zx|D47Ku^3|BAT%u;zNK~awRJU>zJci^xR(RxfhBAwE8Y)5nPq>E$UoNzAj=*1<1sO zi*rS8KMB#eRK6PZ+rEC6-IRQM-M?&v(db8GiBQMWz7q6uLuMH?OF(Q#lgwE0h+;yi zneS1tzNk_AtLenoCDgQU@naB#9AWkVl+nAm_{sS?h(k#3M~_9@>>#yz_~aucxS+4L z#KX&Ov|%Q0+D6n*&zzZq#>R_EaRnvTU4OF5Y%EJrf>^5I0K(vqz(|s#&n~D>=d!6f zflhT$H1#$A)(scO(6e5oenJ|@pP#?d1ugIyeHA@gXdda!QmG>06txq336KawwWN@1 zup3yBsF!35nVEj3UR%WvdnQg0Y0sdM#Ah5<FD8x(5B6m0(Z3IJ))}q7>!bSoIyp?y z1s3px*ltY3G?}v_fcV7!ks<yByo6g9CgOva7{69tG|!Qc>q71<>^e49(Bui%jV>4B z1OEW|n{WJp&|FkDdM9pYqkn)ex#lMXNoNVtBLio}@7`lYJ>(7c)Yhk$E3<jz%LJT| zr`$(&0rM49xzH#B3@I7h-K$}HVTh`~Gmg74`)$*z0arz20cpbgyQ>)vURY4L;^i3m zD1*?aP=zccqAJH;Wy4C)dMOW^WJs55D8qaJAALKSJN1L8^b@Ns(FO-=y7E}y<zU!F zyE5?s>Jmjy6%z*qm6vbbDD7=@j&lQZZ4?@hFdY7)ryDF`q%<F^u$>eae+{5m{ne~V zaS&~=Prb2{%h$@UBQFb9dvv6#<FkPuSn#A_N4_Y^AKmV|&nDeEHv$~U7pmOrbSMWo zZ|S=%r$7af(xM_aT`66+-ehvgN-S|8e14E~(O9&P3WhKb+NjS~x(Cxl7TrJGCu`d( zQNkW1l;vRji^{>Ts<b_@$onMTsE5pJ?L=UXepRXK=@(`W^O~r^eJV&kC1O+j5>x(O z&5XF|zwS8uh{Wtn&dBT%bm59=yHUFBz(R%(y@55Ca=6AKmXUIAtV=K&J<9O_!lu~< z)@r1BA~qL-X<ieN^)LHgEGL92A~9;rAX!olY`~f9FTeu7Pt-AzLD?c{Tv)v&CG9-^ zIi5|Es3*PK6+Ek&1}Q>s)Zs%4jpGC(wS%LA?WPOI&L<6q{&A0$+W4|9q)0>V|6>Q- z!<5}c%p5yRR<N5IH+-=mxJ#9Z2bjWpP*kjLO-Qyoe(NPF;X_YH@t54C3ck_anNy4m zMijXA&Sl9HLrET8zy`aIFh(Hi-ron(Y<A5A>T`@<Rwqo(-+KJJHMGx8froJ*cLiK^ z$%1!h>wUJ$tgZWzx7N%fgk_t@c0w8!S|qnNmrG!L9Xe&`q;B|>_H*OW_F`fhHkRtA zxFVc{4ij^Tvt{%-c_|8eX*$iKzU3EX1n@2ziQ#+Bh9OcMk~G26uC5$k6?O5G2#|?< zN}j8a>0?wGd97$S{8%NT-k8;X?T|>8<)2mfU%9@51m<Eg9(o%KK^Yj?(ImgWEIEx_ zvc?||6t~Ib>{RRD4!Zni^pBoY>C$sqRH7Fb9u%e~2?O>0CW#w~U|fz{bNh%c-MaTo zsPRqu&la!c3yph=K!5+`8LLAU+%94&%i-u9#5B0xTj$7Z1<~|6_!E{jMdVR|mt$yy z&(eU4D&sB->k;TpHF{advJ&NxH^3qC_l!YGVd-xdtJq$GZFUQ*P;BSdBB<uQnuI<@ zZ4&Ma(0P8T6UXKW5{M{QXUeND@3^(IlbYUom9Tm`KfI<ijB`tag;~MKnW~lEPqq-0 ztXk#<;$+}%c1#JJ9SfhCC3Yt&ce?Hf#c4DG7jqG@2A~GDKFfzDq8|JqDl@eG60WJ} zd~0y^YEt?-2~tdC4F^n<lB9hMOvdY>nIn=PV(>1s`8H*+{lbfzr*1`w6P0QpKpk|x z9ll=cOsn4+5I;0#KG+`~j>MU2oi(se9YPOb2|B$fUJ&k(+QX2)ce?m!J0pAf%`z@n z=fm1vH3!xw02k0cx#&c4i)C(RkT}nL1w4}ZPPN92>|smy9?R&8eKtKS;^wAv!zmN% z-n04*?YDI0BKEBc^BGwb)CM+jxRRB!c`hw{;Gf%yv9m8i(i1!N^MOC6UZdLMtrN5U zw58KiZQ=7zRNFB=UU^*wy5GX|mVOEyY5$7kx3NpM_x_!WA-azPeuZUHdu#!t<f2l` z?V0TBCOs-k(9}Qt+}j9utz=A9F|+7`i4eu!;>god=)$UI+Cl_X4G(oZfJ`uvNh6!C zsq%tjf1%<Z4w$OloL&JB+Jm1jeKnV$jcTuN86^%DJ{!aK?Er?kk!4;hm=u%V)aKo9 zA6vDI2=@)srKi1!k|Ny0xxm-S|3E50LM~!P#*0iPn!lEg^q|al0EWG(;-Gdj6viu7 zzco1zq#TRmz)WI3%y3z$je|~raH(=bPR7K9n;9sv?i8RFGPk7b)+C8pRTpo2N<9Xs z#z#?$8#+tc6*`A|ixWL*Cus5`kFI>DE(w3B`3hHAH|U6`QANlp(n-BbXgEdaBP*y< zU_c*nHE9nutHmJl2*ryQ^k@-H<~(E((bOr*c!TWgX@7*NDTj>GB}AWW24c2ud$oB~ zBydGTZx^Q<mbIN|y4YM@`WqFy8cEA!w5#Jr#qcYlb0*b<OQwsADEHoGFEM7UfsXlo z5r-Vb1q|&$EJc-@PKz^z_x`?;`@%Zn)S19$*T~D3H{vhMV{F&ON-V+FXghrSpg&m% zh<=%O23}Cm37l=;mNYb(s(nX%Tx2<-8RC3UrJ6Q=$1anIj?Z%7D7WV#p2O*1?wfh8 zls~tZT9O98N0YoI?0VX~VVi6xqyv~O>7!C#E1_A~G+uA!R^b$DKCq1e%+38+Ah*pz z*0P-N*7LJU2we+{pd+X6O_?<X-UT-v1#y#1(YLMQF2qt1eEUr;1Uzn^%v8#DiPEW@ zCiS|94^LH=V?g;MRWb?i6W$}F*<Ru;rSMHBvWHo!VfC^m%=cqg3bSp$flX@e*%AsM z?X(6}1N{=9p^w|H<kI1VS=a@6AJjt(I|%)2a@G_mYMcTWU>tV;MxPVVsywsk^_>Kq zCpMb_)9{xZ2%-!U<nua6ekwIw4!+G60we@zc?B^ZVE<lwwUFr2DEKrbaWOLTG$}pW zzTC`ggP6$C(B(9uRL4v@w@6oY_y?`Ppt~ZB4M@zqpa;iw79KoS7b@9`5K%OTZt8(# zt9?uF?WzDAMj~~H(}Lp?rRR={h%PL_&sZj>u9<m(uwj+GX6zw3-qV4*fcr=5B&{r% zCj`QUjr?=9{2j`S18GZ*Exyxv)H(NgS{n!me^v5&jpgpEimn0=ldHawswUdg=3oKP zlvzS7*}xC;4r2O8(g10aJ{@ZwPdIPyoXZ`}E>)$Q)2GHfo<h#)?e5(?0v6d0_sT$F z>(or_17_A*KSCR|yOgv~hZ~cuC8YckTk=K%MRv*E?R&i9qnKdihz9i!{q+&IUMiTT zkpmlwGXTz7QLlE?9RgLSaer|PTD8!@FHzMiH%@Ad67U)i<U}!UtcbmL7xfy{Hq%s{ zx;XMKzcpmbEmU`Lw{-?+1F8>v-MM}SB>ak*JU!#dsR5Ys|7r>*!D&^<VfGrMR!@N1 zrpAl`t>PQE!<+2)vvo}?HCF=Rd9*D_+^|qci0EU|^)!&+)}t<;tc-;Yp6jjfcP|d* zT@b(I`Y<fuwYQz}J>YAPo*rhO`hJ6y&Qxq5jLL!J*$O2dD8+BXAP-%1fSXI@&vF=d z>>}Uyn!2jqG37GM-L-$_=cGk%O<vmB&8(*``Cfi;J#-xKo_uERF}ji}s$$;nlwfIw zOtCN?g4jTRmynjs7=yS>c2Ci%iYt9!j9zo3mdOQL54;oB*z{?P<c`af`v$9_X&xr^ z0|Pypl~pBDjJ8WR3PFNku0Et>wa6+HT@1c-T3tQ@tWl_XM1F&<ZsaoT|NWh(Ap;`| z!9T^wh~c;_%jfIEM>w+#4bc1`K}29-AS&i*1Kbo7MeGloH}Vsz?q(;XjZ0`w>oX|L zo6Tnms)<p7Vh5EJ_l{`EYSP#g`R+<S*l$p4m-dw~J&=P+;C_A?)RK(2_KNrz%XTZH ziP^L!5W@t7r<4aOGEVBbD@zVhCMT!EzV$=Z^1OYhZwEXeocu=)lP%!6G>gOoJsr?= z?gR#$0+k}59K*#~|8A8DP5@}XC^>1`kmQuaJ~BS=Ao_}Nl()by(n-@%!Hx7Bu#KC2 z9?WNvy+p%5-HtGr`Naz-L0W2HOZBy>G^VWL7F^_s(USvgbZX?Euw@(=E4g9DibwHh z;c~3B0M4048S+MGlUS((vSTWpJ4Q7OnBLlh$5=t#b%x5^-fhQZ%t1(Vix%kXJBb8^ z>U`o#1APzNo8M=SR5E)~uuF&vDdBKy+lE&aX<a$zPl^zNfgo-&%cDbgqNn(r=RLdt zd^Z{VYk?J$ep9P8q-a3Bz;;W@Elmv81=LulmRWyG>z6yby9KBO25%@id<{*!vMMlT z+d|`t09roqd<7bMgZ5v%fO>gghPUG#RgJJ3F<sfiv&;&ho8JZDWGCx+gpz~DSOmpP znvPgKqh(vF-f;jOskv}k-Ik%DGR2raS-&pCLF@PGU1r*!U}g=wtHupI-T@54d_Dnt zv>Ht^+o#!4>X(hEum*d)Y%H$O%L<VV*^CquA-;E(jMWnFIjnrcGuhn!*zibr@JdiT zDf)Wsu^|cIZurR3_`QVB_dOs6*I5&wYnjn%V=T+a0>T0+xS+)oN+0DGD*|z->7>;6 zKpaX_C%9m{ZGYzh*@0|Y?hWD%X%*}ew6WwvI?Nw2WS{2LyIKf!7fJS>{EFM7CB>5h zb>&vb&5SZjf$#*Y#$NLwlwL1XFDmyH#S<OF5Xa@B6w2Vs5~Oj(ls%*C2k5g=3=FKI zqu&$XuU6_K+YNgsWibhD{K64@3WYg9pQ@?@Z?0v@o=-b&2t!i>Be19^=S9hqe%V1O z5^T_rS0SggNYB-%&UVOF%C0vh?h}{Bqp152-sqb41Lf?5yZ0=&&xNt-TdRb?KkX87 zV#nSgya>;9MdSO6>&8?`@?sbW1s8*`)aHts#A$tTXL=Y`dZjk`-9m+rpKDift~fUF z*`jLNCDMDJru+36cvNdX6Gn!GysMtu4*4hU8diFa;YRp;^JoJJKMOUAh@zjU<?hdT z2N4lSUOr{NYM3K;W6ZMK4rVko`HAnvY8&*QWg{~gE_1?{nh)J&Kl9wT_>)EX5I{(( zh*aQ5H0grNp#Xu6lEWa(_)8VyOMY4td8A^KI08^h2YP68L)>KWEfEA=o9sfJdn4(b zHU*>J1M)iYLo{9IMw*5j;~NWJX0^<<gTxjc!Jz>dC{_TFWR|;eCSs3yr_Zt<A@SUC zm%73>Qa$Kmat5v?kW>8Bkl6NK`5)cmvR6W7CcUZgT&<VEpK?sqQb9;ti^8<UMF%m! z;>V=BuIM}8+H$qDaj0~>L3boJqsD|9HWi*;PWCeV{x$FigYX7f#I8j``dhisvn~1j zll#&aF8Rwg3imt4L|=VNA8Te5-S3Y`;h73sAc>~ed+?ERxsCIAJXN3)^ElCtDyvt( zCCU``(IQ}JKlqu;9lEBw8qg5KNg0T45MVEk7n5-<AC0%szvCftr7qH}>b%9vHJMRW zEbqSPUiC=`P4vZlIyh}{05isH*0yS(!X$Diw(43ylk$3G6NfQ)U0K)NWu1p|Dz23T zH@PQ6Get!g79}V7cc&PGg4QUMr`FX*`JB5J;0D4t?K$@HZ`hw4l0AI?Je0VQ*GU1F z-BbAa$X_fQm;vq)(p)aZ=?#~A$Xm;We~3j+^<6U&l#e!6OV%n|wh((s@`t!aq^QHv zAsatO#znTb5xM&od4=n!bvsX12%s0*^@smhaoat3^PZlL_;5V1coDbF#Ll!0l$Y!X z@@e{0<n^lCnHJ#KFNiz592M_526Am_&*$&waB{by0oI!lPtGI3Ow1H-W@oJ0HuxR! zt;m1KDkfAVf{VlysNbP5RFy_1hQ9#2tUDYL8<u&Q^$%D6Vxh4LFhf34TfuT_UgDTb z;yX~AYALzI3z7X}5>R8>pb0Z-Ja2RFfg1m0!H0ktjQ68mr?YNkK8Nb}_PuWM4it5c zdClQgq*Jv0xUg?Bg=Nq6<hTw*l%s*&^FvrW^1boMb{qVL>BO;HYPG4*a9+xtku-CP zJcL}OlE?YMZ9$VSC?YuX7S(=l@CDGX->}_@?4}uKS0s@v1$#H~Y{69!(+|`}KbP+X z<;(34uTmlm>6&?3Gkf9hMt-eRQmHsAkJ9y`6;@C(MUUDafaWqe2Cx!eOz!*&&>122 z;GTeKw-8Rf4_^u&V8$IV*>$tZEi?fZdlRkw2|fBSjcfW2Zje@AuD#n|3d_5&k-IYk zQ1;IZluwar-pVG)VI<S!vxlaljed`VB{uR5s={&u{mFxIgx=>XFn^c7e`K(n#!Kz> zRsG{_H`<wcmXTu0c}$?Rb5sIsUgTBJCotX8O&Mdm7CBW3iccF_2P!#E;1&@}pmnz} zc})@`fZO;$-Rw&*40i>E5=k8ds~zdKpp;wzp$;P2xf6W<>V_*npUZZlCrP1-;TEDc zcp&Vy0JQHJ)&@gfvLm%I(&}sgY0;zQeVD)Px#T0oXNg2#d`aU*DkA==9szEW$&clg zPZEK}N+tF8d1(c&=7(`sTB5O_OUf)kAZ<Zn$@&qI+hD~0<IM<hfC`)riv;)b=n=h) zM$u@cCBq-1IQo7}Mc*UZ2$tJL_zdko-J-h7aXO5~qxRP>kDVNq7H*0J=PyeeSFeq) zvw=O=-J|RXl6&Csmu1De1gsb@niX?4<Ae}CRFo1(9n+Bo4P~r<fai6q(|*{_%hC1+ z#m?kYz9ZQ~?J94rVcdC?3sUnlI&@jo_tbS#X*h_u9*vWV*_uC~d*JCeG_<_7JThUB zjq3Je0s}FZ-@e&S_JGLX$*vbK8kBv_BQKOwA(>929UZ@l#eVeX({Rw!2wi2F6amdx zsaKQ+^yaDP@Cdm>CPSYVLgXR(X|~j&m*sC=lsq7JDLq%Jq6`%Tptn%HG>HL)f9ui4 z%&%ichO5OET6zq^MbpV>zryKVJMa0SV`Y)s>m-`J{9s?=lNXar)zm{GgTzFFSX(82 zRn5F&Uh#!unt8oM&ir$gLt6GVw0m}w0tyak)8qP=$?t6<c?CsX!vy|EYGk3$v_s-# z*%p1)fFIf%m=VCAV7{1M!~3#od#5OUu({S|Nk5nMf$+~b%>q8si|C-OfvruUkbOp2 z3~BUAB?G+$%qRp|tBfR~k;H<hb1~o)v==^|rr}$9lj1uw8^?mqTJ#uHY~8_!8j(%K z-HDa{tga>%Q5xmBV7rEhr<x$muauS(Vd2B8v5^)+LPeCW$*}m@934AC1>=ztUG+E} zIQt#J&UslqOyqh>o5y;kR_FL6x!O$dfE(7V1>Itt8klUGY{ofha6xkmyTl3}P{}sN zr{pX5C8q%Tvjxm8pM54Qr*WFNE+<T94;qKJb|A_qm%u3UGbzOm&QJfPlR#P?TS^9^ z!my~xNptKd>2>JX_9g@@c1_RQ6b_PfZxEDs=JzG#*ZG<iXp!t`SA)G{kDm%p0V1EA z`)uWN$B1@!c4&KT34>duz@}wESs4z%#03zht>|8%@t(Nnnh2DW2RU7vM3i3ZX5FJ| z%j;;HidD%;@?27u_@ye6>7fL{;72z=NuI7gy$$nJQ}3L4zRD?UT%p=97Aa{Y9M@Xc z^*93OT$zgk*;KU*o!%8{rN#><{Cp^IFyxmo$7Px#vfE@}Q<jjiKcqp^e{at=E&h}Q z4%=v7VC&bJr2x?39erpc;bn9_Q~wHwQaAszS~QR*lI>f+s-++e07U@;0{Q_2T*smU ze77|n@qIP*T`9k-iK&yNxvf5<zM;FNzL}+&oxY8YzLP$~_hD(PZ)|7lZ0hbz=Wb#g zGA#%-z<?ky-@S`_43a{#B?W^7Q#{m0W%$D;*@%G^vt)Z)&4JwA|H~ILSXfSY>^}J1 z^$Gy2o_SS|CU#D1H9c=TC8wcLi(x#MeL`YNrJ#$f^cWW}{X7Epv07wzFieYn6h;S6 zalt+rqGT|w=sm^u{kG`r-i{F-%Cxv%_?+h@l#_i+?|=J~0*mAE3jFN{7!VNQfA`19 z*~N&?)kQ@%YJ&lx`%N9jYh71qRI<QY+mnL#(nfPaNh30zmJ^X$+Khz!rni=a`g<2t znn8EQ)3$fQC7SP9i?{=a)~b)s>#e2!ALwV|VudtVo=U2)Jf(q3d4}r=*imG2k5rr1 z>o*FR>(XXkmQ0t#LCsa-CM;S8J;}%=sOo$)I+ghudqAoB<5&!_R&~i2Sb<fnKtXcT ztG|+d{_>Am`t~RHglsH0TGrGcW3mAuPOcF4SfO(O#+KnQ1b3MLCUo?5DR}v9{Iv{~ zv19HQ|3HWe7N>)Y#WS$mJ&^>hm275c`g9hDVrhRI>CRUFfiRkvX-!lR5qIKYQXtAx z4PESNvnzls*pifg#Lii`$qIm}lh9ZRJ^K72Qev=(%xU`Z;9<73aAQc5dO*A&wwA2H zwc+Ybf@Yd{8p>S@p4Nbp<eZHj?36_91Ot}3XqnkfNXxdG!~k8$Exjq=op}+y3Qf$G zh)g3zlJ1;_sDiwN{&2ljAlGqO)thWcjE6iST#wzFfy!oW5xB#D)r0D1%s}%~6tk4y zscXOBctgoB?QRa`CQ8@yebmS?{QB(>A+^T{W<!4hUfsTPVUH{|+8vwv!=3Oh(M)U4 zz%~tg#+;PBeQH`AueH`HbyxGuLpD>=`d2pSM|U-sG*jqjo6#V?=p+394jeIeOM<TN z!1??R9FqT&z_Bo~&Qa0-`5ilcPh}jhO+v<hoRh14sWd491jN-Qw&B*4loYj@%AAb+ z-p036M@k$mc0ixr+{n+&m^D^Ah`YVaBzpZiGp3U~Kzdz%$$EiND-YSLr`DYT!?8_J zu2W-*m&`~iKvW(%W{Acp4^n<UJOftXpDMvh&!$(NZM$a*B`}va161U$U`i>pVzj%P zHB?U-zVqTo0r_efJ%fCL=EG7KmYTJJZ7|@tG#2~;hgD3b@2Qc^m*Jz$q8t*GkhBAO zZqni5v-VEBKq=<MXo>GTN^eCkNllt44*pZRfM0BI<8T#ZJTcgWaG|q%=i$UZ^Gdt> zn=_gH>xzf;eT6Goc8<I@V#bB`)OzFoDig#8V*1SKfJ+Px0xGVu;u!8{Mfu>~Wf6M+ zC!mXXS^A|ccvI>SauJcvnl~_I0F3YNkH>&<&)cIq$-^P68z8~qMl)4=VJIAS&ecoM zB7L5~4Cn2-Q8(~AB^3Jfjb(_*YT6WrHONDa5iN--7k-_cIJQpZC5<t1jKs|WiX7Lz zrE+Dxs1VYSb?eK{j<Sck^WpO8O7d>>1)<)RgL|SV$L7V-JQK7JU<GMl5Fnuc83@O> zV-2Rh`(OII{~^Bn|DW=G3Dgqs&zXs_J)OIa^}ic!FwR{&`Tzqdi6H~w{d3=U`A?&p z@4x^5v6Rl##O&WJtxJ#~<?r^f-xdEK(0BO{E6)%3zp*TBjUE3D8?_tje*Sht`a6{W zAH)6uwgk}o|5uo!iQ&IlL7CwMuHS7lzw19w#y{mhh8c(dcb2J>or|NfsnfrS^&ZmG zL_$D7c1FNJ1phbhKR+!2*b)Dg=wxANZ~AW<0qsY?^*2BO=6`(sk9}T~|CQ$U|Lufv zX%Ds$Z(yME?~41sEGzpCj{nl3?zaCn>)$i$KeYdtRW1qmFQ|Xbv;W5adxHBPth>~I z#r|iy`#0|2!`}bk>Sg{9aNmQVf;1TTKdqp@k8j6-YUKbxbU>mka&D&9%Jv?D9zu@n l<}N=K++=?$8F84ha@xw<sS9y3({mVG3b`3Na%#Bo{$H!osHOk_ diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0.xci b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0.xci index a89f85cb..05f28518 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0.xci +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0.xci @@ -145,9 +145,9 @@ <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_DATA_WIDTH">32</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_S_AXI_ID_WIDTH">4</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_TX_CASCADE_HEIGHT">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_TX_FIFO_DEPTH">512</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_TX_FIFO_DEPTH">4096</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_TX_FIFO_PE_THRESHOLD">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_TX_FIFO_PF_THRESHOLD">507</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_TX_FIFO_PF_THRESHOLD">4091</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_RX_CUT_THROUGH">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_RX_DATA">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="MODELPARAM_VALUE.C_USE_TX_CTRL">0</spirit:configurableElementValue> @@ -183,9 +183,9 @@ <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_S_AXI_ID_WIDTH">4</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_S_AXI_PROTOCOL">AXI4LITE</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_TX_CASCADE_HEIGHT">0</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_TX_FIFO_DEPTH">512</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_TX_FIFO_DEPTH">4096</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_TX_FIFO_PE_THRESHOLD">5</spirit:configurableElementValue> - <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_TX_FIFO_PF_THRESHOLD">507</spirit:configurableElementValue> + <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_TX_FIFO_PF_THRESHOLD">4091</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_USE_RX_CUT_THROUGH">false</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_USE_RX_DATA">0</spirit:configurableElementValue> <spirit:configurableElementValue spirit:referenceId="PARAM_VALUE.C_USE_TX_CTRL">0</spirit:configurableElementValue> @@ -269,6 +269,9 @@ <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C_HAS_AXIS_TID" xilinx:valuePermission="bd"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C_HIGHADDR" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C_S_AXI_ID_WIDTH" xilinx:valuePermission="bd"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C_TX_FIFO_DEPTH" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C_TX_FIFO_PE_THRESHOLD" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C_TX_FIFO_PF_THRESHOLD" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C_USE_RX_DATA" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C_USE_TX_CTRL" xilinx:valueSource="user"/> </xilinx:configElementInfos> diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0.xml b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0.xml index 61e5d35a..0608972e 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0.xml +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0.xml @@ -2729,7 +2729,7 @@ If the number of packets received is one, then this register returns the value o </spirit:parameter> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:0b3eebe4</spirit:value> + <spirit:value>9:98214153</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -2743,11 +2743,11 @@ If the number of packets received is one, then this register returns the value o <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Fri Jan 21 02:05:28 UTC 2022</spirit:value> + <spirit:value>Wed May 11 22:45:19 UTC 2022</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:0b3eebe4</spirit:value> + <spirit:value>9:98214153</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -2763,11 +2763,11 @@ If the number of packets received is one, then this register returns the value o <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Fri Jan 21 02:05:28 UTC 2022</spirit:value> + <spirit:value>Wed May 11 22:45:19 UTC 2022</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:0b3eebe4</spirit:value> + <spirit:value>9:98214153</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -2798,7 +2798,7 @@ If the number of packets received is one, then this register returns the value o </spirit:parameter> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:ec828180</spirit:value> + <spirit:value>9:a28c6e28</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -2814,11 +2814,11 @@ If the number of packets received is one, then this register returns the value o <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Fri Jan 21 02:05:28 UTC 2022</spirit:value> + <spirit:value>Wed May 11 22:45:19 UTC 2022</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:ec828180</spirit:value> + <spirit:value>9:a28c6e28</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -2832,11 +2832,11 @@ If the number of packets received is one, then this register returns the value o <spirit:parameters> <spirit:parameter> <spirit:name>GENtimestamp</spirit:name> - <spirit:value>Fri Jan 21 03:00:03 UTC 2022</spirit:value> + <spirit:value>Wed May 11 22:46:03 UTC 2022</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>outputProductCRC</spirit:name> - <spirit:value>9:0b3eebe4</spirit:value> + <spirit:value>9:98214153</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -4989,7 +4989,7 @@ If the number of packets received is one, then this register returns the value o <spirit:modelParameter spirit:dataType="integer"> <spirit:name>C_TX_FIFO_DEPTH</spirit:name> <spirit:displayName>Tx Fifo Depth</spirit:displayName> - <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_TX_FIFO_DEPTH">512</spirit:value> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_TX_FIFO_DEPTH">4096</spirit:value> </spirit:modelParameter> <spirit:modelParameter spirit:dataType="integer"> <spirit:name>C_RX_FIFO_DEPTH</spirit:name> @@ -5009,7 +5009,7 @@ If the number of packets received is one, then this register returns the value o <spirit:modelParameter spirit:dataType="integer"> <spirit:name>C_TX_FIFO_PF_THRESHOLD</spirit:name> <spirit:displayName>Tx PF Threshold</spirit:displayName> - <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_TX_FIFO_PF_THRESHOLD" spirit:minimum="10" spirit:maximum="131067" spirit:rangeType="long">507</spirit:value> + <spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_TX_FIFO_PF_THRESHOLD" spirit:minimum="10" spirit:maximum="131067" spirit:rangeType="long">4091</spirit:value> </spirit:modelParameter> <spirit:modelParameter spirit:dataType="integer"> <spirit:name>C_TX_FIFO_PE_THRESHOLD</spirit:name> @@ -5439,12 +5439,12 @@ If the number of packets received is one, then this register returns the value o <spirit:parameter> <spirit:name>C_TX_FIFO_PE_THRESHOLD</spirit:name> <spirit:displayName>Transmit Fifo Programable Empty Threshold</spirit:displayName> - <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_TX_FIFO_PE_THRESHOLD" spirit:order="1600" spirit:minimum="2" spirit:maximum="502" spirit:rangeType="long">5</spirit:value> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_TX_FIFO_PE_THRESHOLD" spirit:order="1600" spirit:minimum="2" spirit:maximum="4086" spirit:rangeType="long">5</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>C_TX_FIFO_PF_THRESHOLD</spirit:name> <spirit:displayName>Transmit Fifo Programable Full Threshold</spirit:displayName> - <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_TX_FIFO_PF_THRESHOLD" spirit:order="1500" spirit:minimum="10" spirit:maximum="507" spirit:rangeType="long">507</spirit:value> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_TX_FIFO_PF_THRESHOLD" spirit:order="1500" spirit:minimum="10" spirit:maximum="4091" spirit:rangeType="long">4091</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>C_RX_FIFO_DEPTH</spirit:name> @@ -5454,7 +5454,7 @@ If the number of packets received is one, then this register returns the value o <spirit:parameter> <spirit:name>C_TX_FIFO_DEPTH</spirit:name> <spirit:displayName>Transmit Fifo Depth</spirit:displayName> - <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_TX_FIFO_DEPTH" spirit:choiceRef="choice_list_cb97c1b0" spirit:order="1300">512</spirit:value> + <spirit:value spirit:format="long" spirit:resolve="user" spirit:id="PARAM_VALUE.C_TX_FIFO_DEPTH" spirit:choiceRef="choice_list_cb97c1b0" spirit:order="1300">4096</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>C_HIGHADDR</spirit:name> @@ -5643,6 +5643,9 @@ If the number of packets received is one, then this register returns the value o <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C_HAS_AXIS_TID" xilinx:valuePermission="bd"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C_HIGHADDR" xilinx:valueSource="propagated"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C_S_AXI_ID_WIDTH" xilinx:valuePermission="bd"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C_TX_FIFO_DEPTH" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C_TX_FIFO_PE_THRESHOLD" xilinx:valueSource="user"/> + <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C_TX_FIFO_PF_THRESHOLD" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C_USE_RX_DATA" xilinx:valueSource="user"/> <xilinx:configElementInfo xilinx:referenceId="PARAM_VALUE.C_USE_TX_CTRL" xilinx:valueSource="user"/> </xilinx:configElementInfos> diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.v b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.v index 78c34e0b..30d20492 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.v +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.v @@ -1,10 +1,10 @@ // Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2020.1 (win64) Build 2902540 Wed May 27 19:54:49 MDT 2020 -// Date : Thu Jan 20 22:00:03 2022 +// Date : Wed May 11 18:46:03 2022 // Host : DESKTOP-J72MK93 running 64-bit major release (build 9200) // Command : write_verilog -force -mode funcsim -// c:/Users/Aleksa/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.v +// c:/Users/Aleksa/Documents/FPGA_Dev/Artix7_PCIe/dso_top_Rev2/dso_top_Rev2.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.v // Design : design_1_axi_fifo_mm_s_0_0 // Purpose : This verilog netlist is a functional simulation representation of the design and should not be modified // or synthesized. This netlist cannot be used for SDF annotated simulation. @@ -142,9 +142,9 @@ module design_1_axi_fifo_mm_s_0_0 (* C_S_AXI_DATA_WIDTH = "32" *) (* C_S_AXI_ID_WIDTH = "4" *) (* C_TX_CASCADE_HEIGHT = "0" *) - (* C_TX_FIFO_DEPTH = "512" *) + (* C_TX_FIFO_DEPTH = "4096" *) (* C_TX_FIFO_PE_THRESHOLD = "5" *) - (* C_TX_FIFO_PF_THRESHOLD = "507" *) + (* C_TX_FIFO_PF_THRESHOLD = "4091" *) (* C_USE_RX_CUT_THROUGH = "0" *) (* C_USE_RX_DATA = "0" *) (* C_USE_TX_CTRL = "0" *) @@ -244,26 +244,25 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 , \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 , Bus_RNW_reg_reg_0, - \s_axi_wdata[25] , + \s_axi_wdata[27] , + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 , \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 , - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 , - sig_tx_channel_reset_reg, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_3 , + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_1 , + E, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 , - sig_txd_sb_wr_en, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 , D, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1 , - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 , - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_1 , - Bus_RNW_reg_reg_1, - E, + sig_tx_channel_reset_reg, + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_1 , + \s_axi_wdata[31] , cs_ce_clr, start2, s_axi_aclk, s_axi_wdata, - \sig_register_array_reg[0][6] , - sig_txd_sb_wr_en_reg, + axi_str_txd_tvalid, + axi_str_txd_tlast, + IP2Bus_Error1_in, sig_str_rst_reg, \sig_ip2bus_data_reg[10] , Q, @@ -273,34 +272,32 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder \sig_ip2bus_data_reg[6] , \sig_ip2bus_data_reg[4] , \sig_ip2bus_data_reg[3] , - sig_txd_sb_wr_en_reg_0, - IP2Bus_Error1_in, + IP2Bus_Error_reg, sig_Bus2IP_RNW, \GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0 ); output sig_Bus2IP_CS; output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ; output \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 ; output Bus_RNW_reg_reg_0; - output \s_axi_wdata[25] ; + output \s_axi_wdata[27] ; + output \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ; output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ; - output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 ; - output sig_tx_channel_reset_reg; - output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_3 ; + output \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_1 ; + output [0:0]E; output \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 ; - output sig_txd_sb_wr_en; output \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ; output [6:0]D; output \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1 ; - output \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 ; - output \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_1 ; - output [12:0]Bus_RNW_reg_reg_1; - output [0:0]E; + output sig_tx_channel_reset_reg; + output \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_1 ; + output [12:0]\s_axi_wdata[31] ; input cs_ce_clr; input start2; input s_axi_aclk; input [12:0]s_axi_wdata; - input \sig_register_array_reg[0][6] ; - input sig_txd_sb_wr_en_reg; + input axi_str_txd_tvalid; + input axi_str_txd_tlast; + input IP2Bus_Error1_in; input sig_str_rst_reg; input \sig_ip2bus_data_reg[10] ; input [6:0]Q; @@ -310,21 +307,17 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder input \sig_ip2bus_data_reg[6] ; input \sig_ip2bus_data_reg[4] ; input \sig_ip2bus_data_reg[3] ; - input sig_txd_sb_wr_en_reg_0; - input IP2Bus_Error1_in; + input IP2Bus_Error_reg; input sig_Bus2IP_RNW; input [3:0]\GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0 ; wire Bus_RNW_reg_i_1_n_0; wire Bus_RNW_reg_reg_0; - wire [12:0]Bus_RNW_reg_reg_1; wire [6:0]D; wire [0:0]E; wire \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg ; wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ; wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ; - wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 ; - wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_3 ; wire \GEN_BKEND_CE_REGISTERS[11].ce_out_i_reg ; wire \GEN_BKEND_CE_REGISTERS[12].ce_out_i_reg ; wire \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ; @@ -332,10 +325,11 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder wire \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1 ; wire \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg ; wire \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 ; + wire \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_1 ; wire \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg ; - wire \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 ; - wire \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_1 ; wire \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ; + wire \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ; + wire \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_1 ; wire \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 ; wire \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg ; wire \GEN_BKEND_CE_REGISTERS[7].ce_out_i_reg ; @@ -343,7 +337,10 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder wire \GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg ; wire [3:0]\GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0 ; wire IP2Bus_Error1_in; + wire IP2Bus_Error_reg; wire [6:0]Q; + wire axi_str_txd_tlast; + wire axi_str_txd_tvalid; wire ce_expnd_i_0; wire ce_expnd_i_1; wire ce_expnd_i_10; @@ -360,7 +357,8 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder wire cs_ce_clr; wire s_axi_aclk; wire [12:0]s_axi_wdata; - wire \s_axi_wdata[25] ; + wire \s_axi_wdata[27] ; + wire [12:0]\s_axi_wdata[31] ; wire sig_Bus2IP_CS; wire sig_Bus2IP_RNW; wire \sig_ip2bus_data[3]_i_2_n_0 ; @@ -373,18 +371,15 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder wire \sig_ip2bus_data_reg[9] ; wire \sig_register_array[1][0]_i_3_n_0 ; wire \sig_register_array[1][0]_i_4_n_0 ; - wire \sig_register_array_reg[0][6] ; + wire \sig_register_array[1][0]_i_5_n_0 ; + wire \sig_register_array[1][0]_i_6_n_0 ; wire sig_str_rst_i_3_n_0; wire sig_str_rst_reg; wire sig_tx_channel_reset_reg; - wire sig_txd_sb_wr_en; - wire sig_txd_sb_wr_en_reg; - wire sig_txd_sb_wr_en_reg_0; + wire \sig_txd_wr_data[31]_i_4_n_0 ; wire \sig_txd_wr_data[31]_i_5_n_0 ; - wire sig_txd_wr_en_i_3_n_0; wire start2; - (* SOFT_HLUTNM = "soft_lutpair42" *) LUT3 #( .INIT(8'hB8)) Bus_RNW_reg_i_1 @@ -521,14 +516,14 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder .D(start2), .Q(sig_Bus2IP_CS), .R(cs_ce_clr)); - (* SOFT_HLUTNM = "soft_lutpair41" *) - LUT4 #( - .INIT(16'hFBFF)) + LUT5 #( + .INIT(32'hFFFFFBFF)) \sig_ip2bus_data[0]_i_2 - (.I0(\sig_register_array[1][0]_i_3_n_0 ), + (.I0(\sig_register_array[1][0]_i_5_n_0 ), .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(\sig_register_array[1][0]_i_4_n_0 ), + .I2(\sig_register_array[1][0]_i_3_n_0 ), .I3(Bus_RNW_reg_reg_0), + .I4(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), .O(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1 )); LUT4 #( .INIT(16'h4F44)) @@ -539,15 +534,15 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder .I3(Q[0]), .O(D[0])); LUT6 #( - .INIT(64'hFFFFFFFBFFFFFFFF)) - \sig_ip2bus_data[22]_i_2 - (.I0(sig_str_rst_i_3_n_0), - .I1(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg ), - .I2(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I3(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), - .I4(\sig_register_array[1][0]_i_4_n_0 ), - .I5(Bus_RNW_reg_reg_0), - .O(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 )); + .INIT(64'hFFFFFFFFFFEFFFFF)) + \sig_ip2bus_data[19]_i_2 + (.I0(\sig_register_array[1][0]_i_6_n_0 ), + .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), + .I2(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg ), + .I3(\sig_register_array[1][0]_i_3_n_0 ), + .I4(Bus_RNW_reg_reg_0), + .I5(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), + .O(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 )); LUT4 #( .INIT(16'h4F44)) \sig_ip2bus_data[3]_i_1 @@ -556,15 +551,15 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder .I2(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1 ), .I3(Q[6]), .O(D[6])); - (* SOFT_HLUTNM = "soft_lutpair38" *) - LUT5 #( - .INIT(32'hFFEFFFFF)) + LUT6 #( + .INIT(64'hFFFFFFEFFFFFFFFF)) \sig_ip2bus_data[3]_i_2 - (.I0(\sig_register_array[1][0]_i_3_n_0 ), + (.I0(\sig_register_array[1][0]_i_5_n_0 ), .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), .I2(Bus_RNW_reg_reg_0), - .I3(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ), - .I4(\GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg ), + .I3(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), + .I4(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ), + .I5(\GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg ), .O(\sig_ip2bus_data[3]_i_2_n_0 )); LUT4 #( .INIT(16'h4F44)) @@ -606,238 +601,229 @@ module design_1_axi_fifo_mm_s_0_0_address_decoder .I2(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1 ), .I3(Q[1]), .O(D[1])); - LUT4 #( - .INIT(16'hFFEF)) + (* SOFT_HLUTNM = "soft_lutpair18" *) + LUT5 #( + .INIT(32'hFFFFFFEF)) \sig_register_array[0][3]_i_2 (.I0(sig_str_rst_i_3_n_0), .I1(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ), .I2(\GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg ), - .I3(\sig_register_array_reg[0][6] ), - .O(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 )); + .I3(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), + .I4(IP2Bus_Error1_in), + .O(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 )); + (* SOFT_HLUTNM = "soft_lutpair17" *) LUT2 #( .INIT(4'hB)) \sig_register_array[0][4]_i_2 - (.I0(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ), - .I1(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 ), - .O(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_3 )); - LUT6 #( - .INIT(64'hAEAEAEAEAEAEFFAE)) - \sig_register_array[0][6]_i_2 - (.I0(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ), - .I1(s_axi_wdata[6]), - .I2(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 ), - .I3(sig_tx_channel_reset_reg), - .I4(\sig_register_array_reg[0][6] ), - .I5(sig_txd_sb_wr_en_reg), - .O(\s_axi_wdata[25] )); - LUT6 #( - .INIT(64'hF0F0F0F0F0F0F0F4)) - \sig_register_array[1][0]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), + (.I0(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ), + .I1(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ), + .O(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_1 )); + (* SOFT_HLUTNM = "soft_lutpair17" *) + LUT5 #( + .INIT(32'hFFAEAEAE)) + \sig_register_array[0][4]_i_3 + (.I0(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ), + .I1(s_axi_wdata[8]), .I2(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ), + .I3(axi_str_txd_tvalid), + .I4(axi_str_txd_tlast), + .O(\s_axi_wdata[27] )); + LUT6 #( + .INIT(64'hAAAAAAAAAAAAAAAB)) + \sig_register_array[1][0]_i_1 + (.I0(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ), + .I1(IP2Bus_Error1_in), + .I2(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), .I3(\sig_register_array[1][0]_i_3_n_0 ), - .I4(IP2Bus_Error1_in), - .I5(\sig_register_array[1][0]_i_4_n_0 ), + .I4(\sig_register_array[1][0]_i_4_n_0 ), + .I5(\sig_register_array[1][0]_i_5_n_0 ), .O(E)); - (* SOFT_HLUTNM = "soft_lutpair41" *) - LUT3 #( - .INIT(8'h40)) + LUT2 #( + .INIT(4'h2)) \sig_register_array[1][0]_i_2 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[12]), - .O(Bus_RNW_reg_reg_1[12])); - LUT3 #( - .INIT(8'hFE)) - \sig_register_array[1][0]_i_3 - (.I0(sig_str_rst_i_3_n_0), - .I1(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), - .I2(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg ), - .O(\sig_register_array[1][0]_i_3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair38" *) + (.I0(s_axi_wdata[12]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [12])); + (* SOFT_HLUTNM = "soft_lutpair18" *) LUT2 #( .INIT(4'hE)) - \sig_register_array[1][0]_i_4 + \sig_register_array[1][0]_i_3 (.I0(\GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg ), .I1(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ), + .O(\sig_register_array[1][0]_i_3_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair20" *) + LUT2 #( + .INIT(4'hB)) + \sig_register_array[1][0]_i_4 + (.I0(Bus_RNW_reg_reg_0), + .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), .O(\sig_register_array[1][0]_i_4_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair47" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][10]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[2]), - .O(Bus_RNW_reg_reg_1[2])); - (* SOFT_HLUTNM = "soft_lutpair47" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][11]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[1]), - .O(Bus_RNW_reg_reg_1[1])); - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][12]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[0]), - .O(Bus_RNW_reg_reg_1[0])); - (* SOFT_HLUTNM = "soft_lutpair42" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][1]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[11]), - .O(Bus_RNW_reg_reg_1[11])); - (* SOFT_HLUTNM = "soft_lutpair43" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][2]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[10]), - .O(Bus_RNW_reg_reg_1[10])); - (* SOFT_HLUTNM = "soft_lutpair43" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][3]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[9]), - .O(Bus_RNW_reg_reg_1[9])); - (* SOFT_HLUTNM = "soft_lutpair44" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][4]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[8]), - .O(Bus_RNW_reg_reg_1[8])); - (* SOFT_HLUTNM = "soft_lutpair44" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][5]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[7]), - .O(Bus_RNW_reg_reg_1[7])); - (* SOFT_HLUTNM = "soft_lutpair45" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][6]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[6]), - .O(Bus_RNW_reg_reg_1[6])); - (* SOFT_HLUTNM = "soft_lutpair45" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][7]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[5]), - .O(Bus_RNW_reg_reg_1[5])); - (* SOFT_HLUTNM = "soft_lutpair46" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][8]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[4]), - .O(Bus_RNW_reg_reg_1[4])); - (* SOFT_HLUTNM = "soft_lutpair46" *) - LUT3 #( - .INIT(8'h40)) - \sig_register_array[1][9]_i_1 - (.I0(Bus_RNW_reg_reg_0), - .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I2(s_axi_wdata[3]), - .O(Bus_RNW_reg_reg_1[3])); - LUT6 #( - .INIT(64'h0000000000000400)) - sig_str_rst_i_1 - (.I0(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ), - .I1(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ), - .I2(\GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg ), - .I3(sig_str_rst_reg), - .I4(sig_str_rst_i_3_n_0), - .I5(IP2Bus_Error1_in), - .O(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 )); - (* SOFT_HLUTNM = "soft_lutpair39" *) + (* SOFT_HLUTNM = "soft_lutpair21" *) + LUT2 #( + .INIT(4'hE)) + \sig_register_array[1][0]_i_5 + (.I0(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg ), + .I1(\sig_register_array[1][0]_i_6_n_0 ), + .O(\sig_register_array[1][0]_i_5_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair19" *) LUT3 #( .INIT(8'hFE)) - sig_str_rst_i_3 + \sig_register_array[1][0]_i_6 (.I0(\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 ), - .I1(\sig_txd_wr_data[31]_i_5_n_0 ), + .I1(\sig_txd_wr_data[31]_i_4_n_0 ), .I2(\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg ), - .O(sig_str_rst_i_3_n_0)); - LUT6 #( - .INIT(64'h0000000000000400)) - sig_tx_channel_reset_i_2 - (.I0(\sig_register_array[1][0]_i_4_n_0 ), - .I1(\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg ), - .I2(\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 ), - .I3(sig_str_rst_reg), - .I4(\sig_register_array_reg[0][6] ), - .I5(\sig_txd_wr_data[31]_i_5_n_0 ), - .O(\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 )); - LUT3 #( - .INIT(8'h08)) - sig_txd_sb_wr_en_i_1 - (.I0(sig_tx_channel_reset_reg), - .I1(sig_txd_sb_wr_en_reg), - .I2(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ), - .O(sig_txd_sb_wr_en)); - (* SOFT_HLUTNM = "soft_lutpair39" *) - LUT5 #( - .INIT(32'h00000010)) - \sig_txd_wr_data[31]_i_2 - (.I0(sig_txd_sb_wr_en_reg_0), + .O(\sig_register_array[1][0]_i_6_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair23" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][10]_i_1 + (.I0(s_axi_wdata[2]), .I1(\sig_register_array[1][0]_i_4_n_0 ), - .I2(\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 ), - .I3(\sig_txd_wr_data[31]_i_5_n_0 ), - .I4(\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg ), - .O(sig_tx_channel_reset_reg)); - (* SOFT_HLUTNM = "soft_lutpair40" *) + .O(\s_axi_wdata[31] [2])); + (* SOFT_HLUTNM = "soft_lutpair22" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][11]_i_1 + (.I0(s_axi_wdata[1]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [1])); + (* SOFT_HLUTNM = "soft_lutpair22" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][12]_i_1 + (.I0(s_axi_wdata[0]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [0])); + (* SOFT_HLUTNM = "soft_lutpair27" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][1]_i_1 + (.I0(s_axi_wdata[11]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [11])); + (* SOFT_HLUTNM = "soft_lutpair27" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][2]_i_1 + (.I0(s_axi_wdata[10]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [10])); + (* SOFT_HLUTNM = "soft_lutpair26" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][3]_i_1 + (.I0(s_axi_wdata[9]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [9])); + (* SOFT_HLUTNM = "soft_lutpair26" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][4]_i_1 + (.I0(s_axi_wdata[8]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [8])); + (* SOFT_HLUTNM = "soft_lutpair25" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][5]_i_1 + (.I0(s_axi_wdata[7]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [7])); + (* SOFT_HLUTNM = "soft_lutpair25" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][6]_i_1 + (.I0(s_axi_wdata[6]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [6])); + (* SOFT_HLUTNM = "soft_lutpair24" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][7]_i_1 + (.I0(s_axi_wdata[5]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [5])); + (* SOFT_HLUTNM = "soft_lutpair24" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][8]_i_1 + (.I0(s_axi_wdata[4]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [4])); + (* SOFT_HLUTNM = "soft_lutpair23" *) + LUT2 #( + .INIT(4'h2)) + \sig_register_array[1][9]_i_1 + (.I0(s_axi_wdata[3]), + .I1(\sig_register_array[1][0]_i_4_n_0 ), + .O(\s_axi_wdata[31] [3])); + LUT6 #( + .INIT(64'h0000000000000100)) + sig_str_rst_i_1 + (.I0(sig_str_rst_reg), + .I1(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), + .I2(\GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg ), + .I3(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ), + .I4(sig_str_rst_i_3_n_0), + .I5(IP2Bus_Error1_in), + .O(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 )); + (* SOFT_HLUTNM = "soft_lutpair21" *) LUT4 #( .INIT(16'hFFFE)) - \sig_txd_wr_data[31]_i_4 - (.I0(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), + sig_str_rst_i_3 + (.I0(\sig_register_array[1][0]_i_6_n_0 ), .I1(Bus_RNW_reg_reg_0), - .I2(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), + .I2(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), .I3(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg ), - .O(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 )); + .O(sig_str_rst_i_3_n_0)); + LUT6 #( + .INIT(64'h0000000000000010)) + sig_tx_channel_reset_i_2 + (.I0(sig_str_rst_reg), + .I1(IP2Bus_Error1_in), + .I2(\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg ), + .I3(\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 ), + .I4(\sig_txd_wr_data[31]_i_5_n_0 ), + .I5(\sig_txd_wr_data[31]_i_4_n_0 ), + .O(\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 )); + (* SOFT_HLUTNM = "soft_lutpair19" *) + LUT5 #( + .INIT(32'h00000100)) + \sig_txd_wr_data[31]_i_3 + (.I0(\sig_txd_wr_data[31]_i_4_n_0 ), + .I1(\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg ), + .I2(IP2Bus_Error_reg), + .I3(\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 ), + .I4(\sig_txd_wr_data[31]_i_5_n_0 ), + .O(\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_1 )); LUT6 #( .INIT(64'hFFFFFFFFFFFFFFFE)) - \sig_txd_wr_data[31]_i_5 + \sig_txd_wr_data[31]_i_4 (.I0(\GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg ), .I1(\GEN_BKEND_CE_REGISTERS[11].ce_out_i_reg ), .I2(\GEN_BKEND_CE_REGISTERS[7].ce_out_i_reg ), .I3(\GEN_BKEND_CE_REGISTERS[8].ce_out_i_reg ), .I4(\GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg ), .I5(\GEN_BKEND_CE_REGISTERS[12].ce_out_i_reg ), - .O(\sig_txd_wr_data[31]_i_5_n_0 )); + .O(\sig_txd_wr_data[31]_i_4_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair20" *) LUT5 #( .INIT(32'hFFFFFFFE)) + \sig_txd_wr_data[31]_i_5 + (.I0(Bus_RNW_reg_reg_0), + .I1(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), + .I2(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg ), + .I3(\sig_register_array[1][0]_i_3_n_0 ), + .I4(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), + .O(\sig_txd_wr_data[31]_i_5_n_0 )); + LUT4 #( + .INIT(16'hFFEF)) sig_txd_wr_en_i_2 (.I0(sig_str_rst_i_3_n_0), - .I1(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg ), - .I2(sig_txd_wr_en_i_3_n_0), - .I3(sig_txd_sb_wr_en_reg_0), - .I4(\sig_register_array[1][0]_i_4_n_0 ), - .O(\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_1 )); - (* SOFT_HLUTNM = "soft_lutpair40" *) - LUT3 #( - .INIT(8'hEF)) - sig_txd_wr_en_i_3 - (.I0(\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg ), - .I1(Bus_RNW_reg_reg_0), + .I1(IP2Bus_Error_reg), .I2(\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg ), - .O(sig_txd_wr_en_i_3_n_0)); + .I3(\sig_register_array[1][0]_i_3_n_0 ), + .O(sig_tx_channel_reset_reg)); endmodule (* C_AXI4_BASEADDR = "-2147479552" *) (* C_AXI4_HIGHADDR = "-2147471361" *) (* C_AXIS_TDEST_WIDTH = "4" *) @@ -847,8 +833,8 @@ endmodule (* C_HAS_AXIS_TUSER = "0" *) (* C_HIGHADDR = "1073938431" *) (* C_RX_CASCADE_HEIGHT = "0" *) (* C_RX_FIFO_DEPTH = "512" *) (* C_RX_FIFO_PE_THRESHOLD = "5" *) (* C_RX_FIFO_PF_THRESHOLD = "507" *) (* C_S_AXI4_DATA_WIDTH = "32" *) (* C_S_AXI_ADDR_WIDTH = "32" *) (* C_S_AXI_DATA_WIDTH = "32" *) -(* C_S_AXI_ID_WIDTH = "4" *) (* C_TX_CASCADE_HEIGHT = "0" *) (* C_TX_FIFO_DEPTH = "512" *) -(* C_TX_FIFO_PE_THRESHOLD = "5" *) (* C_TX_FIFO_PF_THRESHOLD = "507" *) (* C_USE_RX_CUT_THROUGH = "0" *) +(* C_S_AXI_ID_WIDTH = "4" *) (* C_TX_CASCADE_HEIGHT = "0" *) (* C_TX_FIFO_DEPTH = "4096" *) +(* C_TX_FIFO_PE_THRESHOLD = "5" *) (* C_TX_FIFO_PF_THRESHOLD = "4091" *) (* C_USE_RX_CUT_THROUGH = "0" *) (* C_USE_RX_DATA = "0" *) (* C_USE_TX_CTRL = "0" *) (* C_USE_TX_CUT_THROUGH = "0" *) (* C_USE_TX_DATA = "1" *) (* ORIG_REF_NAME = "axi_fifo_mm_s" *) module design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s @@ -1026,9 +1012,9 @@ module design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s wire \<const0> ; wire \<const1> ; wire COMP_IPIC2AXI_S_n_39; - wire COMP_IPIC2AXI_S_n_41; - wire COMP_IPIC2AXI_S_n_44; + wire COMP_IPIC2AXI_S_n_43; wire COMP_IPIC2AXI_S_n_45; + wire COMP_IPIC2AXI_S_n_46; wire COMP_IPIC2AXI_S_n_47; wire COMP_IPIC2AXI_S_n_48; wire COMP_IPIC2AXI_S_n_49; @@ -1041,19 +1027,16 @@ module design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s wire COMP_IPIC2AXI_S_n_56; wire COMP_IPIC2AXI_S_n_57; wire COMP_IPIC2AXI_S_n_58; - wire COMP_IPIC2AXI_S_n_59; - wire COMP_IPIC2AXI_S_n_60; wire COMP_IPIF_n_10; wire COMP_IPIF_n_11; wire COMP_IPIF_n_12; wire COMP_IPIF_n_13; wire COMP_IPIF_n_14; - wire COMP_IPIF_n_16; + wire COMP_IPIF_n_15; + wire COMP_IPIF_n_23; wire COMP_IPIF_n_24; wire COMP_IPIF_n_25; - wire COMP_IPIF_n_26; - wire COMP_IPIF_n_27; - wire COMP_IPIF_n_41; + wire COMP_IPIF_n_39; wire COMP_IPIF_n_8; wire COMP_IPIF_n_9; wire IP2Bus_Error1_in; @@ -1091,7 +1074,6 @@ module design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s wire [0:30]sig_ip2bus_data; wire [3:10]sig_ip2bus_data_1; wire [0:12]\sig_register_array[1]_0 ; - wire sig_txd_sb_wr_en; assign axi_str_rxd_tready = \<const0> ; assign axi_str_txc_tdata[31] = \<const0> ; @@ -1228,10 +1210,7 @@ module design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s assign s_axi_rdata[15] = \<const0> ; assign s_axi_rdata[14] = \<const0> ; assign s_axi_rdata[13] = \<const0> ; - assign s_axi_rdata[12] = \<const0> ; - assign s_axi_rdata[11] = \<const0> ; - assign s_axi_rdata[10] = \<const0> ; - assign s_axi_rdata[9:1] = \^s_axi_rdata [9:1]; + assign s_axi_rdata[12:1] = \^s_axi_rdata [12:1]; assign s_axi_rdata[0] = \<const0> ; assign s_axi_rresp[1] = \^s_axi_rresp [1]; assign s_axi_rresp[0] = \<const0> ; @@ -1239,17 +1218,16 @@ module design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s design_1_axi_fifo_mm_s_0_0_ipic2axi_s COMP_IPIC2AXI_S (.Bus_RNW_reg(\I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg ), .D({sig_ip2bus_data_1[3],sig_ip2bus_data_1[4],sig_ip2bus_data_1[6],sig_ip2bus_data_1[7],sig_ip2bus_data_1[8],sig_ip2bus_data_1[9],sig_ip2bus_data_1[10]}), - .E(COMP_IPIF_n_41), + .E(COMP_IPIF_n_12), .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg (\I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ), .\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg (\I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg ), .IP2Bus_Error1_in(IP2Bus_Error1_in), - .IP2Bus_Error_reg_0(COMP_IPIF_n_26), + .IP2Bus_Error_reg_0(COMP_IPIF_n_24), .IP2Bus_RdAck_reg_0(s_axi_arready), .IP2Bus_RdAck_reg_1(COMP_IPIF_n_13), .IP2Bus_WrAck_reg_0(s_axi_awready), - .IP2Bus_WrAck_reg_1(COMP_IPIF_n_27), - .IPIC_STATE_reg_0(COMP_IPIC2AXI_S_n_41), - .Q({COMP_IPIC2AXI_S_n_47,COMP_IPIC2AXI_S_n_48,COMP_IPIC2AXI_S_n_49,COMP_IPIC2AXI_S_n_50,COMP_IPIC2AXI_S_n_51,COMP_IPIC2AXI_S_n_52,COMP_IPIC2AXI_S_n_53}), + .IP2Bus_WrAck_reg_1(COMP_IPIF_n_39), + .Q({COMP_IPIC2AXI_S_n_45,COMP_IPIC2AXI_S_n_46,COMP_IPIC2AXI_S_n_47,COMP_IPIC2AXI_S_n_48,COMP_IPIC2AXI_S_n_49,COMP_IPIC2AXI_S_n_50,COMP_IPIC2AXI_S_n_51}), .axi_str_txd_tdata(axi_str_txd_tdata), .axi_str_txd_tlast(axi_str_txd_tlast), .axi_str_txd_tready(axi_str_txd_tready), @@ -1261,52 +1239,50 @@ module design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s .s_axi_aclk(s_axi_aclk), .s_axi_aresetn(s_axi_aresetn), .s_axi_wdata(s_axi_wdata), - .s_axi_wdata_0_sp_1(COMP_IPIC2AXI_S_n_45), - .s_axi_wdata_7_sp_1(COMP_IPIC2AXI_S_n_44), + .s_axi_wdata_7_sp_1(COMP_IPIC2AXI_S_n_43), .sig_Bus2IP_CS(sig_Bus2IP_CS), .sig_Bus2IP_Reset(sig_Bus2IP_Reset), - .\sig_ip2bus_data_reg[0]_0 ({sig_ip2bus_data[0],sig_ip2bus_data[1],sig_ip2bus_data[2],sig_ip2bus_data[3],sig_ip2bus_data[4],sig_ip2bus_data[5],sig_ip2bus_data[6],sig_ip2bus_data[7],sig_ip2bus_data[8],sig_ip2bus_data[9],sig_ip2bus_data[10],sig_ip2bus_data[11],sig_ip2bus_data[12],sig_ip2bus_data[22],sig_ip2bus_data[23],sig_ip2bus_data[24],sig_ip2bus_data[25],sig_ip2bus_data[26],sig_ip2bus_data[27],sig_ip2bus_data[28],sig_ip2bus_data[29],sig_ip2bus_data[30]}), - .\sig_ip2bus_data_reg[12]_0 (COMP_IPIF_n_24), - .\sig_ip2bus_data_reg[22]_0 (COMP_IPIF_n_25), - .\sig_register_array_reg[0][10]_0 (COMP_IPIC2AXI_S_n_57), - .\sig_register_array_reg[0][3]_0 (COMP_IPIC2AXI_S_n_55), + .\sig_ip2bus_data_reg[0]_0 ({sig_ip2bus_data[0],sig_ip2bus_data[1],sig_ip2bus_data[2],sig_ip2bus_data[3],sig_ip2bus_data[4],sig_ip2bus_data[5],sig_ip2bus_data[6],sig_ip2bus_data[7],sig_ip2bus_data[8],sig_ip2bus_data[9],sig_ip2bus_data[10],sig_ip2bus_data[11],sig_ip2bus_data[12],sig_ip2bus_data[19],sig_ip2bus_data[20],sig_ip2bus_data[21],sig_ip2bus_data[22],sig_ip2bus_data[23],sig_ip2bus_data[24],sig_ip2bus_data[25],sig_ip2bus_data[26],sig_ip2bus_data[27],sig_ip2bus_data[28],sig_ip2bus_data[29],sig_ip2bus_data[30]}), + .\sig_ip2bus_data_reg[12]_0 (COMP_IPIF_n_23), + .\sig_ip2bus_data_reg[19]_0 (COMP_IPIF_n_15), + .\sig_register_array_reg[0][10]_0 (COMP_IPIC2AXI_S_n_52), + .\sig_register_array_reg[0][3]_0 (COMP_IPIC2AXI_S_n_53), .\sig_register_array_reg[0][3]_1 (COMP_IPIF_n_10), - .\sig_register_array_reg[0][4]_0 (COMP_IPIC2AXI_S_n_54), - .\sig_register_array_reg[0][4]_1 (COMP_IPIF_n_12), - .\sig_register_array_reg[0][6]_0 (COMP_IPIC2AXI_S_n_56), - .\sig_register_array_reg[0][6]_1 (COMP_IPIF_n_8), - .\sig_register_array_reg[0][7]_0 (COMP_IPIC2AXI_S_n_58), - .\sig_register_array_reg[0][8]_0 (COMP_IPIC2AXI_S_n_60), - .\sig_register_array_reg[0][9]_0 (COMP_IPIC2AXI_S_n_59), + .\sig_register_array_reg[0][4]_0 (COMP_IPIC2AXI_S_n_55), + .\sig_register_array_reg[0][4]_1 (COMP_IPIF_n_11), + .\sig_register_array_reg[0][4]_2 (COMP_IPIF_n_8), + .\sig_register_array_reg[0][6]_0 (COMP_IPIC2AXI_S_n_57), + .\sig_register_array_reg[0][7]_0 (COMP_IPIC2AXI_S_n_54), + .\sig_register_array_reg[0][8]_0 (COMP_IPIC2AXI_S_n_56), + .\sig_register_array_reg[0][9]_0 (COMP_IPIC2AXI_S_n_58), .\sig_register_array_reg[1][0]_0 ({\sig_register_array[1]_0 [0],\sig_register_array[1]_0 [1],\sig_register_array[1]_0 [2],\sig_register_array[1]_0 [3],\sig_register_array[1]_0 [4],\sig_register_array[1]_0 [5],\sig_register_array[1]_0 [6],\sig_register_array[1]_0 [7],\sig_register_array[1]_0 [8],\sig_register_array[1]_0 [9],\sig_register_array[1]_0 [10],\sig_register_array[1]_0 [11],\sig_register_array[1]_0 [12]}), .sig_str_rst_reg_0(mm2s_prmry_reset_out_n), .sig_str_rst_reg_1(COMP_IPIF_n_9), .sig_tx_channel_reset_reg_0(COMP_IPIC2AXI_S_n_39), .sig_tx_channel_reset_reg_1(COMP_IPIF_n_14), - .sig_txd_sb_wr_en(sig_txd_sb_wr_en), - .\sig_txd_wr_data_reg[0]_0 (COMP_IPIF_n_16), - .\sig_txd_wr_data_reg[0]_1 (COMP_IPIF_n_11)); + .sig_txd_sb_wr_en_reg_0(COMP_IPIF_n_25)); design_1_axi_fifo_mm_s_0_0_axi_lite_ipif COMP_IPIF (.Bus_RNW_reg(\I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg ), - .Bus_RNW_reg_reg({\sig_register_array[1]_0 [0],\sig_register_array[1]_0 [1],\sig_register_array[1]_0 [2],\sig_register_array[1]_0 [3],\sig_register_array[1]_0 [4],\sig_register_array[1]_0 [5],\sig_register_array[1]_0 [6],\sig_register_array[1]_0 [7],\sig_register_array[1]_0 [8],\sig_register_array[1]_0 [9],\sig_register_array[1]_0 [10],\sig_register_array[1]_0 [11],\sig_register_array[1]_0 [12]}), .D({sig_ip2bus_data_1[3],sig_ip2bus_data_1[4],sig_ip2bus_data_1[6],sig_ip2bus_data_1[7],sig_ip2bus_data_1[8],sig_ip2bus_data_1[9],sig_ip2bus_data_1[10]}), - .E(COMP_IPIF_n_41), + .E(COMP_IPIF_n_12), .\FSM_onehot_state_reg[2] (s_axi_awready), .\FSM_onehot_state_reg[3] (s_axi_arready), .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg (\I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ), - .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] (COMP_IPIF_n_9), - .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 (COMP_IPIF_n_10), - .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 (COMP_IPIF_n_12), - .\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] (COMP_IPIF_n_16), - .\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 (COMP_IPIF_n_24), + .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] (COMP_IPIF_n_10), + .\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] (COMP_IPIF_n_15), + .\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 (COMP_IPIF_n_23), .\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] (COMP_IPIF_n_14), - .\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] (COMP_IPIF_n_25), - .\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 (COMP_IPIF_n_26), + .\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 (COMP_IPIF_n_25), + .\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] (COMP_IPIF_n_9), + .\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 (COMP_IPIF_n_11), .\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg (\I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg ), .IP2Bus_Error1_in(IP2Bus_Error1_in), - .Q({COMP_IPIC2AXI_S_n_47,COMP_IPIC2AXI_S_n_48,COMP_IPIC2AXI_S_n_49,COMP_IPIC2AXI_S_n_50,COMP_IPIC2AXI_S_n_51,COMP_IPIC2AXI_S_n_52,COMP_IPIC2AXI_S_n_53}), + .IP2Bus_Error_reg(COMP_IPIC2AXI_S_n_39), + .Q({COMP_IPIC2AXI_S_n_45,COMP_IPIC2AXI_S_n_46,COMP_IPIC2AXI_S_n_47,COMP_IPIC2AXI_S_n_48,COMP_IPIC2AXI_S_n_49,COMP_IPIC2AXI_S_n_50,COMP_IPIC2AXI_S_n_51}), + .axi_str_txd_tlast(axi_str_txd_tlast), + .axi_str_txd_tvalid(axi_str_txd_tvalid), .bus2ip_rnw_i_reg(COMP_IPIF_n_13), - .bus2ip_rnw_i_reg_0(COMP_IPIF_n_27), + .bus2ip_rnw_i_reg_0(COMP_IPIF_n_39), .cs_ce_clr(\I_SLAVE_ATTACHMENT/I_DECODER/cs_ce_clr ), .p_1_in(p_1_in), .s_axi_aclk(s_axi_aclk), @@ -1317,29 +1293,26 @@ module design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s .s_axi_bready(s_axi_bready), .s_axi_bresp(\^s_axi_bresp ), .s_axi_bvalid(s_axi_bvalid), - .s_axi_rdata({\^s_axi_rdata [31:19],\^s_axi_rdata [9:1]}), - .\s_axi_rdata_i_reg[31] ({sig_ip2bus_data[0],sig_ip2bus_data[1],sig_ip2bus_data[2],sig_ip2bus_data[3],sig_ip2bus_data[4],sig_ip2bus_data[5],sig_ip2bus_data[6],sig_ip2bus_data[7],sig_ip2bus_data[8],sig_ip2bus_data[9],sig_ip2bus_data[10],sig_ip2bus_data[11],sig_ip2bus_data[12],sig_ip2bus_data[22],sig_ip2bus_data[23],sig_ip2bus_data[24],sig_ip2bus_data[25],sig_ip2bus_data[26],sig_ip2bus_data[27],sig_ip2bus_data[28],sig_ip2bus_data[29],sig_ip2bus_data[30]}), + .s_axi_rdata({\^s_axi_rdata [31:19],\^s_axi_rdata [12:1]}), + .\s_axi_rdata_i_reg[31] ({sig_ip2bus_data[0],sig_ip2bus_data[1],sig_ip2bus_data[2],sig_ip2bus_data[3],sig_ip2bus_data[4],sig_ip2bus_data[5],sig_ip2bus_data[6],sig_ip2bus_data[7],sig_ip2bus_data[8],sig_ip2bus_data[9],sig_ip2bus_data[10],sig_ip2bus_data[11],sig_ip2bus_data[12],sig_ip2bus_data[19],sig_ip2bus_data[20],sig_ip2bus_data[21],sig_ip2bus_data[22],sig_ip2bus_data[23],sig_ip2bus_data[24],sig_ip2bus_data[25],sig_ip2bus_data[26],sig_ip2bus_data[27],sig_ip2bus_data[28],sig_ip2bus_data[29],sig_ip2bus_data[30]}), .s_axi_rready(s_axi_rready), .s_axi_rresp(\^s_axi_rresp ), .s_axi_rvalid(s_axi_rvalid), .s_axi_wdata(s_axi_wdata[31:19]), - .\s_axi_wdata[25] (COMP_IPIF_n_8), + .\s_axi_wdata[27] (COMP_IPIF_n_8), + .\s_axi_wdata[31] ({\sig_register_array[1]_0 [0],\sig_register_array[1]_0 [1],\sig_register_array[1]_0 [2],\sig_register_array[1]_0 [3],\sig_register_array[1]_0 [4],\sig_register_array[1]_0 [5],\sig_register_array[1]_0 [6],\sig_register_array[1]_0 [7],\sig_register_array[1]_0 [8],\sig_register_array[1]_0 [9],\sig_register_array[1]_0 [10],\sig_register_array[1]_0 [11],\sig_register_array[1]_0 [12]}), .s_axi_wvalid(s_axi_wvalid), .sig_Bus2IP_CS(sig_Bus2IP_CS), .sig_Bus2IP_Reset(sig_Bus2IP_Reset), - .\sig_ip2bus_data_reg[10] (COMP_IPIC2AXI_S_n_57), - .\sig_ip2bus_data_reg[3] (COMP_IPIC2AXI_S_n_55), - .\sig_ip2bus_data_reg[4] (COMP_IPIC2AXI_S_n_54), - .\sig_ip2bus_data_reg[6] (COMP_IPIC2AXI_S_n_56), - .\sig_ip2bus_data_reg[7] (COMP_IPIC2AXI_S_n_58), - .\sig_ip2bus_data_reg[8] (COMP_IPIC2AXI_S_n_60), - .\sig_ip2bus_data_reg[9] (COMP_IPIC2AXI_S_n_59), - .\sig_register_array_reg[0][6] (COMP_IPIC2AXI_S_n_41), - .sig_str_rst_reg(COMP_IPIC2AXI_S_n_44), - .sig_tx_channel_reset_reg(COMP_IPIF_n_11), - .sig_txd_sb_wr_en(sig_txd_sb_wr_en), - .sig_txd_sb_wr_en_reg(COMP_IPIC2AXI_S_n_45), - .sig_txd_sb_wr_en_reg_0(COMP_IPIC2AXI_S_n_39)); + .\sig_ip2bus_data_reg[10] (COMP_IPIC2AXI_S_n_52), + .\sig_ip2bus_data_reg[3] (COMP_IPIC2AXI_S_n_53), + .\sig_ip2bus_data_reg[4] (COMP_IPIC2AXI_S_n_55), + .\sig_ip2bus_data_reg[6] (COMP_IPIC2AXI_S_n_57), + .\sig_ip2bus_data_reg[7] (COMP_IPIC2AXI_S_n_54), + .\sig_ip2bus_data_reg[8] (COMP_IPIC2AXI_S_n_56), + .\sig_ip2bus_data_reg[9] (COMP_IPIC2AXI_S_n_58), + .sig_str_rst_reg(COMP_IPIC2AXI_S_n_43), + .sig_tx_channel_reset_reg(COMP_IPIF_n_24)); GND GND (.G(\<const0> )); VCC VCC @@ -1356,22 +1329,20 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif s_axi_rvalid, s_axi_bvalid, s_axi_bresp, - \s_axi_wdata[25] , + \s_axi_wdata[27] , + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] , \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] , - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 , - sig_tx_channel_reset_reg, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 , + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 , + E, bus2ip_rnw_i_reg, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] , - sig_txd_sb_wr_en, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] , D, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 , - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] , - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 , + sig_tx_channel_reset_reg, + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 , + \s_axi_wdata[31] , bus2ip_rnw_i_reg_0, - Bus_RNW_reg_reg, - E, s_axi_rdata, sig_Bus2IP_Reset, s_axi_aclk, @@ -1383,8 +1354,8 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif s_axi_wvalid, s_axi_awvalid, s_axi_wdata, - \sig_register_array_reg[0][6] , - sig_txd_sb_wr_en_reg, + axi_str_txd_tvalid, + axi_str_txd_tlast, IP2Bus_Error1_in, sig_str_rst_reg, \sig_ip2bus_data_reg[10] , @@ -1395,7 +1366,7 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif \sig_ip2bus_data_reg[6] , \sig_ip2bus_data_reg[4] , \sig_ip2bus_data_reg[3] , - sig_txd_sb_wr_en_reg_0, + IP2Bus_Error_reg, s_axi_rready, s_axi_bready, s_axi_araddr, @@ -1409,23 +1380,21 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif output s_axi_rvalid; output s_axi_bvalid; output [0:0]s_axi_bresp; - output \s_axi_wdata[25] ; + output \s_axi_wdata[27] ; + output \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] ; output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] ; - output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ; - output sig_tx_channel_reset_reg; - output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ; + output \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ; + output [0:0]E; output bus2ip_rnw_i_reg; output \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] ; - output sig_txd_sb_wr_en; output \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] ; output [6:0]D; output \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ; - output \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] ; - output \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 ; + output sig_tx_channel_reset_reg; + output \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 ; + output [12:0]\s_axi_wdata[31] ; output bus2ip_rnw_i_reg_0; - output [12:0]Bus_RNW_reg_reg; - output [0:0]E; - output [21:0]s_axi_rdata; + output [24:0]s_axi_rdata; input sig_Bus2IP_Reset; input s_axi_aclk; input cs_ce_clr; @@ -1436,8 +1405,8 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif input s_axi_wvalid; input s_axi_awvalid; input [12:0]s_axi_wdata; - input \sig_register_array_reg[0][6] ; - input sig_txd_sb_wr_en_reg; + input axi_str_txd_tvalid; + input axi_str_txd_tlast; input IP2Bus_Error1_in; input sig_str_rst_reg; input \sig_ip2bus_data_reg[10] ; @@ -1448,31 +1417,32 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif input \sig_ip2bus_data_reg[6] ; input \sig_ip2bus_data_reg[4] ; input \sig_ip2bus_data_reg[3] ; - input sig_txd_sb_wr_en_reg_0; + input IP2Bus_Error_reg; input s_axi_rready; input s_axi_bready; input [3:0]s_axi_araddr; input [3:0]s_axi_awaddr; - input [21:0]\s_axi_rdata_i_reg[31] ; + input [24:0]\s_axi_rdata_i_reg[31] ; wire Bus_RNW_reg; - wire [12:0]Bus_RNW_reg_reg; wire [6:0]D; wire [0:0]E; wire \FSM_onehot_state_reg[2] ; wire \FSM_onehot_state_reg[3] ; wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ; wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] ; - wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ; - wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ; wire \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] ; wire \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ; wire \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] ; - wire \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] ; - wire \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 ; + wire \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 ; + wire \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] ; + wire \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ; wire \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg ; wire IP2Bus_Error1_in; + wire IP2Bus_Error_reg; wire [6:0]Q; + wire axi_str_txd_tlast; + wire axi_str_txd_tvalid; wire bus2ip_rnw_i_reg; wire bus2ip_rnw_i_reg_0; wire cs_ce_clr; @@ -1485,13 +1455,14 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif wire s_axi_bready; wire [0:0]s_axi_bresp; wire s_axi_bvalid; - wire [21:0]s_axi_rdata; - wire [21:0]\s_axi_rdata_i_reg[31] ; + wire [24:0]s_axi_rdata; + wire [24:0]\s_axi_rdata_i_reg[31] ; wire s_axi_rready; wire [0:0]s_axi_rresp; wire s_axi_rvalid; wire [12:0]s_axi_wdata; - wire \s_axi_wdata[25] ; + wire \s_axi_wdata[27] ; + wire [12:0]\s_axi_wdata[31] ; wire s_axi_wvalid; wire sig_Bus2IP_CS; wire sig_Bus2IP_Reset; @@ -1502,32 +1473,29 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif wire \sig_ip2bus_data_reg[7] ; wire \sig_ip2bus_data_reg[8] ; wire \sig_ip2bus_data_reg[9] ; - wire \sig_register_array_reg[0][6] ; wire sig_str_rst_reg; wire sig_tx_channel_reset_reg; - wire sig_txd_sb_wr_en; - wire sig_txd_sb_wr_en_reg; - wire sig_txd_sb_wr_en_reg_0; design_1_axi_fifo_mm_s_0_0_slave_attachment I_SLAVE_ATTACHMENT (.Bus_RNW_reg_reg(Bus_RNW_reg), - .Bus_RNW_reg_reg_0(Bus_RNW_reg_reg), .D(D), .E(E), .\FSM_onehot_state_reg[2]_0 (\FSM_onehot_state_reg[2] ), .\FSM_onehot_state_reg[3]_0 (\FSM_onehot_state_reg[3] ), .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] (\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ), .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 (\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] ), - .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 (\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ), - .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 (\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ), .\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] (\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] ), .\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 (\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ), .\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] (\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] ), - .\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] (\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] ), - .\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 (\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 ), + .\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 (\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 ), + .\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] (\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] ), + .\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 (\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ), .\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5] (\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg ), .IP2Bus_Error1_in(IP2Bus_Error1_in), + .IP2Bus_Error_reg(IP2Bus_Error_reg), .Q(Q), + .axi_str_txd_tlast(axi_str_txd_tlast), + .axi_str_txd_tvalid(axi_str_txd_tvalid), .bus2ip_rnw_i_reg_0(bus2ip_rnw_i_reg), .bus2ip_rnw_i_reg_1(bus2ip_rnw_i_reg_0), .cs_ce_clr(cs_ce_clr), @@ -1546,7 +1514,8 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif .s_axi_rresp(s_axi_rresp), .s_axi_rvalid(s_axi_rvalid), .s_axi_wdata(s_axi_wdata), - .\s_axi_wdata[25] (\s_axi_wdata[25] ), + .\s_axi_wdata[27] (\s_axi_wdata[27] ), + .\s_axi_wdata[31] (\s_axi_wdata[31] ), .s_axi_wvalid(s_axi_wvalid), .sig_Bus2IP_CS(sig_Bus2IP_CS), .sig_Bus2IP_Reset(sig_Bus2IP_Reset), @@ -1557,12 +1526,8 @@ module design_1_axi_fifo_mm_s_0_0_axi_lite_ipif .\sig_ip2bus_data_reg[7] (\sig_ip2bus_data_reg[7] ), .\sig_ip2bus_data_reg[8] (\sig_ip2bus_data_reg[8] ), .\sig_ip2bus_data_reg[9] (\sig_ip2bus_data_reg[9] ), - .\sig_register_array_reg[0][6] (\sig_register_array_reg[0][6] ), .sig_str_rst_reg(sig_str_rst_reg), - .sig_tx_channel_reset_reg(sig_tx_channel_reset_reg), - .sig_txd_sb_wr_en(sig_txd_sb_wr_en), - .sig_txd_sb_wr_en_reg(sig_txd_sb_wr_en_reg), - .sig_txd_sb_wr_en_reg_0(sig_txd_sb_wr_en_reg_0)); + .sig_tx_channel_reset_reg(sig_tx_channel_reset_reg)); endmodule (* ORIG_REF_NAME = "axis_fg" *) @@ -1574,71 +1539,63 @@ module design_1_axi_fifo_mm_s_0_0_axis_fg wr_data_count_axis, prog_empty_axis, s_aresetn, - \gen_wr_a.gen_word_narrow.mem_reg , sig_txd_wr_en, - \gwdc.wr_data_count_i_reg[9] , - D, + \gwdc.wr_data_count_i_reg[12] , + S, + DI, + \gwdc.wr_data_count_i_reg[7] , + \gwdc.wr_data_count_i_reg[3] , + \gwdc.wr_data_count_i_reg[0] , \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg , \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg , IP2Bus_Error_reg, - E, s_axi_aclk, Q, - \gen_wr_a.gen_word_narrow.mem_reg_0 , + \gen_wr_a.gen_word_narrow.mem_reg_5 , axi_str_txd_tready, start_wr, txd_wr_en, - \sig_register_array_reg[0][4] , - \sig_register_array_reg[0][4]_0 , - s_axi_wdata, IP2Bus_Error_reg_0, sig_txd_prog_full_d1, sig_txd_prog_empty_d1, - \gen_wr_a.gen_word_narrow.mem_reg_1 , + \gen_wr_a.gen_word_narrow.mem_reg_3 , p_1_in, s_axi_aresetn, IP2Bus_Error_reg_1, Axi_Str_RxD_AReset, - mm2s_prmry_reset_out_n, - \sig_txd_wr_data_reg[0] , - \sig_txd_wr_data_reg[0]_0 , - \sig_txd_wr_data_reg[0]_1 ); + mm2s_prmry_reset_out_n); output axi_str_txd_tvalid; output [31:0]axi_str_txd_tdata; output axi_str_txd_tlast; output prog_full_axis; - output [0:0]wr_data_count_axis; + output [10:0]wr_data_count_axis; output prog_empty_axis; output s_aresetn; - output \gen_wr_a.gen_word_narrow.mem_reg ; output sig_txd_wr_en; - output \gwdc.wr_data_count_i_reg[9] ; - output [7:0]D; + output \gwdc.wr_data_count_i_reg[12] ; + output [0:0]S; + output [3:0]DI; + output [3:0]\gwdc.wr_data_count_i_reg[7] ; + output [2:0]\gwdc.wr_data_count_i_reg[3] ; + output [0:0]\gwdc.wr_data_count_i_reg[0] ; output \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ; output \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg ; output IP2Bus_Error_reg; - output [0:0]E; input s_axi_aclk; input [31:0]Q; - input \gen_wr_a.gen_word_narrow.mem_reg_0 ; + input \gen_wr_a.gen_word_narrow.mem_reg_5 ; input axi_str_txd_tready; input start_wr; input txd_wr_en; - input \sig_register_array_reg[0][4] ; - input \sig_register_array_reg[0][4]_0 ; - input [0:0]s_axi_wdata; input IP2Bus_Error_reg_0; input sig_txd_prog_full_d1; input sig_txd_prog_empty_d1; - input [1:0]\gen_wr_a.gen_word_narrow.mem_reg_1 ; + input [1:0]\gen_wr_a.gen_word_narrow.mem_reg_3 ; input [0:0]p_1_in; input s_axi_aresetn; input IP2Bus_Error_reg_1; input Axi_Str_RxD_AReset; input mm2s_prmry_reset_out_n; - input \sig_txd_wr_data_reg[0] ; - input \sig_txd_wr_data_reg[0]_0 ; - input \sig_txd_wr_data_reg[0]_1 ; wire Axi_Str_RxD_AReset; wire COMP_FIFO_i_2_n_0; @@ -1662,23 +1619,24 @@ module design_1_axi_fifo_mm_s_0_0_axis_fg wire COMP_FIFO_n_52; wire COMP_FIFO_n_53; wire COMP_FIFO_n_54; - wire [7:0]D; - wire [0:0]E; + wire [3:0]DI; wire IP2Bus_Error_reg; wire IP2Bus_Error_reg_0; wire IP2Bus_Error_reg_1; wire [31:0]Q; + wire [0:0]S; wire [31:0]axi_str_txd_tdata; wire axi_str_txd_tlast; wire axi_str_txd_tready; wire axi_str_txd_tvalid; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ; - wire \gen_wr_a.gen_word_narrow.mem_reg ; - wire \gen_wr_a.gen_word_narrow.mem_reg_0 ; - wire [1:0]\gen_wr_a.gen_word_narrow.mem_reg_1 ; - wire \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0 ; - wire \gwdc.wr_data_count_i_reg[9] ; + wire [1:0]\gen_wr_a.gen_word_narrow.mem_reg_3 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_5 ; + wire [0:0]\gwdc.wr_data_count_i_reg[0] ; + wire \gwdc.wr_data_count_i_reg[12] ; + wire [2:0]\gwdc.wr_data_count_i_reg[3] ; + wire [3:0]\gwdc.wr_data_count_i_reg[7] ; wire [2:1]input_tstrb; wire input_tvalid; wire mm2s_prmry_reset_out_n; @@ -1688,25 +1646,21 @@ module design_1_axi_fifo_mm_s_0_0_axis_fg wire s_aresetn; wire s_axi_aclk; wire s_axi_aresetn; - wire [0:0]s_axi_wdata; wire s_axis_tready_i; - wire \sig_register_array_reg[0][4] ; - wire \sig_register_array_reg[0][4]_0 ; - wire [9:0]sig_txd_occupancy; + wire \sig_register_array[0][3]_i_4_n_0 ; + wire \sig_register_array[0][3]_i_5_n_0 ; + wire [12:0]sig_txd_occupancy; wire sig_txd_prog_empty_d1; wire sig_txd_prog_full_d1; - wire \sig_txd_wr_data_reg[0] ; - wire \sig_txd_wr_data_reg[0]_0 ; - wire \sig_txd_wr_data_reg[0]_1 ; wire sig_txd_wr_en; wire start_wr; wire txd_wr_en; - wire [0:0]wr_data_count_axis; + wire [10:0]wr_data_count_axis; wire NLW_COMP_FIFO_almost_empty_axis_UNCONNECTED; wire NLW_COMP_FIFO_almost_full_axis_UNCONNECTED; wire NLW_COMP_FIFO_dbiterr_axis_UNCONNECTED; wire NLW_COMP_FIFO_sbiterr_axis_UNCONNECTED; - wire [9:0]NLW_COMP_FIFO_rd_data_count_axis_UNCONNECTED; + wire [12:0]NLW_COMP_FIFO_rd_data_count_axis_UNCONNECTED; (* AXIS_DATA_WIDTH = "53" *) (* AXIS_FINAL_DATA_WIDTH = "53" *) @@ -1719,18 +1673,18 @@ module design_1_axi_fifo_mm_s_0_0_axis_fg (* EN_ALMOST_EMPTY_INT = "1'b1" *) (* EN_ALMOST_FULL_INT = "1'b1" *) (* EN_DATA_VALID_INT = "1'b1" *) - (* FIFO_DEPTH = "512" *) + (* FIFO_DEPTH = "4096" *) (* FIFO_MEMORY_TYPE = "BRAM" *) - (* LOG_DEPTH_AXIS = "9" *) + (* LOG_DEPTH_AXIS = "12" *) (* PACKET_FIFO = "true" *) (* PKT_SIZE_LT8 = "1'b0" *) (* PROG_EMPTY_THRESH = "5" *) - (* PROG_FULL_THRESH = "507" *) + (* PROG_FULL_THRESH = "4091" *) (* P_COMMON_CLOCK = "1" *) (* P_ECC_MODE = "0" *) (* P_FIFO_MEMORY_TYPE = "2" *) (* P_PKT_MODE = "1" *) - (* RD_DATA_COUNT_WIDTH = "10" *) + (* RD_DATA_COUNT_WIDTH = "13" *) (* RELATED_CLOCKS = "0" *) (* SIM_ASSERT_CHK = "0" *) (* TDATA_OFFSET = "32" *) @@ -1746,7 +1700,7 @@ module design_1_axi_fifo_mm_s_0_0_axis_fg (* TUSER_WIDTH = "4" *) (* USE_ADV_FEATURES = "1606" *) (* USE_ADV_FEATURES_INT = "826617925" *) - (* WR_DATA_COUNT_WIDTH = "10" *) + (* WR_DATA_COUNT_WIDTH = "13" *) (* XPM_MODULE = "TRUE" *) design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis COMP_FIFO (.almost_empty_axis(NLW_COMP_FIFO_almost_empty_axis_UNCONNECTED), @@ -1766,135 +1720,123 @@ module design_1_axi_fifo_mm_s_0_0_axis_fg .m_axis_tvalid(axi_str_txd_tvalid), .prog_empty_axis(prog_empty_axis), .prog_full_axis(prog_full_axis), - .rd_data_count_axis(NLW_COMP_FIFO_rd_data_count_axis_UNCONNECTED[9:0]), + .rd_data_count_axis(NLW_COMP_FIFO_rd_data_count_axis_UNCONNECTED[12:0]), .s_aclk(s_axi_aclk), .s_aresetn(s_aresetn), .s_axis_tdata(Q), .s_axis_tdest({1'b0,1'b0,1'b0,1'b0}), .s_axis_tid({1'b0,1'b0,1'b0,1'b0}), .s_axis_tkeep({1'b0,1'b0,1'b0,1'b0}), - .s_axis_tlast(\gen_wr_a.gen_word_narrow.mem_reg_0 ), + .s_axis_tlast(\gen_wr_a.gen_word_narrow.mem_reg_5 ), .s_axis_tready(s_axis_tready_i), .s_axis_tstrb({COMP_FIFO_i_2_n_0,input_tstrb,1'b1}), .s_axis_tuser({1'b0,1'b0,1'b0,1'b0}), .s_axis_tvalid(input_tvalid), .sbiterr_axis(NLW_COMP_FIFO_sbiterr_axis_UNCONNECTED), - .wr_data_count_axis({sig_txd_occupancy[9:2],wr_data_count_axis,sig_txd_occupancy[0]})); + .wr_data_count_axis({sig_txd_occupancy[12],wr_data_count_axis,sig_txd_occupancy[0]})); LUT3 #( .INIT(8'hA8)) COMP_FIFO_i_1 (.I0(start_wr), .I1(txd_wr_en), - .I2(\gen_wr_a.gen_word_narrow.mem_reg_0 ), + .I2(\gen_wr_a.gen_word_narrow.mem_reg_5 ), .O(input_tvalid)); LUT3 #( .INIT(8'h1F)) COMP_FIFO_i_2 - (.I0(\gen_wr_a.gen_word_narrow.mem_reg_1 [0]), - .I1(\gen_wr_a.gen_word_narrow.mem_reg_1 [1]), - .I2(\gen_wr_a.gen_word_narrow.mem_reg_0 ), + (.I0(\gen_wr_a.gen_word_narrow.mem_reg_3 [0]), + .I1(\gen_wr_a.gen_word_narrow.mem_reg_3 [1]), + .I2(\gen_wr_a.gen_word_narrow.mem_reg_5 ), .O(COMP_FIFO_i_2_n_0)); LUT3 #( .INIT(8'h9F)) COMP_FIFO_i_3 - (.I0(\gen_wr_a.gen_word_narrow.mem_reg_1 [0]), - .I1(\gen_wr_a.gen_word_narrow.mem_reg_1 [1]), - .I2(\gen_wr_a.gen_word_narrow.mem_reg_0 ), + (.I0(\gen_wr_a.gen_word_narrow.mem_reg_3 [0]), + .I1(\gen_wr_a.gen_word_narrow.mem_reg_3 [1]), + .I2(\gen_wr_a.gen_word_narrow.mem_reg_5 ), .O(input_tstrb[2])); LUT3 #( .INIT(8'hDF)) COMP_FIFO_i_4 - (.I0(\gen_wr_a.gen_word_narrow.mem_reg_1 [0]), - .I1(\gen_wr_a.gen_word_narrow.mem_reg_1 [1]), - .I2(\gen_wr_a.gen_word_narrow.mem_reg_0 ), + (.I0(\gen_wr_a.gen_word_narrow.mem_reg_3 [0]), + .I1(\gen_wr_a.gen_word_narrow.mem_reg_3 [1]), + .I2(\gen_wr_a.gen_word_narrow.mem_reg_5 ), .O(input_tstrb[1])); - (* SOFT_HLUTNM = "soft_lutpair26" *) + (* SOFT_HLUTNM = "soft_lutpair6" *) LUT5 #( - .INIT(32'h00AA03AA)) + .INIT(32'h00AA30AA)) IP2Bus_Error_i_1 (.I0(p_1_in), .I1(IP2Bus_Error_reg_0), - .I2(\gwdc.wr_data_count_i_reg[9] ), + .I2(\gwdc.wr_data_count_i_reg[12] ), .I3(s_axi_aresetn), .I4(IP2Bus_Error_reg_1), .O(IP2Bus_Error_reg)); - (* SOFT_HLUTNM = "soft_lutpair30" *) - LUT2 #( - .INIT(4'h9)) - \gfifo_gen.gmm2s.vacancy_i[2]_i_1 - (.I0(wr_data_count_axis), - .I1(sig_txd_occupancy[2]), - .O(D[0])); - (* SOFT_HLUTNM = "soft_lutpair30" *) - LUT3 #( - .INIT(8'h95)) - \gfifo_gen.gmm2s.vacancy_i[3]_i_1 - (.I0(sig_txd_occupancy[3]), - .I1(wr_data_count_axis), - .I2(sig_txd_occupancy[2]), - .O(D[1])); - (* SOFT_HLUTNM = "soft_lutpair28" *) - LUT4 #( - .INIT(16'h9555)) - \gfifo_gen.gmm2s.vacancy_i[4]_i_1 - (.I0(sig_txd_occupancy[4]), - .I1(sig_txd_occupancy[3]), - .I2(sig_txd_occupancy[2]), - .I3(wr_data_count_axis), - .O(D[2])); - (* SOFT_HLUTNM = "soft_lutpair29" *) - LUT2 #( - .INIT(4'h9)) - \gfifo_gen.gmm2s.vacancy_i[5]_i_1 - (.I0(\gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0 ), - .I1(sig_txd_occupancy[5]), - .O(D[3])); - (* SOFT_HLUTNM = "soft_lutpair29" *) - LUT3 #( - .INIT(8'h87)) - \gfifo_gen.gmm2s.vacancy_i[6]_i_1 - (.I0(sig_txd_occupancy[5]), - .I1(\gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0 ), - .I2(sig_txd_occupancy[6]), - .O(D[4])); - (* SOFT_HLUTNM = "soft_lutpair27" *) - LUT4 #( - .INIT(16'h870F)) - \gfifo_gen.gmm2s.vacancy_i[7]_i_1 - (.I0(sig_txd_occupancy[5]), - .I1(\gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0 ), - .I2(sig_txd_occupancy[7]), - .I3(sig_txd_occupancy[6]), - .O(D[5])); - (* SOFT_HLUTNM = "soft_lutpair27" *) - LUT5 #( - .INIT(32'h870F0F0F)) - \gfifo_gen.gmm2s.vacancy_i[8]_i_1 - (.I0(sig_txd_occupancy[5]), - .I1(\gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0 ), - .I2(sig_txd_occupancy[8]), - .I3(sig_txd_occupancy[6]), - .I4(sig_txd_occupancy[7]), - .O(D[6])); - LUT6 #( - .INIT(64'h78F0F0F0F0F0F0F0)) - \gfifo_gen.gmm2s.vacancy_i[9]_i_2 - (.I0(sig_txd_occupancy[5]), - .I1(\gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0 ), - .I2(sig_txd_occupancy[9]), - .I3(sig_txd_occupancy[8]), - .I4(sig_txd_occupancy[7]), - .I5(sig_txd_occupancy[6]), - .O(D[7])); - (* SOFT_HLUTNM = "soft_lutpair28" *) - LUT4 #( - .INIT(16'h8000)) - \gfifo_gen.gmm2s.vacancy_i[9]_i_3 - (.I0(sig_txd_occupancy[4]), - .I1(sig_txd_occupancy[3]), - .I2(sig_txd_occupancy[2]), - .I3(wr_data_count_axis), - .O(\gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0 )); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__0_i_1 + (.I0(wr_data_count_axis[6]), + .O(\gwdc.wr_data_count_i_reg[7] [3])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__0_i_2 + (.I0(wr_data_count_axis[5]), + .O(\gwdc.wr_data_count_i_reg[7] [2])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__0_i_3 + (.I0(wr_data_count_axis[4]), + .O(\gwdc.wr_data_count_i_reg[7] [1])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__0_i_4 + (.I0(wr_data_count_axis[3]), + .O(\gwdc.wr_data_count_i_reg[7] [0])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__1_i_1 + (.I0(wr_data_count_axis[10]), + .O(DI[3])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__1_i_2 + (.I0(wr_data_count_axis[9]), + .O(DI[2])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__1_i_3 + (.I0(wr_data_count_axis[8]), + .O(DI[1])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__1_i_4 + (.I0(wr_data_count_axis[7]), + .O(DI[0])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry__2_i_1 + (.I0(sig_txd_occupancy[12]), + .O(S)); + LUT1 #( + .INIT(2'h1)) + minusOp_carry_i_1 + (.I0(wr_data_count_axis[2]), + .O(\gwdc.wr_data_count_i_reg[3] [2])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry_i_2 + (.I0(wr_data_count_axis[1]), + .O(\gwdc.wr_data_count_i_reg[3] [1])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry_i_3 + (.I0(wr_data_count_axis[0]), + .O(\gwdc.wr_data_count_i_reg[3] [0])); + LUT1 #( + .INIT(2'h1)) + minusOp_carry_i_4 + (.I0(sig_txd_occupancy[0]), + .O(\gwdc.wr_data_count_i_reg[0] )); LUT3 #( .INIT(8'h04)) mm2s_prmry_reset_out_n_INST_0 @@ -1909,45 +1851,42 @@ module design_1_axi_fifo_mm_s_0_0_axis_fg .I1(sig_txd_prog_empty_d1), .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg )); LUT6 #( - .INIT(64'h1555555555555555)) + .INIT(64'hABAAAAAAAAAAAAAA)) \sig_register_array[0][3]_i_3 - (.I0(sig_txd_occupancy[9]), - .I1(sig_txd_occupancy[6]), - .I2(sig_txd_occupancy[5]), - .I3(sig_txd_occupancy[8]), - .I4(sig_txd_occupancy[7]), - .I5(\gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0 ), - .O(\gwdc.wr_data_count_i_reg[9] )); - LUT5 #( - .INIT(32'hF8FFF8F8)) - \sig_register_array[0][4]_i_3 - (.I0(axi_str_txd_tvalid), - .I1(axi_str_txd_tlast), - .I2(\sig_register_array_reg[0][4] ), - .I3(\sig_register_array_reg[0][4]_0 ), - .I4(s_axi_wdata), - .O(\gen_wr_a.gen_word_narrow.mem_reg )); + (.I0(sig_txd_occupancy[12]), + .I1(\sig_register_array[0][3]_i_4_n_0 ), + .I2(\sig_register_array[0][3]_i_5_n_0 ), + .I3(wr_data_count_axis[8]), + .I4(wr_data_count_axis[7]), + .I5(wr_data_count_axis[3]), + .O(\gwdc.wr_data_count_i_reg[12] )); + LUT4 #( + .INIT(16'h7FFF)) + \sig_register_array[0][3]_i_4 + (.I0(wr_data_count_axis[1]), + .I1(wr_data_count_axis[4]), + .I2(wr_data_count_axis[6]), + .I3(wr_data_count_axis[10]), + .O(\sig_register_array[0][3]_i_4_n_0 )); + LUT4 #( + .INIT(16'h7FFF)) + \sig_register_array[0][3]_i_5 + (.I0(wr_data_count_axis[0]), + .I1(wr_data_count_axis[2]), + .I2(wr_data_count_axis[5]), + .I3(wr_data_count_axis[9]), + .O(\sig_register_array[0][3]_i_5_n_0 )); LUT2 #( .INIT(4'h2)) \sig_register_array[0][9]_i_2 (.I0(prog_full_axis), .I1(sig_txd_prog_full_d1), .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg )); - LUT6 #( - .INIT(64'h000000002222F222)) - \sig_txd_wr_data[31]_i_1 - (.I0(\gwdc.wr_data_count_i_reg[9] ), - .I1(IP2Bus_Error_reg_0), - .I2(\sig_txd_wr_data_reg[0] ), - .I3(\sig_txd_wr_data_reg[0]_0 ), - .I4(\sig_txd_wr_data_reg[0]_1 ), - .I5(IP2Bus_Error_reg_1), - .O(E)); - (* SOFT_HLUTNM = "soft_lutpair26" *) + (* SOFT_HLUTNM = "soft_lutpair6" *) LUT2 #( - .INIT(4'h2)) + .INIT(4'h1)) sig_txd_wr_en_i_1 - (.I0(\gwdc.wr_data_count_i_reg[9] ), + (.I0(\gwdc.wr_data_count_i_reg[12] ), .I1(IP2Bus_Error_reg_0), .O(sig_txd_wr_en)); endmodule @@ -1960,22 +1899,17 @@ module design_1_axi_fifo_mm_s_0_0_fifo prog_full_axis, prog_empty_axis, s_aresetn, - \gen_wr_a.gen_word_narrow.mem_reg , D, sig_txd_wr_en, - \gwdc.wr_data_count_i_reg[9] , + \gwdc.wr_data_count_i_reg[12] , \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg , \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg , IP2Bus_Error_reg, - E, s_axi_aclk, - \gen_wr_a.gen_word_narrow.mem_reg_0 , + \gen_wr_a.gen_word_narrow.mem_reg_5 , axi_str_txd_tready, txd_wr_en, - \sig_register_array_reg[0][4] , - \sig_register_array_reg[0][4]_0 , - s_axi_wdata, - \sig_ip2bus_data_reg[22] , + \sig_ip2bus_data_reg[19] , IP2Bus_Error_reg_0, sig_txd_prog_full_d1, sig_txd_prog_empty_d1, @@ -1984,32 +1918,24 @@ module design_1_axi_fifo_mm_s_0_0_fifo s_axi_aresetn, IP2Bus_Error_reg_1, Axi_Str_RxD_AReset, - mm2s_prmry_reset_out_n, - \sig_txd_wr_data_reg[0] , - \sig_txd_wr_data_reg[0]_0 , - \sig_txd_wr_data_reg[0]_1 ); + mm2s_prmry_reset_out_n); output axi_str_txd_tvalid; output [31:0]axi_str_txd_tdata; output axi_str_txd_tlast; output prog_full_axis; output prog_empty_axis; output s_aresetn; - output \gen_wr_a.gen_word_narrow.mem_reg ; - output [8:0]D; + output [11:0]D; output sig_txd_wr_en; - output \gwdc.wr_data_count_i_reg[9] ; + output \gwdc.wr_data_count_i_reg[12] ; output \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ; output \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg ; output IP2Bus_Error_reg; - output [0:0]E; input s_axi_aclk; - input \gen_wr_a.gen_word_narrow.mem_reg_0 ; + input \gen_wr_a.gen_word_narrow.mem_reg_5 ; input axi_str_txd_tready; input txd_wr_en; - input \sig_register_array_reg[0][4] ; - input \sig_register_array_reg[0][4]_0 ; - input [0:0]s_axi_wdata; - input \sig_ip2bus_data_reg[22] ; + input \sig_ip2bus_data_reg[19] ; input IP2Bus_Error_reg_0; input sig_txd_prog_full_d1; input sig_txd_prog_empty_d1; @@ -2019,13 +1945,9 @@ module design_1_axi_fifo_mm_s_0_0_fifo input IP2Bus_Error_reg_1; input Axi_Str_RxD_AReset; input mm2s_prmry_reset_out_n; - input \sig_txd_wr_data_reg[0] ; - input \sig_txd_wr_data_reg[0]_0 ; - input \sig_txd_wr_data_reg[0]_1 ; wire Axi_Str_RxD_AReset; - wire [8:0]D; - wire [0:0]E; + wire [11:0]D; wire IP2Bus_Error_reg; wire IP2Bus_Error_reg_0; wire IP2Bus_Error_reg_1; @@ -2034,15 +1956,38 @@ module design_1_axi_fifo_mm_s_0_0_fifo wire axi_str_txd_tlast; wire axi_str_txd_tready; wire axi_str_txd_tvalid; - wire [9:1]data2; + wire [12:1]data2; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ; - wire \gen_wr_a.gen_word_narrow.mem_reg ; - wire \gen_wr_a.gen_word_narrow.mem_reg_0 ; - wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_42 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_5 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_50 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_51 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_52 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_53 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_54 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_55 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_56 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_57 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_58 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_59 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_60 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_61 ; + wire \gfifo_gen.COMP_AXIS_FG_FIFO_n_62 ; wire \gfifo_gen.gmm2s.start_wr_i_1_n_0 ; - wire \gwdc.wr_data_count_i_reg[9] ; - wire [9:2]minusOp; + wire \gwdc.wr_data_count_i_reg[12] ; + wire [12:1]minusOp; + wire minusOp_carry__0_n_0; + wire minusOp_carry__0_n_1; + wire minusOp_carry__0_n_2; + wire minusOp_carry__0_n_3; + wire minusOp_carry__1_n_0; + wire minusOp_carry__1_n_1; + wire minusOp_carry__1_n_2; + wire minusOp_carry__1_n_3; + wire minusOp_carry_n_0; + wire minusOp_carry_n_1; + wire minusOp_carry_n_2; + wire minusOp_carry_n_3; wire mm2s_prmry_reset_out_n; wire [0:0]p_1_in; wire prog_empty_axis; @@ -2050,40 +1995,39 @@ module design_1_axi_fifo_mm_s_0_0_fifo wire s_aresetn; wire s_axi_aclk; wire s_axi_aresetn; - wire [0:0]s_axi_wdata; - wire \sig_ip2bus_data_reg[22] ; - wire \sig_register_array_reg[0][4] ; - wire \sig_register_array_reg[0][4]_0 ; - wire [1:1]sig_txd_occupancy; + wire \sig_ip2bus_data_reg[19] ; + wire [11:1]sig_txd_occupancy; wire sig_txd_prog_empty_d1; wire sig_txd_prog_full_d1; wire sig_txd_reset0_out; - wire \sig_txd_wr_data_reg[0] ; - wire \sig_txd_wr_data_reg[0]_0 ; - wire \sig_txd_wr_data_reg[0]_1 ; wire sig_txd_wr_en; wire start_wr; wire txd_wr_en; wire [31:0]wr_data_int; + wire [0:0]NLW_minusOp_carry_O_UNCONNECTED; + wire [3:0]NLW_minusOp_carry__2_CO_UNCONNECTED; + wire [3:1]NLW_minusOp_carry__2_O_UNCONNECTED; design_1_axi_fifo_mm_s_0_0_axis_fg \gfifo_gen.COMP_AXIS_FG_FIFO (.Axi_Str_RxD_AReset(Axi_Str_RxD_AReset), - .D({minusOp[9],\gfifo_gen.COMP_AXIS_FG_FIFO_n_42 ,minusOp[7:2]}), - .E(E), + .DI({\gfifo_gen.COMP_AXIS_FG_FIFO_n_51 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_52 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_53 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_54 }), .IP2Bus_Error_reg(IP2Bus_Error_reg), .IP2Bus_Error_reg_0(IP2Bus_Error_reg_0), .IP2Bus_Error_reg_1(IP2Bus_Error_reg_1), .Q(wr_data_int), + .S(\gfifo_gen.COMP_AXIS_FG_FIFO_n_50 ), .axi_str_txd_tdata(axi_str_txd_tdata), .axi_str_txd_tlast(axi_str_txd_tlast), .axi_str_txd_tready(axi_str_txd_tready), .axi_str_txd_tvalid(axi_str_txd_tvalid), .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg (\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg ), .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg (\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ), - .\gen_wr_a.gen_word_narrow.mem_reg (\gen_wr_a.gen_word_narrow.mem_reg ), - .\gen_wr_a.gen_word_narrow.mem_reg_0 (\gen_wr_a.gen_word_narrow.mem_reg_0 ), - .\gen_wr_a.gen_word_narrow.mem_reg_1 (Q[1:0]), - .\gwdc.wr_data_count_i_reg[9] (\gwdc.wr_data_count_i_reg[9] ), + .\gen_wr_a.gen_word_narrow.mem_reg_3 (Q[1:0]), + .\gen_wr_a.gen_word_narrow.mem_reg_5 (\gen_wr_a.gen_word_narrow.mem_reg_5 ), + .\gwdc.wr_data_count_i_reg[0] (\gfifo_gen.COMP_AXIS_FG_FIFO_n_62 ), + .\gwdc.wr_data_count_i_reg[12] (\gwdc.wr_data_count_i_reg[12] ), + .\gwdc.wr_data_count_i_reg[3] ({\gfifo_gen.COMP_AXIS_FG_FIFO_n_59 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_60 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_61 }), + .\gwdc.wr_data_count_i_reg[7] ({\gfifo_gen.COMP_AXIS_FG_FIFO_n_55 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_56 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_57 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_58 }), .mm2s_prmry_reset_out_n(mm2s_prmry_reset_out_n), .p_1_in(p_1_in), .prog_empty_axis(prog_empty_axis), @@ -2091,14 +2035,8 @@ module design_1_axi_fifo_mm_s_0_0_fifo .s_aresetn(s_aresetn), .s_axi_aclk(s_axi_aclk), .s_axi_aresetn(s_axi_aresetn), - .s_axi_wdata(s_axi_wdata), - .\sig_register_array_reg[0][4] (\sig_register_array_reg[0][4] ), - .\sig_register_array_reg[0][4]_0 (\sig_register_array_reg[0][4]_0 ), .sig_txd_prog_empty_d1(sig_txd_prog_empty_d1), .sig_txd_prog_full_d1(sig_txd_prog_full_d1), - .\sig_txd_wr_data_reg[0] (\sig_txd_wr_data_reg[0] ), - .\sig_txd_wr_data_reg[0]_0 (\sig_txd_wr_data_reg[0]_0 ), - .\sig_txd_wr_data_reg[0]_1 (\sig_txd_wr_data_reg[0]_1 ), .sig_txd_wr_en(sig_txd_wr_en), .start_wr(start_wr), .txd_wr_en(txd_wr_en), @@ -2107,7 +2045,7 @@ module design_1_axi_fifo_mm_s_0_0_fifo .INIT(8'hBA)) \gfifo_gen.gmm2s.start_wr_i_1 (.I0(txd_wr_en), - .I1(\gen_wr_a.gen_word_narrow.mem_reg_0 ), + .I1(\gen_wr_a.gen_word_narrow.mem_reg_5 ), .I2(start_wr), .O(\gfifo_gen.gmm2s.start_wr_i_1_n_0 )); FDRE #( @@ -2120,15 +2058,39 @@ module design_1_axi_fifo_mm_s_0_0_fifo .R(sig_txd_reset0_out)); LUT1 #( .INIT(2'h1)) - \gfifo_gen.gmm2s.vacancy_i[9]_i_1 + \gfifo_gen.gmm2s.vacancy_i[12]_i_1 (.I0(s_aresetn), .O(sig_txd_reset0_out)); + FDRE #( + .INIT(1'b0)) + \gfifo_gen.gmm2s.vacancy_i_reg[10] + (.C(s_axi_aclk), + .CE(1'b1), + .D(minusOp[10]), + .Q(data2[10]), + .R(sig_txd_reset0_out)); + FDRE #( + .INIT(1'b0)) + \gfifo_gen.gmm2s.vacancy_i_reg[11] + (.C(s_axi_aclk), + .CE(1'b1), + .D(minusOp[11]), + .Q(data2[11]), + .R(sig_txd_reset0_out)); + FDRE #( + .INIT(1'b0)) + \gfifo_gen.gmm2s.vacancy_i_reg[12] + (.C(s_axi_aclk), + .CE(1'b1), + .D(minusOp[12]), + .Q(data2[12]), + .R(sig_txd_reset0_out)); FDRE #( .INIT(1'b0)) \gfifo_gen.gmm2s.vacancy_i_reg[1] (.C(s_axi_aclk), .CE(1'b1), - .D(sig_txd_occupancy), + .D(minusOp[1]), .Q(data2[1]), .R(sig_txd_reset0_out)); FDRE #( @@ -2184,7 +2146,7 @@ module design_1_axi_fifo_mm_s_0_0_fifo \gfifo_gen.gmm2s.vacancy_i_reg[8] (.C(s_axi_aclk), .CE(1'b1), - .D(\gfifo_gen.COMP_AXIS_FG_FIFO_n_42 ), + .D(minusOp[8]), .Q(data2[8]), .R(sig_txd_reset0_out)); FDRE #( @@ -2451,67 +2413,121 @@ module design_1_axi_fifo_mm_s_0_0_fifo .D(Q[9]), .Q(wr_data_int[9]), .R(sig_txd_reset0_out)); + (* ADDER_THRESHOLD = "35" *) + CARRY4 minusOp_carry + (.CI(1'b0), + .CO({minusOp_carry_n_0,minusOp_carry_n_1,minusOp_carry_n_2,minusOp_carry_n_3}), + .CYINIT(1'b0), + .DI({\gfifo_gen.COMP_AXIS_FG_FIFO_n_59 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_60 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_61 ,1'b0}), + .O({minusOp[3:1],NLW_minusOp_carry_O_UNCONNECTED[0]}), + .S({sig_txd_occupancy[3:1],\gfifo_gen.COMP_AXIS_FG_FIFO_n_62 })); + (* ADDER_THRESHOLD = "35" *) + CARRY4 minusOp_carry__0 + (.CI(minusOp_carry_n_0), + .CO({minusOp_carry__0_n_0,minusOp_carry__0_n_1,minusOp_carry__0_n_2,minusOp_carry__0_n_3}), + .CYINIT(1'b0), + .DI({\gfifo_gen.COMP_AXIS_FG_FIFO_n_55 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_56 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_57 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_58 }), + .O(minusOp[7:4]), + .S(sig_txd_occupancy[7:4])); + (* ADDER_THRESHOLD = "35" *) + CARRY4 minusOp_carry__1 + (.CI(minusOp_carry__0_n_0), + .CO({minusOp_carry__1_n_0,minusOp_carry__1_n_1,minusOp_carry__1_n_2,minusOp_carry__1_n_3}), + .CYINIT(1'b0), + .DI({\gfifo_gen.COMP_AXIS_FG_FIFO_n_51 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_52 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_53 ,\gfifo_gen.COMP_AXIS_FG_FIFO_n_54 }), + .O(minusOp[11:8]), + .S(sig_txd_occupancy[11:8])); + (* ADDER_THRESHOLD = "35" *) + CARRY4 minusOp_carry__2 + (.CI(minusOp_carry__1_n_0), + .CO(NLW_minusOp_carry__2_CO_UNCONNECTED[3:0]), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({NLW_minusOp_carry__2_O_UNCONNECTED[3:1],minusOp[12]}), + .S({1'b0,1'b0,1'b0,\gfifo_gen.COMP_AXIS_FG_FIFO_n_50 })); + (* SOFT_HLUTNM = "soft_lutpair12" *) + LUT2 #( + .INIT(4'h2)) + \sig_ip2bus_data[19]_i_1 + (.I0(data2[12]), + .I1(\sig_ip2bus_data_reg[19] ), + .O(D[11])); + (* SOFT_HLUTNM = "soft_lutpair12" *) + LUT2 #( + .INIT(4'h2)) + \sig_ip2bus_data[20]_i_1 + (.I0(data2[11]), + .I1(\sig_ip2bus_data_reg[19] ), + .O(D[10])); + (* SOFT_HLUTNM = "soft_lutpair11" *) + LUT2 #( + .INIT(4'h2)) + \sig_ip2bus_data[21]_i_1 + (.I0(data2[10]), + .I1(\sig_ip2bus_data_reg[19] ), + .O(D[9])); + (* SOFT_HLUTNM = "soft_lutpair11" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[22]_i_1 (.I0(data2[9]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[8])); - (* SOFT_HLUTNM = "soft_lutpair34" *) + (* SOFT_HLUTNM = "soft_lutpair10" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[23]_i_1 (.I0(data2[8]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[7])); - (* SOFT_HLUTNM = "soft_lutpair34" *) + (* SOFT_HLUTNM = "soft_lutpair10" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[24]_i_1 (.I0(data2[7]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[6])); - (* SOFT_HLUTNM = "soft_lutpair33" *) + (* SOFT_HLUTNM = "soft_lutpair9" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[25]_i_1 (.I0(data2[6]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[5])); - (* SOFT_HLUTNM = "soft_lutpair33" *) + (* SOFT_HLUTNM = "soft_lutpair9" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[26]_i_1 (.I0(data2[5]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[4])); - (* SOFT_HLUTNM = "soft_lutpair32" *) + (* SOFT_HLUTNM = "soft_lutpair8" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[27]_i_1 (.I0(data2[4]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[3])); - (* SOFT_HLUTNM = "soft_lutpair32" *) + (* SOFT_HLUTNM = "soft_lutpair8" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[28]_i_1 (.I0(data2[3]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[2])); - (* SOFT_HLUTNM = "soft_lutpair31" *) + (* SOFT_HLUTNM = "soft_lutpair7" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[29]_i_1 (.I0(data2[2]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[1])); - (* SOFT_HLUTNM = "soft_lutpair31" *) + (* SOFT_HLUTNM = "soft_lutpair7" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[30]_i_1 (.I0(data2[1]), - .I1(\sig_ip2bus_data_reg[22] ), + .I1(\sig_ip2bus_data_reg[19] ), .O(D[0])); endmodule @@ -2527,43 +2543,39 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s p_1_in, sig_tx_channel_reset_reg_0, cs_ce_clr, - IPIC_STATE_reg_0, IP2Bus_Error1_in, s2mm_prmry_reset_out_n, s_axi_wdata_7_sp_1, - s_axi_wdata_0_sp_1, interrupt, Q, - \sig_register_array_reg[0][4]_0 , - \sig_register_array_reg[0][3]_0 , - \sig_register_array_reg[0][6]_0 , \sig_register_array_reg[0][10]_0 , + \sig_register_array_reg[0][3]_0 , \sig_register_array_reg[0][7]_0 , - \sig_register_array_reg[0][9]_0 , + \sig_register_array_reg[0][4]_0 , \sig_register_array_reg[0][8]_0 , + \sig_register_array_reg[0][6]_0 , + \sig_register_array_reg[0][9]_0 , \sig_ip2bus_data_reg[0]_0 , s_axi_aclk, axi_str_txd_tready, - sig_txd_sb_wr_en, sig_str_rst_reg_1, IP2Bus_WrAck_reg_1, IP2Bus_RdAck_reg_1, sig_Bus2IP_CS, s_axi_aresetn, + sig_txd_sb_wr_en_reg_0, \sig_register_array_reg[0][3]_1 , s_axi_wdata, - \sig_txd_wr_data_reg[0]_0 , D, + \sig_ip2bus_data_reg[19]_0 , \sig_ip2bus_data_reg[12]_0 , - \sig_ip2bus_data_reg[22]_0 , IP2Bus_Error_reg_0, sig_tx_channel_reset_reg_1, - \sig_txd_wr_data_reg[0]_1 , \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg , \sig_register_array_reg[0][4]_1 , + \sig_register_array_reg[0][4]_2 , \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg , Bus_RNW_reg, - \sig_register_array_reg[0][6]_1 , E, \sig_register_array_reg[1][0]_0 ); output axi_str_txd_tvalid; @@ -2576,43 +2588,39 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s output [0:0]p_1_in; output sig_tx_channel_reset_reg_0; output cs_ce_clr; - output IPIC_STATE_reg_0; output IP2Bus_Error1_in; output s2mm_prmry_reset_out_n; output s_axi_wdata_7_sp_1; - output s_axi_wdata_0_sp_1; output interrupt; output [6:0]Q; - output \sig_register_array_reg[0][4]_0 ; - output \sig_register_array_reg[0][3]_0 ; - output \sig_register_array_reg[0][6]_0 ; output \sig_register_array_reg[0][10]_0 ; + output \sig_register_array_reg[0][3]_0 ; output \sig_register_array_reg[0][7]_0 ; - output \sig_register_array_reg[0][9]_0 ; + output \sig_register_array_reg[0][4]_0 ; output \sig_register_array_reg[0][8]_0 ; - output [21:0]\sig_ip2bus_data_reg[0]_0 ; + output \sig_register_array_reg[0][6]_0 ; + output \sig_register_array_reg[0][9]_0 ; + output [24:0]\sig_ip2bus_data_reg[0]_0 ; input s_axi_aclk; input axi_str_txd_tready; - input sig_txd_sb_wr_en; input sig_str_rst_reg_1; input IP2Bus_WrAck_reg_1; input IP2Bus_RdAck_reg_1; input sig_Bus2IP_CS; input s_axi_aresetn; + input sig_txd_sb_wr_en_reg_0; input \sig_register_array_reg[0][3]_1 ; input [31:0]s_axi_wdata; - input \sig_txd_wr_data_reg[0]_0 ; input [6:0]D; + input \sig_ip2bus_data_reg[19]_0 ; input \sig_ip2bus_data_reg[12]_0 ; - input \sig_ip2bus_data_reg[22]_0 ; input IP2Bus_Error_reg_0; input sig_tx_channel_reset_reg_1; - input \sig_txd_wr_data_reg[0]_1 ; input \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ; input \sig_register_array_reg[0][4]_1 ; + input \sig_register_array_reg[0][4]_2 ; input \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg ; input Bus_RNW_reg; - input \sig_register_array_reg[0][6]_1 ; input [0:0]E; input [12:0]\sig_register_array_reg[1][0]_0 ; @@ -2630,7 +2638,6 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s wire IP2Bus_WrAck_reg_0; wire IP2Bus_WrAck_reg_1; wire IPIC_STATE; - wire IPIC_STATE_reg_0; wire [6:0]Q; wire [30:1]R; wire R_carry__0_i_1_n_0; @@ -2719,12 +2726,10 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s wire \eqOp_inferred__2/i__carry_n_1 ; wire \eqOp_inferred__2/i__carry_n_2 ; wire \eqOp_inferred__2/i__carry_n_3 ; - wire \gtxd.COMP_TXD_FIFO_n_37 ; - wire \gtxd.COMP_TXD_FIFO_n_48 ; - wire \gtxd.COMP_TXD_FIFO_n_49 ; wire \gtxd.COMP_TXD_FIFO_n_50 ; wire \gtxd.COMP_TXD_FIFO_n_51 ; wire \gtxd.COMP_TXD_FIFO_n_52 ; + wire \gtxd.COMP_TXD_FIFO_n_53 ; wire \gtxd.sig_txd_packet_size[0]_i_1_n_0 ; wire \gtxd.sig_txd_packet_size[0]_i_3_n_0 ; wire [30:0]\gtxd.sig_txd_packet_size_reg ; @@ -2819,18 +2824,18 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s wire s_axi_aclk; wire s_axi_aresetn; wire [31:0]s_axi_wdata; - wire s_axi_wdata_0_sn_1; wire s_axi_wdata_7_sn_1; wire sig_Bus2IP_CS; wire sig_Bus2IP_Reset; wire [0:30]sig_ip2bus_data; - wire [21:0]\sig_ip2bus_data_reg[0]_0 ; + wire [24:0]\sig_ip2bus_data_reg[0]_0 ; wire \sig_ip2bus_data_reg[12]_0 ; - wire \sig_ip2bus_data_reg[22]_0 ; + wire \sig_ip2bus_data_reg[19]_0 ; wire \sig_register_array[0][10]_i_1_n_0 ; wire \sig_register_array[0][3]_i_1_n_0 ; wire \sig_register_array[0][4]_i_1_n_0 ; wire \sig_register_array[0][6]_i_1_n_0 ; + wire \sig_register_array[0][6]_i_2_n_0 ; wire \sig_register_array[0][7]_i_1_n_0 ; wire \sig_register_array[0][8]_i_1_n_0 ; wire \sig_register_array[0][9]_i_1_n_0 ; @@ -2839,8 +2844,8 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s wire \sig_register_array_reg[0][3]_1 ; wire \sig_register_array_reg[0][4]_0 ; wire \sig_register_array_reg[0][4]_1 ; + wire \sig_register_array_reg[0][4]_2 ; wire \sig_register_array_reg[0][6]_0 ; - wire \sig_register_array_reg[0][6]_1 ; wire \sig_register_array_reg[0][7]_0 ; wire \sig_register_array_reg[0][8]_0 ; wire \sig_register_array_reg[0][9]_0 ; @@ -2862,9 +2867,10 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s wire sig_txd_prog_full; wire sig_txd_prog_full_d1; wire sig_txd_sb_wr_en; + wire sig_txd_sb_wr_en_reg_0; wire sig_txd_sb_wr_en_reg_n_0; - wire \sig_txd_wr_data_reg[0]_0 ; - wire \sig_txd_wr_data_reg[0]_1 ; + wire \sig_txd_wr_data[31]_i_1_n_0 ; + wire \sig_txd_wr_data[31]_i_2_n_0 ; wire sig_txd_wr_en; wire [31:2]txd_wr_data; wire [1:0]txd_wr_data_0; @@ -2882,14 +2888,13 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s wire [3:2]\NLW_gtxd.sig_txd_packet_size_reg[28]_i_1_CO_UNCONNECTED ; wire [3:3]\NLW_gtxd.sig_txd_packet_size_reg[28]_i_1_O_UNCONNECTED ; - assign s_axi_wdata_0_sp_1 = s_axi_wdata_0_sn_1; assign s_axi_wdata_7_sp_1 = s_axi_wdata_7_sn_1; FDRE #( .INIT(1'b0)) IP2Bus_Error_reg (.C(s_axi_aclk), .CE(1'b1), - .D(\gtxd.COMP_TXD_FIFO_n_51 ), + .D(\gtxd.COMP_TXD_FIFO_n_53 ), .Q(p_1_in), .R(1'b0)); LUT1 #( @@ -3196,9 +3201,8 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .S({1'b0,i__carry__1_i_1_n_0,i__carry__1_i_2__0_n_0,i__carry__1_i_3__0_n_0})); design_1_axi_fifo_mm_s_0_0_fifo \gtxd.COMP_TXD_FIFO (.Axi_Str_RxD_AReset(Axi_Str_RxD_AReset), - .D({sig_ip2bus_data[22],sig_ip2bus_data[23],sig_ip2bus_data[24],sig_ip2bus_data[25],sig_ip2bus_data[26],sig_ip2bus_data[27],sig_ip2bus_data[28],sig_ip2bus_data[29],sig_ip2bus_data[30]}), - .E(\gtxd.COMP_TXD_FIFO_n_52 ), - .IP2Bus_Error_reg(\gtxd.COMP_TXD_FIFO_n_51 ), + .D({sig_ip2bus_data[19],sig_ip2bus_data[20],sig_ip2bus_data[21],sig_ip2bus_data[22],sig_ip2bus_data[23],sig_ip2bus_data[24],sig_ip2bus_data[25],sig_ip2bus_data[26],sig_ip2bus_data[27],sig_ip2bus_data[28],sig_ip2bus_data[29],sig_ip2bus_data[30]}), + .IP2Bus_Error_reg(\gtxd.COMP_TXD_FIFO_n_53 ), .IP2Bus_Error_reg_0(IP2Bus_Error_reg_0), .IP2Bus_Error_reg_1(IP2Bus_Error1_in), .Q({txd_wr_data,txd_wr_data_0}), @@ -3206,11 +3210,10 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .axi_str_txd_tlast(axi_str_txd_tlast), .axi_str_txd_tready(axi_str_txd_tready), .axi_str_txd_tvalid(axi_str_txd_tvalid), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg (\gtxd.COMP_TXD_FIFO_n_50 ), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg (\gtxd.COMP_TXD_FIFO_n_49 ), - .\gen_wr_a.gen_word_narrow.mem_reg (\gtxd.COMP_TXD_FIFO_n_37 ), - .\gen_wr_a.gen_word_narrow.mem_reg_0 (sig_txd_sb_wr_en_reg_n_0), - .\gwdc.wr_data_count_i_reg[9] (\gtxd.COMP_TXD_FIFO_n_48 ), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg (\gtxd.COMP_TXD_FIFO_n_52 ), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg (\gtxd.COMP_TXD_FIFO_n_51 ), + .\gen_wr_a.gen_word_narrow.mem_reg_5 (sig_txd_sb_wr_en_reg_n_0), + .\gwdc.wr_data_count_i_reg[12] (\gtxd.COMP_TXD_FIFO_n_50 ), .mm2s_prmry_reset_out_n(sig_tx_channel_reset_reg_0), .p_1_in(p_1_in), .prog_empty_axis(sig_txd_prog_empty), @@ -3218,15 +3221,9 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .s_aresetn(sig_str_rst_reg_0), .s_axi_aclk(s_axi_aclk), .s_axi_aresetn(s_axi_aresetn), - .s_axi_wdata(s_axi_wdata[27]), - .\sig_ip2bus_data_reg[22] (\sig_ip2bus_data_reg[22]_0 ), - .\sig_register_array_reg[0][4] (sig_str_rst_reg_1), - .\sig_register_array_reg[0][4]_0 (\sig_register_array_reg[0][3]_1 ), + .\sig_ip2bus_data_reg[19] (\sig_ip2bus_data_reg[19]_0 ), .sig_txd_prog_empty_d1(sig_txd_prog_empty_d1), .sig_txd_prog_full_d1(sig_txd_prog_full_d1), - .\sig_txd_wr_data_reg[0] (\sig_txd_wr_data_reg[0]_1 ), - .\sig_txd_wr_data_reg[0]_0 (s_axi_wdata_0_sn_1), - .\sig_txd_wr_data_reg[0]_1 (\sig_txd_wr_data_reg[0]_0 ), .sig_txd_wr_en(sig_txd_wr_en), .txd_wr_en(txd_wr_en)); LUT2 #( @@ -3501,42 +3498,42 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s LUT6 #( .INIT(64'h9009000000009009)) i__carry__0_i_1 - (.I0(\gtxd.sig_txd_packet_size_reg [22]), - .I1(s_axi_wdata[24]), - .I2(s_axi_wdata[25]), - .I3(\gtxd.sig_txd_packet_size_reg [23]), - .I4(s_axi_wdata[23]), - .I5(\gtxd.sig_txd_packet_size_reg [21]), + (.I0(\gtxd.sig_txd_packet_size_reg [23]), + .I1(s_axi_wdata[25]), + .I2(s_axi_wdata[23]), + .I3(\gtxd.sig_txd_packet_size_reg [21]), + .I4(s_axi_wdata[24]), + .I5(\gtxd.sig_txd_packet_size_reg [22]), .O(i__carry__0_i_1_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry__0_i_1__0 - (.I0(s_axi_wdata[23]), - .I1(R[21]), - .I2(s_axi_wdata[24]), - .I3(R[22]), - .I4(R[23]), - .I5(s_axi_wdata[25]), + (.I0(s_axi_wdata[25]), + .I1(R[23]), + .I2(s_axi_wdata[23]), + .I3(R[21]), + .I4(R[22]), + .I5(s_axi_wdata[24]), .O(i__carry__0_i_1__0_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry__0_i_2 - (.I0(\gtxd.sig_txd_packet_size_reg [19]), - .I1(s_axi_wdata[21]), - .I2(s_axi_wdata[22]), - .I3(\gtxd.sig_txd_packet_size_reg [20]), - .I4(s_axi_wdata[20]), - .I5(\gtxd.sig_txd_packet_size_reg [18]), + (.I0(\gtxd.sig_txd_packet_size_reg [20]), + .I1(s_axi_wdata[22]), + .I2(s_axi_wdata[20]), + .I3(\gtxd.sig_txd_packet_size_reg [18]), + .I4(s_axi_wdata[21]), + .I5(\gtxd.sig_txd_packet_size_reg [19]), .O(i__carry__0_i_2_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry__0_i_2__0 - (.I0(s_axi_wdata[20]), - .I1(R[18]), - .I2(s_axi_wdata[21]), - .I3(R[19]), - .I4(R[20]), - .I5(s_axi_wdata[22]), + (.I0(s_axi_wdata[22]), + .I1(R[20]), + .I2(s_axi_wdata[20]), + .I3(R[18]), + .I4(R[19]), + .I5(s_axi_wdata[21]), .O(i__carry__0_i_2__0_n_0)); LUT6 #( .INIT(64'h9009000000009009)) @@ -3551,32 +3548,32 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s LUT6 #( .INIT(64'h9009000000009009)) i__carry__0_i_3__0 - (.I0(R[16]), - .I1(s_axi_wdata[18]), - .I2(s_axi_wdata[19]), - .I3(R[17]), - .I4(s_axi_wdata[17]), - .I5(R[15]), + (.I0(s_axi_wdata[18]), + .I1(R[16]), + .I2(s_axi_wdata[17]), + .I3(R[15]), + .I4(R[17]), + .I5(s_axi_wdata[19]), .O(i__carry__0_i_3__0_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry__0_i_4 - (.I0(\gtxd.sig_txd_packet_size_reg [14]), - .I1(s_axi_wdata[16]), - .I2(s_axi_wdata[15]), - .I3(\gtxd.sig_txd_packet_size_reg [13]), + (.I0(\gtxd.sig_txd_packet_size_reg [13]), + .I1(s_axi_wdata[15]), + .I2(s_axi_wdata[16]), + .I3(\gtxd.sig_txd_packet_size_reg [14]), .I4(s_axi_wdata[14]), .I5(\gtxd.sig_txd_packet_size_reg [12]), .O(i__carry__0_i_4_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry__0_i_4__0 - (.I0(s_axi_wdata[16]), - .I1(R[14]), - .I2(s_axi_wdata[14]), - .I3(R[12]), - .I4(R[13]), - .I5(s_axi_wdata[15]), + (.I0(s_axi_wdata[14]), + .I1(R[12]), + .I2(s_axi_wdata[15]), + .I3(R[13]), + .I4(R[14]), + .I5(s_axi_wdata[16]), .O(i__carry__0_i_4__0_n_0)); LUT1 #( .INIT(2'h1)) @@ -3591,22 +3588,22 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s LUT6 #( .INIT(64'h9009000000009009)) i__carry__1_i_2 - (.I0(\gtxd.sig_txd_packet_size_reg [29]), - .I1(s_axi_wdata[31]), - .I2(s_axi_wdata[30]), - .I3(\gtxd.sig_txd_packet_size_reg [28]), + (.I0(\gtxd.sig_txd_packet_size_reg [28]), + .I1(s_axi_wdata[30]), + .I2(s_axi_wdata[31]), + .I3(\gtxd.sig_txd_packet_size_reg [29]), .I4(s_axi_wdata[29]), .I5(\gtxd.sig_txd_packet_size_reg [27]), .O(i__carry__1_i_2_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry__1_i_2__0 - (.I0(s_axi_wdata[29]), - .I1(R[27]), - .I2(s_axi_wdata[31]), - .I3(R[29]), - .I4(R[28]), - .I5(s_axi_wdata[30]), + (.I0(R[28]), + .I1(s_axi_wdata[30]), + .I2(s_axi_wdata[29]), + .I3(R[27]), + .I4(s_axi_wdata[31]), + .I5(R[29]), .O(i__carry__1_i_2__0_n_0)); LUT6 #( .INIT(64'h9009000000009009)) @@ -3631,62 +3628,62 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s LUT6 #( .INIT(64'h9009000000009009)) i__carry_i_1 - (.I0(\gtxd.sig_txd_packet_size_reg [10]), - .I1(s_axi_wdata[12]), - .I2(s_axi_wdata[13]), - .I3(\gtxd.sig_txd_packet_size_reg [11]), + (.I0(\gtxd.sig_txd_packet_size_reg [11]), + .I1(s_axi_wdata[13]), + .I2(s_axi_wdata[12]), + .I3(\gtxd.sig_txd_packet_size_reg [10]), .I4(s_axi_wdata[11]), .I5(\gtxd.sig_txd_packet_size_reg [9]), .O(i__carry_i_1_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry_i_1__0 - (.I0(R[10]), - .I1(s_axi_wdata[12]), - .I2(s_axi_wdata[13]), - .I3(R[11]), - .I4(s_axi_wdata[11]), - .I5(R[9]), + (.I0(s_axi_wdata[13]), + .I1(R[11]), + .I2(s_axi_wdata[11]), + .I3(R[9]), + .I4(R[10]), + .I5(s_axi_wdata[12]), .O(i__carry_i_1__0_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry_i_2 - (.I0(\gtxd.sig_txd_packet_size_reg [8]), - .I1(s_axi_wdata[10]), + (.I0(\gtxd.sig_txd_packet_size_reg [7]), + .I1(s_axi_wdata[9]), .I2(s_axi_wdata[8]), .I3(\gtxd.sig_txd_packet_size_reg [6]), - .I4(s_axi_wdata[9]), - .I5(\gtxd.sig_txd_packet_size_reg [7]), + .I4(s_axi_wdata[10]), + .I5(\gtxd.sig_txd_packet_size_reg [8]), .O(i__carry_i_2_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry_i_2__0 - (.I0(R[8]), - .I1(s_axi_wdata[10]), + (.I0(s_axi_wdata[9]), + .I1(R[7]), .I2(s_axi_wdata[8]), .I3(R[6]), - .I4(s_axi_wdata[9]), - .I5(R[7]), + .I4(R[8]), + .I5(s_axi_wdata[10]), .O(i__carry_i_2__0_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry_i_3 - (.I0(\gtxd.sig_txd_packet_size_reg [4]), - .I1(s_axi_wdata[6]), - .I2(s_axi_wdata[7]), - .I3(\gtxd.sig_txd_packet_size_reg [5]), + (.I0(\gtxd.sig_txd_packet_size_reg [5]), + .I1(s_axi_wdata[7]), + .I2(s_axi_wdata[6]), + .I3(\gtxd.sig_txd_packet_size_reg [4]), .I4(s_axi_wdata[5]), .I5(\gtxd.sig_txd_packet_size_reg [3]), .O(i__carry_i_3_n_0)); LUT6 #( .INIT(64'h9009000000009009)) i__carry_i_3__0 - (.I0(s_axi_wdata[6]), - .I1(R[4]), + (.I0(s_axi_wdata[7]), + .I1(R[5]), .I2(s_axi_wdata[5]), .I3(R[3]), - .I4(R[5]), - .I5(s_axi_wdata[7]), + .I4(R[4]), + .I5(s_axi_wdata[6]), .O(i__carry_i_3__0_n_0)); LUT6 #( .INIT(64'h9009000000009009)) @@ -3713,70 +3710,70 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s interrupt_INST_0 (.I0(interrupt_INST_0_i_1_n_0), .I1(interrupt_INST_0_i_2_n_0), - .I2(Q[5]), - .I3(\sig_register_array_reg[0][4]_0 ), + .I2(Q[0]), + .I3(\sig_register_array_reg[0][10]_0 ), .I4(Q[6]), .I5(\sig_register_array_reg[0][3]_0 ), .O(interrupt)); LUT6 #( .INIT(64'hFFFFF888F888F888)) interrupt_INST_0_i_1 - (.I0(Q[3]), - .I1(\sig_register_array_reg[0][7]_0 ), - .I2(\sig_register_array_reg[0][9]_0 ), - .I3(Q[1]), - .I4(\sig_register_array_reg[0][8]_0 ), - .I5(Q[2]), + (.I0(Q[2]), + .I1(\sig_register_array_reg[0][8]_0 ), + .I2(\sig_register_array_reg[0][6]_0 ), + .I3(Q[4]), + .I4(\sig_register_array_reg[0][9]_0 ), + .I5(Q[1]), .O(interrupt_INST_0_i_1_n_0)); LUT4 #( .INIT(16'hF888)) interrupt_INST_0_i_2 - (.I0(Q[4]), - .I1(\sig_register_array_reg[0][6]_0 ), - .I2(Q[0]), - .I3(\sig_register_array_reg[0][10]_0 ), + (.I0(Q[3]), + .I1(\sig_register_array_reg[0][7]_0 ), + .I2(Q[5]), + .I3(\sig_register_array_reg[0][4]_0 ), .O(interrupt_INST_0_i_2_n_0)); LUT1 #( .INIT(2'h1)) s2mm_prmry_reset_out_n_INST_0 (.I0(Axi_Str_RxD_AReset), .O(s2mm_prmry_reset_out_n)); - (* SOFT_HLUTNM = "soft_lutpair37" *) + (* SOFT_HLUTNM = "soft_lutpair16" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[0]_i_1 (.I0(\sig_register_array_reg_n_0_[1][0] ), .I1(\sig_ip2bus_data_reg[12]_0 ), .O(sig_ip2bus_data[0])); - (* SOFT_HLUTNM = "soft_lutpair35" *) + (* SOFT_HLUTNM = "soft_lutpair14" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[11]_i_1 (.I0(\sig_register_array_reg_n_0_[1][11] ), .I1(\sig_ip2bus_data_reg[12]_0 ), .O(sig_ip2bus_data[11])); - (* SOFT_HLUTNM = "soft_lutpair35" *) + (* SOFT_HLUTNM = "soft_lutpair14" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[12]_i_1 (.I0(\sig_register_array_reg_n_0_[1][12] ), .I1(\sig_ip2bus_data_reg[12]_0 ), .O(sig_ip2bus_data[12])); - (* SOFT_HLUTNM = "soft_lutpair37" *) + (* SOFT_HLUTNM = "soft_lutpair16" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[1]_i_1 (.I0(\sig_register_array_reg_n_0_[1][1] ), .I1(\sig_ip2bus_data_reg[12]_0 ), .O(sig_ip2bus_data[1])); - (* SOFT_HLUTNM = "soft_lutpair36" *) + (* SOFT_HLUTNM = "soft_lutpair15" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[2]_i_1 (.I0(\sig_register_array_reg_n_0_[1][2] ), .I1(\sig_ip2bus_data_reg[12]_0 ), .O(sig_ip2bus_data[2])); - (* SOFT_HLUTNM = "soft_lutpair36" *) + (* SOFT_HLUTNM = "soft_lutpair15" *) LUT2 #( .INIT(4'h2)) \sig_ip2bus_data[5]_i_1 @@ -3789,7 +3786,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(sig_ip2bus_data[0]), - .Q(\sig_ip2bus_data_reg[0]_0 [21]), + .Q(\sig_ip2bus_data_reg[0]_0 [24]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3797,7 +3794,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(D[0]), - .Q(\sig_ip2bus_data_reg[0]_0 [11]), + .Q(\sig_ip2bus_data_reg[0]_0 [14]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3805,7 +3802,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(sig_ip2bus_data[11]), - .Q(\sig_ip2bus_data_reg[0]_0 [10]), + .Q(\sig_ip2bus_data_reg[0]_0 [13]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3813,7 +3810,15 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(sig_ip2bus_data[12]), - .Q(\sig_ip2bus_data_reg[0]_0 [9]), + .Q(\sig_ip2bus_data_reg[0]_0 [12]), + .R(IP2Bus_WrAck_i_1_n_0)); + FDRE #( + .INIT(1'b0)) + \sig_ip2bus_data_reg[19] + (.C(s_axi_aclk), + .CE(1'b1), + .D(sig_ip2bus_data[19]), + .Q(\sig_ip2bus_data_reg[0]_0 [11]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3821,7 +3826,23 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(sig_ip2bus_data[1]), - .Q(\sig_ip2bus_data_reg[0]_0 [20]), + .Q(\sig_ip2bus_data_reg[0]_0 [23]), + .R(IP2Bus_WrAck_i_1_n_0)); + FDRE #( + .INIT(1'b0)) + \sig_ip2bus_data_reg[20] + (.C(s_axi_aclk), + .CE(1'b1), + .D(sig_ip2bus_data[20]), + .Q(\sig_ip2bus_data_reg[0]_0 [10]), + .R(IP2Bus_WrAck_i_1_n_0)); + FDRE #( + .INIT(1'b0)) + \sig_ip2bus_data_reg[21] + (.C(s_axi_aclk), + .CE(1'b1), + .D(sig_ip2bus_data[21]), + .Q(\sig_ip2bus_data_reg[0]_0 [9]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3893,7 +3914,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(sig_ip2bus_data[2]), - .Q(\sig_ip2bus_data_reg[0]_0 [19]), + .Q(\sig_ip2bus_data_reg[0]_0 [22]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3909,7 +3930,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(D[6]), - .Q(\sig_ip2bus_data_reg[0]_0 [18]), + .Q(\sig_ip2bus_data_reg[0]_0 [21]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3917,7 +3938,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(D[5]), - .Q(\sig_ip2bus_data_reg[0]_0 [17]), + .Q(\sig_ip2bus_data_reg[0]_0 [20]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3925,7 +3946,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(sig_ip2bus_data[5]), - .Q(\sig_ip2bus_data_reg[0]_0 [16]), + .Q(\sig_ip2bus_data_reg[0]_0 [19]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3933,7 +3954,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(D[4]), - .Q(\sig_ip2bus_data_reg[0]_0 [15]), + .Q(\sig_ip2bus_data_reg[0]_0 [18]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3941,7 +3962,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(D[3]), - .Q(\sig_ip2bus_data_reg[0]_0 [14]), + .Q(\sig_ip2bus_data_reg[0]_0 [17]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3949,7 +3970,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(D[2]), - .Q(\sig_ip2bus_data_reg[0]_0 [13]), + .Q(\sig_ip2bus_data_reg[0]_0 [16]), .R(IP2Bus_WrAck_i_1_n_0)); FDRE #( .INIT(1'b0)) @@ -3957,33 +3978,28 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s (.C(s_axi_aclk), .CE(1'b1), .D(D[1]), - .Q(\sig_ip2bus_data_reg[0]_0 [12]), + .Q(\sig_ip2bus_data_reg[0]_0 [15]), .R(IP2Bus_WrAck_i_1_n_0)); LUT6 #( - .INIT(64'h0F004F470C004C44)) + .INIT(64'h3705330337050000)) \sig_register_array[0][10]_i_1 (.I0(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ), - .I1(\gtxd.COMP_TXD_FIFO_n_50 ), - .I2(sig_str_rst_reg_1), + .I1(sig_str_rst_reg_1), + .I2(s_axi_wdata[21]), .I3(\sig_register_array_reg[0][3]_1 ), - .I4(s_axi_wdata[21]), + .I4(\gtxd.COMP_TXD_FIFO_n_52 ), .I5(\sig_register_array_reg[0][10]_0 ), .O(\sig_register_array[0][10]_i_1_n_0 )); - LUT5 #( - .INIT(32'h0D0D000D)) + LUT6 #( + .INIT(64'h3705330337050000)) \sig_register_array[0][3]_i_1 - (.I0(s_axi_wdata[28]), - .I1(\sig_register_array_reg[0][3]_1 ), - .I2(sig_str_rst_reg_1), - .I3(\gtxd.COMP_TXD_FIFO_n_48 ), - .I4(\sig_register_array_reg[0][3]_0 ), + (.I0(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ), + .I1(sig_str_rst_reg_1), + .I2(s_axi_wdata[28]), + .I3(\sig_register_array_reg[0][3]_1 ), + .I4(\gtxd.COMP_TXD_FIFO_n_50 ), + .I5(\sig_register_array_reg[0][3]_0 ), .O(\sig_register_array[0][3]_i_1_n_0 )); - LUT2 #( - .INIT(4'hE)) - \sig_register_array[0][3]_i_4 - (.I0(IP2Bus_Error1_in), - .I1(\sig_txd_wr_data_reg[0]_0 ), - .O(IPIC_STATE_reg_0)); LUT6 #( .INIT(64'h02AAFFFF02AA0000)) \sig_register_array[0][4]_i_1 @@ -3991,19 +4007,30 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .I1(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ), .I2(s_axi_wdata[27]), .I3(\sig_register_array_reg[0][4]_1 ), - .I4(\gtxd.COMP_TXD_FIFO_n_37 ), + .I4(\sig_register_array_reg[0][4]_2 ), .I5(\sig_register_array_reg[0][4]_0 ), .O(\sig_register_array[0][4]_i_1_n_0 )); - LUT4 #( - .INIT(16'h2F20)) + LUT5 #( + .INIT(32'h222F2220)) \sig_register_array[0][6]_i_1 (.I0(\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg ), .I1(Bus_RNW_reg), - .I2(\sig_register_array_reg[0][6]_1 ), - .I3(\sig_register_array_reg[0][6]_0 ), + .I2(sig_str_rst_reg_1), + .I3(\sig_register_array[0][6]_i_2_n_0 ), + .I4(\sig_register_array_reg[0][6]_0 ), .O(\sig_register_array[0][6]_i_1_n_0 )); + (* SOFT_HLUTNM = "soft_lutpair13" *) LUT5 #( - .INIT(32'hF0FFD0DD)) + .INIT(32'h10FF1010)) + \sig_register_array[0][6]_i_2 + (.I0(IP2Bus_Error1_in), + .I1(\sig_txd_wr_data[31]_i_2_n_0 ), + .I2(sig_txd_sb_wr_en_reg_0), + .I3(\sig_register_array_reg[0][3]_1 ), + .I4(s_axi_wdata[25]), + .O(\sig_register_array[0][6]_i_2_n_0 )); + LUT5 #( + .INIT(32'hFCFFDCDD)) \sig_register_array[0][7]_i_1 (.I0(sig_str_rst_reg_0), .I1(sig_str_rst_reg_1), @@ -4022,13 +4049,13 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .I5(\sig_register_array_reg[0][8]_0 ), .O(\sig_register_array[0][8]_i_1_n_0 )); LUT6 #( - .INIT(64'h0F004F470C004C44)) + .INIT(64'h3705330337050000)) \sig_register_array[0][9]_i_1 (.I0(\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg ), - .I1(\gtxd.COMP_TXD_FIFO_n_49 ), - .I2(sig_str_rst_reg_1), + .I1(sig_str_rst_reg_1), + .I2(s_axi_wdata[22]), .I3(\sig_register_array_reg[0][3]_1 ), - .I4(s_axi_wdata[22]), + .I4(\gtxd.COMP_TXD_FIFO_n_51 ), .I5(\sig_register_array_reg[0][9]_0 ), .O(\sig_register_array[0][9]_i_1_n_0 )); FDRE \sig_register_array_reg[0][10] @@ -4152,7 +4179,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .Q(Q[1]), .R(sig_Bus2IP_Reset)); LUT5 #( - .INIT(32'h00000008)) + .INIT(32'hFFFFFFF7)) sig_str_rst_i_2 (.I0(s_axi_wdata[7]), .I1(s_axi_wdata[5]), @@ -4191,6 +4218,13 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .D(sig_tx_channel_reset_i_1_n_0), .Q(sig_tx_channel_reset_reg_0), .R(sig_Bus2IP_Reset)); + (* SOFT_HLUTNM = "soft_lutpair13" *) + LUT2 #( + .INIT(4'h8)) + sig_txd_sb_wr_en_i_1 + (.I0(\sig_txd_wr_data[31]_i_2_n_0 ), + .I1(sig_txd_sb_wr_en_reg_0), + .O(sig_txd_sb_wr_en)); FDRE #( .INIT(1'b0)) sig_txd_sb_wr_en_reg @@ -4199,19 +4233,28 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .D(sig_txd_sb_wr_en), .Q(sig_txd_sb_wr_en_reg_n_0), .R(IP2Bus_WrAck_i_1_n_0)); + LUT5 #( + .INIT(32'h0000888F)) + \sig_txd_wr_data[31]_i_1 + (.I0(\sig_txd_wr_data[31]_i_2_n_0 ), + .I1(sig_txd_sb_wr_en_reg_0), + .I2(\gtxd.COMP_TXD_FIFO_n_50 ), + .I3(IP2Bus_Error_reg_0), + .I4(IP2Bus_Error1_in), + .O(\sig_txd_wr_data[31]_i_1_n_0 )); LUT4 #( .INIT(16'hFE02)) - \sig_txd_wr_data[31]_i_3 + \sig_txd_wr_data[31]_i_2 (.I0(eqOp0_out), .I1(s_axi_wdata[0]), .I2(s_axi_wdata[1]), .I3(\eqOp_inferred__2/i__carry__1_n_1 ), - .O(s_axi_wdata_0_sn_1)); + .O(\sig_txd_wr_data[31]_i_2_n_0 )); FDRE #( .INIT(1'b0)) \sig_txd_wr_data_reg[0] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[0]), .Q(txd_wr_data_0[0]), .R(sig_Bus2IP_Reset)); @@ -4219,7 +4262,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[10] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[10]), .Q(txd_wr_data[10]), .R(sig_Bus2IP_Reset)); @@ -4227,7 +4270,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[11] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[11]), .Q(txd_wr_data[11]), .R(sig_Bus2IP_Reset)); @@ -4235,7 +4278,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[12] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[12]), .Q(txd_wr_data[12]), .R(sig_Bus2IP_Reset)); @@ -4243,7 +4286,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[13] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[13]), .Q(txd_wr_data[13]), .R(sig_Bus2IP_Reset)); @@ -4251,7 +4294,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[14] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[14]), .Q(txd_wr_data[14]), .R(sig_Bus2IP_Reset)); @@ -4259,7 +4302,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[15] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[15]), .Q(txd_wr_data[15]), .R(sig_Bus2IP_Reset)); @@ -4267,7 +4310,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[16] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[16]), .Q(txd_wr_data[16]), .R(sig_Bus2IP_Reset)); @@ -4275,7 +4318,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[17] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[17]), .Q(txd_wr_data[17]), .R(sig_Bus2IP_Reset)); @@ -4283,7 +4326,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[18] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[18]), .Q(txd_wr_data[18]), .R(sig_Bus2IP_Reset)); @@ -4291,7 +4334,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[19] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[19]), .Q(txd_wr_data[19]), .R(sig_Bus2IP_Reset)); @@ -4299,7 +4342,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[1] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[1]), .Q(txd_wr_data_0[1]), .R(sig_Bus2IP_Reset)); @@ -4307,7 +4350,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[20] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[20]), .Q(txd_wr_data[20]), .R(sig_Bus2IP_Reset)); @@ -4315,7 +4358,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[21] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[21]), .Q(txd_wr_data[21]), .R(sig_Bus2IP_Reset)); @@ -4323,7 +4366,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[22] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[22]), .Q(txd_wr_data[22]), .R(sig_Bus2IP_Reset)); @@ -4331,7 +4374,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[23] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[23]), .Q(txd_wr_data[23]), .R(sig_Bus2IP_Reset)); @@ -4339,7 +4382,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[24] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[24]), .Q(txd_wr_data[24]), .R(sig_Bus2IP_Reset)); @@ -4347,7 +4390,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[25] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[25]), .Q(txd_wr_data[25]), .R(sig_Bus2IP_Reset)); @@ -4355,7 +4398,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[26] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[26]), .Q(txd_wr_data[26]), .R(sig_Bus2IP_Reset)); @@ -4363,7 +4406,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[27] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[27]), .Q(txd_wr_data[27]), .R(sig_Bus2IP_Reset)); @@ -4371,7 +4414,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[28] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[28]), .Q(txd_wr_data[28]), .R(sig_Bus2IP_Reset)); @@ -4379,7 +4422,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[29] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[29]), .Q(txd_wr_data[29]), .R(sig_Bus2IP_Reset)); @@ -4387,7 +4430,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[2] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[2]), .Q(txd_wr_data[2]), .R(sig_Bus2IP_Reset)); @@ -4395,7 +4438,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[30] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[30]), .Q(txd_wr_data[30]), .R(sig_Bus2IP_Reset)); @@ -4403,7 +4446,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[31] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[31]), .Q(txd_wr_data[31]), .R(sig_Bus2IP_Reset)); @@ -4411,7 +4454,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[3] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[3]), .Q(txd_wr_data[3]), .R(sig_Bus2IP_Reset)); @@ -4419,7 +4462,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[4] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[4]), .Q(txd_wr_data[4]), .R(sig_Bus2IP_Reset)); @@ -4427,7 +4470,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[5] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[5]), .Q(txd_wr_data[5]), .R(sig_Bus2IP_Reset)); @@ -4435,7 +4478,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[6] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[6]), .Q(txd_wr_data[6]), .R(sig_Bus2IP_Reset)); @@ -4443,7 +4486,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[7] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[7]), .Q(txd_wr_data[7]), .R(sig_Bus2IP_Reset)); @@ -4451,7 +4494,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[8] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[8]), .Q(txd_wr_data[8]), .R(sig_Bus2IP_Reset)); @@ -4459,7 +4502,7 @@ module design_1_axi_fifo_mm_s_0_0_ipic2axi_s .INIT(1'b0)) \sig_txd_wr_data_reg[9] (.C(s_axi_aclk), - .CE(\gtxd.COMP_TXD_FIFO_n_52 ), + .CE(\sig_txd_wr_data[31]_i_1_n_0 ), .D(s_axi_wdata[9]), .Q(txd_wr_data[9]), .R(sig_Bus2IP_Reset)); @@ -4743,22 +4786,20 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment s_axi_rvalid, s_axi_bvalid, s_axi_bresp, - \s_axi_wdata[25] , + \s_axi_wdata[27] , + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] , \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 , - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 , - sig_tx_channel_reset_reg, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 , + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 , + E, bus2ip_rnw_i_reg_0, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] , - sig_txd_sb_wr_en, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] , D, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 , - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] , - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 , + sig_tx_channel_reset_reg, + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 , + \s_axi_wdata[31] , bus2ip_rnw_i_reg_1, - Bus_RNW_reg_reg_0, - E, s_axi_rdata, sig_Bus2IP_Reset, s_axi_aclk, @@ -4770,8 +4811,8 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment s_axi_wvalid, s_axi_awvalid, s_axi_wdata, - \sig_register_array_reg[0][6] , - sig_txd_sb_wr_en_reg, + axi_str_txd_tvalid, + axi_str_txd_tlast, IP2Bus_Error1_in, sig_str_rst_reg, \sig_ip2bus_data_reg[10] , @@ -4782,7 +4823,7 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment \sig_ip2bus_data_reg[6] , \sig_ip2bus_data_reg[4] , \sig_ip2bus_data_reg[3] , - sig_txd_sb_wr_en_reg_0, + IP2Bus_Error_reg, s_axi_rready, s_axi_bready, s_axi_araddr, @@ -4796,23 +4837,21 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment output s_axi_rvalid; output s_axi_bvalid; output [0:0]s_axi_bresp; - output \s_axi_wdata[25] ; + output \s_axi_wdata[27] ; + output \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] ; output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ; - output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ; - output sig_tx_channel_reset_reg; - output \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 ; + output \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ; + output [0:0]E; output bus2ip_rnw_i_reg_0; output \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] ; - output sig_txd_sb_wr_en; output \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] ; output [6:0]D; output \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ; - output \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] ; - output \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 ; + output sig_tx_channel_reset_reg; + output \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 ; + output [12:0]\s_axi_wdata[31] ; output bus2ip_rnw_i_reg_1; - output [12:0]Bus_RNW_reg_reg_0; - output [0:0]E; - output [21:0]s_axi_rdata; + output [24:0]s_axi_rdata; input sig_Bus2IP_Reset; input s_axi_aclk; input cs_ce_clr; @@ -4823,8 +4862,8 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment input s_axi_wvalid; input s_axi_awvalid; input [12:0]s_axi_wdata; - input \sig_register_array_reg[0][6] ; - input sig_txd_sb_wr_en_reg; + input axi_str_txd_tvalid; + input axi_str_txd_tlast; input IP2Bus_Error1_in; input sig_str_rst_reg; input \sig_ip2bus_data_reg[10] ; @@ -4835,15 +4874,14 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment input \sig_ip2bus_data_reg[6] ; input \sig_ip2bus_data_reg[4] ; input \sig_ip2bus_data_reg[3] ; - input sig_txd_sb_wr_en_reg_0; + input IP2Bus_Error_reg; input s_axi_rready; input s_axi_bready; input [3:0]s_axi_araddr; input [3:0]s_axi_awaddr; - input [21:0]\s_axi_rdata_i_reg[31]_0 ; + input [24:0]\s_axi_rdata_i_reg[31]_0 ; wire Bus_RNW_reg_reg; - wire [12:0]Bus_RNW_reg_reg_0; wire [6:0]D; wire [0:0]E; wire \FSM_onehot_state[0]_i_1_n_0 ; @@ -4857,16 +4895,18 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment wire \FSM_onehot_state_reg_n_0_[1] ; wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] ; wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ; - wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ; - wire \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 ; wire \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] ; wire \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ; wire \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] ; - wire \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] ; - wire \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 ; + wire \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 ; + wire \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] ; + wire \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ; wire \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5] ; wire IP2Bus_Error1_in; + wire IP2Bus_Error_reg; wire [6:0]Q; + wire axi_str_txd_tlast; + wire axi_str_txd_tvalid; wire \bus2ip_addr_i[2]_i_1_n_0 ; wire \bus2ip_addr_i[3]_i_1_n_0 ; wire \bus2ip_addr_i[4]_i_1_n_0 ; @@ -4893,15 +4933,16 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment wire \s_axi_bresp_i[1]_i_1_n_0 ; wire s_axi_bvalid; wire s_axi_bvalid_i_i_1_n_0; - wire [21:0]s_axi_rdata; - wire [21:0]\s_axi_rdata_i_reg[31]_0 ; + wire [24:0]s_axi_rdata; + wire [24:0]\s_axi_rdata_i_reg[31]_0 ; wire s_axi_rready; wire [0:0]s_axi_rresp; wire s_axi_rresp_i; wire s_axi_rvalid; wire s_axi_rvalid_i_i_1_n_0; wire [12:0]s_axi_wdata; - wire \s_axi_wdata[25] ; + wire \s_axi_wdata[27] ; + wire [12:0]\s_axi_wdata[31] ; wire s_axi_wvalid; wire sig_Bus2IP_CS; wire sig_Bus2IP_RNW; @@ -4913,12 +4954,8 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment wire \sig_ip2bus_data_reg[7] ; wire \sig_ip2bus_data_reg[8] ; wire \sig_ip2bus_data_reg[9] ; - wire \sig_register_array_reg[0][6] ; wire sig_str_rst_reg; wire sig_tx_channel_reset_reg; - wire sig_txd_sb_wr_en; - wire sig_txd_sb_wr_en_reg; - wire sig_txd_sb_wr_en_reg_0; wire start2; wire start2_i_1_n_0; @@ -5004,14 +5041,14 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .D(\FSM_onehot_state[3]_i_1_n_0 ), .Q(s_axi_rresp_i), .R(rst)); - (* SOFT_HLUTNM = "soft_lutpair49" *) + (* SOFT_HLUTNM = "soft_lutpair29" *) LUT2 #( .INIT(4'h2)) IP2Bus_RdAck_i_2 (.I0(sig_Bus2IP_RNW), .I1(IP2Bus_Error1_in), .O(bus2ip_rnw_i_reg_0)); - (* SOFT_HLUTNM = "soft_lutpair49" *) + (* SOFT_HLUTNM = "soft_lutpair29" *) LUT1 #( .INIT(2'h1)) IP2Bus_WrAck_i_2 @@ -5019,26 +5056,28 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .O(bus2ip_rnw_i_reg_1)); design_1_axi_fifo_mm_s_0_0_address_decoder I_DECODER (.Bus_RNW_reg_reg_0(Bus_RNW_reg_reg), - .Bus_RNW_reg_reg_1(Bus_RNW_reg_reg_0), .D(D), .E(E), .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 (\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10] ), .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 (\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0 ), - .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 (\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1 ), - .\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_3 (\GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2 ), .\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 (\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1] ), .\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1 (\GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0 ), .\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 (\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2] ), - .\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 (\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3] ), - .\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_1 (\GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0 ), + .\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_1 (\GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0 ), + .\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 (\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4] ), + .\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_1 (\GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0 ), .\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0 (\GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5] ), .\GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0 ({\bus2ip_addr_i_reg_n_0_[5] ,\bus2ip_addr_i_reg_n_0_[4] ,\bus2ip_addr_i_reg_n_0_[3] ,\bus2ip_addr_i_reg_n_0_[2] }), .IP2Bus_Error1_in(IP2Bus_Error1_in), + .IP2Bus_Error_reg(IP2Bus_Error_reg), .Q(Q), + .axi_str_txd_tlast(axi_str_txd_tlast), + .axi_str_txd_tvalid(axi_str_txd_tvalid), .cs_ce_clr(cs_ce_clr), .s_axi_aclk(s_axi_aclk), .s_axi_wdata(s_axi_wdata), - .\s_axi_wdata[25] (\s_axi_wdata[25] ), + .\s_axi_wdata[27] (\s_axi_wdata[27] ), + .\s_axi_wdata[31] (\s_axi_wdata[31] ), .sig_Bus2IP_CS(sig_Bus2IP_CS), .sig_Bus2IP_RNW(sig_Bus2IP_RNW), .\sig_ip2bus_data_reg[10] (\sig_ip2bus_data_reg[10] ), @@ -5048,14 +5087,10 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .\sig_ip2bus_data_reg[7] (\sig_ip2bus_data_reg[7] ), .\sig_ip2bus_data_reg[8] (\sig_ip2bus_data_reg[8] ), .\sig_ip2bus_data_reg[9] (\sig_ip2bus_data_reg[9] ), - .\sig_register_array_reg[0][6] (\sig_register_array_reg[0][6] ), .sig_str_rst_reg(sig_str_rst_reg), .sig_tx_channel_reset_reg(sig_tx_channel_reset_reg), - .sig_txd_sb_wr_en(sig_txd_sb_wr_en), - .sig_txd_sb_wr_en_reg(sig_txd_sb_wr_en_reg), - .sig_txd_sb_wr_en_reg_0(sig_txd_sb_wr_en_reg_0), .start2(start2)); - (* SOFT_HLUTNM = "soft_lutpair48" *) + (* SOFT_HLUTNM = "soft_lutpair28" *) LUT4 #( .INIT(16'hF780)) \bus2ip_addr_i[2]_i_1 @@ -5120,7 +5155,7 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .I2(s_axi_wvalid), .I3(s_axi_awvalid), .O(bus2ip_rnw_i_i_1_n_0)); - (* SOFT_HLUTNM = "soft_lutpair48" *) + (* SOFT_HLUTNM = "soft_lutpair28" *) LUT2 #( .INIT(4'h8)) bus2ip_rnw_i_i_2 @@ -5172,12 +5207,36 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .R(rst)); FDRE #( .INIT(1'b0)) - \s_axi_rdata_i_reg[19] + \s_axi_rdata_i_reg[10] (.C(s_axi_aclk), .CE(s_axi_rresp_i), .D(\s_axi_rdata_i_reg[31]_0 [9]), .Q(s_axi_rdata[9]), .R(rst)); + FDRE #( + .INIT(1'b0)) + \s_axi_rdata_i_reg[11] + (.C(s_axi_aclk), + .CE(s_axi_rresp_i), + .D(\s_axi_rdata_i_reg[31]_0 [10]), + .Q(s_axi_rdata[10]), + .R(rst)); + FDRE #( + .INIT(1'b0)) + \s_axi_rdata_i_reg[12] + (.C(s_axi_aclk), + .CE(s_axi_rresp_i), + .D(\s_axi_rdata_i_reg[31]_0 [11]), + .Q(s_axi_rdata[11]), + .R(rst)); + FDRE #( + .INIT(1'b0)) + \s_axi_rdata_i_reg[19] + (.C(s_axi_aclk), + .CE(s_axi_rresp_i), + .D(\s_axi_rdata_i_reg[31]_0 [12]), + .Q(s_axi_rdata[12]), + .R(rst)); FDRE #( .INIT(1'b0)) \s_axi_rdata_i_reg[1] @@ -5189,30 +5248,6 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment FDRE #( .INIT(1'b0)) \s_axi_rdata_i_reg[20] - (.C(s_axi_aclk), - .CE(s_axi_rresp_i), - .D(\s_axi_rdata_i_reg[31]_0 [10]), - .Q(s_axi_rdata[10]), - .R(rst)); - FDRE #( - .INIT(1'b0)) - \s_axi_rdata_i_reg[21] - (.C(s_axi_aclk), - .CE(s_axi_rresp_i), - .D(\s_axi_rdata_i_reg[31]_0 [11]), - .Q(s_axi_rdata[11]), - .R(rst)); - FDRE #( - .INIT(1'b0)) - \s_axi_rdata_i_reg[22] - (.C(s_axi_aclk), - .CE(s_axi_rresp_i), - .D(\s_axi_rdata_i_reg[31]_0 [12]), - .Q(s_axi_rdata[12]), - .R(rst)); - FDRE #( - .INIT(1'b0)) - \s_axi_rdata_i_reg[23] (.C(s_axi_aclk), .CE(s_axi_rresp_i), .D(\s_axi_rdata_i_reg[31]_0 [13]), @@ -5220,7 +5255,7 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .R(rst)); FDRE #( .INIT(1'b0)) - \s_axi_rdata_i_reg[24] + \s_axi_rdata_i_reg[21] (.C(s_axi_aclk), .CE(s_axi_rresp_i), .D(\s_axi_rdata_i_reg[31]_0 [14]), @@ -5228,7 +5263,7 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .R(rst)); FDRE #( .INIT(1'b0)) - \s_axi_rdata_i_reg[25] + \s_axi_rdata_i_reg[22] (.C(s_axi_aclk), .CE(s_axi_rresp_i), .D(\s_axi_rdata_i_reg[31]_0 [15]), @@ -5236,7 +5271,7 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .R(rst)); FDRE #( .INIT(1'b0)) - \s_axi_rdata_i_reg[26] + \s_axi_rdata_i_reg[23] (.C(s_axi_aclk), .CE(s_axi_rresp_i), .D(\s_axi_rdata_i_reg[31]_0 [16]), @@ -5244,7 +5279,7 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .R(rst)); FDRE #( .INIT(1'b0)) - \s_axi_rdata_i_reg[27] + \s_axi_rdata_i_reg[24] (.C(s_axi_aclk), .CE(s_axi_rresp_i), .D(\s_axi_rdata_i_reg[31]_0 [17]), @@ -5252,7 +5287,7 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .R(rst)); FDRE #( .INIT(1'b0)) - \s_axi_rdata_i_reg[28] + \s_axi_rdata_i_reg[25] (.C(s_axi_aclk), .CE(s_axi_rresp_i), .D(\s_axi_rdata_i_reg[31]_0 [18]), @@ -5260,12 +5295,36 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment .R(rst)); FDRE #( .INIT(1'b0)) - \s_axi_rdata_i_reg[29] + \s_axi_rdata_i_reg[26] (.C(s_axi_aclk), .CE(s_axi_rresp_i), .D(\s_axi_rdata_i_reg[31]_0 [19]), .Q(s_axi_rdata[19]), .R(rst)); + FDRE #( + .INIT(1'b0)) + \s_axi_rdata_i_reg[27] + (.C(s_axi_aclk), + .CE(s_axi_rresp_i), + .D(\s_axi_rdata_i_reg[31]_0 [20]), + .Q(s_axi_rdata[20]), + .R(rst)); + FDRE #( + .INIT(1'b0)) + \s_axi_rdata_i_reg[28] + (.C(s_axi_aclk), + .CE(s_axi_rresp_i), + .D(\s_axi_rdata_i_reg[31]_0 [21]), + .Q(s_axi_rdata[21]), + .R(rst)); + FDRE #( + .INIT(1'b0)) + \s_axi_rdata_i_reg[29] + (.C(s_axi_aclk), + .CE(s_axi_rresp_i), + .D(\s_axi_rdata_i_reg[31]_0 [22]), + .Q(s_axi_rdata[22]), + .R(rst)); FDRE #( .INIT(1'b0)) \s_axi_rdata_i_reg[2] @@ -5279,16 +5338,16 @@ module design_1_axi_fifo_mm_s_0_0_slave_attachment \s_axi_rdata_i_reg[30] (.C(s_axi_aclk), .CE(s_axi_rresp_i), - .D(\s_axi_rdata_i_reg[31]_0 [20]), - .Q(s_axi_rdata[20]), + .D(\s_axi_rdata_i_reg[31]_0 [23]), + .Q(s_axi_rdata[23]), .R(rst)); FDRE #( .INIT(1'b0)) \s_axi_rdata_i_reg[31] (.C(s_axi_aclk), .CE(s_axi_rresp_i), - .D(\s_axi_rdata_i_reg[31]_0 [21]), - .Q(s_axi_rdata[21]), + .D(\s_axi_rdata_i_reg[31]_0 [24]), + .Q(s_axi_rdata[24]), .R(rst)); FDRE #( .INIT(1'b0)) @@ -5451,247 +5510,167 @@ endmodule (* ORIG_REF_NAME = "xpm_counter_updn" *) module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized0 - (\count_value_i_reg[7]_0 , - Q, - \count_value_i_reg[4]_0 , + (Q, + S, \count_value_i_reg[0]_0 , - E, + ram_wr_en_pf, wr_clk); - output [0:0]\count_value_i_reg[7]_0 ; - input [8:0]Q; - input \count_value_i_reg[4]_0 ; + output [11:0]Q; + input [0:0]S; input [0:0]\count_value_i_reg[0]_0 ; - input [0:0]E; + input ram_wr_en_pf; input wr_clk; - wire [0:0]E; - wire [8:0]Q; - wire \count_value_i[0]_i_1__3_n_0 ; - wire \count_value_i[1]_i_1__1_n_0 ; - wire \count_value_i[2]_i_1__0_n_0 ; - wire \count_value_i[3]_i_1__0_n_0 ; - wire \count_value_i[4]_i_1__0_n_0 ; - wire \count_value_i[5]_i_1__0_n_0 ; - wire \count_value_i[6]_i_1__0_n_0 ; - wire \count_value_i[7]_i_1__0_n_0 ; - wire \count_value_i[8]_i_1__2_n_0 ; - wire \count_value_i[8]_i_2__0_n_0 ; + wire [11:0]Q; + wire [0:0]S; wire [0:0]\count_value_i_reg[0]_0 ; - wire \count_value_i_reg[4]_0 ; - wire [0:0]\count_value_i_reg[7]_0 ; - wire \count_value_i_reg_n_0_[0] ; - wire \count_value_i_reg_n_0_[1] ; - wire \count_value_i_reg_n_0_[2] ; - wire \count_value_i_reg_n_0_[3] ; - wire \count_value_i_reg_n_0_[4] ; - wire \count_value_i_reg_n_0_[5] ; - wire \count_value_i_reg_n_0_[6] ; - wire \count_value_i_reg_n_0_[7] ; - wire \count_value_i_reg_n_0_[8] ; - wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0 ; - wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0 ; - wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0 ; - wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_2 ; - wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_3 ; + wire \count_value_i_reg[11]_i_1__3_n_1 ; + wire \count_value_i_reg[11]_i_1__3_n_2 ; + wire \count_value_i_reg[11]_i_1__3_n_3 ; + wire \count_value_i_reg[11]_i_1__3_n_4 ; + wire \count_value_i_reg[11]_i_1__3_n_5 ; + wire \count_value_i_reg[11]_i_1__3_n_6 ; + wire \count_value_i_reg[11]_i_1__3_n_7 ; + wire \count_value_i_reg[3]_i_1__3_n_0 ; + wire \count_value_i_reg[3]_i_1__3_n_1 ; + wire \count_value_i_reg[3]_i_1__3_n_2 ; + wire \count_value_i_reg[3]_i_1__3_n_3 ; + wire \count_value_i_reg[3]_i_1__3_n_4 ; + wire \count_value_i_reg[3]_i_1__3_n_5 ; + wire \count_value_i_reg[3]_i_1__3_n_6 ; + wire \count_value_i_reg[3]_i_1__3_n_7 ; + wire \count_value_i_reg[7]_i_1__3_n_0 ; + wire \count_value_i_reg[7]_i_1__3_n_1 ; + wire \count_value_i_reg[7]_i_1__3_n_2 ; + wire \count_value_i_reg[7]_i_1__3_n_3 ; + wire \count_value_i_reg[7]_i_1__3_n_4 ; + wire \count_value_i_reg[7]_i_1__3_n_5 ; + wire \count_value_i_reg[7]_i_1__3_n_6 ; + wire \count_value_i_reg[7]_i_1__3_n_7 ; + wire ram_wr_en_pf; wire wr_clk; - wire [3:3]\NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_CO_UNCONNECTED ; - wire [3:0]\NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_O_UNCONNECTED ; + wire [3:3]\NLW_count_value_i_reg[11]_i_1__3_CO_UNCONNECTED ; - (* SOFT_HLUTNM = "soft_lutpair20" *) - LUT1 #( - .INIT(2'h1)) - \count_value_i[0]_i_1__3 - (.I0(\count_value_i_reg_n_0_[0] ), - .O(\count_value_i[0]_i_1__3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair20" *) - LUT2 #( - .INIT(4'h6)) - \count_value_i[1]_i_1__1 - (.I0(\count_value_i_reg_n_0_[1] ), - .I1(\count_value_i_reg_n_0_[0] ), - .O(\count_value_i[1]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair18" *) - LUT4 #( - .INIT(16'hDF20)) - \count_value_i[2]_i_1__0 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(\count_value_i_reg[4]_0 ), - .I2(\count_value_i_reg_n_0_[1] ), - .I3(\count_value_i_reg_n_0_[2] ), - .O(\count_value_i[2]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair18" *) - LUT5 #( - .INIT(32'hDF20FF00)) - \count_value_i[3]_i_1__0 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(\count_value_i_reg[4]_0 ), - .I2(\count_value_i_reg_n_0_[1] ), - .I3(\count_value_i_reg_n_0_[3] ), - .I4(\count_value_i_reg_n_0_[2] ), - .O(\count_value_i[3]_i_1__0_n_0 )); - LUT6 #( - .INIT(64'hDF20FF00FF00FF00)) - \count_value_i[4]_i_1__0 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(\count_value_i_reg[4]_0 ), - .I2(\count_value_i_reg_n_0_[1] ), - .I3(\count_value_i_reg_n_0_[4] ), - .I4(\count_value_i_reg_n_0_[2] ), - .I5(\count_value_i_reg_n_0_[3] ), - .O(\count_value_i[4]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair19" *) - LUT2 #( - .INIT(4'h9)) - \count_value_i[5]_i_1__0 - (.I0(\count_value_i[8]_i_2__0_n_0 ), - .I1(\count_value_i_reg_n_0_[5] ), - .O(\count_value_i[5]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair19" *) - LUT3 #( - .INIT(8'h9A)) - \count_value_i[6]_i_1__0 - (.I0(\count_value_i_reg_n_0_[6] ), - .I1(\count_value_i[8]_i_2__0_n_0 ), - .I2(\count_value_i_reg_n_0_[5] ), - .O(\count_value_i[6]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair17" *) - LUT4 #( - .INIT(16'hA6AA)) - \count_value_i[7]_i_1__0 - (.I0(\count_value_i_reg_n_0_[7] ), - .I1(\count_value_i_reg_n_0_[5] ), - .I2(\count_value_i[8]_i_2__0_n_0 ), - .I3(\count_value_i_reg_n_0_[6] ), - .O(\count_value_i[7]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair17" *) - LUT5 #( - .INIT(32'hA6AAAAAA)) - \count_value_i[8]_i_1__2 - (.I0(\count_value_i_reg_n_0_[8] ), - .I1(\count_value_i_reg_n_0_[6] ), - .I2(\count_value_i[8]_i_2__0_n_0 ), - .I3(\count_value_i_reg_n_0_[5] ), - .I4(\count_value_i_reg_n_0_[7] ), - .O(\count_value_i[8]_i_1__2_n_0 )); - LUT6 #( - .INIT(64'hDFFFFFFFFFFFFFFF)) - \count_value_i[8]_i_2__0 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(\count_value_i_reg[4]_0 ), - .I2(\count_value_i_reg_n_0_[1] ), - .I3(\count_value_i_reg_n_0_[3] ), - .I4(\count_value_i_reg_n_0_[2] ), - .I5(\count_value_i_reg_n_0_[4] ), - .O(\count_value_i[8]_i_2__0_n_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[0] (.C(wr_clk), - .CE(E), - .D(\count_value_i[0]_i_1__3_n_0 ), - .Q(\count_value_i_reg_n_0_[0] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1__3_n_7 ), + .Q(Q[0]), .R(\count_value_i_reg[0]_0 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[10] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1__3_n_5 ), + .Q(Q[10]), + .R(\count_value_i_reg[0]_0 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[11] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1__3_n_4 ), + .Q(Q[11]), + .R(\count_value_i_reg[0]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[11]_i_1__3 + (.CI(\count_value_i_reg[7]_i_1__3_n_0 ), + .CO({\NLW_count_value_i_reg[11]_i_1__3_CO_UNCONNECTED [3],\count_value_i_reg[11]_i_1__3_n_1 ,\count_value_i_reg[11]_i_1__3_n_2 ,\count_value_i_reg[11]_i_1__3_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[11]_i_1__3_n_4 ,\count_value_i_reg[11]_i_1__3_n_5 ,\count_value_i_reg[11]_i_1__3_n_6 ,\count_value_i_reg[11]_i_1__3_n_7 }), + .S(Q[11:8])); FDSE #( .INIT(1'b1)) \count_value_i_reg[1] (.C(wr_clk), - .CE(E), - .D(\count_value_i[1]_i_1__1_n_0 ), - .Q(\count_value_i_reg_n_0_[1] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1__3_n_6 ), + .Q(Q[1]), .S(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[2] (.C(wr_clk), - .CE(E), - .D(\count_value_i[2]_i_1__0_n_0 ), - .Q(\count_value_i_reg_n_0_[2] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1__3_n_5 ), + .Q(Q[2]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[3] (.C(wr_clk), - .CE(E), - .D(\count_value_i[3]_i_1__0_n_0 ), - .Q(\count_value_i_reg_n_0_[3] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1__3_n_4 ), + .Q(Q[3]), .R(\count_value_i_reg[0]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[3]_i_1__3 + (.CI(1'b0), + .CO({\count_value_i_reg[3]_i_1__3_n_0 ,\count_value_i_reg[3]_i_1__3_n_1 ,\count_value_i_reg[3]_i_1__3_n_2 ,\count_value_i_reg[3]_i_1__3_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,Q[0]}), + .O({\count_value_i_reg[3]_i_1__3_n_4 ,\count_value_i_reg[3]_i_1__3_n_5 ,\count_value_i_reg[3]_i_1__3_n_6 ,\count_value_i_reg[3]_i_1__3_n_7 }), + .S({Q[3:1],S})); FDRE #( .INIT(1'b0)) \count_value_i_reg[4] (.C(wr_clk), - .CE(E), - .D(\count_value_i[4]_i_1__0_n_0 ), - .Q(\count_value_i_reg_n_0_[4] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1__3_n_7 ), + .Q(Q[4]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[5] (.C(wr_clk), - .CE(E), - .D(\count_value_i[5]_i_1__0_n_0 ), - .Q(\count_value_i_reg_n_0_[5] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1__3_n_6 ), + .Q(Q[5]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[6] (.C(wr_clk), - .CE(E), - .D(\count_value_i[6]_i_1__0_n_0 ), - .Q(\count_value_i_reg_n_0_[6] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1__3_n_5 ), + .Q(Q[6]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[7] (.C(wr_clk), - .CE(E), - .D(\count_value_i[7]_i_1__0_n_0 ), - .Q(\count_value_i_reg_n_0_[7] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1__3_n_4 ), + .Q(Q[7]), .R(\count_value_i_reg[0]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[7]_i_1__3 + (.CI(\count_value_i_reg[3]_i_1__3_n_0 ), + .CO({\count_value_i_reg[7]_i_1__3_n_0 ,\count_value_i_reg[7]_i_1__3_n_1 ,\count_value_i_reg[7]_i_1__3_n_2 ,\count_value_i_reg[7]_i_1__3_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[7]_i_1__3_n_4 ,\count_value_i_reg[7]_i_1__3_n_5 ,\count_value_i_reg[7]_i_1__3_n_6 ,\count_value_i_reg[7]_i_1__3_n_7 }), + .S(Q[7:4])); FDRE #( .INIT(1'b0)) \count_value_i_reg[8] (.C(wr_clk), - .CE(E), - .D(\count_value_i[8]_i_1__2_n_0 ), - .Q(\count_value_i_reg_n_0_[8] ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1__3_n_7 ), + .Q(Q[8]), + .R(\count_value_i_reg[0]_0 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[9] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1__3_n_6 ), + .Q(Q[9]), .R(\count_value_i_reg[0]_0 )); - LUT6 #( - .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4 - (.I0(\count_value_i_reg_n_0_[7] ), - .I1(Q[7]), - .I2(Q[8]), - .I3(\count_value_i_reg_n_0_[8] ), - .I4(Q[6]), - .I5(\count_value_i_reg_n_0_[6] ), - .O(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0 )); - LUT6 #( - .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5 - (.I0(\count_value_i_reg_n_0_[3] ), - .I1(Q[3]), - .I2(Q[5]), - .I3(\count_value_i_reg_n_0_[5] ), - .I4(Q[4]), - .I5(\count_value_i_reg_n_0_[4] ), - .O(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0 )); - LUT6 #( - .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6 - (.I0(\count_value_i_reg_n_0_[1] ), - .I1(Q[1]), - .I2(Q[2]), - .I3(\count_value_i_reg_n_0_[2] ), - .I4(Q[0]), - .I5(\count_value_i_reg_n_0_[0] ), - .O(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0 )); - CARRY4 \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3 - (.CI(1'b0), - .CO({\NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_CO_UNCONNECTED [3],\count_value_i_reg[7]_0 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_2 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_3 }), - .CYINIT(1'b1), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O(\NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_O_UNCONNECTED [3:0]), - .S({1'b0,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0 })); endmodule (* ORIG_REF_NAME = "xpm_counter_updn" *) @@ -5699,9 +5678,9 @@ module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized1 (Q, S, DI, - \count_value_i_reg[1]_0 , - rd_en, ram_empty_i, + \count_value_i_reg[0]_0 , + rd_en, \grdc.rd_data_count_i_reg[3] , \grdc.rd_data_count_i_reg[3]_0 , SR, @@ -5709,9 +5688,9 @@ module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized1 output [0:0]Q; output [1:0]S; output [0:0]DI; - input [1:0]\count_value_i_reg[1]_0 ; - input rd_en; input ram_empty_i; + input [1:0]\count_value_i_reg[0]_0 ; + input rd_en; input [1:0]\grdc.rd_data_count_i_reg[3] ; input [1:0]\grdc.rd_data_count_i_reg[3]_0 ; input [0:0]SR; @@ -5722,9 +5701,9 @@ module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized1 wire [1:0]S; wire [0:0]SR; wire [0:0]count_value_i; - wire \count_value_i[0]_i_1__0_n_0 ; + wire \count_value_i[0]_i_1_n_0 ; wire \count_value_i[1]_i_3_n_0 ; - wire [1:0]\count_value_i_reg[1]_0 ; + wire [1:0]\count_value_i_reg[0]_0 ; wire \gen_fwft.count_en ; wire [1:0]\grdc.rd_data_count_i_reg[3] ; wire [1:0]\grdc.rd_data_count_i_reg[3]_0 ; @@ -5733,36 +5712,40 @@ module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized1 wire wr_clk; (* SOFT_HLUTNM = "soft_lutpair0" *) - LUT1 #( - .INIT(2'h1)) - \count_value_i[0]_i_1__0 + LUT5 #( + .INIT(32'h5AAAA655)) + \count_value_i[0]_i_1 (.I0(count_value_i), - .O(\count_value_i[0]_i_1__0_n_0 )); + .I1(\count_value_i_reg[0]_0 [0]), + .I2(rd_en), + .I3(\count_value_i_reg[0]_0 [1]), + .I4(ram_empty_i), + .O(\count_value_i[0]_i_1_n_0 )); LUT4 #( - .INIT(16'h9585)) + .INIT(16'hC02F)) \count_value_i[1]_i_2 - (.I0(ram_empty_i), + (.I0(\count_value_i_reg[0]_0 [0]), .I1(rd_en), - .I2(\count_value_i_reg[1]_0 [1]), - .I3(\count_value_i_reg[1]_0 [0]), + .I2(\count_value_i_reg[0]_0 [1]), + .I3(ram_empty_i), .O(\gen_fwft.count_en )); (* SOFT_HLUTNM = "soft_lutpair0" *) LUT6 #( - .INIT(64'hAA956AAAAA996AAA)) + .INIT(64'hA999A9A96AAA6AAA)) \count_value_i[1]_i_3 (.I0(Q), - .I1(\count_value_i_reg[1]_0 [1]), - .I2(rd_en), - .I3(ram_empty_i), - .I4(count_value_i), - .I5(\count_value_i_reg[1]_0 [0]), + .I1(ram_empty_i), + .I2(\count_value_i_reg[0]_0 [1]), + .I3(rd_en), + .I4(\count_value_i_reg[0]_0 [0]), + .I5(count_value_i), .O(\count_value_i[1]_i_3_n_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[0] (.C(wr_clk), .CE(\gen_fwft.count_en ), - .D(\count_value_i[0]_i_1__0_n_0 ), + .D(\count_value_i[0]_i_1_n_0 ), .Q(count_value_i), .R(SR)); FDRE #( @@ -5778,744 +5761,732 @@ module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized1 .INIT(4'hB)) \gwdc.wr_data_count_i[3]_i_4 (.I0(count_value_i), - .I1(\grdc.rd_data_count_i_reg[3]_0 [0]), + .I1(\grdc.rd_data_count_i_reg[3] [0]), .O(DI)); LUT4 #( .INIT(16'h9669)) \gwdc.wr_data_count_i[3]_i_7 (.I0(DI), .I1(\grdc.rd_data_count_i_reg[3] [1]), - .I2(\grdc.rd_data_count_i_reg[3]_0 [1]), - .I3(Q), + .I2(Q), + .I3(\grdc.rd_data_count_i_reg[3]_0 [1]), .O(S[1])); (* HLUTNM = "lutpair0" *) LUT3 #( .INIT(8'h96)) \gwdc.wr_data_count_i[3]_i_8 (.I0(count_value_i), - .I1(\grdc.rd_data_count_i_reg[3]_0 [0]), - .I2(\grdc.rd_data_count_i_reg[3] [0]), + .I1(\grdc.rd_data_count_i_reg[3] [0]), + .I2(\grdc.rd_data_count_i_reg[3]_0 [0]), .O(S[0])); endmodule (* ORIG_REF_NAME = "xpm_counter_updn" *) module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2 - (\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg , + (\syncstages_ff_reg[3] , \FSM_sequential_gen_fwft.curr_fwft_state_reg[0] , - \syncstages_ff_reg[3] , + DI, Q, - E, - D, - \count_value_i_reg[8]_0 , - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0 , - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg , - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0 , + S, + \count_value_i_reg[1]_0 , + \count_value_i_reg[11]_0 , CO, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1 , + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg , + \count_value_i_reg[3]_0 , + \count_value_i_reg[7]_0 , + \count_value_i_reg[11]_1 , + \count_value_i_reg[0]_0 , + \count_value_i_reg[1]_1 , + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0 , + clr_full, rst, almost_full, - \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg , - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2 , - ram_empty_i, + ram_wr_en_pf, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] , + \grdc.rd_data_count_i_reg[12] , + \grdc.rd_data_count_i_reg[3] , + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 , + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg , + \count_value_i_reg[0]_1 , rd_en, - S, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] , - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] , - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] , - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8] , - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 , - \count_value_i_reg[0]_0 , + ram_empty_i, + \count_value_i_reg[0]_2 , wr_clk); - output \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg ; - output \FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ; output \syncstages_ff_reg[3] ; - output [9:0]Q; - output [0:0]E; - output [8:0]D; - output [8:0]\count_value_i_reg[8]_0 ; + output \FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ; + output [0:0]DI; + output [11:0]Q; + output [0:0]S; + output [0:0]\count_value_i_reg[1]_0 ; + output [0:0]\count_value_i_reg[11]_0 ; + output [0:0]CO; + output \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg ; + output [2:0]\count_value_i_reg[3]_0 ; + output [3:0]\count_value_i_reg[7]_0 ; + output [3:0]\count_value_i_reg[11]_1 ; + output [0:0]\count_value_i_reg[0]_0 ; + output [0:0]\count_value_i_reg[1]_1 ; output \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0 ; - input \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg ; - input \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0 ; - input [0:0]CO; - input \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1 ; + input clr_full; input rst; input almost_full; - input [0:0]\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg ; - input [1:0]\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2 ; - input ram_empty_i; + input ram_wr_en_pf; + input [11:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] ; + input [12:0]\grdc.rd_data_count_i_reg[12] ; + input [0:0]\grdc.rd_data_count_i_reg[3] ; + input [11:0]\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 ; + input \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg ; + input [1:0]\count_value_i_reg[0]_1 ; input rd_en; - input [0:0]S; - input [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] ; - input [7:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] ; - input [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] ; - input [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8] ; - input [8:0]\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 ; - input [0:0]\count_value_i_reg[0]_0 ; + input ram_empty_i; + input [0:0]\count_value_i_reg[0]_2 ; input wr_clk; wire [0:0]CO; - wire [8:0]D; - wire [0:0]E; + wire [0:0]DI; wire \FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ; - wire [9:0]Q; + wire [11:0]Q; wire [0:0]S; wire almost_full; - wire \count_value_i[0]_i_1_n_0 ; - wire \count_value_i[1]_i_1__4_n_0 ; - wire \count_value_i[2]_i_1__2_n_0 ; - wire \count_value_i[3]_i_1__2_n_0 ; - wire \count_value_i[4]_i_1__2_n_0 ; - wire \count_value_i[5]_i_1__2_n_0 ; - wire \count_value_i[6]_i_1__2_n_0 ; - wire \count_value_i[7]_i_1__2_n_0 ; - wire \count_value_i[8]_i_1_n_0 ; - wire \count_value_i[9]_i_1_n_0 ; - wire \count_value_i[9]_i_2_n_0 ; + wire clr_full; + wire \count_value_i[3]_i_2__0_n_0 ; wire [0:0]\count_value_i_reg[0]_0 ; - wire [8:0]\count_value_i_reg[8]_0 ; - wire [0:0]\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg ; + wire [1:0]\count_value_i_reg[0]_1 ; + wire [0:0]\count_value_i_reg[0]_2 ; + wire [0:0]\count_value_i_reg[11]_0 ; + wire [3:0]\count_value_i_reg[11]_1 ; + wire \count_value_i_reg[11]_i_1__0_n_0 ; + wire \count_value_i_reg[11]_i_1__0_n_1 ; + wire \count_value_i_reg[11]_i_1__0_n_2 ; + wire \count_value_i_reg[11]_i_1__0_n_3 ; + wire \count_value_i_reg[11]_i_1__0_n_4 ; + wire \count_value_i_reg[11]_i_1__0_n_5 ; + wire \count_value_i_reg[11]_i_1__0_n_6 ; + wire \count_value_i_reg[11]_i_1__0_n_7 ; + wire \count_value_i_reg[12]_i_1__0_n_7 ; + wire [0:0]\count_value_i_reg[1]_0 ; + wire [0:0]\count_value_i_reg[1]_1 ; + wire [2:0]\count_value_i_reg[3]_0 ; + wire \count_value_i_reg[3]_i_1__0_n_0 ; + wire \count_value_i_reg[3]_i_1__0_n_1 ; + wire \count_value_i_reg[3]_i_1__0_n_2 ; + wire \count_value_i_reg[3]_i_1__0_n_3 ; + wire \count_value_i_reg[3]_i_1__0_n_4 ; + wire \count_value_i_reg[3]_i_1__0_n_5 ; + wire \count_value_i_reg[3]_i_1__0_n_6 ; + wire \count_value_i_reg[3]_i_1__0_n_7 ; + wire [3:0]\count_value_i_reg[7]_0 ; + wire \count_value_i_reg[7]_i_1__0_n_0 ; + wire \count_value_i_reg[7]_i_1__0_n_1 ; + wire \count_value_i_reg[7]_i_1__0_n_2 ; + wire \count_value_i_reg[7]_i_1__0_n_3 ; + wire \count_value_i_reg[7]_i_1__0_n_4 ; + wire \count_value_i_reg[7]_i_1__0_n_5 ; + wire \count_value_i_reg[7]_i_1__0_n_6 ; + wire \count_value_i_reg[7]_i_1__0_n_7 ; + wire \count_value_i_reg_n_0_[12] ; + wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0 ; + wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0 ; + wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0 ; + wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_7_n_0 ; + wire [11:0]\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 ; + wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_1 ; + wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_2 ; + wire \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_3 ; wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg ; wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_5_n_0 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6_n_0 ; wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7_n_0 ; wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8_n_0 ; wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9_n_0 ; wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0 ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1 ; - wire [1:0]\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2 ; - wire [8:0]\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_n_2 ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_n_3 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_7_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_8_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_6_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_7_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_8_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_9_n_0 ; - wire [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_1 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_2 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_3 ; - wire [7:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_1 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_2 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_3 ; - wire [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8] ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_7_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_1 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_2 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_3 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_1 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_2 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_3 ; - wire [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_1 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_2 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_3 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_1 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_2 ; + wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_3 ; + wire [11:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] ; + wire going_afull1; wire going_full1; + wire [12:0]\grdc.rd_data_count_i_reg[12] ; + wire [0:0]\grdc.rd_data_count_i_reg[3] ; wire ram_afull_i0; wire ram_empty_i; + wire ram_wr_en_pf; wire rd_en; wire rst; wire \syncstages_ff_reg[3] ; wire wr_clk; - wire [3:3]\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_CO_UNCONNECTED ; - wire [3:0]\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_O_UNCONNECTED ; - wire [3:0]\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1_CO_UNCONNECTED ; - wire [3:1]\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1_O_UNCONNECTED ; - wire [3:0]\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1_CO_UNCONNECTED ; - wire [3:1]\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1_O_UNCONNECTED ; + wire [3:0]\NLW_count_value_i_reg[12]_i_1__0_CO_UNCONNECTED ; + wire [3:1]\NLW_count_value_i_reg[12]_i_1__0_O_UNCONNECTED ; + wire [3:0]\NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_O_UNCONNECTED ; + wire [3:0]\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_O_UNCONNECTED ; + wire [3:0]\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_O_UNCONNECTED ; - (* SOFT_HLUTNM = "soft_lutpair4" *) - LUT1 #( - .INIT(2'h1)) - \count_value_i[0]_i_1 - (.I0(Q[0]), - .O(\count_value_i[0]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair4" *) LUT2 #( .INIT(4'h6)) - \count_value_i[1]_i_1__4 - (.I0(Q[1]), + \count_value_i[3]_i_2__0 + (.I0(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), .I1(Q[0]), - .O(\count_value_i[1]_i_1__4_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair2" *) - LUT4 #( - .INIT(16'hDF20)) - \count_value_i[2]_i_1__2 - (.I0(Q[0]), - .I1(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .I2(Q[1]), - .I3(Q[2]), - .O(\count_value_i[2]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair2" *) - LUT5 #( - .INIT(32'hDF20FF00)) - \count_value_i[3]_i_1__2 - (.I0(Q[0]), - .I1(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .I2(Q[1]), - .I3(Q[3]), - .I4(Q[2]), - .O(\count_value_i[3]_i_1__2_n_0 )); - LUT6 #( - .INIT(64'hDF20FF00FF00FF00)) - \count_value_i[4]_i_1__2 - (.I0(Q[0]), - .I1(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .I2(Q[1]), - .I3(Q[4]), - .I4(Q[3]), - .I5(Q[2]), - .O(\count_value_i[4]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT2 #( - .INIT(4'h9)) - \count_value_i[5]_i_1__2 - (.I0(Q[5]), - .I1(\count_value_i[9]_i_2_n_0 ), - .O(\count_value_i[5]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair3" *) - LUT3 #( - .INIT(8'hA6)) - \count_value_i[6]_i_1__2 - (.I0(Q[6]), - .I1(Q[5]), - .I2(\count_value_i[9]_i_2_n_0 ), - .O(\count_value_i[6]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair1" *) - LUT4 #( - .INIT(16'hA6AA)) - \count_value_i[7]_i_1__2 - (.I0(Q[7]), - .I1(Q[6]), - .I2(\count_value_i[9]_i_2_n_0 ), - .I3(Q[5]), - .O(\count_value_i[7]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair1" *) - LUT5 #( - .INIT(32'hA6AAAAAA)) - \count_value_i[8]_i_1 - (.I0(Q[8]), - .I1(Q[5]), - .I2(\count_value_i[9]_i_2_n_0 ), - .I3(Q[6]), - .I4(Q[7]), - .O(\count_value_i[8]_i_1_n_0 )); - LUT6 #( - .INIT(64'hAAAA6AAAAAAAAAAA)) - \count_value_i[9]_i_1 - (.I0(Q[9]), - .I1(Q[8]), - .I2(Q[7]), - .I3(Q[6]), - .I4(\count_value_i[9]_i_2_n_0 ), - .I5(Q[5]), - .O(\count_value_i[9]_i_1_n_0 )); - LUT6 #( - .INIT(64'hDFFFFFFFFFFFFFFF)) - \count_value_i[9]_i_2 - (.I0(Q[0]), - .I1(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .I2(Q[1]), - .I3(Q[3]), - .I4(Q[2]), - .I5(Q[4]), - .O(\count_value_i[9]_i_2_n_0 )); + .O(\count_value_i[3]_i_2__0_n_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[0] (.C(wr_clk), - .CE(E), - .D(\count_value_i[0]_i_1_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[3]_i_1__0_n_7 ), .Q(Q[0]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[10] + (.C(wr_clk), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[11]_i_1__0_n_5 ), + .Q(Q[10]), + .R(\count_value_i_reg[0]_2 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[11] + (.C(wr_clk), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[11]_i_1__0_n_4 ), + .Q(Q[11]), + .R(\count_value_i_reg[0]_2 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[11]_i_1__0 + (.CI(\count_value_i_reg[7]_i_1__0_n_0 ), + .CO({\count_value_i_reg[11]_i_1__0_n_0 ,\count_value_i_reg[11]_i_1__0_n_1 ,\count_value_i_reg[11]_i_1__0_n_2 ,\count_value_i_reg[11]_i_1__0_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[11]_i_1__0_n_4 ,\count_value_i_reg[11]_i_1__0_n_5 ,\count_value_i_reg[11]_i_1__0_n_6 ,\count_value_i_reg[11]_i_1__0_n_7 }), + .S(Q[11:8])); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[12] + (.C(wr_clk), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[12]_i_1__0_n_7 ), + .Q(\count_value_i_reg_n_0_[12] ), + .R(\count_value_i_reg[0]_2 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[12]_i_1__0 + (.CI(\count_value_i_reg[11]_i_1__0_n_0 ), + .CO(\NLW_count_value_i_reg[12]_i_1__0_CO_UNCONNECTED [3:0]), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\NLW_count_value_i_reg[12]_i_1__0_O_UNCONNECTED [3:1],\count_value_i_reg[12]_i_1__0_n_7 }), + .S({1'b0,1'b0,1'b0,\count_value_i_reg_n_0_[12] })); FDRE #( .INIT(1'b0)) \count_value_i_reg[1] (.C(wr_clk), - .CE(E), - .D(\count_value_i[1]_i_1__4_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[3]_i_1__0_n_6 ), .Q(Q[1]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[2] (.C(wr_clk), - .CE(E), - .D(\count_value_i[2]_i_1__2_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[3]_i_1__0_n_5 ), .Q(Q[2]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[3] (.C(wr_clk), - .CE(E), - .D(\count_value_i[3]_i_1__2_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[3]_i_1__0_n_4 ), .Q(Q[3]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[3]_i_1__0 + (.CI(1'b0), + .CO({\count_value_i_reg[3]_i_1__0_n_0 ,\count_value_i_reg[3]_i_1__0_n_1 ,\count_value_i_reg[3]_i_1__0_n_2 ,\count_value_i_reg[3]_i_1__0_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,Q[0]}), + .O({\count_value_i_reg[3]_i_1__0_n_4 ,\count_value_i_reg[3]_i_1__0_n_5 ,\count_value_i_reg[3]_i_1__0_n_6 ,\count_value_i_reg[3]_i_1__0_n_7 }), + .S({Q[3:1],\count_value_i[3]_i_2__0_n_0 })); FDRE #( .INIT(1'b0)) \count_value_i_reg[4] (.C(wr_clk), - .CE(E), - .D(\count_value_i[4]_i_1__2_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[7]_i_1__0_n_7 ), .Q(Q[4]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[5] (.C(wr_clk), - .CE(E), - .D(\count_value_i[5]_i_1__2_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[7]_i_1__0_n_6 ), .Q(Q[5]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[6] (.C(wr_clk), - .CE(E), - .D(\count_value_i[6]_i_1__2_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[7]_i_1__0_n_5 ), .Q(Q[6]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[7] (.C(wr_clk), - .CE(E), - .D(\count_value_i[7]_i_1__2_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[7]_i_1__0_n_4 ), .Q(Q[7]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[7]_i_1__0 + (.CI(\count_value_i_reg[3]_i_1__0_n_0 ), + .CO({\count_value_i_reg[7]_i_1__0_n_0 ,\count_value_i_reg[7]_i_1__0_n_1 ,\count_value_i_reg[7]_i_1__0_n_2 ,\count_value_i_reg[7]_i_1__0_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[7]_i_1__0_n_4 ,\count_value_i_reg[7]_i_1__0_n_5 ,\count_value_i_reg[7]_i_1__0_n_6 ,\count_value_i_reg[7]_i_1__0_n_7 }), + .S(Q[7:4])); FDRE #( .INIT(1'b0)) \count_value_i_reg[8] (.C(wr_clk), - .CE(E), - .D(\count_value_i[8]_i_1_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[11]_i_1__0_n_7 ), .Q(Q[8]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[9] (.C(wr_clk), - .CE(E), - .D(\count_value_i[9]_i_1_n_0 ), + .CE(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .D(\count_value_i_reg[11]_i_1__0_n_6 ), .Q(Q[9]), - .R(\count_value_i_reg[0]_0 )); + .R(\count_value_i_reg[0]_2 )); LUT4 #( - .INIT(16'h3202)) + .INIT(16'hF202)) \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_1 (.I0(ram_afull_i0), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1 ), + .I1(clr_full), .I2(rst), .I3(almost_full), .O(\syncstages_ff_reg[3] )); LUT5 #( - .INIT(32'hC4FCC4CC)) + .INIT(32'hF3FF00A0)) \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_2 - (.I0(going_full1), - .I1(almost_full), - .I2(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg ), - .I4(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg ), + (.I0(going_afull1), + .I1(going_full1), + .I2(ram_wr_en_pf), + .I3(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .I4(almost_full), .O(ram_afull_i0)); LUT6 #( - .INIT(64'h00000000F020FF20)) + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4 + (.I0(Q[9]), + .I1(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [9]), + .I2(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [11]), + .I3(Q[11]), + .I4(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [10]), + .I5(Q[10]), + .O(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0 )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5 + (.I0(Q[6]), + .I1(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [6]), + .I2(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [8]), + .I3(Q[8]), + .I4(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [7]), + .I5(Q[7]), + .O(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0 )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6 + (.I0(Q[3]), + .I1(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [3]), + .I2(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [5]), + .I3(Q[5]), + .I4(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [4]), + .I5(Q[4]), + .O(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0 )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_7 + (.I0(Q[0]), + .I1(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [0]), + .I2(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [2]), + .I3(Q[2]), + .I4(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 [1]), + .I5(Q[1]), + .O(\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_7_n_0 )); + CARRY4 \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3 + (.CI(1'b0), + .CO({going_afull1,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_1 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_2 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_3 }), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(\NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_O_UNCONNECTED [3:0]), + .S({\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0 ,\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_7_n_0 })); + LUT6 #( + .INIT(64'h0545044404440444)) \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_i_1 - (.I0(going_full1), + (.I0(clr_full), .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg ), .I2(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0 ), - .I4(CO), - .I5(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1 ), + .I3(CO), + .I4(going_full1), + .I5(ram_wr_en_pf), .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0 )); LUT6 #( - .INIT(64'hFFFFFFFF0FDF00DF)) + .INIT(64'hFABAFBBBFBBBFBBB)) \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_1 - (.I0(going_full1), + (.I0(clr_full), .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg ), .I2(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0 ), - .I4(CO), - .I5(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1 ), + .I3(CO), + .I4(going_full1), + .I5(ram_wr_en_pf), .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg )); - LUT4 #( - .INIT(16'hF0F4)) - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_4 - (.I0(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2 [0]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2 [1]), - .I2(ram_empty_i), - .I3(rd_en), - .O(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10 + (.I0(Q[6]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [6]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [8]), + .I3(Q[8]), + .I4(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [7]), + .I5(Q[7]), + .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0 )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11 + (.I0(Q[3]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [3]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [5]), + .I3(Q[5]), + .I4(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [4]), + .I5(Q[4]), + .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0 )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12 + (.I0(Q[0]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [0]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [2]), + .I3(Q[2]), + .I4(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [1]), + .I5(Q[1]), + .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0 )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_5 + (.I0(Q[9]), + .I1(\grdc.rd_data_count_i_reg[12] [9]), + .I2(\grdc.rd_data_count_i_reg[12] [11]), + .I3(Q[11]), + .I4(\grdc.rd_data_count_i_reg[12] [10]), + .I5(Q[10]), + .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_5_n_0 )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6 + (.I0(Q[6]), + .I1(\grdc.rd_data_count_i_reg[12] [6]), + .I2(\grdc.rd_data_count_i_reg[12] [8]), + .I3(Q[8]), + .I4(\grdc.rd_data_count_i_reg[12] [7]), + .I5(Q[7]), + .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6_n_0 )); LUT6 #( .INIT(64'h9009000000009009)) \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7 - (.I0(Q[6]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [6]), - .I2(Q[7]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [7]), - .I4(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [8]), - .I5(Q[8]), + (.I0(Q[3]), + .I1(\grdc.rd_data_count_i_reg[12] [3]), + .I2(\grdc.rd_data_count_i_reg[12] [5]), + .I3(Q[5]), + .I4(\grdc.rd_data_count_i_reg[12] [4]), + .I5(Q[4]), .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7_n_0 )); LUT6 #( .INIT(64'h9009000000009009)) \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8 - (.I0(Q[4]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [4]), - .I2(Q[3]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [3]), - .I4(Q[5]), - .I5(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [5]), + (.I0(Q[0]), + .I1(\grdc.rd_data_count_i_reg[12] [0]), + .I2(\grdc.rd_data_count_i_reg[12] [2]), + .I3(Q[2]), + .I4(\grdc.rd_data_count_i_reg[12] [1]), + .I5(Q[1]), .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8_n_0 )); LUT6 #( .INIT(64'h9009000000009009)) \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9 - (.I0(Q[2]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [2]), - .I2(Q[1]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [1]), - .I4(Q[0]), - .I5(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [0]), + (.I0(Q[9]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [9]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [11]), + .I3(Q[11]), + .I4(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [10]), + .I5(Q[10]), .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9_n_0 )); - CARRY4 \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2 + CARRY4 \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3 (.CI(1'b0), - .CO({\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_CO_UNCONNECTED [3],going_full1,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_n_2 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_n_3 }), + .CO({CO,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_1 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_2 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_3 }), .CYINIT(1'b1), .DI({1'b0,1'b0,1'b0,1'b0}), - .O(\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_O_UNCONNECTED [3:0]), - .S({1'b0,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9_n_0 })); - LUT1 #( - .INIT(2'h1)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2 - (.I0(Q[3]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2_n_0 )); - LUT1 #( - .INIT(2'h1)) + .O(\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_O_UNCONNECTED [3:0]), + .S({\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_5_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8_n_0 })); + CARRY4 \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4 + (.CI(1'b0), + .CO({going_full1,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_1 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_2 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_3 }), + .CYINIT(1'b1), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O(\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_O_UNCONNECTED [3:0]), + .S({\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0 })); + LUT2 #( + .INIT(4'h9)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[11]_i_2 + (.I0(Q[11]), + .I1(\grdc.rd_data_count_i_reg[12] [11]), + .O(\count_value_i_reg[11]_1 [3])); + LUT2 #( + .INIT(4'h9)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[11]_i_3 + (.I0(Q[10]), + .I1(\grdc.rd_data_count_i_reg[12] [10]), + .O(\count_value_i_reg[11]_1 [2])); + LUT2 #( + .INIT(4'h9)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[11]_i_4 + (.I0(Q[9]), + .I1(\grdc.rd_data_count_i_reg[12] [9]), + .O(\count_value_i_reg[11]_1 [1])); + LUT2 #( + .INIT(4'h9)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[11]_i_5 + (.I0(Q[8]), + .I1(\grdc.rd_data_count_i_reg[12] [8]), + .O(\count_value_i_reg[11]_1 [0])); + LUT2 #( + .INIT(4'h9)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3 - (.I0(Q[2]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3_n_0 )); - LUT1 #( - .INIT(2'h1)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4 - (.I0(Q[1]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4_n_0 )); - LUT1 #( - .INIT(2'h1)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5 - (.I0(Q[0]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6 (.I0(Q[3]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] [3]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6_n_0 )); + .I1(\grdc.rd_data_count_i_reg[12] [3]), + .O(\count_value_i_reg[3]_0 [2])); LUT2 #( .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_7 + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4 (.I0(Q[2]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] [2]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_7_n_0 )); + .I1(\grdc.rd_data_count_i_reg[12] [2]), + .O(\count_value_i_reg[3]_0 [1])); LUT2 #( .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_8 + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5 (.I0(Q[1]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] [1]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_8_n_0 )); - LUT1 #( - .INIT(2'h1)) + .I1(\grdc.rd_data_count_i_reg[12] [1]), + .O(\count_value_i_reg[3]_0 [0])); + LUT2 #( + .INIT(4'h9)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2 (.I0(Q[7]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2_n_0 )); - LUT1 #( - .INIT(2'h1)) + .I1(\grdc.rd_data_count_i_reg[12] [7]), + .O(\count_value_i_reg[7]_0 [3])); + LUT2 #( + .INIT(4'h9)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3 (.I0(Q[6]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3_n_0 )); - LUT1 #( - .INIT(2'h1)) + .I1(\grdc.rd_data_count_i_reg[12] [6]), + .O(\count_value_i_reg[7]_0 [2])); + LUT2 #( + .INIT(4'h9)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4 (.I0(Q[5]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4_n_0 )); - LUT1 #( - .INIT(2'h1)) + .I1(\grdc.rd_data_count_i_reg[12] [5]), + .O(\count_value_i_reg[7]_0 [1])); + LUT2 #( + .INIT(4'h9)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5 (.I0(Q[4]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_6 - (.I0(Q[7]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] [7]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_6_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_7 - (.I0(Q[6]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] [6]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_7_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_8 - (.I0(Q[5]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] [5]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_8_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_9 - (.I0(Q[4]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] [4]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_9_n_0 )); - (* ADDER_THRESHOLD = "35" *) - (* METHODOLOGY_DRC_VIOS = "{SYNTH-8 {cell *THIS*}}" *) - CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1 - (.CI(1'b0), - .CO({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_3 }), - .CYINIT(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] [0]), - .DI({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5_n_0 }), - .O(\count_value_i_reg[8]_0 [3:0]), - .S({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_7_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_8_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] })); - (* ADDER_THRESHOLD = "35" *) - (* METHODOLOGY_DRC_VIOS = "{SYNTH-8 {cell *THIS*}}" *) - CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1 - (.CI(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0 ), - .CO({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_3 }), - .CYINIT(1'b0), - .DI({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5_n_0 }), - .O(\count_value_i_reg[8]_0 [7:4]), - .S({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_6_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_7_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_8_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_9_n_0 })); - (* ADDER_THRESHOLD = "35" *) - (* METHODOLOGY_DRC_VIOS = "{SYNTH-8 {cell *THIS*}}" *) - CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1 - (.CI(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0 ), - .CO(\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1_CO_UNCONNECTED [3:0]), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O({\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1_O_UNCONNECTED [3:1],\count_value_i_reg[8]_0 [8]}), - .S({1'b0,1'b0,1'b0,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8] })); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2 - (.I0(Q[3]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [3]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3 - (.I0(Q[2]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [2]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0 )); - LUT2 #( - .INIT(4'h9)) + .I1(\grdc.rd_data_count_i_reg[12] [4]), + .O(\count_value_i_reg[7]_0 [0])); + LUT4 #( + .INIT(16'hB44B)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_5 + (.I0(Q[10]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [10]), + .I2(Q[11]), + .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [11]), + .O(S)); + LUT4 #( + .INIT(16'h7510)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4 - (.I0(Q[1]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [1]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5 - (.I0(Q[3]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [3]), - .I2(Q[2]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [2]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6 - (.I0(Q[2]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [2]), - .I2(Q[1]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [1]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0 )); + (.I0(Q[0]), + .I1(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .I2(ram_wr_en_pf), + .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [0]), + .O(DI)); LUT6 #( - .INIT(64'h9699999966669699)) + .INIT(64'h8AEF751075108AEF)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_7 - (.I0(Q[1]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [1]), - .I2(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg ), - .I3(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .I4(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [0]), - .I5(Q[0]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_7_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2 - (.I0(Q[7]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [7]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3 - (.I0(Q[6]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [6]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4 - (.I0(Q[5]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [5]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5 - (.I0(Q[4]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [4]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0 )); + (.I0(Q[0]), + .I1(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), + .I2(ram_wr_en_pf), + .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [0]), + .I4(Q[1]), + .I5(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] [1]), + .O(\count_value_i_reg[0]_0 )); LUT4 #( - .INIT(16'h9699)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6 - (.I0(Q[7]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [7]), - .I2(Q[6]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [6]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7 - (.I0(Q[6]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [6]), - .I2(Q[5]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [5]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8 - (.I0(Q[5]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [5]), - .I2(Q[4]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [4]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9 - (.I0(Q[4]), - .I1(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [4]), - .I2(Q[3]), - .I3(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 [3]), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0 )); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1 - (.CI(1'b0), - .CO({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_3 }), - .CYINIT(1'b0), - .DI({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4_n_0 ,1'b0}), - .O(D[3:0]), - .S({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_7_n_0 ,S})); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1 - (.CI(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0 ), - .CO({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_3 }), - .CYINIT(1'b0), - .DI({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0 }), - .O(D[7:4]), - .S({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0 })); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1 - (.CI(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0 ), - .CO(\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1_CO_UNCONNECTED [3:0]), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O({\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1_O_UNCONNECTED [3:1],D[8]}), - .S({1'b0,1'b0,1'b0,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] })); - LUT1 #( - .INIT(2'h1)) + .INIT(16'h00FB)) \gen_sdpram.xpm_memory_base_inst_i_2 - (.I0(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] ), - .O(E)); + (.I0(\count_value_i_reg[0]_1 [0]), + .I1(\count_value_i_reg[0]_1 [1]), + .I2(rd_en), + .I3(ram_empty_i), + .O(\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] )); + LUT4 #( + .INIT(16'hB44B)) + \gwdc.wr_data_count_i[12]_i_2 + (.I0(Q[11]), + .I1(\grdc.rd_data_count_i_reg[12] [11]), + .I2(\count_value_i_reg_n_0_[12] ), + .I3(\grdc.rd_data_count_i_reg[12] [12]), + .O(\count_value_i_reg[11]_0 )); + LUT3 #( + .INIT(8'hD4)) + \gwdc.wr_data_count_i[3]_i_3 + (.I0(Q[1]), + .I1(\grdc.rd_data_count_i_reg[3] ), + .I2(\grdc.rd_data_count_i_reg[12] [1]), + .O(\count_value_i_reg[1]_0 )); + LUT5 #( + .INIT(32'h2BD4D42B)) + \gwdc.wr_data_count_i[3]_i_6 + (.I0(Q[1]), + .I1(\grdc.rd_data_count_i_reg[3] ), + .I2(\grdc.rd_data_count_i_reg[12] [1]), + .I3(Q[2]), + .I4(\grdc.rd_data_count_i_reg[12] [2]), + .O(\count_value_i_reg[1]_1 )); endmodule (* ORIG_REF_NAME = "xpm_counter_updn" *) module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0 (ram_empty_i0, - CO, Q, D, - \count_value_i_reg[8]_0 , - ram_empty_i, + \count_value_i_reg[10]_0 , \gen_pntr_flags_cc.ram_empty_i_reg , - \gen_pntr_flags_cc.ram_empty_i_reg_0 , - \gen_pntr_flags_cc.ram_empty_i_reg_1 , - \grdc.rd_data_count_i_reg[9] , - DI, + CO, + ram_wr_en_pf, + ram_empty_i, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 , S, + DI, \grdc.rd_data_count_i_reg[3] , - \count_value_i_reg[9]_0 , - E, + \grdc.rd_data_count_i_reg[12] , + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] , + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0 , + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] , + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11] , + \grdc.rd_data_count_i_reg[11] , + \count_value_i_reg[12]_0 , wr_clk); output ram_empty_i0; - output [0:0]CO; - output [8:0]Q; - output [9:0]D; - output [0:0]\count_value_i_reg[8]_0 ; - input ram_empty_i; + output [12:0]Q; + output [12:0]D; + output [11:0]\count_value_i_reg[10]_0 ; input \gen_pntr_flags_cc.ram_empty_i_reg ; - input \gen_pntr_flags_cc.ram_empty_i_reg_0 ; - input [0:0]\gen_pntr_flags_cc.ram_empty_i_reg_1 ; - input [9:0]\grdc.rd_data_count_i_reg[9] ; - input [0:0]DI; - input [1:0]S; - input [0:0]\grdc.rd_data_count_i_reg[3] ; - input [0:0]\count_value_i_reg[9]_0 ; - input [0:0]E; + input [0:0]CO; + input ram_wr_en_pf; + input ram_empty_i; + input [11:0]\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 ; + input [0:0]S; + input [1:0]DI; + input [2:0]\grdc.rd_data_count_i_reg[3] ; + input [0:0]\grdc.rd_data_count_i_reg[12] ; + input [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] ; + input [3:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0 ; + input [3:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] ; + input [3:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11] ; + input [9:0]\grdc.rd_data_count_i_reg[11] ; + input [0:0]\count_value_i_reg[12]_0 ; input wr_clk; wire [0:0]CO; - wire [9:0]D; - wire [0:0]DI; - wire [0:0]E; - wire [8:0]Q; - wire [1:0]S; - wire \count_value_i[0]_i_1__1_n_0 ; - wire \count_value_i[1]_i_1__3_n_0 ; - wire \count_value_i[2]_i_1__3_n_0 ; - wire \count_value_i[3]_i_1__3_n_0 ; - wire \count_value_i[4]_i_1__3_n_0 ; - wire \count_value_i[5]_i_1__3_n_0 ; - wire \count_value_i[6]_i_1__3_n_0 ; - wire \count_value_i[7]_i_1__3_n_0 ; - wire \count_value_i[8]_i_1__1_n_0 ; - wire \count_value_i[9]_i_1__0_n_0 ; - wire \count_value_i[9]_i_2__0_n_0 ; - wire [0:0]\count_value_i_reg[8]_0 ; - wire [0:0]\count_value_i_reg[9]_0 ; - wire \count_value_i_reg_n_0_[9] ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0 ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0 ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0 ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_n_2 ; - wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_n_3 ; + wire [12:0]D; + wire [1:0]DI; + wire [12:0]Q; + wire [0:0]S; + wire [11:0]\count_value_i_reg[10]_0 ; + wire \count_value_i_reg[11]_i_1_n_0 ; + wire \count_value_i_reg[11]_i_1_n_1 ; + wire \count_value_i_reg[11]_i_1_n_2 ; + wire \count_value_i_reg[11]_i_1_n_3 ; + wire \count_value_i_reg[11]_i_1_n_4 ; + wire \count_value_i_reg[11]_i_1_n_5 ; + wire \count_value_i_reg[11]_i_1_n_6 ; + wire \count_value_i_reg[11]_i_1_n_7 ; + wire [0:0]\count_value_i_reg[12]_0 ; + wire \count_value_i_reg[12]_i_1_n_7 ; + wire \count_value_i_reg[3]_i_1_n_0 ; + wire \count_value_i_reg[3]_i_1_n_1 ; + wire \count_value_i_reg[3]_i_1_n_2 ; + wire \count_value_i_reg[3]_i_1_n_3 ; + wire \count_value_i_reg[3]_i_1_n_4 ; + wire \count_value_i_reg[3]_i_1_n_5 ; + wire \count_value_i_reg[3]_i_1_n_6 ; + wire \count_value_i_reg[3]_i_1_n_7 ; + wire \count_value_i_reg[7]_i_1_n_0 ; + wire \count_value_i_reg[7]_i_1_n_1 ; + wire \count_value_i_reg[7]_i_1_n_2 ; + wire \count_value_i_reg[7]_i_1_n_3 ; + wire \count_value_i_reg[7]_i_1_n_4 ; + wire \count_value_i_reg[7]_i_1_n_5 ; + wire \count_value_i_reg[7]_i_1_n_6 ; + wire \count_value_i_reg[7]_i_1_n_7 ; + wire \gen_pntr_flags_cc.ram_empty_i_i_3_n_0 ; + wire \gen_pntr_flags_cc.ram_empty_i_i_4_n_0 ; + wire \gen_pntr_flags_cc.ram_empty_i_i_5_n_0 ; + wire \gen_pntr_flags_cc.ram_empty_i_i_6_n_0 ; wire \gen_pntr_flags_cc.ram_empty_i_reg ; - wire \gen_pntr_flags_cc.ram_empty_i_reg_0 ; - wire [0:0]\gen_pntr_flags_cc.ram_empty_i_reg_1 ; - wire [0:0]\grdc.rd_data_count_i_reg[3] ; - wire [9:0]\grdc.rd_data_count_i_reg[9] ; + wire [11:0]\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 ; + wire \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_1 ; + wire \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_2 ; + wire \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_3 ; + wire [3:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11] ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_1 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_2 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_3 ; + wire [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] ; + wire [3:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_1 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_2 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_3 ; + wire [3:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_1 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_2 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_3 ; + wire going_empty1; + wire [9:0]\grdc.rd_data_count_i_reg[11] ; + wire [0:0]\grdc.rd_data_count_i_reg[12] ; + wire [2:0]\grdc.rd_data_count_i_reg[3] ; + wire \gwdc.wr_data_count_i[11]_i_2_n_0 ; + wire \gwdc.wr_data_count_i[11]_i_3_n_0 ; + wire \gwdc.wr_data_count_i[11]_i_4_n_0 ; + wire \gwdc.wr_data_count_i[11]_i_5_n_0 ; + wire \gwdc.wr_data_count_i[11]_i_6_n_0 ; + wire \gwdc.wr_data_count_i[11]_i_7_n_0 ; + wire \gwdc.wr_data_count_i[11]_i_8_n_0 ; + wire \gwdc.wr_data_count_i[11]_i_9_n_0 ; wire \gwdc.wr_data_count_i[3]_i_2_n_0 ; - wire \gwdc.wr_data_count_i[3]_i_3_n_0 ; wire \gwdc.wr_data_count_i[3]_i_5_n_0 ; - wire \gwdc.wr_data_count_i[3]_i_6_n_0 ; wire \gwdc.wr_data_count_i[7]_i_2_n_0 ; wire \gwdc.wr_data_count_i[7]_i_3_n_0 ; wire \gwdc.wr_data_count_i[7]_i_4_n_0 ; @@ -6524,9 +6495,10 @@ module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0 wire \gwdc.wr_data_count_i[7]_i_7_n_0 ; wire \gwdc.wr_data_count_i[7]_i_8_n_0 ; wire \gwdc.wr_data_count_i[7]_i_9_n_0 ; - wire \gwdc.wr_data_count_i[9]_i_2_n_0 ; - wire \gwdc.wr_data_count_i[9]_i_3_n_0 ; - wire \gwdc.wr_data_count_i[9]_i_4_n_0 ; + wire \gwdc.wr_data_count_i_reg[11]_i_1_n_0 ; + wire \gwdc.wr_data_count_i_reg[11]_i_1_n_1 ; + wire \gwdc.wr_data_count_i_reg[11]_i_1_n_2 ; + wire \gwdc.wr_data_count_i_reg[11]_i_1_n_3 ; wire \gwdc.wr_data_count_i_reg[3]_i_1_n_0 ; wire \gwdc.wr_data_count_i_reg[3]_i_1_n_1 ; wire \gwdc.wr_data_count_i_reg[3]_i_1_n_2 ; @@ -6535,358 +6507,386 @@ module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0 wire \gwdc.wr_data_count_i_reg[7]_i_1_n_1 ; wire \gwdc.wr_data_count_i_reg[7]_i_1_n_2 ; wire \gwdc.wr_data_count_i_reg[7]_i_1_n_3 ; - wire \gwdc.wr_data_count_i_reg[9]_i_1_n_3 ; wire ram_empty_i; wire ram_empty_i0; + wire ram_wr_en_pf; wire wr_clk; - wire [3:3]\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_CO_UNCONNECTED ; - wire [3:0]\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_O_UNCONNECTED ; - wire [3:1]\NLW_gwdc.wr_data_count_i_reg[9]_i_1_CO_UNCONNECTED ; - wire [3:2]\NLW_gwdc.wr_data_count_i_reg[9]_i_1_O_UNCONNECTED ; + wire [3:0]\NLW_count_value_i_reg[12]_i_1_CO_UNCONNECTED ; + wire [3:1]\NLW_count_value_i_reg[12]_i_1_O_UNCONNECTED ; + wire [3:0]\NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_O_UNCONNECTED ; + wire [3:3]\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_CO_UNCONNECTED ; + wire [3:0]\NLW_gwdc.wr_data_count_i_reg[12]_i_1_CO_UNCONNECTED ; + wire [3:1]\NLW_gwdc.wr_data_count_i_reg[12]_i_1_O_UNCONNECTED ; - (* SOFT_HLUTNM = "soft_lutpair12" *) - LUT1 #( - .INIT(2'h1)) - \count_value_i[0]_i_1__1 - (.I0(Q[0]), - .O(\count_value_i[0]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair12" *) - LUT2 #( - .INIT(4'h6)) - \count_value_i[1]_i_1__3 - (.I0(Q[1]), - .I1(Q[0]), - .O(\count_value_i[1]_i_1__3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair10" *) - LUT4 #( - .INIT(16'hDF20)) - \count_value_i[2]_i_1__3 - (.I0(Q[0]), - .I1(\gen_pntr_flags_cc.ram_empty_i_reg_0 ), - .I2(Q[1]), - .I3(Q[2]), - .O(\count_value_i[2]_i_1__3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair10" *) - LUT5 #( - .INIT(32'hDF20FF00)) - \count_value_i[3]_i_1__3 - (.I0(Q[0]), - .I1(\gen_pntr_flags_cc.ram_empty_i_reg_0 ), - .I2(Q[1]), - .I3(Q[3]), - .I4(Q[2]), - .O(\count_value_i[3]_i_1__3_n_0 )); - LUT6 #( - .INIT(64'hDF20FF00FF00FF00)) - \count_value_i[4]_i_1__3 - (.I0(Q[0]), - .I1(\gen_pntr_flags_cc.ram_empty_i_reg_0 ), - .I2(Q[1]), - .I3(Q[4]), - .I4(Q[3]), - .I5(Q[2]), - .O(\count_value_i[4]_i_1__3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair11" *) - LUT2 #( - .INIT(4'h9)) - \count_value_i[5]_i_1__3 - (.I0(Q[5]), - .I1(\count_value_i[9]_i_2__0_n_0 ), - .O(\count_value_i[5]_i_1__3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair11" *) - LUT3 #( - .INIT(8'hA6)) - \count_value_i[6]_i_1__3 - (.I0(Q[6]), - .I1(Q[5]), - .I2(\count_value_i[9]_i_2__0_n_0 ), - .O(\count_value_i[6]_i_1__3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair9" *) - LUT4 #( - .INIT(16'hA6AA)) - \count_value_i[7]_i_1__3 - (.I0(Q[7]), - .I1(Q[6]), - .I2(\count_value_i[9]_i_2__0_n_0 ), - .I3(Q[5]), - .O(\count_value_i[7]_i_1__3_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair9" *) - LUT5 #( - .INIT(32'hA6AAAAAA)) - \count_value_i[8]_i_1__1 - (.I0(Q[8]), - .I1(Q[5]), - .I2(\count_value_i[9]_i_2__0_n_0 ), - .I3(Q[6]), - .I4(Q[7]), - .O(\count_value_i[8]_i_1__1_n_0 )); - LUT6 #( - .INIT(64'hAA6AAAAAAAAAAAAA)) - \count_value_i[9]_i_1__0 - (.I0(\count_value_i_reg_n_0_[9] ), - .I1(Q[7]), - .I2(Q[6]), - .I3(\count_value_i[9]_i_2__0_n_0 ), - .I4(Q[5]), - .I5(Q[8]), - .O(\count_value_i[9]_i_1__0_n_0 )); - LUT6 #( - .INIT(64'hDFFFFFFFFFFFFFFF)) - \count_value_i[9]_i_2__0 - (.I0(Q[0]), - .I1(\gen_pntr_flags_cc.ram_empty_i_reg_0 ), - .I2(Q[1]), - .I3(Q[3]), - .I4(Q[2]), - .I5(Q[4]), - .O(\count_value_i[9]_i_2__0_n_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[0] (.C(wr_clk), - .CE(E), - .D(\count_value_i[0]_i_1__1_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1_n_7 ), .Q(Q[0]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[10] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1_n_5 ), + .Q(Q[10]), + .R(\count_value_i_reg[12]_0 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[11] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1_n_4 ), + .Q(Q[11]), + .R(\count_value_i_reg[12]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[11]_i_1 + (.CI(\count_value_i_reg[7]_i_1_n_0 ), + .CO({\count_value_i_reg[11]_i_1_n_0 ,\count_value_i_reg[11]_i_1_n_1 ,\count_value_i_reg[11]_i_1_n_2 ,\count_value_i_reg[11]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[11]_i_1_n_4 ,\count_value_i_reg[11]_i_1_n_5 ,\count_value_i_reg[11]_i_1_n_6 ,\count_value_i_reg[11]_i_1_n_7 }), + .S(Q[11:8])); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[12] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[12]_i_1_n_7 ), + .Q(Q[12]), + .R(\count_value_i_reg[12]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[12]_i_1 + (.CI(\count_value_i_reg[11]_i_1_n_0 ), + .CO(\NLW_count_value_i_reg[12]_i_1_CO_UNCONNECTED [3:0]), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\NLW_count_value_i_reg[12]_i_1_O_UNCONNECTED [3:1],\count_value_i_reg[12]_i_1_n_7 }), + .S({1'b0,1'b0,1'b0,Q[12]})); FDRE #( .INIT(1'b0)) \count_value_i_reg[1] (.C(wr_clk), - .CE(E), - .D(\count_value_i[1]_i_1__3_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1_n_6 ), .Q(Q[1]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[2] (.C(wr_clk), - .CE(E), - .D(\count_value_i[2]_i_1__3_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1_n_5 ), .Q(Q[2]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[3] (.C(wr_clk), - .CE(E), - .D(\count_value_i[3]_i_1__3_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1_n_4 ), .Q(Q[3]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[3]_i_1 + (.CI(1'b0), + .CO({\count_value_i_reg[3]_i_1_n_0 ,\count_value_i_reg[3]_i_1_n_1 ,\count_value_i_reg[3]_i_1_n_2 ,\count_value_i_reg[3]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,Q[0]}), + .O({\count_value_i_reg[3]_i_1_n_4 ,\count_value_i_reg[3]_i_1_n_5 ,\count_value_i_reg[3]_i_1_n_6 ,\count_value_i_reg[3]_i_1_n_7 }), + .S({Q[3:1],S})); FDRE #( .INIT(1'b0)) \count_value_i_reg[4] (.C(wr_clk), - .CE(E), - .D(\count_value_i[4]_i_1__3_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1_n_7 ), .Q(Q[4]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[5] (.C(wr_clk), - .CE(E), - .D(\count_value_i[5]_i_1__3_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1_n_6 ), .Q(Q[5]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[6] (.C(wr_clk), - .CE(E), - .D(\count_value_i[6]_i_1__3_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1_n_5 ), .Q(Q[6]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[7] (.C(wr_clk), - .CE(E), - .D(\count_value_i[7]_i_1__3_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1_n_4 ), .Q(Q[7]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[7]_i_1 + (.CI(\count_value_i_reg[3]_i_1_n_0 ), + .CO({\count_value_i_reg[7]_i_1_n_0 ,\count_value_i_reg[7]_i_1_n_1 ,\count_value_i_reg[7]_i_1_n_2 ,\count_value_i_reg[7]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[7]_i_1_n_4 ,\count_value_i_reg[7]_i_1_n_5 ,\count_value_i_reg[7]_i_1_n_6 ,\count_value_i_reg[7]_i_1_n_7 }), + .S(Q[7:4])); FDRE #( .INIT(1'b0)) \count_value_i_reg[8] (.C(wr_clk), - .CE(E), - .D(\count_value_i[8]_i_1__1_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1_n_7 ), .Q(Q[8]), - .R(\count_value_i_reg[9]_0 )); + .R(\count_value_i_reg[12]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[9] (.C(wr_clk), - .CE(E), - .D(\count_value_i[9]_i_1__0_n_0 ), - .Q(\count_value_i_reg_n_0_[9] ), - .R(\count_value_i_reg[9]_0 )); + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1_n_6 ), + .Q(Q[9]), + .R(\count_value_i_reg[12]_0 )); + LUT5 #( + .INIT(32'h0FFF0088)) + \gen_pntr_flags_cc.ram_empty_i_i_1 + (.I0(\gen_pntr_flags_cc.ram_empty_i_reg ), + .I1(going_empty1), + .I2(CO), + .I3(ram_wr_en_pf), + .I4(ram_empty_i), + .O(ram_empty_i0)); LUT6 #( .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10 - (.I0(Q[7]), - .I1(\grdc.rd_data_count_i_reg[9] [7]), - .I2(Q[6]), - .I3(\grdc.rd_data_count_i_reg[9] [6]), - .I4(Q[8]), - .I5(\grdc.rd_data_count_i_reg[9] [8]), - .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0 )); + \gen_pntr_flags_cc.ram_empty_i_i_3 + (.I0(Q[9]), + .I1(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [9]), + .I2(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [11]), + .I3(Q[11]), + .I4(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [10]), + .I5(Q[10]), + .O(\gen_pntr_flags_cc.ram_empty_i_i_3_n_0 )); LUT6 #( .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11 - (.I0(Q[5]), - .I1(\grdc.rd_data_count_i_reg[9] [5]), - .I2(Q[3]), - .I3(\grdc.rd_data_count_i_reg[9] [3]), - .I4(Q[4]), - .I5(\grdc.rd_data_count_i_reg[9] [4]), - .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0 )); + \gen_pntr_flags_cc.ram_empty_i_i_4 + (.I0(Q[6]), + .I1(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [6]), + .I2(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [8]), + .I3(Q[8]), + .I4(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [7]), + .I5(Q[7]), + .O(\gen_pntr_flags_cc.ram_empty_i_i_4_n_0 )); LUT6 #( .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12 - (.I0(Q[2]), - .I1(\grdc.rd_data_count_i_reg[9] [2]), - .I2(\grdc.rd_data_count_i_reg[9] [1]), - .I3(Q[1]), - .I4(Q[0]), - .I5(\grdc.rd_data_count_i_reg[9] [0]), - .O(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0 )); - CARRY4 \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5 + \gen_pntr_flags_cc.ram_empty_i_i_5 + (.I0(Q[3]), + .I1(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [3]), + .I2(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [5]), + .I3(Q[5]), + .I4(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [4]), + .I5(Q[4]), + .O(\gen_pntr_flags_cc.ram_empty_i_i_5_n_0 )); + LUT6 #( + .INIT(64'h9009000000009009)) + \gen_pntr_flags_cc.ram_empty_i_i_6 + (.I0(Q[0]), + .I1(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [0]), + .I2(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [2]), + .I3(Q[2]), + .I4(\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 [1]), + .I5(Q[1]), + .O(\gen_pntr_flags_cc.ram_empty_i_i_6_n_0 )); + CARRY4 \gen_pntr_flags_cc.ram_empty_i_reg_i_2 (.CI(1'b0), - .CO({\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_CO_UNCONNECTED [3],CO,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_n_2 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_n_3 }), + .CO({going_empty1,\gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_1 ,\gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_2 ,\gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_3 }), .CYINIT(1'b1), .DI({1'b0,1'b0,1'b0,1'b0}), - .O(\NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_O_UNCONNECTED [3:0]), - .S({1'b0,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0 ,\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0 })); - LUT5 #( - .INIT(32'hCF44CC44)) - \gen_pntr_flags_cc.ram_empty_i_i_1 - (.I0(CO), - .I1(ram_empty_i), - .I2(\gen_pntr_flags_cc.ram_empty_i_reg ), - .I3(\gen_pntr_flags_cc.ram_empty_i_reg_0 ), - .I4(\gen_pntr_flags_cc.ram_empty_i_reg_1 ), - .O(ram_empty_i0)); + .O(\NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_O_UNCONNECTED [3:0]), + .S({\gen_pntr_flags_cc.ram_empty_i_i_3_n_0 ,\gen_pntr_flags_cc.ram_empty_i_i_4_n_0 ,\gen_pntr_flags_cc.ram_empty_i_i_5_n_0 ,\gen_pntr_flags_cc.ram_empty_i_i_6_n_0 })); + (* ADDER_THRESHOLD = "35" *) + (* METHODOLOGY_DRC_VIOS = "{SYNTH-8 {cell *THIS*}}" *) + CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1 + (.CI(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0 ), + .CO({\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_CO_UNCONNECTED [3],\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,Q[10:8]}), + .O(\count_value_i_reg[10]_0 [11:8]), + .S(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11] )); + (* ADDER_THRESHOLD = "35" *) + (* METHODOLOGY_DRC_VIOS = "{SYNTH-8 {cell *THIS*}}" *) + CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1 + (.CI(1'b0), + .CO({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_3 }), + .CYINIT(Q[0]), + .DI({Q[3:1],\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] }), + .O(\count_value_i_reg[10]_0 [3:0]), + .S(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0 )); + (* ADDER_THRESHOLD = "35" *) + (* METHODOLOGY_DRC_VIOS = "{SYNTH-8 {cell *THIS*}}" *) + CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1 + (.CI(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0 ), + .CO({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_3 }), + .CYINIT(1'b0), + .DI(Q[7:4]), + .O(\count_value_i_reg[10]_0 [7:4]), + .S(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] )); LUT2 #( - .INIT(4'h9)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[8]_i_2 + .INIT(4'h2)) + \gwdc.wr_data_count_i[11]_i_2 + (.I0(Q[10]), + .I1(\grdc.rd_data_count_i_reg[11] [8]), + .O(\gwdc.wr_data_count_i[11]_i_2_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gwdc.wr_data_count_i[11]_i_3 + (.I0(Q[9]), + .I1(\grdc.rd_data_count_i_reg[11] [7]), + .O(\gwdc.wr_data_count_i[11]_i_3_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gwdc.wr_data_count_i[11]_i_4 (.I0(Q[8]), - .I1(\grdc.rd_data_count_i_reg[9] [8]), - .O(\count_value_i_reg[8]_0 )); + .I1(\grdc.rd_data_count_i_reg[11] [6]), + .O(\gwdc.wr_data_count_i[11]_i_4_n_0 )); LUT2 #( - .INIT(4'h9)) - \gwdc.wr_data_count_i[3]_i_2 - (.I0(Q[3]), - .I1(\grdc.rd_data_count_i_reg[9] [3]), - .O(\gwdc.wr_data_count_i[3]_i_2_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gwdc.wr_data_count_i[3]_i_3 - (.I0(Q[2]), - .I1(\grdc.rd_data_count_i_reg[9] [2]), - .O(\gwdc.wr_data_count_i[3]_i_3_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gwdc.wr_data_count_i[3]_i_5 - (.I0(Q[3]), - .I1(\grdc.rd_data_count_i_reg[9] [3]), - .I2(\grdc.rd_data_count_i_reg[9] [2]), - .I3(Q[2]), - .O(\gwdc.wr_data_count_i[3]_i_5_n_0 )); - LUT5 #( - .INIT(32'h69669969)) - \gwdc.wr_data_count_i[3]_i_6 - (.I0(Q[2]), - .I1(\grdc.rd_data_count_i_reg[9] [2]), - .I2(Q[1]), - .I3(\grdc.rd_data_count_i_reg[9] [1]), - .I4(\grdc.rd_data_count_i_reg[3] ), - .O(\gwdc.wr_data_count_i[3]_i_6_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gwdc.wr_data_count_i[7]_i_2 + .INIT(4'h2)) + \gwdc.wr_data_count_i[11]_i_5 (.I0(Q[7]), - .I1(\grdc.rd_data_count_i_reg[9] [7]), - .O(\gwdc.wr_data_count_i[7]_i_2_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gwdc.wr_data_count_i[7]_i_3 - (.I0(Q[6]), - .I1(\grdc.rd_data_count_i_reg[9] [6]), - .O(\gwdc.wr_data_count_i[7]_i_3_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gwdc.wr_data_count_i[7]_i_4 - (.I0(Q[5]), - .I1(\grdc.rd_data_count_i_reg[9] [5]), - .O(\gwdc.wr_data_count_i[7]_i_4_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gwdc.wr_data_count_i[7]_i_5 - (.I0(Q[4]), - .I1(\grdc.rd_data_count_i_reg[9] [4]), - .O(\gwdc.wr_data_count_i[7]_i_5_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gwdc.wr_data_count_i[7]_i_6 - (.I0(Q[7]), - .I1(\grdc.rd_data_count_i_reg[9] [7]), - .I2(\grdc.rd_data_count_i_reg[9] [6]), - .I3(Q[6]), - .O(\gwdc.wr_data_count_i[7]_i_6_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gwdc.wr_data_count_i[7]_i_7 - (.I0(Q[6]), - .I1(\grdc.rd_data_count_i_reg[9] [6]), - .I2(\grdc.rd_data_count_i_reg[9] [5]), - .I3(Q[5]), - .O(\gwdc.wr_data_count_i[7]_i_7_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gwdc.wr_data_count_i[7]_i_8 - (.I0(Q[5]), - .I1(\grdc.rd_data_count_i_reg[9] [5]), - .I2(\grdc.rd_data_count_i_reg[9] [4]), - .I3(Q[4]), - .O(\gwdc.wr_data_count_i[7]_i_8_n_0 )); - LUT4 #( - .INIT(16'h9699)) - \gwdc.wr_data_count_i[7]_i_9 - (.I0(Q[4]), - .I1(\grdc.rd_data_count_i_reg[9] [4]), - .I2(\grdc.rd_data_count_i_reg[9] [3]), - .I3(Q[3]), - .O(\gwdc.wr_data_count_i[7]_i_9_n_0 )); - LUT2 #( - .INIT(4'h9)) - \gwdc.wr_data_count_i[9]_i_2 - (.I0(Q[8]), - .I1(\grdc.rd_data_count_i_reg[9] [8]), - .O(\gwdc.wr_data_count_i[9]_i_2_n_0 )); + .I1(\grdc.rd_data_count_i_reg[11] [5]), + .O(\gwdc.wr_data_count_i[11]_i_5_n_0 )); LUT4 #( .INIT(16'hD22D)) - \gwdc.wr_data_count_i[9]_i_3 - (.I0(Q[8]), - .I1(\grdc.rd_data_count_i_reg[9] [8]), - .I2(\count_value_i_reg_n_0_[9] ), - .I3(\grdc.rd_data_count_i_reg[9] [9]), - .O(\gwdc.wr_data_count_i[9]_i_3_n_0 )); + \gwdc.wr_data_count_i[11]_i_6 + (.I0(Q[10]), + .I1(\grdc.rd_data_count_i_reg[11] [8]), + .I2(\grdc.rd_data_count_i_reg[11] [9]), + .I3(Q[11]), + .O(\gwdc.wr_data_count_i[11]_i_6_n_0 )); LUT4 #( - .INIT(16'h9699)) - \gwdc.wr_data_count_i[9]_i_4 + .INIT(16'hD22D)) + \gwdc.wr_data_count_i[11]_i_7 + (.I0(Q[9]), + .I1(\grdc.rd_data_count_i_reg[11] [7]), + .I2(\grdc.rd_data_count_i_reg[11] [8]), + .I3(Q[10]), + .O(\gwdc.wr_data_count_i[11]_i_7_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gwdc.wr_data_count_i[11]_i_8 (.I0(Q[8]), - .I1(\grdc.rd_data_count_i_reg[9] [8]), - .I2(\grdc.rd_data_count_i_reg[9] [7]), + .I1(\grdc.rd_data_count_i_reg[11] [6]), + .I2(\grdc.rd_data_count_i_reg[11] [7]), + .I3(Q[9]), + .O(\gwdc.wr_data_count_i[11]_i_8_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gwdc.wr_data_count_i[11]_i_9 + (.I0(Q[7]), + .I1(\grdc.rd_data_count_i_reg[11] [5]), + .I2(\grdc.rd_data_count_i_reg[11] [6]), + .I3(Q[8]), + .O(\gwdc.wr_data_count_i[11]_i_9_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gwdc.wr_data_count_i[3]_i_2 + (.I0(Q[2]), + .I1(\grdc.rd_data_count_i_reg[11] [0]), + .O(\gwdc.wr_data_count_i[3]_i_2_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gwdc.wr_data_count_i[3]_i_5 + (.I0(Q[2]), + .I1(\grdc.rd_data_count_i_reg[11] [0]), + .I2(\grdc.rd_data_count_i_reg[11] [1]), + .I3(Q[3]), + .O(\gwdc.wr_data_count_i[3]_i_5_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gwdc.wr_data_count_i[7]_i_2 + (.I0(Q[6]), + .I1(\grdc.rd_data_count_i_reg[11] [4]), + .O(\gwdc.wr_data_count_i[7]_i_2_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gwdc.wr_data_count_i[7]_i_3 + (.I0(Q[5]), + .I1(\grdc.rd_data_count_i_reg[11] [3]), + .O(\gwdc.wr_data_count_i[7]_i_3_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gwdc.wr_data_count_i[7]_i_4 + (.I0(Q[4]), + .I1(\grdc.rd_data_count_i_reg[11] [2]), + .O(\gwdc.wr_data_count_i[7]_i_4_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gwdc.wr_data_count_i[7]_i_5 + (.I0(Q[3]), + .I1(\grdc.rd_data_count_i_reg[11] [1]), + .O(\gwdc.wr_data_count_i[7]_i_5_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gwdc.wr_data_count_i[7]_i_6 + (.I0(Q[6]), + .I1(\grdc.rd_data_count_i_reg[11] [4]), + .I2(\grdc.rd_data_count_i_reg[11] [5]), .I3(Q[7]), - .O(\gwdc.wr_data_count_i[9]_i_4_n_0 )); + .O(\gwdc.wr_data_count_i[7]_i_6_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gwdc.wr_data_count_i[7]_i_7 + (.I0(Q[5]), + .I1(\grdc.rd_data_count_i_reg[11] [3]), + .I2(\grdc.rd_data_count_i_reg[11] [4]), + .I3(Q[6]), + .O(\gwdc.wr_data_count_i[7]_i_7_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gwdc.wr_data_count_i[7]_i_8 + (.I0(Q[4]), + .I1(\grdc.rd_data_count_i_reg[11] [2]), + .I2(\grdc.rd_data_count_i_reg[11] [3]), + .I3(Q[5]), + .O(\gwdc.wr_data_count_i[7]_i_8_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gwdc.wr_data_count_i[7]_i_9 + (.I0(Q[3]), + .I1(\grdc.rd_data_count_i_reg[11] [1]), + .I2(\grdc.rd_data_count_i_reg[11] [2]), + .I3(Q[4]), + .O(\gwdc.wr_data_count_i[7]_i_9_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \gwdc.wr_data_count_i_reg[11]_i_1 + (.CI(\gwdc.wr_data_count_i_reg[7]_i_1_n_0 ), + .CO({\gwdc.wr_data_count_i_reg[11]_i_1_n_0 ,\gwdc.wr_data_count_i_reg[11]_i_1_n_1 ,\gwdc.wr_data_count_i_reg[11]_i_1_n_2 ,\gwdc.wr_data_count_i_reg[11]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({\gwdc.wr_data_count_i[11]_i_2_n_0 ,\gwdc.wr_data_count_i[11]_i_3_n_0 ,\gwdc.wr_data_count_i[11]_i_4_n_0 ,\gwdc.wr_data_count_i[11]_i_5_n_0 }), + .O(D[11:8]), + .S({\gwdc.wr_data_count_i[11]_i_6_n_0 ,\gwdc.wr_data_count_i[11]_i_7_n_0 ,\gwdc.wr_data_count_i[11]_i_8_n_0 ,\gwdc.wr_data_count_i[11]_i_9_n_0 })); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \gwdc.wr_data_count_i_reg[12]_i_1 + (.CI(\gwdc.wr_data_count_i_reg[11]_i_1_n_0 ), + .CO(\NLW_gwdc.wr_data_count_i_reg[12]_i_1_CO_UNCONNECTED [3:0]), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\NLW_gwdc.wr_data_count_i_reg[12]_i_1_O_UNCONNECTED [3:1],D[12]}), + .S({1'b0,1'b0,1'b0,\grdc.rd_data_count_i_reg[12] })); (* ADDER_THRESHOLD = "35" *) CARRY4 \gwdc.wr_data_count_i_reg[3]_i_1 (.CI(1'b0), .CO({\gwdc.wr_data_count_i_reg[3]_i_1_n_0 ,\gwdc.wr_data_count_i_reg[3]_i_1_n_1 ,\gwdc.wr_data_count_i_reg[3]_i_1_n_2 ,\gwdc.wr_data_count_i_reg[3]_i_1_n_3 }), .CYINIT(1'b0), - .DI({\gwdc.wr_data_count_i[3]_i_2_n_0 ,\gwdc.wr_data_count_i[3]_i_3_n_0 ,DI,Q[0]}), + .DI({\gwdc.wr_data_count_i[3]_i_2_n_0 ,DI,Q[0]}), .O(D[3:0]), - .S({\gwdc.wr_data_count_i[3]_i_5_n_0 ,\gwdc.wr_data_count_i[3]_i_6_n_0 ,S})); + .S({\gwdc.wr_data_count_i[3]_i_5_n_0 ,\grdc.rd_data_count_i_reg[3] })); (* ADDER_THRESHOLD = "35" *) CARRY4 \gwdc.wr_data_count_i_reg[7]_i_1 (.CI(\gwdc.wr_data_count_i_reg[3]_i_1_n_0 ), @@ -6895,492 +6895,563 @@ module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0 .DI({\gwdc.wr_data_count_i[7]_i_2_n_0 ,\gwdc.wr_data_count_i[7]_i_3_n_0 ,\gwdc.wr_data_count_i[7]_i_4_n_0 ,\gwdc.wr_data_count_i[7]_i_5_n_0 }), .O(D[7:4]), .S({\gwdc.wr_data_count_i[7]_i_6_n_0 ,\gwdc.wr_data_count_i[7]_i_7_n_0 ,\gwdc.wr_data_count_i[7]_i_8_n_0 ,\gwdc.wr_data_count_i[7]_i_9_n_0 })); - (* ADDER_THRESHOLD = "35" *) - CARRY4 \gwdc.wr_data_count_i_reg[9]_i_1 - (.CI(\gwdc.wr_data_count_i_reg[7]_i_1_n_0 ), - .CO({\NLW_gwdc.wr_data_count_i_reg[9]_i_1_CO_UNCONNECTED [3:1],\gwdc.wr_data_count_i_reg[9]_i_1_n_3 }), - .CYINIT(1'b0), - .DI({1'b0,1'b0,1'b0,\gwdc.wr_data_count_i[9]_i_2_n_0 }), - .O({\NLW_gwdc.wr_data_count_i_reg[9]_i_1_O_UNCONNECTED [3:2],D[9:8]}), - .S({1'b0,1'b0,\gwdc.wr_data_count_i[9]_i_3_n_0 ,\gwdc.wr_data_count_i[9]_i_4_n_0 })); endmodule (* ORIG_REF_NAME = "xpm_counter_updn" *) module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3 - (CO, - Q, - \count_value_i_reg[4]_0 , + (Q, \count_value_i_reg[0]_0 , - E, + \count_value_i_reg[0]_1 , wr_clk); - output [0:0]CO; - input [8:0]Q; - input \count_value_i_reg[4]_0 ; - input [0:0]\count_value_i_reg[0]_0 ; - input [0:0]E; + output [11:0]Q; + input \count_value_i_reg[0]_0 ; + input [0:0]\count_value_i_reg[0]_1 ; input wr_clk; - wire [0:0]CO; - wire [0:0]E; - wire [8:0]Q; - wire \count_value_i[0]_i_1__4_n_0 ; - wire \count_value_i[1]_i_1__2_n_0 ; - wire \count_value_i[2]_i_1_n_0 ; - wire \count_value_i[3]_i_1_n_0 ; - wire \count_value_i[4]_i_1_n_0 ; - wire \count_value_i[5]_i_1_n_0 ; - wire \count_value_i[6]_i_1_n_0 ; - wire \count_value_i[7]_i_1_n_0 ; - wire \count_value_i[8]_i_1__0_n_0 ; - wire \count_value_i[8]_i_2_n_0 ; - wire [0:0]\count_value_i_reg[0]_0 ; - wire \count_value_i_reg[4]_0 ; - wire \count_value_i_reg_n_0_[0] ; - wire \count_value_i_reg_n_0_[1] ; - wire \count_value_i_reg_n_0_[2] ; - wire \count_value_i_reg_n_0_[3] ; - wire \count_value_i_reg_n_0_[4] ; - wire \count_value_i_reg_n_0_[5] ; - wire \count_value_i_reg_n_0_[6] ; - wire \count_value_i_reg_n_0_[7] ; - wire \count_value_i_reg_n_0_[8] ; - wire \gen_pntr_flags_cc.ram_empty_i_i_3_n_0 ; - wire \gen_pntr_flags_cc.ram_empty_i_i_4_n_0 ; - wire \gen_pntr_flags_cc.ram_empty_i_i_5_n_0 ; - wire \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_2 ; - wire \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_3 ; + wire [11:0]Q; + wire \count_value_i[3]_i_2__1_n_0 ; + wire \count_value_i_reg[0]_0 ; + wire [0:0]\count_value_i_reg[0]_1 ; + wire \count_value_i_reg[11]_i_1__1_n_1 ; + wire \count_value_i_reg[11]_i_1__1_n_2 ; + wire \count_value_i_reg[11]_i_1__1_n_3 ; + wire \count_value_i_reg[11]_i_1__1_n_4 ; + wire \count_value_i_reg[11]_i_1__1_n_5 ; + wire \count_value_i_reg[11]_i_1__1_n_6 ; + wire \count_value_i_reg[11]_i_1__1_n_7 ; + wire \count_value_i_reg[3]_i_1__1_n_0 ; + wire \count_value_i_reg[3]_i_1__1_n_1 ; + wire \count_value_i_reg[3]_i_1__1_n_2 ; + wire \count_value_i_reg[3]_i_1__1_n_3 ; + wire \count_value_i_reg[3]_i_1__1_n_4 ; + wire \count_value_i_reg[3]_i_1__1_n_5 ; + wire \count_value_i_reg[3]_i_1__1_n_6 ; + wire \count_value_i_reg[3]_i_1__1_n_7 ; + wire \count_value_i_reg[7]_i_1__1_n_0 ; + wire \count_value_i_reg[7]_i_1__1_n_1 ; + wire \count_value_i_reg[7]_i_1__1_n_2 ; + wire \count_value_i_reg[7]_i_1__1_n_3 ; + wire \count_value_i_reg[7]_i_1__1_n_4 ; + wire \count_value_i_reg[7]_i_1__1_n_5 ; + wire \count_value_i_reg[7]_i_1__1_n_6 ; + wire \count_value_i_reg[7]_i_1__1_n_7 ; wire wr_clk; - wire [3:3]\NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_CO_UNCONNECTED ; - wire [3:0]\NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_O_UNCONNECTED ; + wire [3:3]\NLW_count_value_i_reg[11]_i_1__1_CO_UNCONNECTED ; - (* SOFT_HLUTNM = "soft_lutpair8" *) - LUT1 #( - .INIT(2'h1)) - \count_value_i[0]_i_1__4 - (.I0(\count_value_i_reg_n_0_[0] ), - .O(\count_value_i[0]_i_1__4_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair8" *) LUT2 #( .INIT(4'h6)) - \count_value_i[1]_i_1__2 - (.I0(\count_value_i_reg_n_0_[1] ), - .I1(\count_value_i_reg_n_0_[0] ), - .O(\count_value_i[1]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT4 #( - .INIT(16'hDF20)) - \count_value_i[2]_i_1 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(\count_value_i_reg[4]_0 ), - .I2(\count_value_i_reg_n_0_[1] ), - .I3(\count_value_i_reg_n_0_[2] ), - .O(\count_value_i[2]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair6" *) - LUT5 #( - .INIT(32'hDF20FF00)) - \count_value_i[3]_i_1 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(\count_value_i_reg[4]_0 ), - .I2(\count_value_i_reg_n_0_[1] ), - .I3(\count_value_i_reg_n_0_[3] ), - .I4(\count_value_i_reg_n_0_[2] ), - .O(\count_value_i[3]_i_1_n_0 )); - LUT6 #( - .INIT(64'hDFFFFFFF20000000)) - \count_value_i[4]_i_1 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(\count_value_i_reg[4]_0 ), - .I2(\count_value_i_reg_n_0_[1] ), - .I3(\count_value_i_reg_n_0_[2] ), - .I4(\count_value_i_reg_n_0_[3] ), - .I5(\count_value_i_reg_n_0_[4] ), - .O(\count_value_i[4]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair7" *) - LUT2 #( - .INIT(4'h6)) - \count_value_i[5]_i_1 - (.I0(\count_value_i_reg_n_0_[5] ), - .I1(\count_value_i[8]_i_2_n_0 ), - .O(\count_value_i[5]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair7" *) - LUT3 #( - .INIT(8'h6A)) - \count_value_i[6]_i_1 - (.I0(\count_value_i_reg_n_0_[6] ), - .I1(\count_value_i[8]_i_2_n_0 ), - .I2(\count_value_i_reg_n_0_[5] ), - .O(\count_value_i[6]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair5" *) - LUT4 #( - .INIT(16'h6AAA)) - \count_value_i[7]_i_1 - (.I0(\count_value_i_reg_n_0_[7] ), - .I1(\count_value_i_reg_n_0_[5] ), - .I2(\count_value_i[8]_i_2_n_0 ), - .I3(\count_value_i_reg_n_0_[6] ), - .O(\count_value_i[7]_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair5" *) - LUT5 #( - .INIT(32'h6AAAAAAA)) - \count_value_i[8]_i_1__0 - (.I0(\count_value_i_reg_n_0_[8] ), - .I1(\count_value_i_reg_n_0_[6] ), - .I2(\count_value_i[8]_i_2_n_0 ), - .I3(\count_value_i_reg_n_0_[5] ), - .I4(\count_value_i_reg_n_0_[7] ), - .O(\count_value_i[8]_i_1__0_n_0 )); - LUT6 #( - .INIT(64'h2000000000000000)) - \count_value_i[8]_i_2 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(\count_value_i_reg[4]_0 ), - .I2(\count_value_i_reg_n_0_[1] ), - .I3(\count_value_i_reg_n_0_[4] ), - .I4(\count_value_i_reg_n_0_[3] ), - .I5(\count_value_i_reg_n_0_[2] ), - .O(\count_value_i[8]_i_2_n_0 )); + \count_value_i[3]_i_2__1 + (.I0(\count_value_i_reg[0]_0 ), + .I1(Q[0]), + .O(\count_value_i[3]_i_2__1_n_0 )); FDSE #( .INIT(1'b1)) \count_value_i_reg[0] (.C(wr_clk), - .CE(E), - .D(\count_value_i[0]_i_1__4_n_0 ), - .Q(\count_value_i_reg_n_0_[0] ), - .S(\count_value_i_reg[0]_0 )); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[3]_i_1__1_n_7 ), + .Q(Q[0]), + .S(\count_value_i_reg[0]_1 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[10] + (.C(wr_clk), + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[11]_i_1__1_n_5 ), + .Q(Q[10]), + .R(\count_value_i_reg[0]_1 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[11] + (.C(wr_clk), + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[11]_i_1__1_n_4 ), + .Q(Q[11]), + .R(\count_value_i_reg[0]_1 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[11]_i_1__1 + (.CI(\count_value_i_reg[7]_i_1__1_n_0 ), + .CO({\NLW_count_value_i_reg[11]_i_1__1_CO_UNCONNECTED [3],\count_value_i_reg[11]_i_1__1_n_1 ,\count_value_i_reg[11]_i_1__1_n_2 ,\count_value_i_reg[11]_i_1__1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[11]_i_1__1_n_4 ,\count_value_i_reg[11]_i_1__1_n_5 ,\count_value_i_reg[11]_i_1__1_n_6 ,\count_value_i_reg[11]_i_1__1_n_7 }), + .S(Q[11:8])); FDRE #( .INIT(1'b0)) \count_value_i_reg[1] (.C(wr_clk), - .CE(E), - .D(\count_value_i[1]_i_1__2_n_0 ), - .Q(\count_value_i_reg_n_0_[1] ), - .R(\count_value_i_reg[0]_0 )); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[3]_i_1__1_n_6 ), + .Q(Q[1]), + .R(\count_value_i_reg[0]_1 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[2] (.C(wr_clk), - .CE(E), - .D(\count_value_i[2]_i_1_n_0 ), - .Q(\count_value_i_reg_n_0_[2] ), - .R(\count_value_i_reg[0]_0 )); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[3]_i_1__1_n_5 ), + .Q(Q[2]), + .R(\count_value_i_reg[0]_1 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[3] (.C(wr_clk), - .CE(E), - .D(\count_value_i[3]_i_1_n_0 ), - .Q(\count_value_i_reg_n_0_[3] ), - .R(\count_value_i_reg[0]_0 )); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[3]_i_1__1_n_4 ), + .Q(Q[3]), + .R(\count_value_i_reg[0]_1 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[3]_i_1__1 + (.CI(1'b0), + .CO({\count_value_i_reg[3]_i_1__1_n_0 ,\count_value_i_reg[3]_i_1__1_n_1 ,\count_value_i_reg[3]_i_1__1_n_2 ,\count_value_i_reg[3]_i_1__1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,Q[0]}), + .O({\count_value_i_reg[3]_i_1__1_n_4 ,\count_value_i_reg[3]_i_1__1_n_5 ,\count_value_i_reg[3]_i_1__1_n_6 ,\count_value_i_reg[3]_i_1__1_n_7 }), + .S({Q[3:1],\count_value_i[3]_i_2__1_n_0 })); FDRE #( .INIT(1'b0)) \count_value_i_reg[4] (.C(wr_clk), - .CE(E), - .D(\count_value_i[4]_i_1_n_0 ), - .Q(\count_value_i_reg_n_0_[4] ), - .R(\count_value_i_reg[0]_0 )); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[7]_i_1__1_n_7 ), + .Q(Q[4]), + .R(\count_value_i_reg[0]_1 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[5] (.C(wr_clk), - .CE(E), - .D(\count_value_i[5]_i_1_n_0 ), - .Q(\count_value_i_reg_n_0_[5] ), - .R(\count_value_i_reg[0]_0 )); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[7]_i_1__1_n_6 ), + .Q(Q[5]), + .R(\count_value_i_reg[0]_1 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[6] (.C(wr_clk), - .CE(E), - .D(\count_value_i[6]_i_1_n_0 ), - .Q(\count_value_i_reg_n_0_[6] ), - .R(\count_value_i_reg[0]_0 )); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[7]_i_1__1_n_5 ), + .Q(Q[6]), + .R(\count_value_i_reg[0]_1 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[7] (.C(wr_clk), - .CE(E), - .D(\count_value_i[7]_i_1_n_0 ), - .Q(\count_value_i_reg_n_0_[7] ), - .R(\count_value_i_reg[0]_0 )); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[7]_i_1__1_n_4 ), + .Q(Q[7]), + .R(\count_value_i_reg[0]_1 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[7]_i_1__1 + (.CI(\count_value_i_reg[3]_i_1__1_n_0 ), + .CO({\count_value_i_reg[7]_i_1__1_n_0 ,\count_value_i_reg[7]_i_1__1_n_1 ,\count_value_i_reg[7]_i_1__1_n_2 ,\count_value_i_reg[7]_i_1__1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[7]_i_1__1_n_4 ,\count_value_i_reg[7]_i_1__1_n_5 ,\count_value_i_reg[7]_i_1__1_n_6 ,\count_value_i_reg[7]_i_1__1_n_7 }), + .S(Q[7:4])); FDRE #( .INIT(1'b0)) \count_value_i_reg[8] (.C(wr_clk), - .CE(E), - .D(\count_value_i[8]_i_1__0_n_0 ), - .Q(\count_value_i_reg_n_0_[8] ), - .R(\count_value_i_reg[0]_0 )); - LUT6 #( - .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.ram_empty_i_i_3 - (.I0(\count_value_i_reg_n_0_[7] ), - .I1(Q[7]), - .I2(Q[8]), - .I3(\count_value_i_reg_n_0_[8] ), - .I4(Q[6]), - .I5(\count_value_i_reg_n_0_[6] ), - .O(\gen_pntr_flags_cc.ram_empty_i_i_3_n_0 )); - LUT6 #( - .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.ram_empty_i_i_4 - (.I0(\count_value_i_reg_n_0_[3] ), - .I1(Q[3]), - .I2(Q[5]), - .I3(\count_value_i_reg_n_0_[5] ), - .I4(Q[4]), - .I5(\count_value_i_reg_n_0_[4] ), - .O(\gen_pntr_flags_cc.ram_empty_i_i_4_n_0 )); - LUT6 #( - .INIT(64'h9009000000009009)) - \gen_pntr_flags_cc.ram_empty_i_i_5 - (.I0(\count_value_i_reg_n_0_[0] ), - .I1(Q[0]), - .I2(Q[2]), - .I3(\count_value_i_reg_n_0_[2] ), - .I4(Q[1]), - .I5(\count_value_i_reg_n_0_[1] ), - .O(\gen_pntr_flags_cc.ram_empty_i_i_5_n_0 )); - CARRY4 \gen_pntr_flags_cc.ram_empty_i_reg_i_2 - (.CI(1'b0), - .CO({\NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_CO_UNCONNECTED [3],CO,\gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_2 ,\gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_3 }), - .CYINIT(1'b1), - .DI({1'b0,1'b0,1'b0,1'b0}), - .O(\NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_O_UNCONNECTED [3:0]), - .S({1'b0,\gen_pntr_flags_cc.ram_empty_i_i_3_n_0 ,\gen_pntr_flags_cc.ram_empty_i_i_4_n_0 ,\gen_pntr_flags_cc.ram_empty_i_i_5_n_0 })); + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[11]_i_1__1_n_7 ), + .Q(Q[8]), + .R(\count_value_i_reg[0]_1 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[9] + (.C(wr_clk), + .CE(\count_value_i_reg[0]_0 ), + .D(\count_value_i_reg[11]_i_1__1_n_6 ), + .Q(Q[9]), + .R(\count_value_i_reg[0]_1 )); endmodule (* ORIG_REF_NAME = "xpm_counter_updn" *) module design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3_1 (Q, - \count_value_i_reg[8]_0 , + D, S, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] , + DI, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] , - \count_value_i_reg[4]_0 , + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] , + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 , + ram_wr_en_pf, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_0 , \count_value_i_reg[0]_0 , - E, wr_clk); - output [8:0]Q; - output [0:0]\count_value_i_reg[8]_0 ; - output [0:0]S; - input [2:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] ; - input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] ; - input \count_value_i_reg[4]_0 ; + output [11:0]Q; + output [11:0]D; + input [0:0]S; + input [0:0]DI; + input [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] ; + input [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] ; + input [10:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 ; + input ram_wr_en_pf; + input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_0 ; input [0:0]\count_value_i_reg[0]_0 ; - input [0:0]E; input wr_clk; - wire [0:0]E; - wire [8:0]Q; + wire [11:0]D; + wire [0:0]DI; + wire [11:0]Q; wire [0:0]S; - wire \count_value_i[0]_i_1__2_n_0 ; - wire \count_value_i[1]_i_1__0_n_0 ; - wire \count_value_i[2]_i_1__1_n_0 ; - wire \count_value_i[3]_i_1__1_n_0 ; - wire \count_value_i[4]_i_1__1_n_0 ; - wire \count_value_i[5]_i_1__1_n_0 ; - wire \count_value_i[6]_i_1__1_n_0 ; - wire \count_value_i[7]_i_1__1_n_0 ; - wire \count_value_i[8]_i_1__3_n_0 ; - wire \count_value_i[8]_i_2__1_n_0 ; wire [0:0]\count_value_i_reg[0]_0 ; - wire \count_value_i_reg[4]_0 ; - wire [0:0]\count_value_i_reg[8]_0 ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] ; - wire [2:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] ; + wire \count_value_i_reg[11]_i_1__2_n_1 ; + wire \count_value_i_reg[11]_i_1__2_n_2 ; + wire \count_value_i_reg[11]_i_1__2_n_3 ; + wire \count_value_i_reg[11]_i_1__2_n_4 ; + wire \count_value_i_reg[11]_i_1__2_n_5 ; + wire \count_value_i_reg[11]_i_1__2_n_6 ; + wire \count_value_i_reg[11]_i_1__2_n_7 ; + wire \count_value_i_reg[3]_i_1__2_n_0 ; + wire \count_value_i_reg[3]_i_1__2_n_1 ; + wire \count_value_i_reg[3]_i_1__2_n_2 ; + wire \count_value_i_reg[3]_i_1__2_n_3 ; + wire \count_value_i_reg[3]_i_1__2_n_4 ; + wire \count_value_i_reg[3]_i_1__2_n_5 ; + wire \count_value_i_reg[3]_i_1__2_n_6 ; + wire \count_value_i_reg[3]_i_1__2_n_7 ; + wire \count_value_i_reg[7]_i_1__2_n_0 ; + wire \count_value_i_reg[7]_i_1__2_n_1 ; + wire \count_value_i_reg[7]_i_1__2_n_2 ; + wire \count_value_i_reg[7]_i_1__2_n_3 ; + wire \count_value_i_reg[7]_i_1__2_n_4 ; + wire \count_value_i_reg[7]_i_1__2_n_5 ; + wire \count_value_i_reg[7]_i_1__2_n_6 ; + wire \count_value_i_reg[7]_i_1__2_n_7 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_2_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_3_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_4_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_6_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_7_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_8_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0 ; + wire [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] ; + wire [10:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_1 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_2 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_3 ; + wire [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_1 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_2 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_3 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_1 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_2 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_3 ; + wire ram_wr_en_pf; wire wr_clk; + wire [3:3]\NLW_count_value_i_reg[11]_i_1__2_CO_UNCONNECTED ; + wire [3:3]\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_CO_UNCONNECTED ; - (* SOFT_HLUTNM = "soft_lutpair16" *) - LUT1 #( - .INIT(2'h1)) - \count_value_i[0]_i_1__2 - (.I0(Q[0]), - .O(\count_value_i[0]_i_1__2_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair16" *) - LUT2 #( - .INIT(4'h6)) - \count_value_i[1]_i_1__0 - (.I0(Q[1]), - .I1(Q[0]), - .O(\count_value_i[1]_i_1__0_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair14" *) - LUT4 #( - .INIT(16'hDF20)) - \count_value_i[2]_i_1__1 - (.I0(Q[0]), - .I1(\count_value_i_reg[4]_0 ), - .I2(Q[1]), - .I3(Q[2]), - .O(\count_value_i[2]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair14" *) - LUT5 #( - .INIT(32'hDF20FF00)) - \count_value_i[3]_i_1__1 - (.I0(Q[0]), - .I1(\count_value_i_reg[4]_0 ), - .I2(Q[1]), - .I3(Q[3]), - .I4(Q[2]), - .O(\count_value_i[3]_i_1__1_n_0 )); - LUT6 #( - .INIT(64'hDF20FF00FF00FF00)) - \count_value_i[4]_i_1__1 - (.I0(Q[0]), - .I1(\count_value_i_reg[4]_0 ), - .I2(Q[1]), - .I3(Q[4]), - .I4(Q[2]), - .I5(Q[3]), - .O(\count_value_i[4]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair15" *) - LUT2 #( - .INIT(4'h9)) - \count_value_i[5]_i_1__1 - (.I0(\count_value_i[8]_i_2__1_n_0 ), - .I1(Q[5]), - .O(\count_value_i[5]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair15" *) - LUT3 #( - .INIT(8'h9A)) - \count_value_i[6]_i_1__1 - (.I0(Q[6]), - .I1(\count_value_i[8]_i_2__1_n_0 ), - .I2(Q[5]), - .O(\count_value_i[6]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair13" *) - LUT4 #( - .INIT(16'hA6AA)) - \count_value_i[7]_i_1__1 - (.I0(Q[7]), - .I1(Q[5]), - .I2(\count_value_i[8]_i_2__1_n_0 ), - .I3(Q[6]), - .O(\count_value_i[7]_i_1__1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair13" *) - LUT5 #( - .INIT(32'hA6AAAAAA)) - \count_value_i[8]_i_1__3 - (.I0(Q[8]), - .I1(Q[6]), - .I2(\count_value_i[8]_i_2__1_n_0 ), - .I3(Q[5]), - .I4(Q[7]), - .O(\count_value_i[8]_i_1__3_n_0 )); - LUT6 #( - .INIT(64'hDFFFFFFFFFFFFFFF)) - \count_value_i[8]_i_2__1 - (.I0(Q[0]), - .I1(\count_value_i_reg[4]_0 ), - .I2(Q[1]), - .I3(Q[3]), - .I4(Q[2]), - .I5(Q[4]), - .O(\count_value_i[8]_i_2__1_n_0 )); FDSE #( .INIT(1'b1)) \count_value_i_reg[0] (.C(wr_clk), - .CE(E), - .D(\count_value_i[0]_i_1__2_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1__2_n_7 ), .Q(Q[0]), .S(\count_value_i_reg[0]_0 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[10] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1__2_n_5 ), + .Q(Q[10]), + .R(\count_value_i_reg[0]_0 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[11] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1__2_n_4 ), + .Q(Q[11]), + .R(\count_value_i_reg[0]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[11]_i_1__2 + (.CI(\count_value_i_reg[7]_i_1__2_n_0 ), + .CO({\NLW_count_value_i_reg[11]_i_1__2_CO_UNCONNECTED [3],\count_value_i_reg[11]_i_1__2_n_1 ,\count_value_i_reg[11]_i_1__2_n_2 ,\count_value_i_reg[11]_i_1__2_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[11]_i_1__2_n_4 ,\count_value_i_reg[11]_i_1__2_n_5 ,\count_value_i_reg[11]_i_1__2_n_6 ,\count_value_i_reg[11]_i_1__2_n_7 }), + .S(Q[11:8])); FDRE #( .INIT(1'b0)) \count_value_i_reg[1] (.C(wr_clk), - .CE(E), - .D(\count_value_i[1]_i_1__0_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1__2_n_6 ), .Q(Q[1]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[2] (.C(wr_clk), - .CE(E), - .D(\count_value_i[2]_i_1__1_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1__2_n_5 ), .Q(Q[2]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[3] (.C(wr_clk), - .CE(E), - .D(\count_value_i[3]_i_1__1_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[3]_i_1__2_n_4 ), .Q(Q[3]), .R(\count_value_i_reg[0]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[3]_i_1__2 + (.CI(1'b0), + .CO({\count_value_i_reg[3]_i_1__2_n_0 ,\count_value_i_reg[3]_i_1__2_n_1 ,\count_value_i_reg[3]_i_1__2_n_2 ,\count_value_i_reg[3]_i_1__2_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,Q[0]}), + .O({\count_value_i_reg[3]_i_1__2_n_4 ,\count_value_i_reg[3]_i_1__2_n_5 ,\count_value_i_reg[3]_i_1__2_n_6 ,\count_value_i_reg[3]_i_1__2_n_7 }), + .S({Q[3:1],S})); FDRE #( .INIT(1'b0)) \count_value_i_reg[4] (.C(wr_clk), - .CE(E), - .D(\count_value_i[4]_i_1__1_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1__2_n_7 ), .Q(Q[4]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[5] (.C(wr_clk), - .CE(E), - .D(\count_value_i[5]_i_1__1_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1__2_n_6 ), .Q(Q[5]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[6] (.C(wr_clk), - .CE(E), - .D(\count_value_i[6]_i_1__1_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1__2_n_5 ), .Q(Q[6]), .R(\count_value_i_reg[0]_0 )); FDRE #( .INIT(1'b0)) \count_value_i_reg[7] (.C(wr_clk), - .CE(E), - .D(\count_value_i[7]_i_1__1_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[7]_i_1__2_n_4 ), .Q(Q[7]), .R(\count_value_i_reg[0]_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \count_value_i_reg[7]_i_1__2 + (.CI(\count_value_i_reg[3]_i_1__2_n_0 ), + .CO({\count_value_i_reg[7]_i_1__2_n_0 ,\count_value_i_reg[7]_i_1__2_n_1 ,\count_value_i_reg[7]_i_1__2_n_2 ,\count_value_i_reg[7]_i_1__2_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,1'b0,1'b0,1'b0}), + .O({\count_value_i_reg[7]_i_1__2_n_4 ,\count_value_i_reg[7]_i_1__2_n_5 ,\count_value_i_reg[7]_i_1__2_n_6 ,\count_value_i_reg[7]_i_1__2_n_7 }), + .S(Q[7:4])); FDRE #( .INIT(1'b0)) \count_value_i_reg[8] (.C(wr_clk), - .CE(E), - .D(\count_value_i[8]_i_1__3_n_0 ), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1__2_n_7 ), .Q(Q[8]), .R(\count_value_i_reg[0]_0 )); + FDRE #( + .INIT(1'b0)) + \count_value_i_reg[9] + (.C(wr_clk), + .CE(ram_wr_en_pf), + .D(\count_value_i_reg[11]_i_1__2_n_6 ), + .Q(Q[9]), + .R(\count_value_i_reg[0]_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_2 + (.I0(Q[9]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [9]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_2_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_3 + (.I0(Q[8]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [8]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_3_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_4 + (.I0(Q[7]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [7]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_4_n_0 )); LUT4 #( - .INIT(16'hA659)) + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_6 + (.I0(Q[9]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [9]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [10]), + .I3(Q[10]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_6_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_7 + (.I0(Q[8]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [8]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [9]), + .I3(Q[9]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_7_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_8 + (.I0(Q[7]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [7]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [8]), + .I3(Q[8]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_8_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2 + (.I0(Q[2]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [2]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3 + (.I0(Q[1]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [1]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5 + (.I0(Q[2]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [2]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [3]), + .I3(Q[3]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6 + (.I0(Q[1]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [1]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [2]), + .I3(Q[2]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0 )); + LUT4 #( + .INIT(16'h9969)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8 (.I0(Q[0]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] ), - .I2(\count_value_i_reg[4]_0 ), - .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] [0]), - .O(S)); + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [0]), + .I2(ram_wr_en_pf), + .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_0 ), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2 + (.I0(Q[6]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [6]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3 + (.I0(Q[5]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [5]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4 + (.I0(Q[4]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [4]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0 )); + LUT2 #( + .INIT(4'h2)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5 + (.I0(Q[3]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [3]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0 )); LUT4 #( - .INIT(16'h9699)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[9]_i_2 - (.I0(Q[8]), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] [2]), - .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] [1]), + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6 + (.I0(Q[6]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [6]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [7]), .I3(Q[7]), - .O(\count_value_i_reg[8]_0 )); + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7 + (.I0(Q[5]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [5]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [6]), + .I3(Q[6]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8 + (.I0(Q[4]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [4]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [5]), + .I3(Q[5]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0 )); + LUT4 #( + .INIT(16'hD22D)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9 + (.I0(Q[3]), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [3]), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 [4]), + .I3(Q[4]), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0 )); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1 + (.CI(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0 ), + .CO({\NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_CO_UNCONNECTED [3],\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({1'b0,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_2_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_3_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_4_n_0 }), + .O(D[11:8]), + .S({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_6_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_7_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_8_n_0 })); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1 + (.CI(1'b0), + .CO({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0 ,DI,1'b0}), + .O(D[3:0]), + .S({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8_n_0 })); + (* ADDER_THRESHOLD = "35" *) + CARRY4 \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1 + (.CI(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0 ), + .CO({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_1 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_2 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_3 }), + .CYINIT(1'b0), + .DI({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0 }), + .O(D[7:4]), + .S({\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0 ,\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0 })); endmodule (* AXIS_DATA_WIDTH = "53" *) (* AXIS_FINAL_DATA_WIDTH = "53" *) (* CASCADE_HEIGHT = "0" *) (* CDC_SYNC_STAGES = "2" *) (* CLOCKING_MODE = "COMMON" *) (* ECC_MODE = "NO_ECC" *) (* EN_ADV_FEATURE_AXIS = "16'b0001011000000110" *) (* EN_ADV_FEATURE_AXIS_INT = "16'b0001111000001110" *) (* EN_ALMOST_EMPTY_INT = "1'b1" *) -(* EN_ALMOST_FULL_INT = "1'b1" *) (* EN_DATA_VALID_INT = "1'b1" *) (* FIFO_DEPTH = "512" *) -(* FIFO_MEMORY_TYPE = "BRAM" *) (* LOG_DEPTH_AXIS = "9" *) (* ORIG_REF_NAME = "xpm_fifo_axis" *) +(* EN_ALMOST_FULL_INT = "1'b1" *) (* EN_DATA_VALID_INT = "1'b1" *) (* FIFO_DEPTH = "4096" *) +(* FIFO_MEMORY_TYPE = "BRAM" *) (* LOG_DEPTH_AXIS = "12" *) (* ORIG_REF_NAME = "xpm_fifo_axis" *) (* PACKET_FIFO = "true" *) (* PKT_SIZE_LT8 = "1'b0" *) (* PROG_EMPTY_THRESH = "5" *) -(* PROG_FULL_THRESH = "507" *) (* P_COMMON_CLOCK = "1" *) (* P_ECC_MODE = "0" *) -(* P_FIFO_MEMORY_TYPE = "2" *) (* P_PKT_MODE = "1" *) (* RD_DATA_COUNT_WIDTH = "10" *) +(* PROG_FULL_THRESH = "4091" *) (* P_COMMON_CLOCK = "1" *) (* P_ECC_MODE = "0" *) +(* P_FIFO_MEMORY_TYPE = "2" *) (* P_PKT_MODE = "1" *) (* RD_DATA_COUNT_WIDTH = "13" *) (* RELATED_CLOCKS = "0" *) (* SIM_ASSERT_CHK = "0" *) (* TDATA_OFFSET = "32" *) (* TDATA_WIDTH = "32" *) (* TDEST_OFFSET = "48" *) (* TDEST_WIDTH = "4" *) (* TID_OFFSET = "44" *) (* TID_WIDTH = "4" *) (* TKEEP_OFFSET = "40" *) (* TSTRB_OFFSET = "36" *) (* TUSER_MAX_WIDTH = "4047" *) (* TUSER_OFFSET = "52" *) (* TUSER_WIDTH = "4" *) (* USE_ADV_FEATURES = "1606" *) (* USE_ADV_FEATURES_INT = "826617925" *) -(* WR_DATA_COUNT_WIDTH = "10" *) (* XPM_MODULE = "TRUE" *) (* dont_touch = "true" *) +(* WR_DATA_COUNT_WIDTH = "13" *) (* XPM_MODULE = "TRUE" *) (* dont_touch = "true" *) module design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis (s_aresetn, s_aclk, @@ -7435,10 +7506,10 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis output [3:0]m_axis_tdest; output [3:0]m_axis_tuser; output prog_full_axis; - output [9:0]wr_data_count_axis; + output [12:0]wr_data_count_axis; output almost_full_axis; output prog_empty_axis; - output [9:0]rd_data_count_axis; + output [12:0]rd_data_count_axis; output almost_empty_axis; input injectsbiterr_axis; input injectdbiterr_axis; @@ -7619,7 +7690,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis wire p_3_in; wire prog_empty_axis; wire prog_full_axis; - wire [9:0]rd_data_count_axis; + wire [12:0]rd_data_count_axis; wire rst_axis; wire s_aclk; wire s_aresetn; @@ -7632,7 +7703,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis wire [3:0]s_axis_tstrb; wire [3:0]s_axis_tuser; wire s_axis_tvalid; - wire [9:0]wr_data_count_axis; + wire [12:0]wr_data_count_axis; wire [3:3]\NLW_gaxis_pkt_fifo_cc.axis_pkt_cnt_reg[28]_i_1_CO_UNCONNECTED ; wire [3:0]\NLW_gaxis_pkt_fifo_cc.axis_pkt_read_reg_i_15_O_UNCONNECTED ; wire [3:0]\NLW_gaxis_pkt_fifo_cc.axis_pkt_read_reg_i_2_O_UNCONNECTED ; @@ -8144,7 +8215,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis .D(\gaxis_pkt_fifo_cc.axis_pkt_cnt_reg[8]_i_1_n_6 ), .Q(\gaxis_pkt_fifo_cc.axis_pkt_cnt_reg [9]), .R(rst_axis)); - (* SOFT_HLUTNM = "soft_lutpair25" *) + (* SOFT_HLUTNM = "soft_lutpair5" *) LUT5 #( .INIT(32'h0000FEEE)) \gaxis_pkt_fifo_cc.axis_pkt_read_i_1 @@ -8489,7 +8560,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis \gaxis_rst_sync.xpm_cdc_sync_rst_inst_i_1 (.I0(s_aresetn), .O(\gaxis_rst_sync.xpm_cdc_sync_rst_inst_i_1_n_0 )); - (* SOFT_HLUTNM = "soft_lutpair25" *) + (* SOFT_HLUTNM = "soft_lutpair5" *) LUT2 #( .INIT(4'h8)) m_axis_tvalid_INST_0 @@ -8516,26 +8587,26 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis (* FG_EQ_ASYM_DOUT = "1'b0" *) (* FIFO_MEMORY_TYPE = "2" *) (* FIFO_MEM_TYPE = "2" *) - (* FIFO_READ_DEPTH = "512" *) + (* FIFO_READ_DEPTH = "4096" *) (* FIFO_READ_LATENCY = "0" *) - (* FIFO_SIZE = "27136" *) - (* FIFO_WRITE_DEPTH = "512" *) + (* FIFO_SIZE = "217088" *) + (* FIFO_WRITE_DEPTH = "4096" *) (* FULL_RESET_VALUE = "1" *) (* FULL_RST_VAL = "1'b1" *) (* KEEP_HIERARCHY = "soft" *) (* PE_THRESH_ADJ = "3" *) - (* PE_THRESH_MAX = "507" *) + (* PE_THRESH_MAX = "4091" *) (* PE_THRESH_MIN = "5" *) - (* PF_THRESH_ADJ = "505" *) - (* PF_THRESH_MAX = "507" *) + (* PF_THRESH_ADJ = "4089" *) + (* PF_THRESH_MAX = "4091" *) (* PF_THRESH_MIN = "5" *) (* PROG_EMPTY_THRESH = "5" *) - (* PROG_FULL_THRESH = "507" *) - (* RD_DATA_COUNT_WIDTH = "10" *) - (* RD_DC_WIDTH_EXT = "10" *) + (* PROG_FULL_THRESH = "4091" *) + (* RD_DATA_COUNT_WIDTH = "13" *) + (* RD_DC_WIDTH_EXT = "13" *) (* RD_LATENCY = "2" *) (* RD_MODE = "1" *) - (* RD_PNTR_WIDTH = "9" *) + (* RD_PNTR_WIDTH = "12" *) (* READ_DATA_WIDTH = "53" *) (* READ_MODE = "1" *) (* RELATED_CLOCKS = "0" *) @@ -8546,10 +8617,10 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis (* WAKEUP_TIME = "0" *) (* WIDTH_RATIO = "1" *) (* WRITE_DATA_WIDTH = "53" *) - (* WR_DATA_COUNT_WIDTH = "10" *) - (* WR_DC_WIDTH_EXT = "10" *) - (* WR_DEPTH_LOG = "9" *) - (* WR_PNTR_WIDTH = "9" *) + (* WR_DATA_COUNT_WIDTH = "13" *) + (* WR_DC_WIDTH_EXT = "13" *) + (* WR_DEPTH_LOG = "12" *) + (* WR_PNTR_WIDTH = "12" *) (* WR_RD_RATIO = "0" *) (* WR_WIDTH_LOG = "6" *) (* XPM_MODULE = "TRUE" *) @@ -8600,18 +8671,18 @@ endmodule (* EN_DVLD = "1'b1" *) (* EN_OF = "1'b0" *) (* EN_PE = "1'b1" *) (* EN_PF = "1'b1" *) (* EN_RDC = "1'b1" *) (* EN_UF = "1'b0" *) (* EN_WACK = "1'b0" *) (* EN_WDC = "1'b1" *) (* FG_EQ_ASYM_DOUT = "1'b0" *) -(* FIFO_MEMORY_TYPE = "2" *) (* FIFO_MEM_TYPE = "2" *) (* FIFO_READ_DEPTH = "512" *) -(* FIFO_READ_LATENCY = "0" *) (* FIFO_SIZE = "27136" *) (* FIFO_WRITE_DEPTH = "512" *) +(* FIFO_MEMORY_TYPE = "2" *) (* FIFO_MEM_TYPE = "2" *) (* FIFO_READ_DEPTH = "4096" *) +(* FIFO_READ_LATENCY = "0" *) (* FIFO_SIZE = "217088" *) (* FIFO_WRITE_DEPTH = "4096" *) (* FULL_RESET_VALUE = "1" *) (* FULL_RST_VAL = "1'b1" *) (* ORIG_REF_NAME = "xpm_fifo_base" *) -(* PE_THRESH_ADJ = "3" *) (* PE_THRESH_MAX = "507" *) (* PE_THRESH_MIN = "5" *) -(* PF_THRESH_ADJ = "505" *) (* PF_THRESH_MAX = "507" *) (* PF_THRESH_MIN = "5" *) -(* PROG_EMPTY_THRESH = "5" *) (* PROG_FULL_THRESH = "507" *) (* RD_DATA_COUNT_WIDTH = "10" *) -(* RD_DC_WIDTH_EXT = "10" *) (* RD_LATENCY = "2" *) (* RD_MODE = "1" *) -(* RD_PNTR_WIDTH = "9" *) (* READ_DATA_WIDTH = "53" *) (* READ_MODE = "1" *) +(* PE_THRESH_ADJ = "3" *) (* PE_THRESH_MAX = "4091" *) (* PE_THRESH_MIN = "5" *) +(* PF_THRESH_ADJ = "4089" *) (* PF_THRESH_MAX = "4091" *) (* PF_THRESH_MIN = "5" *) +(* PROG_EMPTY_THRESH = "5" *) (* PROG_FULL_THRESH = "4091" *) (* RD_DATA_COUNT_WIDTH = "13" *) +(* RD_DC_WIDTH_EXT = "13" *) (* RD_LATENCY = "2" *) (* RD_MODE = "1" *) +(* RD_PNTR_WIDTH = "12" *) (* READ_DATA_WIDTH = "53" *) (* READ_MODE = "1" *) (* RELATED_CLOCKS = "0" *) (* REMOVE_WR_RD_PROT_LOGIC = "0" *) (* SIM_ASSERT_CHK = "0" *) (* USE_ADV_FEATURES = "826617925" *) (* VERSION = "0" *) (* WAKEUP_TIME = "0" *) -(* WIDTH_RATIO = "1" *) (* WRITE_DATA_WIDTH = "53" *) (* WR_DATA_COUNT_WIDTH = "10" *) -(* WR_DC_WIDTH_EXT = "10" *) (* WR_DEPTH_LOG = "9" *) (* WR_PNTR_WIDTH = "9" *) +(* WIDTH_RATIO = "1" *) (* WRITE_DATA_WIDTH = "53" *) (* WR_DATA_COUNT_WIDTH = "13" *) +(* WR_DC_WIDTH_EXT = "13" *) (* WR_DEPTH_LOG = "12" *) (* WR_PNTR_WIDTH = "12" *) (* WR_RD_RATIO = "0" *) (* WR_WIDTH_LOG = "6" *) (* XPM_MODULE = "TRUE" *) (* both_stages_valid = "3" *) (* invalid = "0" *) (* keep_hierarchy = "soft" *) (* stage1_valid = "2" *) (* stage2_valid = "1" *) @@ -8651,7 +8722,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base output full; output full_n; output prog_full; - output [9:0]wr_data_count; + output [12:0]wr_data_count; output overflow; output wr_rst_busy; output almost_full; @@ -8661,7 +8732,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base output [52:0]dout; output empty; output prog_empty; - output [9:0]rd_data_count; + output [12:0]rd_data_count; output underflow; output rd_rst_busy; output almost_empty; @@ -8675,13 +8746,14 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base wire aempty_fwft_i0; wire almost_empty; wire almost_full; + wire clr_full; wire [1:1]count_value_i; wire [1:0]curr_fwft_state; wire data_valid; wire data_valid_fwft1; - wire [8:0]diff_pntr_pe; - wire [9:1]diff_pntr_pf_q; - wire [9:1]diff_pntr_pf_q0; + wire [11:0]diff_pntr_pe; + wire [12:1]diff_pntr_pf_q; + wire [12:1]diff_pntr_pf_q0; wire [52:0]din; wire [52:0]dout; wire full_n; @@ -8694,6 +8766,8 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base wire \gen_fwft.rdpp1_inst_n_3 ; wire \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0 ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[0] ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[10] ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[11] ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[1] ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[2] ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[3] ; @@ -8702,47 +8776,76 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[6] ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[7] ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[8] ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[9] ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2_n_0 ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3_n_0 ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2_n_0 ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3_n_0 ; - wire going_afull1; - wire going_empty1; - wire [9:0]\grdc.diff_wr_rd_pntr_rdc ; + wire [12:0]\grdc.diff_wr_rd_pntr_rdc ; wire \grdc.rd_data_count_i0 ; wire leaving_empty0; wire [1:0]next_fwft_state__0; + wire p_1_in__0; wire prog_empty; wire prog_full; wire ram_empty_i; wire ram_empty_i0; - wire ram_rd_en_pf; wire ram_rd_en_pf_q; wire ram_wr_en_pf; wire ram_wr_en_pf_q; - wire [9:0]rd_data_count; + wire [12:0]rd_data_count; wire rd_en; - wire [8:0]rd_pntr_ext; + wire [11:0]rd_pntr_ext; wire rdp_inst_n_0; wire rdp_inst_n_1; + wire rdp_inst_n_15; + wire rdp_inst_n_16; + wire rdp_inst_n_17; + wire rdp_inst_n_19; wire rdp_inst_n_2; - wire rdp_inst_n_3; + wire rdp_inst_n_20; + wire rdp_inst_n_21; + wire rdp_inst_n_22; + wire rdp_inst_n_23; + wire rdp_inst_n_24; + wire rdp_inst_n_25; + wire rdp_inst_n_26; + wire rdp_inst_n_27; + wire rdp_inst_n_28; + wire rdp_inst_n_29; + wire rdp_inst_n_30; + wire rdp_inst_n_31; wire rdp_inst_n_32; + wire rdp_inst_n_33; + wire rdpp1_inst_n_0; + wire rdpp1_inst_n_1; + wire rdpp1_inst_n_10; + wire rdpp1_inst_n_11; + wire rdpp1_inst_n_2; + wire rdpp1_inst_n_3; + wire rdpp1_inst_n_4; + wire rdpp1_inst_n_5; + wire rdpp1_inst_n_6; + wire rdpp1_inst_n_7; + wire rdpp1_inst_n_8; + wire rdpp1_inst_n_9; + wire read_only; wire read_only_q; wire rst; wire rst_d1; - wire rst_d1_inst_n_1; wire rst_d1_inst_n_2; wire sleep; wire wr_clk; - wire [9:0]wr_data_count; + wire [12:0]wr_data_count; wire wr_en; - wire [8:0]wr_pntr_ext; + wire [11:0]wr_pntr_ext; + wire write_only; wire write_only_q; - wire wrp_inst_n_21; + wire wrp_inst_n_1; wire wrpp1_inst_n_0; wire wrpp1_inst_n_1; wire wrpp1_inst_n_10; + wire wrpp1_inst_n_11; wire wrpp1_inst_n_2; wire wrpp1_inst_n_3; wire wrpp1_inst_n_4; @@ -8751,12 +8854,24 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base wire wrpp1_inst_n_7; wire wrpp1_inst_n_8; wire wrpp1_inst_n_9; + wire wrpp2_inst_n_0; + wire wrpp2_inst_n_1; + wire wrpp2_inst_n_10; + wire wrpp2_inst_n_11; + wire wrpp2_inst_n_2; + wire wrpp2_inst_n_3; + wire wrpp2_inst_n_4; + wire wrpp2_inst_n_5; + wire wrpp2_inst_n_6; + wire wrpp2_inst_n_7; + wire wrpp2_inst_n_8; + wire wrpp2_inst_n_9; wire xpm_fifo_rst_inst_n_0; wire xpm_fifo_rst_inst_n_1; - wire xpm_fifo_rst_inst_n_3; - wire xpm_fifo_rst_inst_n_6; + wire xpm_fifo_rst_inst_n_11; wire xpm_fifo_rst_inst_n_7; wire xpm_fifo_rst_inst_n_8; + wire xpm_fifo_rst_inst_n_9; wire \NLW_gen_sdpram.xpm_memory_base_inst_dbiterra_UNCONNECTED ; wire \NLW_gen_sdpram.xpm_memory_base_inst_dbiterrb_UNCONNECTED ; wire \NLW_gen_sdpram.xpm_memory_base_inst_sbiterra_UNCONNECTED ; @@ -8772,21 +8887,21 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base assign underflow = \<const0> ; assign wr_ack = \<const0> ; assign wr_rst_busy = \<const0> ; - (* SOFT_HLUTNM = "soft_lutpair23" *) + (* SOFT_HLUTNM = "soft_lutpair3" *) LUT4 #( - .INIT(16'h6899)) + .INIT(16'h7883)) \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1 - (.I0(curr_fwft_state[0]), - .I1(ram_empty_i), - .I2(rd_en), - .I3(curr_fwft_state[1]), - .O(next_fwft_state__0[0])); - LUT3 #( - .INIT(8'h7C)) - \FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1 (.I0(rd_en), .I1(curr_fwft_state[1]), .I2(curr_fwft_state[0]), + .I3(ram_empty_i), + .O(next_fwft_state__0[0])); + LUT3 #( + .INIT(8'h7A)) + \FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1 + (.I0(curr_fwft_state[0]), + .I1(rd_en), + .I2(curr_fwft_state[1]), .O(next_fwft_state__0[1])); (* FSM_ENCODED_STATES = "invalid:00,stage1_valid:01,both_stages_valid:10,stage2_valid:11" *) FDRE #( @@ -8808,13 +8923,13 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .R(xpm_fifo_rst_inst_n_1)); GND GND (.G(\<const0> )); - (* SOFT_HLUTNM = "soft_lutpair24" *) + (* SOFT_HLUTNM = "soft_lutpair4" *) LUT4 #( - .INIT(16'hBB80)) + .INIT(16'hF380)) \gen_fwft.empty_fwft_i_i_1 - (.I0(curr_fwft_state[1]), + (.I0(rd_en), .I1(curr_fwft_state[0]), - .I2(rd_en), + .I2(curr_fwft_state[1]), .I3(\gen_fwft.empty_fwft_i_reg_n_0 ), .O(data_valid_fwft1)); FDSE #( @@ -8825,15 +8940,15 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .D(data_valid_fwft1), .Q(\gen_fwft.empty_fwft_i_reg_n_0 ), .S(xpm_fifo_rst_inst_n_1)); - (* SOFT_HLUTNM = "soft_lutpair23" *) + (* SOFT_HLUTNM = "soft_lutpair3" *) LUT5 #( - .INIT(32'hA888EAAA)) + .INIT(32'hFDDD4000)) \gen_fwft.gae_fwft.aempty_fwft_i_i_1 - (.I0(almost_empty), + (.I0(curr_fwft_state[0]), .I1(ram_empty_i), - .I2(rd_en), - .I3(curr_fwft_state[1]), - .I4(curr_fwft_state[0]), + .I2(curr_fwft_state[1]), + .I3(rd_en), + .I4(almost_empty), .O(aempty_fwft_i0)); FDSE #( .INIT(1'b1)) @@ -8843,13 +8958,13 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .D(aempty_fwft_i0), .Q(almost_empty), .S(xpm_fifo_rst_inst_n_1)); - (* SOFT_HLUTNM = "soft_lutpair24" *) + (* SOFT_HLUTNM = "soft_lutpair4" *) LUT4 #( - .INIT(16'h447F)) + .INIT(16'h0C7F)) \gen_fwft.gdvld_fwft.data_valid_fwft_i_1 - (.I0(curr_fwft_state[1]), + (.I0(rd_en), .I1(curr_fwft_state[0]), - .I2(rd_en), + .I2(curr_fwft_state[1]), .I3(\gen_fwft.empty_fwft_i_reg_n_0 ), .O(\gen_fwft.gdvld_fwft.data_valid_fwft_i_1_n_0 )); FDRE #( @@ -8865,9 +8980,9 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .Q(count_value_i), .S({\gen_fwft.rdpp1_inst_n_1 ,\gen_fwft.rdpp1_inst_n_2 }), .SR(\gen_fwft.count_rst ), - .\count_value_i_reg[1]_0 (curr_fwft_state), - .\grdc.rd_data_count_i_reg[3] (wr_pntr_ext[1:0]), - .\grdc.rd_data_count_i_reg[3]_0 (rd_pntr_ext[1:0]), + .\count_value_i_reg[0]_0 (curr_fwft_state), + .\grdc.rd_data_count_i_reg[3] (rd_pntr_ext[1:0]), + .\grdc.rd_data_count_i_reg[3]_0 (wr_pntr_ext[1:0]), .ram_empty_i(ram_empty_i), .rd_en(rd_en), .wr_clk(wr_clk)); @@ -8876,7 +8991,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg (.C(wr_clk), .CE(1'b1), - .D(rdp_inst_n_2), + .D(rdp_inst_n_0), .Q(almost_full), .S(xpm_fifo_rst_inst_n_1)); FDSE #( @@ -8884,7 +8999,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg (.C(wr_clk), .CE(1'b1), - .D(rdp_inst_n_32), + .D(rdp_inst_n_33), .Q(\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0 ), .S(xpm_fifo_rst_inst_n_1)); FDRE #( @@ -8892,7 +9007,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg (.C(wr_clk), .CE(1'b1), - .D(rdp_inst_n_0), + .D(rdp_inst_n_19), .Q(full_n), .R(xpm_fifo_rst_inst_n_1)); FDSE #( @@ -8911,6 +9026,22 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .D(diff_pntr_pe[0]), .Q(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[0] ), .R(xpm_fifo_rst_inst_n_1)); + FDRE #( + .INIT(1'b0)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[10] + (.C(wr_clk), + .CE(1'b1), + .D(diff_pntr_pe[10]), + .Q(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[10] ), + .R(xpm_fifo_rst_inst_n_1)); + FDRE #( + .INIT(1'b0)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11] + (.C(wr_clk), + .CE(1'b1), + .D(diff_pntr_pe[11]), + .Q(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[11] ), + .R(xpm_fifo_rst_inst_n_1)); FDRE #( .INIT(1'b0)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[1] @@ -8975,23 +9106,33 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .D(diff_pntr_pe[8]), .Q(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[8] ), .R(xpm_fifo_rst_inst_n_1)); - LUT4 #( - .INIT(16'hFFEF)) + FDRE #( + .INIT(1'b0)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[9] + (.C(wr_clk), + .CE(1'b1), + .D(diff_pntr_pe[9]), + .Q(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[9] ), + .R(xpm_fifo_rst_inst_n_1)); + LUT6 #( + .INIT(64'hFFFFFFFFFFFFFFBF)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2 (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[2] ), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[5] ), - .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[0] ), - .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3_n_0 ), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[0] ), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[1] ), + .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[5] ), + .I4(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[3] ), + .I5(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[4] ), .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2_n_0 )); LUT6 #( - .INIT(64'hFFFFFFFFFFFFFFFD)) + .INIT(64'h0000000000000001)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3 - (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[1] ), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[8] ), - .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[4] ), - .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[7] ), - .I4(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[3] ), - .I5(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[6] ), + (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[10] ), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[9] ), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[11] ), + .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[6] ), + .I4(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[7] ), + .I5(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[8] ), .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3_n_0 )); FDRE #( .INIT(1'b1)) @@ -9004,15 +9145,39 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base FDRE \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.read_only_q_reg (.C(wr_clk), .CE(1'b1), - .D(xpm_fifo_rst_inst_n_7), + .D(read_only), .Q(read_only_q), .R(xpm_fifo_rst_inst_n_1)); FDRE \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg (.C(wr_clk), .CE(1'b1), - .D(xpm_fifo_rst_inst_n_6), + .D(write_only), .Q(write_only_q), .R(xpm_fifo_rst_inst_n_1)); + FDRE #( + .INIT(1'b0)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[10] + (.C(wr_clk), + .CE(1'b1), + .D(diff_pntr_pf_q0[10]), + .Q(diff_pntr_pf_q[10]), + .R(xpm_fifo_rst_inst_n_1)); + FDRE #( + .INIT(1'b0)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[11] + (.C(wr_clk), + .CE(1'b1), + .D(diff_pntr_pf_q0[11]), + .Q(diff_pntr_pf_q[11]), + .R(xpm_fifo_rst_inst_n_1)); + FDRE #( + .INIT(1'b0)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] + (.C(wr_clk), + .CE(1'b1), + .D(diff_pntr_pf_q0[12]), + .Q(diff_pntr_pf_q[12]), + .R(xpm_fifo_rst_inst_n_1)); FDRE #( .INIT(1'b0)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[1] @@ -9085,30 +9250,32 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .D(diff_pntr_pf_q0[9]), .Q(diff_pntr_pf_q[9]), .R(xpm_fifo_rst_inst_n_1)); - LUT4 #( - .INIT(16'h0080)) + LUT6 #( + .INIT(64'h7FFFFFFFFFFFFFFF)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2 - (.I0(diff_pntr_pf_q[8]), - .I1(diff_pntr_pf_q[9]), - .I2(diff_pntr_pf_q[1]), - .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3_n_0 ), + (.I0(diff_pntr_pf_q[1]), + .I1(diff_pntr_pf_q[4]), + .I2(diff_pntr_pf_q[5]), + .I3(diff_pntr_pf_q[6]), + .I4(diff_pntr_pf_q[7]), + .I5(diff_pntr_pf_q[8]), .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2_n_0 )); LUT6 #( - .INIT(64'hFDFFFFFFFFFFFFFF)) + .INIT(64'h0400000000000000)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3 - (.I0(diff_pntr_pf_q[7]), - .I1(diff_pntr_pf_q[2]), - .I2(diff_pntr_pf_q[3]), - .I3(diff_pntr_pf_q[4]), - .I4(diff_pntr_pf_q[5]), - .I5(diff_pntr_pf_q[6]), + (.I0(diff_pntr_pf_q[3]), + .I1(diff_pntr_pf_q[11]), + .I2(diff_pntr_pf_q[2]), + .I3(diff_pntr_pf_q[12]), + .I4(diff_pntr_pf_q[9]), + .I5(diff_pntr_pf_q[10]), .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3_n_0 )); FDSE #( .INIT(1'b1)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg (.C(wr_clk), .CE(1'b1), - .D(rst_d1_inst_n_1), + .D(rst_d1_inst_n_2), .Q(prog_full), .S(xpm_fifo_rst_inst_n_1)); FDRE #( @@ -9116,7 +9283,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg (.C(wr_clk), .CE(1'b1), - .D(ram_rd_en_pf), + .D(rdp_inst_n_1), .Q(ram_rd_en_pf_q), .R(xpm_fifo_rst_inst_n_1)); FDRE #( @@ -9127,8 +9294,8 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .D(ram_wr_en_pf), .Q(ram_wr_en_pf_q), .R(xpm_fifo_rst_inst_n_1)); - (* ADDR_WIDTH_A = "9" *) - (* ADDR_WIDTH_B = "9" *) + (* ADDR_WIDTH_A = "12" *) + (* ADDR_WIDTH_B = "12" *) (* AUTO_SLEEP_TIME = "0" *) (* BYTE_WRITE_WIDTH_A = "53" *) (* BYTE_WRITE_WIDTH_B = "53" *) @@ -9141,20 +9308,20 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base (* \MEM.ADDRESS_SPACE_BEGIN = "0" *) (* \MEM.ADDRESS_SPACE_DATA_LSB = "0" *) (* \MEM.ADDRESS_SPACE_DATA_MSB = "52" *) - (* \MEM.ADDRESS_SPACE_END = "511" *) + (* \MEM.ADDRESS_SPACE_END = "4095" *) (* \MEM.CORE_MEMORY_WIDTH = "53" *) (* MEMORY_INIT_FILE = "none" *) (* MEMORY_INIT_PARAM = "" *) (* MEMORY_OPTIMIZATION = "true" *) (* MEMORY_PRIMITIVE = "2" *) - (* MEMORY_SIZE = "27136" *) + (* MEMORY_SIZE = "217088" *) (* MEMORY_TYPE = "1" *) (* MESSAGE_CONTROL = "0" *) (* NUM_CHAR_LOC = "0" *) (* P_ECC_MODE = "no_ecc" *) (* P_ENABLE_BYTE_WRITE_A = "0" *) (* P_ENABLE_BYTE_WRITE_B = "0" *) - (* P_MAX_DEPTH_DATA = "512" *) + (* P_MAX_DEPTH_DATA = "4096" *) (* P_MEMORY_OPT = "yes" *) (* P_MEMORY_PRIMITIVE = "block" *) (* P_MIN_WIDTH_DATA = "53" *) @@ -9174,10 +9341,10 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base (* P_WIDTH_ADDR_LSB_READ_B = "0" *) (* P_WIDTH_ADDR_LSB_WRITE_A = "0" *) (* P_WIDTH_ADDR_LSB_WRITE_B = "0" *) - (* P_WIDTH_ADDR_READ_A = "9" *) - (* P_WIDTH_ADDR_READ_B = "9" *) - (* P_WIDTH_ADDR_WRITE_A = "9" *) - (* P_WIDTH_ADDR_WRITE_B = "9" *) + (* P_WIDTH_ADDR_READ_A = "12" *) + (* P_WIDTH_ADDR_READ_B = "12" *) + (* P_WIDTH_ADDR_WRITE_A = "12" *) + (* P_WIDTH_ADDR_WRITE_B = "12" *) (* P_WIDTH_COL_WRITE_A = "53" *) (* P_WIDTH_COL_WRITE_B = "53" *) (* READ_DATA_WIDTH_A = "53" *) @@ -9214,7 +9381,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .douta(\NLW_gen_sdpram.xpm_memory_base_inst_douta_UNCONNECTED [52:0]), .doutb(dout), .ena(1'b0), - .enb(ram_rd_en_pf), + .enb(rdp_inst_n_1), .injectdbiterra(1'b0), .injectdbiterrb(1'b0), .injectsbiterra(1'b0), @@ -9229,10 +9396,10 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .wea(ram_wr_en_pf), .web(1'b0)); LUT3 #( - .INIT(8'h4A)) + .INIT(8'h2C)) \gen_sdpram.xpm_memory_base_inst_i_3 - (.I0(curr_fwft_state[0]), - .I1(rd_en), + (.I0(rd_en), + .I1(curr_fwft_state[0]), .I2(curr_fwft_state[1]), .O(\gen_fwft.ram_regout_en )); FDRE \grdc.rd_data_count_i_reg[0] @@ -9241,6 +9408,24 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .D(\grdc.diff_wr_rd_pntr_rdc [0]), .Q(rd_data_count[0]), .R(\grdc.rd_data_count_i0 )); + FDRE \grdc.rd_data_count_i_reg[10] + (.C(wr_clk), + .CE(1'b1), + .D(\grdc.diff_wr_rd_pntr_rdc [10]), + .Q(rd_data_count[10]), + .R(\grdc.rd_data_count_i0 )); + FDRE \grdc.rd_data_count_i_reg[11] + (.C(wr_clk), + .CE(1'b1), + .D(\grdc.diff_wr_rd_pntr_rdc [11]), + .Q(rd_data_count[11]), + .R(\grdc.rd_data_count_i0 )); + FDRE \grdc.rd_data_count_i_reg[12] + (.C(wr_clk), + .CE(1'b1), + .D(\grdc.diff_wr_rd_pntr_rdc [12]), + .Q(rd_data_count[12]), + .R(\grdc.rd_data_count_i0 )); FDRE \grdc.rd_data_count_i_reg[1] (.C(wr_clk), .CE(1'b1), @@ -9301,6 +9486,24 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .D(\grdc.diff_wr_rd_pntr_rdc [0]), .Q(wr_data_count[0]), .R(xpm_fifo_rst_inst_n_1)); + FDRE \gwdc.wr_data_count_i_reg[10] + (.C(wr_clk), + .CE(1'b1), + .D(\grdc.diff_wr_rd_pntr_rdc [10]), + .Q(wr_data_count[10]), + .R(xpm_fifo_rst_inst_n_1)); + FDRE \gwdc.wr_data_count_i_reg[11] + (.C(wr_clk), + .CE(1'b1), + .D(\grdc.diff_wr_rd_pntr_rdc [11]), + .Q(wr_data_count[11]), + .R(xpm_fifo_rst_inst_n_1)); + FDRE \gwdc.wr_data_count_i_reg[12] + (.C(wr_clk), + .CE(1'b1), + .D(\grdc.diff_wr_rd_pntr_rdc [12]), + .Q(wr_data_count[12]), + .R(xpm_fifo_rst_inst_n_1)); FDRE \gwdc.wr_data_count_i_reg[1] (.C(wr_clk), .CE(1'b1), @@ -9357,141 +9560,158 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_base .R(xpm_fifo_rst_inst_n_1)); design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2 rdp_inst (.CO(leaving_empty0), - .D(diff_pntr_pf_q0), - .E(ram_rd_en_pf), + .DI(rdp_inst_n_2), .\FSM_sequential_gen_fwft.curr_fwft_state_reg[0] (rdp_inst_n_1), - .Q({rdp_inst_n_3,rd_pntr_ext}), - .S(wrpp1_inst_n_10), + .Q(rd_pntr_ext), + .S(rdp_inst_n_15), .almost_full(almost_full), - .\count_value_i_reg[0]_0 (xpm_fifo_rst_inst_n_1), - .\count_value_i_reg[8]_0 (diff_pntr_pe), - .\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg (going_afull1), - .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg (rdp_inst_n_0), - .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0 (rdp_inst_n_32), - .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg (xpm_fifo_rst_inst_n_3), - .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0 (\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0 ), - .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1 (rst_d1_inst_n_2), - .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2 (curr_fwft_state), - .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0 ({wrpp1_inst_n_0,wrpp1_inst_n_1,wrpp1_inst_n_2,wrpp1_inst_n_3,wrpp1_inst_n_4,wrpp1_inst_n_5,wrpp1_inst_n_6,wrpp1_inst_n_7,wrpp1_inst_n_8}), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] (xpm_fifo_rst_inst_n_8), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] (wr_pntr_ext[7:0]), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8] (wrp_inst_n_21), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] (wrpp1_inst_n_9), + .clr_full(clr_full), + .\count_value_i_reg[0]_0 (rdp_inst_n_31), + .\count_value_i_reg[0]_1 (curr_fwft_state), + .\count_value_i_reg[0]_2 (xpm_fifo_rst_inst_n_1), + .\count_value_i_reg[11]_0 (rdp_inst_n_17), + .\count_value_i_reg[11]_1 ({rdp_inst_n_27,rdp_inst_n_28,rdp_inst_n_29,rdp_inst_n_30}), + .\count_value_i_reg[1]_0 (rdp_inst_n_16), + .\count_value_i_reg[1]_1 (rdp_inst_n_32), + .\count_value_i_reg[3]_0 ({rdp_inst_n_20,rdp_inst_n_21,rdp_inst_n_22}), + .\count_value_i_reg[7]_0 ({rdp_inst_n_23,rdp_inst_n_24,rdp_inst_n_25,rdp_inst_n_26}), + .\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0 ({wrpp2_inst_n_0,wrpp2_inst_n_1,wrpp2_inst_n_2,wrpp2_inst_n_3,wrpp2_inst_n_4,wrpp2_inst_n_5,wrpp2_inst_n_6,wrpp2_inst_n_7,wrpp2_inst_n_8,wrpp2_inst_n_9,wrpp2_inst_n_10,wrpp2_inst_n_11}), + .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg (rdp_inst_n_19), + .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0 (rdp_inst_n_33), + .\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg (\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0 ), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] ({wrpp1_inst_n_0,wrpp1_inst_n_1,wrpp1_inst_n_2,wrpp1_inst_n_3,wrpp1_inst_n_4,wrpp1_inst_n_5,wrpp1_inst_n_6,wrpp1_inst_n_7,wrpp1_inst_n_8,wrpp1_inst_n_9,wrpp1_inst_n_10,wrpp1_inst_n_11}), + .\grdc.rd_data_count_i_reg[12] ({wrp_inst_n_1,wr_pntr_ext}), + .\grdc.rd_data_count_i_reg[3] (count_value_i), .ram_empty_i(ram_empty_i), + .ram_wr_en_pf(ram_wr_en_pf), .rd_en(rd_en), .rst(rst), - .\syncstages_ff_reg[3] (rdp_inst_n_2), + .\syncstages_ff_reg[3] (rdp_inst_n_0), .wr_clk(wr_clk)); design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3 rdpp1_inst - (.CO(going_empty1), - .E(ram_rd_en_pf), - .Q(wr_pntr_ext), - .\count_value_i_reg[0]_0 (xpm_fifo_rst_inst_n_1), - .\count_value_i_reg[4]_0 (rdp_inst_n_1), + (.Q({rdpp1_inst_n_0,rdpp1_inst_n_1,rdpp1_inst_n_2,rdpp1_inst_n_3,rdpp1_inst_n_4,rdpp1_inst_n_5,rdpp1_inst_n_6,rdpp1_inst_n_7,rdpp1_inst_n_8,rdpp1_inst_n_9,rdpp1_inst_n_10,rdpp1_inst_n_11}), + .\count_value_i_reg[0]_0 (rdp_inst_n_1), + .\count_value_i_reg[0]_1 (xpm_fifo_rst_inst_n_1), .wr_clk(wr_clk)); design_1_axi_fifo_mm_s_0_0_xpm_fifo_reg_bit rst_d1_inst (.Q(xpm_fifo_rst_inst_n_1), + .clr_full(clr_full), .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg (\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2_n_0 ), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg (rst_d1_inst_n_1), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg_0 (\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3_n_0 ), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_wr_en_pf_q_reg (rst_d1_inst_n_2), .prog_full(prog_full), .ram_rd_en_pf_q(ram_rd_en_pf_q), .ram_wr_en_pf_q(ram_wr_en_pf_q), .rst(rst), .rst_d1(rst_d1), - .\syncstages_ff_reg[3] (rst_d1_inst_n_2), .wr_clk(wr_clk)); design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0 wrp_inst (.CO(leaving_empty0), .D(\grdc.diff_wr_rd_pntr_rdc ), - .DI(\gen_fwft.rdpp1_inst_n_3 ), - .E(ram_wr_en_pf), - .Q(wr_pntr_ext), - .S({\gen_fwft.rdpp1_inst_n_1 ,\gen_fwft.rdpp1_inst_n_2 }), - .\count_value_i_reg[8]_0 (wrp_inst_n_21), - .\count_value_i_reg[9]_0 (xpm_fifo_rst_inst_n_1), + .DI({rdp_inst_n_16,\gen_fwft.rdpp1_inst_n_3 }), + .Q({wrp_inst_n_1,wr_pntr_ext}), + .S(xpm_fifo_rst_inst_n_7), + .\count_value_i_reg[10]_0 (diff_pntr_pe), + .\count_value_i_reg[12]_0 (xpm_fifo_rst_inst_n_1), .\gen_pntr_flags_cc.ram_empty_i_reg (rdp_inst_n_1), - .\gen_pntr_flags_cc.ram_empty_i_reg_0 (xpm_fifo_rst_inst_n_3), - .\gen_pntr_flags_cc.ram_empty_i_reg_1 (going_empty1), - .\grdc.rd_data_count_i_reg[3] (count_value_i), - .\grdc.rd_data_count_i_reg[9] ({rdp_inst_n_3,rd_pntr_ext}), + .\gen_pntr_flags_cc.ram_empty_i_reg_i_2_0 ({rdpp1_inst_n_0,rdpp1_inst_n_1,rdpp1_inst_n_2,rdpp1_inst_n_3,rdpp1_inst_n_4,rdpp1_inst_n_5,rdpp1_inst_n_6,rdpp1_inst_n_7,rdpp1_inst_n_8,rdpp1_inst_n_9,rdpp1_inst_n_10,rdpp1_inst_n_11}), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11] ({rdp_inst_n_27,rdp_inst_n_28,rdp_inst_n_29,rdp_inst_n_30}), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] (p_1_in__0), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0 ({rdp_inst_n_20,rdp_inst_n_21,rdp_inst_n_22,xpm_fifo_rst_inst_n_11}), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7] ({rdp_inst_n_23,rdp_inst_n_24,rdp_inst_n_25,rdp_inst_n_26}), + .\grdc.rd_data_count_i_reg[11] (rd_pntr_ext[11:2]), + .\grdc.rd_data_count_i_reg[12] (rdp_inst_n_17), + .\grdc.rd_data_count_i_reg[3] ({rdp_inst_n_32,\gen_fwft.rdpp1_inst_n_1 ,\gen_fwft.rdpp1_inst_n_2 }), .ram_empty_i(ram_empty_i), .ram_empty_i0(ram_empty_i0), + .ram_wr_en_pf(ram_wr_en_pf), .wr_clk(wr_clk)); design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3_1 wrpp1_inst - (.E(ram_wr_en_pf), - .Q({wrpp1_inst_n_0,wrpp1_inst_n_1,wrpp1_inst_n_2,wrpp1_inst_n_3,wrpp1_inst_n_4,wrpp1_inst_n_5,wrpp1_inst_n_6,wrpp1_inst_n_7,wrpp1_inst_n_8}), - .S(wrpp1_inst_n_10), + (.D(diff_pntr_pf_q0), + .DI(rdp_inst_n_2), + .Q({wrpp1_inst_n_0,wrpp1_inst_n_1,wrpp1_inst_n_2,wrpp1_inst_n_3,wrpp1_inst_n_4,wrpp1_inst_n_5,wrpp1_inst_n_6,wrpp1_inst_n_7,wrpp1_inst_n_8,wrpp1_inst_n_9,wrpp1_inst_n_10,wrpp1_inst_n_11}), + .S(xpm_fifo_rst_inst_n_8), .\count_value_i_reg[0]_0 (xpm_fifo_rst_inst_n_1), - .\count_value_i_reg[4]_0 (xpm_fifo_rst_inst_n_3), - .\count_value_i_reg[8]_0 (wrpp1_inst_n_9), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] (rdp_inst_n_1), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9] ({rd_pntr_ext[8:7],rd_pntr_ext[0]}), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12] (rdp_inst_n_15), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0 (rd_pntr_ext[10:0]), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4] (rdp_inst_n_31), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_0 (rdp_inst_n_1), + .ram_wr_en_pf(ram_wr_en_pf), .wr_clk(wr_clk)); design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized0 wrpp2_inst - (.E(ram_wr_en_pf), - .Q(rd_pntr_ext), + (.Q({wrpp2_inst_n_0,wrpp2_inst_n_1,wrpp2_inst_n_2,wrpp2_inst_n_3,wrpp2_inst_n_4,wrpp2_inst_n_5,wrpp2_inst_n_6,wrpp2_inst_n_7,wrpp2_inst_n_8,wrpp2_inst_n_9,wrpp2_inst_n_10,wrpp2_inst_n_11}), + .S(xpm_fifo_rst_inst_n_9), .\count_value_i_reg[0]_0 (xpm_fifo_rst_inst_n_1), - .\count_value_i_reg[4]_0 (xpm_fifo_rst_inst_n_3), - .\count_value_i_reg[7]_0 (going_afull1), + .ram_wr_en_pf(ram_wr_en_pf), .wr_clk(wr_clk)); design_1_axi_fifo_mm_s_0_0_xpm_fifo_rst xpm_fifo_rst_inst - (.E(ram_wr_en_pf), - .Q(xpm_fifo_rst_inst_n_1), - .SR(\gen_fwft.count_rst ), - .\count_value_i_reg[1] (curr_fwft_state), - .\gen_fwft.empty_fwft_i_reg (xpm_fifo_rst_inst_n_6), - .\gen_fwft.empty_fwft_i_reg_0 (xpm_fifo_rst_inst_n_7), - .\gen_fwft.empty_fwft_i_reg_1 (xpm_fifo_rst_inst_n_8), + (.Q(xpm_fifo_rst_inst_n_1), + .S(xpm_fifo_rst_inst_n_7), + .SR(\grdc.rd_data_count_i0 ), + .\count_value_i_reg[0] (xpm_fifo_rst_inst_n_8), + .\count_value_i_reg[0]_0 (xpm_fifo_rst_inst_n_9), + .\count_value_i_reg[3] (wr_pntr_ext[0]), + .\count_value_i_reg[3]_0 (wrpp1_inst_n_11), + .\count_value_i_reg[3]_1 (wrpp2_inst_n_11), + .\gen_fwft.empty_fwft_i_reg (p_1_in__0), + .\gen_fwft.empty_fwft_i_reg_0 (xpm_fifo_rst_inst_n_11), .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] (rd_pntr_ext[0]), .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg (xpm_fifo_rst_inst_n_0), .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0 (\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2_n_0 ), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_1 (\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3_n_0 ), .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg (\gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0 ), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 (rdp_inst_n_1), - .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 (\gen_fwft.empty_fwft_i_reg_n_0 ), - .\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 (xpm_fifo_rst_inst_n_3), - .\gen_rst_cc.fifo_wr_rst_cc_reg[2]_1 (\grdc.rd_data_count_i0 ), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 (\gen_fwft.empty_fwft_i_reg_n_0 ), + .\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 (rdp_inst_n_1), + .\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 (\gen_fwft.count_rst ), + .\grdc.rd_data_count_i_reg[0] (curr_fwft_state), .prog_empty(prog_empty), .ram_empty_i(ram_empty_i), + .ram_wr_en_pf(ram_wr_en_pf), + .read_only(read_only), .read_only_q(read_only_q), .rst(rst), .rst_d1(rst_d1), .wr_clk(wr_clk), .wr_en(wr_en), + .write_only(write_only), .write_only_q(write_only_q)); endmodule (* ORIG_REF_NAME = "xpm_fifo_reg_bit" *) module design_1_axi_fifo_mm_s_0_0_xpm_fifo_reg_bit (rst_d1, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg , - \syncstages_ff_reg[3] , + clr_full, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_wr_en_pf_q_reg , Q, wr_clk, - ram_rd_en_pf_q, - ram_wr_en_pf_q, + rst, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg , - prog_full, - rst); + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg_0 , + ram_wr_en_pf_q, + ram_rd_en_pf_q, + prog_full); output rst_d1; - output \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg ; - output \syncstages_ff_reg[3] ; + output clr_full; + output \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_wr_en_pf_q_reg ; input [0:0]Q; input wr_clk; - input ram_rd_en_pf_q; - input ram_wr_en_pf_q; - input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ; - input prog_full; input rst; + input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ; + input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg_0 ; + input ram_wr_en_pf_q; + input ram_rd_en_pf_q; + input prog_full; wire [0:0]Q; + wire clr_full; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ; - wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_wr_en_pf_q_reg ; wire prog_full; wire ram_rd_en_pf_q; wire ram_wr_en_pf_q; wire rst; wire rst_d1; - wire \syncstages_ff_reg[3] ; wire wr_clk; FDRE #( @@ -9504,154 +9724,198 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_reg_bit .R(1'b0)); LUT3 #( .INIT(8'h04)) - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6 + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_2 (.I0(rst), .I1(rst_d1), .I2(Q), - .O(\syncstages_ff_reg[3] )); - LUT5 #( - .INIT(32'h51551000)) + .O(clr_full)); + LUT6 #( + .INIT(64'h5545555500001000)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_1 - (.I0(\syncstages_ff_reg[3] ), - .I1(ram_rd_en_pf_q), - .I2(ram_wr_en_pf_q), - .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ), - .I4(prog_full), - .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg )); + (.I0(clr_full), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg ), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg_0 ), + .I3(ram_wr_en_pf_q), + .I4(ram_rd_en_pf_q), + .I5(prog_full), + .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_wr_en_pf_q_reg )); endmodule (* ORIG_REF_NAME = "xpm_fifo_rst" *) module design_1_axi_fifo_mm_s_0_0_xpm_fifo_rst (\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg , Q, - E, - \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 , + write_only, + ram_wr_en_pf, + read_only, SR, - \gen_rst_cc.fifo_wr_rst_cc_reg[2]_1 , + \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 , + S, + \count_value_i_reg[0] , + \count_value_i_reg[0]_0 , \gen_fwft.empty_fwft_i_reg , \gen_fwft.empty_fwft_i_reg_0 , - \gen_fwft.empty_fwft_i_reg_1 , prog_empty, write_only_q, - read_only_q, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0 , + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_1 , + read_only_q, rst, - wr_en, - rst_d1, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg , - \count_value_i_reg[1] , - ram_empty_i, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 , \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 , + wr_en, + rst_d1, + \grdc.rd_data_count_i_reg[0] , + ram_empty_i, + \count_value_i_reg[3] , + \count_value_i_reg[3]_0 , + \count_value_i_reg[3]_1 , \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] , wr_clk); output \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg ; output [0:0]Q; - output [0:0]E; - output \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 ; + output write_only; + output ram_wr_en_pf; + output read_only; output [0:0]SR; - output [0:0]\gen_rst_cc.fifo_wr_rst_cc_reg[2]_1 ; - output \gen_fwft.empty_fwft_i_reg ; - output \gen_fwft.empty_fwft_i_reg_0 ; - output [0:0]\gen_fwft.empty_fwft_i_reg_1 ; + output [0:0]\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 ; + output [0:0]S; + output [0:0]\count_value_i_reg[0] ; + output [0:0]\count_value_i_reg[0]_0 ; + output [0:0]\gen_fwft.empty_fwft_i_reg ; + output [0:0]\gen_fwft.empty_fwft_i_reg_0 ; input prog_empty; input write_only_q; - input read_only_q; input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0 ; + input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_1 ; + input read_only_q; input rst; - input wr_en; - input rst_d1; input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg ; - input [1:0]\count_value_i_reg[1] ; - input ram_empty_i; input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ; input \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ; + input wr_en; + input rst_d1; + input [1:0]\grdc.rd_data_count_i_reg[0] ; + input ram_empty_i; + input [0:0]\count_value_i_reg[3] ; + input [0:0]\count_value_i_reg[3]_0 ; + input [0:0]\count_value_i_reg[3]_1 ; input [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] ; input wr_clk; - wire [0:0]E; wire [0:0]Q; + wire [0:0]S; wire [0:0]SR; - wire [1:0]\count_value_i_reg[1] ; - wire \gen_fwft.empty_fwft_i_reg ; - wire \gen_fwft.empty_fwft_i_reg_0 ; - wire [0:0]\gen_fwft.empty_fwft_i_reg_1 ; + wire [0:0]\count_value_i_reg[0] ; + wire [0:0]\count_value_i_reg[0]_0 ; + wire [0:0]\count_value_i_reg[3] ; + wire [0:0]\count_value_i_reg[3]_0 ; + wire [0:0]\count_value_i_reg[3]_1 ; + wire [0:0]\gen_fwft.empty_fwft_i_reg ; + wire [0:0]\gen_fwft.empty_fwft_i_reg_0 ; wire [0:0]\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0 ; + wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_1 ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ; wire \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ; wire [1:0]\gen_rst_cc.fifo_wr_rst_cc ; - wire \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 ; - wire [0:0]\gen_rst_cc.fifo_wr_rst_cc_reg[2]_1 ; + wire [0:0]\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 ; + wire [1:0]\grdc.rd_data_count_i_reg[0] ; wire p_0_in; wire \power_on_rst_reg_n_0_[0] ; wire prog_empty; wire ram_empty_i; + wire ram_wr_en_pf; + wire read_only; wire read_only_q; wire rst; wire rst_d1; wire rst_i; wire wr_clk; wire wr_en; + wire write_only; wire write_only_q; - (* SOFT_HLUTNM = "soft_lutpair21" *) + (* SOFT_HLUTNM = "soft_lutpair2" *) LUT4 #( - .INIT(16'hABAA)) + .INIT(16'hAAAE)) \count_value_i[1]_i_1 (.I0(Q), - .I1(\count_value_i_reg[1] [0]), - .I2(\count_value_i_reg[1] [1]), - .I3(ram_empty_i), - .O(SR)); - LUT4 #( - .INIT(16'hFFFD)) - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_3 - (.I0(wr_en), - .I1(Q), - .I2(rst_d1), - .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg ), + .I1(ram_empty_i), + .I2(\grdc.rd_data_count_i_reg[0] [1]), + .I3(\grdc.rd_data_count_i_reg[0] [0]), .O(\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 )); + LUT2 #( + .INIT(4'h6)) + \count_value_i[3]_i_2 + (.I0(ram_wr_en_pf), + .I1(\count_value_i_reg[3] ), + .O(S)); + LUT2 #( + .INIT(4'h6)) + \count_value_i[3]_i_2__2 + (.I0(ram_wr_en_pf), + .I1(\count_value_i_reg[3]_0 ), + .O(\count_value_i_reg[0] )); + LUT2 #( + .INIT(4'h6)) + \count_value_i[3]_i_2__3 + (.I0(ram_wr_en_pf), + .I1(\count_value_i_reg[3]_1 ), + .O(\count_value_i_reg[0]_0 )); LUT4 #( - .INIT(16'hFB04)) - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_9 - (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ), - .I1(\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 ), - .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ), - .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] ), - .O(\gen_fwft.empty_fwft_i_reg_1 )); + .INIT(16'hBFBB)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2 + (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg ), + .I3(ram_wr_en_pf), + .O(\gen_fwft.empty_fwft_i_reg )); LUT5 #( - .INIT(32'hFFFFAAF2)) + .INIT(32'hBFBB4044)) + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6 + (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg ), + .I3(ram_wr_en_pf), + .I4(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3] ), + .O(\gen_fwft.empty_fwft_i_reg_0 )); + LUT6 #( + .INIT(64'hFFFFFFFFAFAAA2AA)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_1 (.I0(prog_empty), .I1(write_only_q), - .I2(read_only_q), - .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0 ), - .I4(Q), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0 ), + .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_1 ), + .I4(read_only_q), + .I5(Q), .O(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg )); - (* SOFT_HLUTNM = "soft_lutpair22" *) - LUT3 #( - .INIT(8'h04)) + (* SOFT_HLUTNM = "soft_lutpair1" *) + LUT4 #( + .INIT(16'h4044)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.read_only_q_i_1 - (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ), - .I1(\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 ), - .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ), - .O(\gen_fwft.empty_fwft_i_reg_0 )); - (* SOFT_HLUTNM = "soft_lutpair22" *) - LUT3 #( - .INIT(8'h54)) + (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg ), + .I3(ram_wr_en_pf), + .O(read_only)); + (* SOFT_HLUTNM = "soft_lutpair1" *) + LUT4 #( + .INIT(16'h4044)) \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_i_1 - (.I0(\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 ), - .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ), - .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ), - .O(\gen_fwft.empty_fwft_i_reg )); + (.I0(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg ), + .I1(ram_wr_en_pf), + .I2(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0 ), + .I3(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1 ), + .O(write_only)); LUT2 #( .INIT(4'hE)) \gen_rst_cc.fifo_wr_rst_cc[2]_i_1 - (.I0(rst), - .I1(p_0_in), + (.I0(p_0_in), + .I1(rst), .O(rst_i)); FDSE #( .INIT(1'b0)) @@ -9677,19 +9941,22 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_rst .D(\gen_rst_cc.fifo_wr_rst_cc [1]), .Q(Q), .S(rst_i)); - LUT1 #( - .INIT(2'h1)) + LUT4 #( + .INIT(16'h0002)) \gen_sdpram.xpm_memory_base_inst_i_1 - (.I0(\gen_rst_cc.fifo_wr_rst_cc_reg[2]_0 ), - .O(E)); - (* SOFT_HLUTNM = "soft_lutpair21" *) + (.I0(wr_en), + .I1(\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg ), + .I2(Q), + .I3(rst_d1), + .O(ram_wr_en_pf)); + (* SOFT_HLUTNM = "soft_lutpair2" *) LUT3 #( .INIT(8'hAB)) - \grdc.rd_data_count_i[9]_i_1 + \grdc.rd_data_count_i[12]_i_1 (.I0(Q), - .I1(\count_value_i_reg[1] [1]), - .I2(\count_value_i_reg[1] [0]), - .O(\gen_rst_cc.fifo_wr_rst_cc_reg[2]_1 )); + .I1(\grdc.rd_data_count_i_reg[0] [1]), + .I2(\grdc.rd_data_count_i_reg[0] [0]), + .O(SR)); FDRE #( .INIT(1'b1)) \power_on_rst_reg[0] @@ -9708,21 +9975,21 @@ module design_1_axi_fifo_mm_s_0_0_xpm_fifo_rst .R(1'b0)); endmodule -(* ADDR_WIDTH_A = "9" *) (* ADDR_WIDTH_B = "9" *) (* AUTO_SLEEP_TIME = "0" *) +(* ADDR_WIDTH_A = "12" *) (* ADDR_WIDTH_B = "12" *) (* AUTO_SLEEP_TIME = "0" *) (* BYTE_WRITE_WIDTH_A = "53" *) (* BYTE_WRITE_WIDTH_B = "53" *) (* CASCADE_HEIGHT = "0" *) (* CLOCKING_MODE = "0" *) (* ECC_MODE = "0" *) (* MAX_NUM_CHAR = "0" *) (* MEMORY_INIT_FILE = "none" *) (* MEMORY_INIT_PARAM = "" *) (* MEMORY_OPTIMIZATION = "true" *) -(* MEMORY_PRIMITIVE = "2" *) (* MEMORY_SIZE = "27136" *) (* MEMORY_TYPE = "1" *) +(* MEMORY_PRIMITIVE = "2" *) (* MEMORY_SIZE = "217088" *) (* MEMORY_TYPE = "1" *) (* MESSAGE_CONTROL = "0" *) (* NUM_CHAR_LOC = "0" *) (* ORIG_REF_NAME = "xpm_memory_base" *) (* P_ECC_MODE = "no_ecc" *) (* P_ENABLE_BYTE_WRITE_A = "0" *) (* P_ENABLE_BYTE_WRITE_B = "0" *) -(* P_MAX_DEPTH_DATA = "512" *) (* P_MEMORY_OPT = "yes" *) (* P_MEMORY_PRIMITIVE = "block" *) +(* P_MAX_DEPTH_DATA = "4096" *) (* P_MEMORY_OPT = "yes" *) (* P_MEMORY_PRIMITIVE = "block" *) (* P_MIN_WIDTH_DATA = "53" *) (* P_MIN_WIDTH_DATA_A = "53" *) (* P_MIN_WIDTH_DATA_B = "53" *) (* P_MIN_WIDTH_DATA_ECC = "53" *) (* P_MIN_WIDTH_DATA_LDW = "4" *) (* P_MIN_WIDTH_DATA_SHFT = "53" *) (* P_NUM_COLS_WRITE_A = "1" *) (* P_NUM_COLS_WRITE_B = "1" *) (* P_NUM_ROWS_READ_A = "1" *) (* P_NUM_ROWS_READ_B = "1" *) (* P_NUM_ROWS_WRITE_A = "1" *) (* P_NUM_ROWS_WRITE_B = "1" *) (* P_SDP_WRITE_MODE = "no" *) (* P_WIDTH_ADDR_LSB_READ_A = "0" *) (* P_WIDTH_ADDR_LSB_READ_B = "0" *) -(* P_WIDTH_ADDR_LSB_WRITE_A = "0" *) (* P_WIDTH_ADDR_LSB_WRITE_B = "0" *) (* P_WIDTH_ADDR_READ_A = "9" *) -(* P_WIDTH_ADDR_READ_B = "9" *) (* P_WIDTH_ADDR_WRITE_A = "9" *) (* P_WIDTH_ADDR_WRITE_B = "9" *) +(* P_WIDTH_ADDR_LSB_WRITE_A = "0" *) (* P_WIDTH_ADDR_LSB_WRITE_B = "0" *) (* P_WIDTH_ADDR_READ_A = "12" *) +(* P_WIDTH_ADDR_READ_B = "12" *) (* P_WIDTH_ADDR_WRITE_A = "12" *) (* P_WIDTH_ADDR_WRITE_B = "12" *) (* P_WIDTH_COL_WRITE_A = "53" *) (* P_WIDTH_COL_WRITE_B = "53" *) (* READ_DATA_WIDTH_A = "53" *) (* READ_DATA_WIDTH_B = "53" *) (* READ_LATENCY_A = "2" *) (* READ_LATENCY_B = "2" *) (* READ_RESET_VALUE_A = "0" *) (* READ_RESET_VALUE_B = "" *) (* RST_MODE_A = "SYNC" *) @@ -9764,7 +10031,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_memory_base input ena; input regcea; input [0:0]wea; - input [8:0]addra; + input [11:0]addra; input [52:0]dina; input injectsbiterra; input injectdbiterra; @@ -9776,7 +10043,7 @@ module design_1_axi_fifo_mm_s_0_0_xpm_memory_base input enb; input regceb; input [0:0]web; - input [8:0]addrb; + input [11:0]addrb; input [52:0]dinb; input injectsbiterrb; input injectdbiterrb; @@ -9785,25 +10052,97 @@ module design_1_axi_fifo_mm_s_0_0_xpm_memory_base output dbiterrb; wire \<const0> ; - wire [8:0]addra; - wire [8:0]addrb; + wire [11:0]addra; + wire [11:0]addrb; wire clka; wire [52:0]dina; wire [52:0]doutb; wire enb; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_60 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_61 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_62 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_63 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_64 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_65 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_66 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_67 ; + wire \gen_wr_a.gen_word_narrow.mem_reg_4_n_75 ; wire regceb; wire rstb; wire sleep; wire [0:0]wea; - wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASCADEOUTA_UNCONNECTED ; - wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASCADEOUTB_UNCONNECTED ; - wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_DBITERR_UNCONNECTED ; - wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_SBITERR_UNCONNECTED ; - wire [31:21]\NLW_gen_wr_a.gen_word_narrow.mem_reg_DOBDO_UNCONNECTED ; - wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_DOPADOP_UNCONNECTED ; - wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_DOPBDOP_UNCONNECTED ; - wire [7:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_ECCPARITY_UNCONNECTED ; - wire [8:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_RDADDRECC_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_CASCADEOUTA_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_CASCADEOUTB_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_INJECTDBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_INJECTSBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_SBITERR_UNCONNECTED ; + wire [31:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOADO_UNCONNECTED ; + wire [31:8]\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOBDO_UNCONNECTED ; + wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOPADOP_UNCONNECTED ; + wire [3:1]\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOPBDOP_UNCONNECTED ; + wire [7:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_ECCPARITY_UNCONNECTED ; + wire [8:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_RDADDRECC_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_CASCADEOUTA_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_CASCADEOUTB_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_INJECTDBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_INJECTSBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_SBITERR_UNCONNECTED ; + wire [31:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOADO_UNCONNECTED ; + wire [31:8]\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOBDO_UNCONNECTED ; + wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOPADOP_UNCONNECTED ; + wire [3:1]\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOPBDOP_UNCONNECTED ; + wire [7:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_ECCPARITY_UNCONNECTED ; + wire [8:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_RDADDRECC_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_CASCADEOUTA_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_CASCADEOUTB_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_INJECTDBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_INJECTSBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_SBITERR_UNCONNECTED ; + wire [31:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOADO_UNCONNECTED ; + wire [31:8]\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOBDO_UNCONNECTED ; + wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOPADOP_UNCONNECTED ; + wire [3:1]\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOPBDOP_UNCONNECTED ; + wire [7:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_ECCPARITY_UNCONNECTED ; + wire [8:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_RDADDRECC_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_CASCADEOUTA_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_CASCADEOUTB_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_INJECTDBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_INJECTSBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_SBITERR_UNCONNECTED ; + wire [31:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOADO_UNCONNECTED ; + wire [31:8]\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOBDO_UNCONNECTED ; + wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOPADOP_UNCONNECTED ; + wire [3:1]\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOPBDOP_UNCONNECTED ; + wire [7:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_ECCPARITY_UNCONNECTED ; + wire [8:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_RDADDRECC_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_CASCADEOUTA_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_CASCADEOUTB_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_INJECTDBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_INJECTSBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_SBITERR_UNCONNECTED ; + wire [31:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOADO_UNCONNECTED ; + wire [31:8]\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOBDO_UNCONNECTED ; + wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOPADOP_UNCONNECTED ; + wire [3:1]\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOPBDOP_UNCONNECTED ; + wire [7:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_ECCPARITY_UNCONNECTED ; + wire [8:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_RDADDRECC_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_CASCADEOUTA_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_CASCADEOUTB_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_INJECTDBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_INJECTSBITERR_UNCONNECTED ; + wire \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_SBITERR_UNCONNECTED ; + wire [31:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOADO_UNCONNECTED ; + wire [31:8]\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOBDO_UNCONNECTED ; + wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOPADOP_UNCONNECTED ; + wire [3:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOPBDOP_UNCONNECTED ; + wire [7:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_ECCPARITY_UNCONNECTED ; + wire [8:0]\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_RDADDRECC_UNCONNECTED ; assign dbiterra = \<const0> ; assign dbiterrb = \<const0> ; @@ -9864,31 +10203,103 @@ module design_1_axi_fifo_mm_s_0_0_xpm_memory_base assign sbiterrb = \<const0> ; GND GND (.G(\<const0> )); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][36] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_67 ), + .Q(doutb[36]), + .R(rstb)); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][37] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_66 ), + .Q(doutb[37]), + .R(rstb)); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][38] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_65 ), + .Q(doutb[38]), + .R(rstb)); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][39] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_64 ), + .Q(doutb[39]), + .R(rstb)); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][40] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_63 ), + .Q(doutb[40]), + .R(rstb)); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][41] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_62 ), + .Q(doutb[41]), + .R(rstb)); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][42] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_61 ), + .Q(doutb[42]), + .R(rstb)); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][43] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_60 ), + .Q(doutb[43]), + .R(rstb)); + FDRE #( + .INIT(1'b0)) + \gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][44] + (.C(clka), + .CE(regceb), + .D(\gen_wr_a.gen_word_narrow.mem_reg_4_n_75 ), + .Q(doutb[44]), + .R(rstb)); (* \MEM.PORTA.ADDRESS_BEGIN = "0" *) - (* \MEM.PORTA.ADDRESS_END = "511" *) - (* \MEM.PORTA.DATA_BIT_LAYOUT = "p0_d53" *) + (* \MEM.PORTA.ADDRESS_END = "4095" *) + (* \MEM.PORTA.DATA_BIT_LAYOUT = "p1_d8" *) (* \MEM.PORTA.DATA_LSB = "0" *) - (* \MEM.PORTA.DATA_MSB = "52" *) + (* \MEM.PORTA.DATA_MSB = "8" *) (* \MEM.PORTB.ADDRESS_BEGIN = "0" *) - (* \MEM.PORTB.ADDRESS_END = "511" *) - (* \MEM.PORTB.DATA_BIT_LAYOUT = "p0_d53" *) + (* \MEM.PORTB.ADDRESS_END = "4095" *) + (* \MEM.PORTB.DATA_BIT_LAYOUT = "p1_d8" *) (* \MEM.PORTB.DATA_LSB = "0" *) - (* \MEM.PORTB.DATA_MSB = "52" *) + (* \MEM.PORTB.DATA_MSB = "8" *) (* METHODOLOGY_DRC_VIOS = "" *) - (* RTL_RAM_BITS = "27136" *) + (* RTL_RAM_BITS = "217088" *) (* RTL_RAM_NAME = "gen_wr_a.gen_word_narrow.mem" *) (* RTL_RAM_TYPE = "RAM_SDP" *) (* bram_addr_begin = "0" *) - (* bram_addr_end = "511" *) + (* bram_addr_end = "4095" *) (* bram_slice_begin = "0" *) - (* bram_slice_end = "52" *) + (* bram_slice_end = "8" *) (* ram_addr_begin = "0" *) - (* ram_addr_end = "511" *) + (* ram_addr_end = "4095" *) (* ram_offset = "0" *) (* ram_slice_begin = "0" *) - (* ram_slice_end = "52" *) + (* ram_slice_end = "8" *) RAMB36E1 #( - .DOA_REG(1), + .DOA_REG(0), .DOB_REG(1), .EN_ECC_READ("FALSE"), .EN_ECC_WRITE("FALSE"), @@ -10040,53 +10451,1168 @@ module design_1_axi_fifo_mm_s_0_0_xpm_memory_base .INIT_B(36'h000000000), .RAM_EXTENSION_A("NONE"), .RAM_EXTENSION_B("NONE"), - .RAM_MODE("SDP"), + .RAM_MODE("TDP"), .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"), - .READ_WIDTH_A(72), - .READ_WIDTH_B(0), + .READ_WIDTH_A(9), + .READ_WIDTH_B(9), .RSTREG_PRIORITY_A("RSTREG"), .RSTREG_PRIORITY_B("RSTREG"), .SIM_COLLISION_CHECK("ALL"), .SIM_DEVICE("7SERIES"), .SRVAL_A(36'h000000000), .SRVAL_B(36'h000000000), - .WRITE_MODE_A("WRITE_FIRST"), - .WRITE_MODE_B("WRITE_FIRST"), - .WRITE_WIDTH_A(0), - .WRITE_WIDTH_B(72)) - \gen_wr_a.gen_word_narrow.mem_reg - (.ADDRARDADDR({1'b1,addrb,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), - .ADDRBWRADDR({1'b1,addra,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), - .CASCADEINA(1'b0), - .CASCADEINB(1'b0), - .CASCADEOUTA(\NLW_gen_wr_a.gen_word_narrow.mem_reg_CASCADEOUTA_UNCONNECTED ), - .CASCADEOUTB(\NLW_gen_wr_a.gen_word_narrow.mem_reg_CASCADEOUTB_UNCONNECTED ), + .WRITE_MODE_A("NO_CHANGE"), + .WRITE_MODE_B("NO_CHANGE"), + .WRITE_WIDTH_A(9), + .WRITE_WIDTH_B(9)) + \gen_wr_a.gen_word_narrow.mem_reg_0 + (.ADDRARDADDR({1'b1,addra,1'b0,1'b0,1'b0}), + .ADDRBWRADDR({1'b1,addrb,1'b0,1'b0,1'b0}), + .CASCADEINA(1'b1), + .CASCADEINB(1'b1), + .CASCADEOUTA(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_CASCADEOUTA_UNCONNECTED ), + .CASCADEOUTB(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_CASCADEOUTB_UNCONNECTED ), .CLKARDCLK(clka), .CLKBWRCLK(clka), - .DBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_DBITERR_UNCONNECTED ), - .DIADI(dina[31:0]), - .DIBDI({1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,dina[52:32]}), - .DIPADIP({1'b1,1'b1,1'b1,1'b1}), - .DIPBDIP({1'b1,1'b1,1'b1,1'b1}), - .DOADO(doutb[31:0]), - .DOBDO({\NLW_gen_wr_a.gen_word_narrow.mem_reg_DOBDO_UNCONNECTED [31:21],doutb[52:32]}), - .DOPADOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_DOPADOP_UNCONNECTED [3:0]), - .DOPBDOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_DOPBDOP_UNCONNECTED [3:0]), - .ECCPARITY(\NLW_gen_wr_a.gen_word_narrow.mem_reg_ECCPARITY_UNCONNECTED [7:0]), - .ENARDEN(enb), - .ENBWREN(1'b1), - .INJECTDBITERR(1'b0), - .INJECTSBITERR(1'b0), - .RDADDRECC(\NLW_gen_wr_a.gen_word_narrow.mem_reg_RDADDRECC_UNCONNECTED [8:0]), - .REGCEAREGCE(regceb), + .DBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DBITERR_UNCONNECTED ), + .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina[7:0]}), + .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), + .DIPADIP({1'b0,1'b0,1'b0,dina[8]}), + .DIPBDIP({1'b0,1'b0,1'b0,1'b1}), + .DOADO(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOADO_UNCONNECTED [31:0]), + .DOBDO({\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOBDO_UNCONNECTED [31:8],doutb[7:0]}), + .DOPADOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOPADOP_UNCONNECTED [3:0]), + .DOPBDOP({\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOPBDOP_UNCONNECTED [3:1],doutb[8]}), + .ECCPARITY(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_ECCPARITY_UNCONNECTED [7:0]), + .ENARDEN(wea), + .ENBWREN(enb), + .INJECTDBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_INJECTDBITERR_UNCONNECTED ), + .INJECTSBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_INJECTSBITERR_UNCONNECTED ), + .RDADDRECC(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_RDADDRECC_UNCONNECTED [8:0]), + .REGCEAREGCE(1'b0), + .REGCEB(regceb), + .RSTRAMARSTRAM(1'b0), + .RSTRAMB(1'b0), + .RSTREGARSTREG(1'b0), + .RSTREGB(rstb), + .SBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_0_SBITERR_UNCONNECTED ), + .WEA({wea,wea,wea,1'b1}), + .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0})); + (* \MEM.PORTA.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTA.ADDRESS_END = "4095" *) + (* \MEM.PORTA.DATA_BIT_LAYOUT = "p1_d8" *) + (* \MEM.PORTA.DATA_LSB = "9" *) + (* \MEM.PORTA.DATA_MSB = "17" *) + (* \MEM.PORTB.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTB.ADDRESS_END = "4095" *) + (* \MEM.PORTB.DATA_BIT_LAYOUT = "p1_d8" *) + (* \MEM.PORTB.DATA_LSB = "9" *) + (* \MEM.PORTB.DATA_MSB = "17" *) + (* METHODOLOGY_DRC_VIOS = "" *) + (* RTL_RAM_BITS = "217088" *) + (* RTL_RAM_NAME = "gen_wr_a.gen_word_narrow.mem" *) + (* RTL_RAM_TYPE = "RAM_SDP" *) + (* bram_addr_begin = "0" *) + (* bram_addr_end = "4095" *) + (* bram_slice_begin = "9" *) + (* bram_slice_end = "17" *) + (* ram_addr_begin = "0" *) + (* ram_addr_end = "4095" *) + (* ram_offset = "0" *) + (* ram_slice_begin = "9" *) + (* ram_slice_end = "17" *) + RAMB36E1 #( + .DOA_REG(0), + .DOB_REG(1), + .EN_ECC_READ("FALSE"), + .EN_ECC_WRITE("FALSE"), + .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_A(36'h000000000), + .INIT_B(36'h000000000), + .RAM_EXTENSION_A("NONE"), + .RAM_EXTENSION_B("NONE"), + .RAM_MODE("TDP"), + .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"), + .READ_WIDTH_A(9), + .READ_WIDTH_B(9), + .RSTREG_PRIORITY_A("RSTREG"), + .RSTREG_PRIORITY_B("RSTREG"), + .SIM_COLLISION_CHECK("ALL"), + .SIM_DEVICE("7SERIES"), + .SRVAL_A(36'h000000000), + .SRVAL_B(36'h000000000), + .WRITE_MODE_A("NO_CHANGE"), + .WRITE_MODE_B("NO_CHANGE"), + .WRITE_WIDTH_A(9), + .WRITE_WIDTH_B(9)) + \gen_wr_a.gen_word_narrow.mem_reg_1 + (.ADDRARDADDR({1'b1,addra,1'b0,1'b0,1'b0}), + .ADDRBWRADDR({1'b1,addrb,1'b0,1'b0,1'b0}), + .CASCADEINA(1'b1), + .CASCADEINB(1'b1), + .CASCADEOUTA(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_CASCADEOUTA_UNCONNECTED ), + .CASCADEOUTB(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_CASCADEOUTB_UNCONNECTED ), + .CLKARDCLK(clka), + .CLKBWRCLK(clka), + .DBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DBITERR_UNCONNECTED ), + .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina[16:9]}), + .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), + .DIPADIP({1'b0,1'b0,1'b0,dina[17]}), + .DIPBDIP({1'b0,1'b0,1'b0,1'b1}), + .DOADO(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOADO_UNCONNECTED [31:0]), + .DOBDO({\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOBDO_UNCONNECTED [31:8],doutb[16:9]}), + .DOPADOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOPADOP_UNCONNECTED [3:0]), + .DOPBDOP({\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOPBDOP_UNCONNECTED [3:1],doutb[17]}), + .ECCPARITY(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_ECCPARITY_UNCONNECTED [7:0]), + .ENARDEN(wea), + .ENBWREN(enb), + .INJECTDBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_INJECTDBITERR_UNCONNECTED ), + .INJECTSBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_INJECTSBITERR_UNCONNECTED ), + .RDADDRECC(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_RDADDRECC_UNCONNECTED [8:0]), + .REGCEAREGCE(1'b0), + .REGCEB(regceb), + .RSTRAMARSTRAM(1'b0), + .RSTRAMB(1'b0), + .RSTREGARSTREG(1'b0), + .RSTREGB(rstb), + .SBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_1_SBITERR_UNCONNECTED ), + .WEA({wea,wea,wea,1'b1}), + .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0})); + (* \MEM.PORTA.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTA.ADDRESS_END = "4095" *) + (* \MEM.PORTA.DATA_BIT_LAYOUT = "p1_d8" *) + (* \MEM.PORTA.DATA_LSB = "18" *) + (* \MEM.PORTA.DATA_MSB = "26" *) + (* \MEM.PORTB.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTB.ADDRESS_END = "4095" *) + (* \MEM.PORTB.DATA_BIT_LAYOUT = "p1_d8" *) + (* \MEM.PORTB.DATA_LSB = "18" *) + (* \MEM.PORTB.DATA_MSB = "26" *) + (* METHODOLOGY_DRC_VIOS = "" *) + (* RTL_RAM_BITS = "217088" *) + (* RTL_RAM_NAME = "gen_wr_a.gen_word_narrow.mem" *) + (* RTL_RAM_TYPE = "RAM_SDP" *) + (* bram_addr_begin = "0" *) + (* bram_addr_end = "4095" *) + (* bram_slice_begin = "18" *) + (* bram_slice_end = "26" *) + (* ram_addr_begin = "0" *) + (* ram_addr_end = "4095" *) + (* ram_offset = "0" *) + (* ram_slice_begin = "18" *) + (* ram_slice_end = "26" *) + RAMB36E1 #( + .DOA_REG(0), + .DOB_REG(1), + .EN_ECC_READ("FALSE"), + .EN_ECC_WRITE("FALSE"), + .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_A(36'h000000000), + .INIT_B(36'h000000000), + .RAM_EXTENSION_A("NONE"), + .RAM_EXTENSION_B("NONE"), + .RAM_MODE("TDP"), + .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"), + .READ_WIDTH_A(9), + .READ_WIDTH_B(9), + .RSTREG_PRIORITY_A("RSTREG"), + .RSTREG_PRIORITY_B("RSTREG"), + .SIM_COLLISION_CHECK("ALL"), + .SIM_DEVICE("7SERIES"), + .SRVAL_A(36'h000000000), + .SRVAL_B(36'h000000000), + .WRITE_MODE_A("NO_CHANGE"), + .WRITE_MODE_B("NO_CHANGE"), + .WRITE_WIDTH_A(9), + .WRITE_WIDTH_B(9)) + \gen_wr_a.gen_word_narrow.mem_reg_2 + (.ADDRARDADDR({1'b1,addra,1'b0,1'b0,1'b0}), + .ADDRBWRADDR({1'b1,addrb,1'b0,1'b0,1'b0}), + .CASCADEINA(1'b1), + .CASCADEINB(1'b1), + .CASCADEOUTA(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_CASCADEOUTA_UNCONNECTED ), + .CASCADEOUTB(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_CASCADEOUTB_UNCONNECTED ), + .CLKARDCLK(clka), + .CLKBWRCLK(clka), + .DBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DBITERR_UNCONNECTED ), + .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina[25:18]}), + .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), + .DIPADIP({1'b0,1'b0,1'b0,dina[26]}), + .DIPBDIP({1'b0,1'b0,1'b0,1'b1}), + .DOADO(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOADO_UNCONNECTED [31:0]), + .DOBDO({\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOBDO_UNCONNECTED [31:8],doutb[25:18]}), + .DOPADOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOPADOP_UNCONNECTED [3:0]), + .DOPBDOP({\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOPBDOP_UNCONNECTED [3:1],doutb[26]}), + .ECCPARITY(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_ECCPARITY_UNCONNECTED [7:0]), + .ENARDEN(wea), + .ENBWREN(enb), + .INJECTDBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_INJECTDBITERR_UNCONNECTED ), + .INJECTSBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_INJECTSBITERR_UNCONNECTED ), + .RDADDRECC(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_RDADDRECC_UNCONNECTED [8:0]), + .REGCEAREGCE(1'b0), + .REGCEB(regceb), + .RSTRAMARSTRAM(1'b0), + .RSTRAMB(1'b0), + .RSTREGARSTREG(1'b0), + .RSTREGB(rstb), + .SBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_2_SBITERR_UNCONNECTED ), + .WEA({wea,wea,wea,1'b1}), + .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0})); + (* \MEM.PORTA.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTA.ADDRESS_END = "4095" *) + (* \MEM.PORTA.DATA_BIT_LAYOUT = "p1_d8" *) + (* \MEM.PORTA.DATA_LSB = "27" *) + (* \MEM.PORTA.DATA_MSB = "35" *) + (* \MEM.PORTB.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTB.ADDRESS_END = "4095" *) + (* \MEM.PORTB.DATA_BIT_LAYOUT = "p1_d8" *) + (* \MEM.PORTB.DATA_LSB = "27" *) + (* \MEM.PORTB.DATA_MSB = "35" *) + (* METHODOLOGY_DRC_VIOS = "" *) + (* RTL_RAM_BITS = "217088" *) + (* RTL_RAM_NAME = "gen_wr_a.gen_word_narrow.mem" *) + (* RTL_RAM_TYPE = "RAM_SDP" *) + (* bram_addr_begin = "0" *) + (* bram_addr_end = "4095" *) + (* bram_slice_begin = "27" *) + (* bram_slice_end = "35" *) + (* ram_addr_begin = "0" *) + (* ram_addr_end = "4095" *) + (* ram_offset = "0" *) + (* ram_slice_begin = "27" *) + (* ram_slice_end = "35" *) + RAMB36E1 #( + .DOA_REG(0), + .DOB_REG(1), + .EN_ECC_READ("FALSE"), + .EN_ECC_WRITE("FALSE"), + .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_A(36'h000000000), + .INIT_B(36'h000000000), + .RAM_EXTENSION_A("NONE"), + .RAM_EXTENSION_B("NONE"), + .RAM_MODE("TDP"), + .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"), + .READ_WIDTH_A(9), + .READ_WIDTH_B(9), + .RSTREG_PRIORITY_A("RSTREG"), + .RSTREG_PRIORITY_B("RSTREG"), + .SIM_COLLISION_CHECK("ALL"), + .SIM_DEVICE("7SERIES"), + .SRVAL_A(36'h000000000), + .SRVAL_B(36'h000000000), + .WRITE_MODE_A("NO_CHANGE"), + .WRITE_MODE_B("NO_CHANGE"), + .WRITE_WIDTH_A(9), + .WRITE_WIDTH_B(9)) + \gen_wr_a.gen_word_narrow.mem_reg_3 + (.ADDRARDADDR({1'b1,addra,1'b0,1'b0,1'b0}), + .ADDRBWRADDR({1'b1,addrb,1'b0,1'b0,1'b0}), + .CASCADEINA(1'b1), + .CASCADEINB(1'b1), + .CASCADEOUTA(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_CASCADEOUTA_UNCONNECTED ), + .CASCADEOUTB(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_CASCADEOUTB_UNCONNECTED ), + .CLKARDCLK(clka), + .CLKBWRCLK(clka), + .DBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DBITERR_UNCONNECTED ), + .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina[34:27]}), + .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), + .DIPADIP({1'b0,1'b0,1'b0,dina[35]}), + .DIPBDIP({1'b0,1'b0,1'b0,1'b1}), + .DOADO(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOADO_UNCONNECTED [31:0]), + .DOBDO({\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOBDO_UNCONNECTED [31:8],doutb[34:27]}), + .DOPADOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOPADOP_UNCONNECTED [3:0]), + .DOPBDOP({\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOPBDOP_UNCONNECTED [3:1],doutb[35]}), + .ECCPARITY(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_ECCPARITY_UNCONNECTED [7:0]), + .ENARDEN(wea), + .ENBWREN(enb), + .INJECTDBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_INJECTDBITERR_UNCONNECTED ), + .INJECTSBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_INJECTSBITERR_UNCONNECTED ), + .RDADDRECC(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_RDADDRECC_UNCONNECTED [8:0]), + .REGCEAREGCE(1'b0), + .REGCEB(regceb), + .RSTRAMARSTRAM(1'b0), + .RSTRAMB(1'b0), + .RSTREGARSTREG(1'b0), + .RSTREGB(rstb), + .SBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_3_SBITERR_UNCONNECTED ), + .WEA({wea,wea,wea,1'b1}), + .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0})); + (* \MEM.PORTA.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTA.ADDRESS_END = "4095" *) + (* \MEM.PORTA.DATA_BIT_LAYOUT = "p1_d8" *) + (* \MEM.PORTA.DATA_LSB = "36" *) + (* \MEM.PORTA.DATA_MSB = "44" *) + (* \MEM.PORTB.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTB.ADDRESS_END = "4095" *) + (* \MEM.PORTB.DATA_BIT_LAYOUT = "p1_d8" *) + (* \MEM.PORTB.DATA_LSB = "36" *) + (* \MEM.PORTB.DATA_MSB = "44" *) + (* METHODOLOGY_DRC_VIOS = "{SYNTH-6 {cell *THIS*}}" *) + (* RTL_RAM_BITS = "217088" *) + (* RTL_RAM_NAME = "gen_wr_a.gen_word_narrow.mem" *) + (* RTL_RAM_TYPE = "RAM_SDP" *) + (* bram_addr_begin = "0" *) + (* bram_addr_end = "4095" *) + (* bram_slice_begin = "36" *) + (* bram_slice_end = "44" *) + (* ram_addr_begin = "0" *) + (* ram_addr_end = "4095" *) + (* ram_offset = "0" *) + (* ram_slice_begin = "36" *) + (* ram_slice_end = "44" *) + RAMB36E1 #( + .DOA_REG(0), + .DOB_REG(0), + .EN_ECC_READ("FALSE"), + .EN_ECC_WRITE("FALSE"), + .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_A(36'h000000000), + .INIT_B(36'h000000000), + .RAM_EXTENSION_A("NONE"), + .RAM_EXTENSION_B("NONE"), + .RAM_MODE("TDP"), + .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"), + .READ_WIDTH_A(9), + .READ_WIDTH_B(9), + .RSTREG_PRIORITY_A("RSTREG"), + .RSTREG_PRIORITY_B("RSTREG"), + .SIM_COLLISION_CHECK("ALL"), + .SIM_DEVICE("7SERIES"), + .SRVAL_A(36'h000000000), + .SRVAL_B(36'h000000000), + .WRITE_MODE_A("NO_CHANGE"), + .WRITE_MODE_B("NO_CHANGE"), + .WRITE_WIDTH_A(9), + .WRITE_WIDTH_B(9)) + \gen_wr_a.gen_word_narrow.mem_reg_4 + (.ADDRARDADDR({1'b1,addra,1'b0,1'b0,1'b0}), + .ADDRBWRADDR({1'b1,addrb,1'b0,1'b0,1'b0}), + .CASCADEINA(1'b1), + .CASCADEINB(1'b1), + .CASCADEOUTA(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_CASCADEOUTA_UNCONNECTED ), + .CASCADEOUTB(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_CASCADEOUTB_UNCONNECTED ), + .CLKARDCLK(clka), + .CLKBWRCLK(clka), + .DBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DBITERR_UNCONNECTED ), + .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina[43:36]}), + .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), + .DIPADIP({1'b0,1'b0,1'b0,dina[44]}), + .DIPBDIP({1'b0,1'b0,1'b0,1'b1}), + .DOADO(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOADO_UNCONNECTED [31:0]), + .DOBDO({\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOBDO_UNCONNECTED [31:8],\gen_wr_a.gen_word_narrow.mem_reg_4_n_60 ,\gen_wr_a.gen_word_narrow.mem_reg_4_n_61 ,\gen_wr_a.gen_word_narrow.mem_reg_4_n_62 ,\gen_wr_a.gen_word_narrow.mem_reg_4_n_63 ,\gen_wr_a.gen_word_narrow.mem_reg_4_n_64 ,\gen_wr_a.gen_word_narrow.mem_reg_4_n_65 ,\gen_wr_a.gen_word_narrow.mem_reg_4_n_66 ,\gen_wr_a.gen_word_narrow.mem_reg_4_n_67 }), + .DOPADOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOPADOP_UNCONNECTED [3:0]), + .DOPBDOP({\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOPBDOP_UNCONNECTED [3:1],\gen_wr_a.gen_word_narrow.mem_reg_4_n_75 }), + .ECCPARITY(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_ECCPARITY_UNCONNECTED [7:0]), + .ENARDEN(wea), + .ENBWREN(enb), + .INJECTDBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_INJECTDBITERR_UNCONNECTED ), + .INJECTSBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_INJECTSBITERR_UNCONNECTED ), + .RDADDRECC(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_RDADDRECC_UNCONNECTED [8:0]), + .REGCEAREGCE(1'b0), .REGCEB(1'b0), .RSTRAMARSTRAM(1'b0), .RSTRAMB(1'b0), - .RSTREGARSTREG(rstb), + .RSTREGARSTREG(1'b0), .RSTREGB(1'b0), - .SBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_SBITERR_UNCONNECTED ), - .WEA({1'b0,1'b0,1'b0,1'b0}), - .WEBWE({wea,wea,wea,wea,wea,wea,wea,wea})); + .SBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_4_SBITERR_UNCONNECTED ), + .WEA({wea,wea,wea,1'b1}), + .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0})); + (* \MEM.PORTA.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTA.ADDRESS_END = "4095" *) + (* \MEM.PORTA.DATA_BIT_LAYOUT = "p0_d8" *) + (* \MEM.PORTA.DATA_LSB = "45" *) + (* \MEM.PORTA.DATA_MSB = "52" *) + (* \MEM.PORTB.ADDRESS_BEGIN = "0" *) + (* \MEM.PORTB.ADDRESS_END = "4095" *) + (* \MEM.PORTB.DATA_BIT_LAYOUT = "p0_d8" *) + (* \MEM.PORTB.DATA_LSB = "45" *) + (* \MEM.PORTB.DATA_MSB = "52" *) + (* METHODOLOGY_DRC_VIOS = "" *) + (* RTL_RAM_BITS = "217088" *) + (* RTL_RAM_NAME = "gen_wr_a.gen_word_narrow.mem" *) + (* RTL_RAM_TYPE = "RAM_SDP" *) + (* bram_addr_begin = "0" *) + (* bram_addr_end = "4095" *) + (* bram_slice_begin = "45" *) + (* bram_slice_end = "52" *) + (* ram_addr_begin = "0" *) + (* ram_addr_end = "4095" *) + (* ram_offset = "0" *) + (* ram_slice_begin = "45" *) + (* ram_slice_end = "52" *) + RAMB36E1 #( + .DOA_REG(0), + .DOB_REG(1), + .EN_ECC_READ("FALSE"), + .EN_ECC_WRITE("FALSE"), + .INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INITP_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_00(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_01(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_02(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_03(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_04(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_05(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_06(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_07(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_08(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_09(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_0F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_10(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_11(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_12(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_13(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_14(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_20(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_21(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_22(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_23(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_24(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_25(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_26(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_27(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_28(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_29(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_2F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_30(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_31(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_32(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_33(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_34(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_35(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_36(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_37(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_38(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_39(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_3F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_40(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_41(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_42(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_43(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_44(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_45(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_46(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_47(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_48(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_49(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_4F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_50(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_51(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_52(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_53(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_54(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_55(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_56(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_57(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_58(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_59(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_5F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_60(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_61(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_62(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_63(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_64(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_65(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_66(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_67(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_68(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_69(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_6F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_70(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_71(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_72(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_73(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_74(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_75(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_76(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_77(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_78(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_79(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7A(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7B(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7C(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7D(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7E(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_7F(256'h0000000000000000000000000000000000000000000000000000000000000000), + .INIT_A(36'h000000000), + .INIT_B(36'h000000000), + .RAM_EXTENSION_A("NONE"), + .RAM_EXTENSION_B("NONE"), + .RAM_MODE("TDP"), + .RDADDR_COLLISION_HWCONFIG("DELAYED_WRITE"), + .READ_WIDTH_A(9), + .READ_WIDTH_B(9), + .RSTREG_PRIORITY_A("RSTREG"), + .RSTREG_PRIORITY_B("RSTREG"), + .SIM_COLLISION_CHECK("ALL"), + .SIM_DEVICE("7SERIES"), + .SRVAL_A(36'h000000000), + .SRVAL_B(36'h000000000), + .WRITE_MODE_A("NO_CHANGE"), + .WRITE_MODE_B("NO_CHANGE"), + .WRITE_WIDTH_A(9), + .WRITE_WIDTH_B(9)) + \gen_wr_a.gen_word_narrow.mem_reg_5 + (.ADDRARDADDR({1'b1,addra,1'b0,1'b0,1'b0}), + .ADDRBWRADDR({1'b1,addrb,1'b0,1'b0,1'b0}), + .CASCADEINA(1'b1), + .CASCADEINB(1'b1), + .CASCADEOUTA(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_CASCADEOUTA_UNCONNECTED ), + .CASCADEOUTB(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_CASCADEOUTB_UNCONNECTED ), + .CLKARDCLK(clka), + .CLKBWRCLK(clka), + .DBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DBITERR_UNCONNECTED ), + .DIADI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,dina[52:45]}), + .DIBDI({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1,1'b1}), + .DIPADIP({1'b0,1'b0,1'b0,1'b0}), + .DIPBDIP({1'b0,1'b0,1'b0,1'b0}), + .DOADO(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOADO_UNCONNECTED [31:0]), + .DOBDO({\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOBDO_UNCONNECTED [31:8],doutb[52:45]}), + .DOPADOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOPADOP_UNCONNECTED [3:0]), + .DOPBDOP(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOPBDOP_UNCONNECTED [3:0]), + .ECCPARITY(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_ECCPARITY_UNCONNECTED [7:0]), + .ENARDEN(wea), + .ENBWREN(enb), + .INJECTDBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_INJECTDBITERR_UNCONNECTED ), + .INJECTSBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_INJECTSBITERR_UNCONNECTED ), + .RDADDRECC(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_RDADDRECC_UNCONNECTED [8:0]), + .REGCEAREGCE(1'b0), + .REGCEB(regceb), + .RSTRAMARSTRAM(1'b0), + .RSTRAMB(1'b0), + .RSTREGARSTREG(1'b0), + .RSTREGB(rstb), + .SBITERR(\NLW_gen_wr_a.gen_word_narrow.mem_reg_5_SBITERR_UNCONNECTED ), + .WEA({wea,wea,wea,1'b1}), + .WEBWE({1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0,1'b0})); endmodule `ifndef GLBL `define GLBL diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.vhdl b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.vhdl index a1792432..7ba2159e 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.vhdl +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.vhdl @@ -1,10 +1,10 @@ -- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2020.1 (win64) Build 2902540 Wed May 27 19:54:49 MDT 2020 --- Date : Thu Jan 20 22:00:03 2022 +-- Date : Wed May 11 18:46:03 2022 -- Host : DESKTOP-J72MK93 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim --- c:/Users/Aleksa/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.vhdl +-- c:/Users/Aleksa/Documents/FPGA_Dev/Artix7_PCIe/dso_top_Rev2/dso_top_Rev2.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_sim_netlist.vhdl -- Design : design_1_axi_fifo_mm_s_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. @@ -467,11 +467,10 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized0\ is port ( - \count_value_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - Q : in STD_LOGIC_VECTOR ( 8 downto 0 ); - \count_value_i_reg[4]_0\ : in STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 11 downto 0 ); + S : in STD_LOGIC_VECTOR ( 0 to 0 ); \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - E : in STD_LOGIC_VECTOR ( 0 to 0 ); + ram_wr_en_pf : in STD_LOGIC; wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; @@ -479,170 +478,94 @@ entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized0\ is end \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized0\; architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized0\ is - signal \count_value_i[0]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[1]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[2]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[3]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[4]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[5]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[6]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[7]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[8]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[8]_i_2__0_n_0\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[0]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[1]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[2]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[3]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[4]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[5]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[6]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[7]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[8]\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_2\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_3\ : STD_LOGIC; - signal \NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - signal \NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \count_value_i[0]_i_1__3\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \count_value_i[1]_i_1__1\ : label is "soft_lutpair20"; - attribute SOFT_HLUTNM of \count_value_i[2]_i_1__0\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \count_value_i[3]_i_1__0\ : label is "soft_lutpair18"; - attribute SOFT_HLUTNM of \count_value_i[5]_i_1__0\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \count_value_i[6]_i_1__0\ : label is "soft_lutpair19"; - attribute SOFT_HLUTNM of \count_value_i[7]_i_1__0\ : label is "soft_lutpair17"; - attribute SOFT_HLUTNM of \count_value_i[8]_i_1__2\ : label is "soft_lutpair17"; + signal \^q\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \count_value_i_reg[11]_i_1__3_n_1\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__3_n_2\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__3_n_3\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__3_n_4\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__3_n_5\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__3_n_6\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__3_n_7\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__3_n_0\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__3_n_1\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__3_n_2\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__3_n_3\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__3_n_4\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__3_n_5\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__3_n_6\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__3_n_7\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__3_n_0\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__3_n_1\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__3_n_2\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__3_n_3\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__3_n_4\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__3_n_5\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__3_n_6\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__3_n_7\ : STD_LOGIC; + signal \NLW_count_value_i_reg[11]_i_1__3_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); + attribute ADDER_THRESHOLD : integer; + attribute ADDER_THRESHOLD of \count_value_i_reg[11]_i_1__3\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[3]_i_1__3\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[7]_i_1__3\ : label is 35; begin -\count_value_i[0]_i_1__3\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - O => \count_value_i[0]_i_1__3_n_0\ - ); -\count_value_i[1]_i_1__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \count_value_i_reg_n_0_[1]\, - I1 => \count_value_i_reg_n_0_[0]\, - O => \count_value_i[1]_i_1__1_n_0\ - ); -\count_value_i[2]_i_1__0\: unisim.vcomponents.LUT4 - generic map( - INIT => X"DF20" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => \count_value_i_reg[4]_0\, - I2 => \count_value_i_reg_n_0_[1]\, - I3 => \count_value_i_reg_n_0_[2]\, - O => \count_value_i[2]_i_1__0_n_0\ - ); -\count_value_i[3]_i_1__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"DF20FF00" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => \count_value_i_reg[4]_0\, - I2 => \count_value_i_reg_n_0_[1]\, - I3 => \count_value_i_reg_n_0_[3]\, - I4 => \count_value_i_reg_n_0_[2]\, - O => \count_value_i[3]_i_1__0_n_0\ - ); -\count_value_i[4]_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DF20FF00FF00FF00" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => \count_value_i_reg[4]_0\, - I2 => \count_value_i_reg_n_0_[1]\, - I3 => \count_value_i_reg_n_0_[4]\, - I4 => \count_value_i_reg_n_0_[2]\, - I5 => \count_value_i_reg_n_0_[3]\, - O => \count_value_i[4]_i_1__0_n_0\ - ); -\count_value_i[5]_i_1__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \count_value_i[8]_i_2__0_n_0\, - I1 => \count_value_i_reg_n_0_[5]\, - O => \count_value_i[5]_i_1__0_n_0\ - ); -\count_value_i[6]_i_1__0\: unisim.vcomponents.LUT3 - generic map( - INIT => X"9A" - ) - port map ( - I0 => \count_value_i_reg_n_0_[6]\, - I1 => \count_value_i[8]_i_2__0_n_0\, - I2 => \count_value_i_reg_n_0_[5]\, - O => \count_value_i[6]_i_1__0_n_0\ - ); -\count_value_i[7]_i_1__0\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A6AA" - ) - port map ( - I0 => \count_value_i_reg_n_0_[7]\, - I1 => \count_value_i_reg_n_0_[5]\, - I2 => \count_value_i[8]_i_2__0_n_0\, - I3 => \count_value_i_reg_n_0_[6]\, - O => \count_value_i[7]_i_1__0_n_0\ - ); -\count_value_i[8]_i_1__2\: unisim.vcomponents.LUT5 - generic map( - INIT => X"A6AAAAAA" - ) - port map ( - I0 => \count_value_i_reg_n_0_[8]\, - I1 => \count_value_i_reg_n_0_[6]\, - I2 => \count_value_i[8]_i_2__0_n_0\, - I3 => \count_value_i_reg_n_0_[5]\, - I4 => \count_value_i_reg_n_0_[7]\, - O => \count_value_i[8]_i_1__2_n_0\ - ); -\count_value_i[8]_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DFFFFFFFFFFFFFFF" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => \count_value_i_reg[4]_0\, - I2 => \count_value_i_reg_n_0_[1]\, - I3 => \count_value_i_reg_n_0_[3]\, - I4 => \count_value_i_reg_n_0_[2]\, - I5 => \count_value_i_reg_n_0_[4]\, - O => \count_value_i[8]_i_2__0_n_0\ - ); + Q(11 downto 0) <= \^q\(11 downto 0); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[0]_i_1__3_n_0\, - Q => \count_value_i_reg_n_0_[0]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1__3_n_7\, + Q => \^q\(0), R => \count_value_i_reg[0]_0\(0) ); +\count_value_i_reg[10]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1__3_n_5\, + Q => \^q\(10), + R => \count_value_i_reg[0]_0\(0) + ); +\count_value_i_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1__3_n_4\, + Q => \^q\(11), + R => \count_value_i_reg[0]_0\(0) + ); +\count_value_i_reg[11]_i_1__3\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[7]_i_1__3_n_0\, + CO(3) => \NLW_count_value_i_reg[11]_i_1__3_CO_UNCONNECTED\(3), + CO(2) => \count_value_i_reg[11]_i_1__3_n_1\, + CO(1) => \count_value_i_reg[11]_i_1__3_n_2\, + CO(0) => \count_value_i_reg[11]_i_1__3_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[11]_i_1__3_n_4\, + O(2) => \count_value_i_reg[11]_i_1__3_n_5\, + O(1) => \count_value_i_reg[11]_i_1__3_n_6\, + O(0) => \count_value_i_reg[11]_i_1__3_n_7\, + S(3 downto 0) => \^q\(11 downto 8) + ); \count_value_i_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[1]_i_1__1_n_0\, - Q => \count_value_i_reg_n_0_[1]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1__3_n_6\, + Q => \^q\(1), S => \count_value_i_reg[0]_0\(0) ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE @@ -651,9 +574,9 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[2]_i_1__0_n_0\, - Q => \count_value_i_reg_n_0_[2]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1__3_n_5\, + Q => \^q\(2), R => \count_value_i_reg[0]_0\(0) ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE @@ -662,20 +585,37 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[3]_i_1__0_n_0\, - Q => \count_value_i_reg_n_0_[3]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1__3_n_4\, + Q => \^q\(3), R => \count_value_i_reg[0]_0\(0) ); +\count_value_i_reg[3]_i_1__3\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => \count_value_i_reg[3]_i_1__3_n_0\, + CO(2) => \count_value_i_reg[3]_i_1__3_n_1\, + CO(1) => \count_value_i_reg[3]_i_1__3_n_2\, + CO(0) => \count_value_i_reg[3]_i_1__3_n_3\, + CYINIT => '0', + DI(3 downto 1) => B"000", + DI(0) => \^q\(0), + O(3) => \count_value_i_reg[3]_i_1__3_n_4\, + O(2) => \count_value_i_reg[3]_i_1__3_n_5\, + O(1) => \count_value_i_reg[3]_i_1__3_n_6\, + O(0) => \count_value_i_reg[3]_i_1__3_n_7\, + S(3 downto 1) => \^q\(3 downto 1), + S(0) => S(0) + ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[4]_i_1__0_n_0\, - Q => \count_value_i_reg_n_0_[4]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1__3_n_7\, + Q => \^q\(4), R => \count_value_i_reg[0]_0\(0) ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE @@ -684,9 +624,9 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[5]_i_1__0_n_0\, - Q => \count_value_i_reg_n_0_[5]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1__3_n_6\, + Q => \^q\(5), R => \count_value_i_reg[0]_0\(0) ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE @@ -695,9 +635,9 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[6]_i_1__0_n_0\, - Q => \count_value_i_reg_n_0_[6]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1__3_n_5\, + Q => \^q\(6), R => \count_value_i_reg[0]_0\(0) ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE @@ -706,75 +646,47 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[7]_i_1__0_n_0\, - Q => \count_value_i_reg_n_0_[7]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1__3_n_4\, + Q => \^q\(7), R => \count_value_i_reg[0]_0\(0) ); +\count_value_i_reg[7]_i_1__3\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[3]_i_1__3_n_0\, + CO(3) => \count_value_i_reg[7]_i_1__3_n_0\, + CO(2) => \count_value_i_reg[7]_i_1__3_n_1\, + CO(1) => \count_value_i_reg[7]_i_1__3_n_2\, + CO(0) => \count_value_i_reg[7]_i_1__3_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[7]_i_1__3_n_4\, + O(2) => \count_value_i_reg[7]_i_1__3_n_5\, + O(1) => \count_value_i_reg[7]_i_1__3_n_6\, + O(0) => \count_value_i_reg[7]_i_1__3_n_7\, + S(3 downto 0) => \^q\(7 downto 4) + ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[8]_i_1__2_n_0\, - Q => \count_value_i_reg_n_0_[8]\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1__3_n_7\, + Q => \^q\(8), R => \count_value_i_reg[0]_0\(0) ); -\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4\: unisim.vcomponents.LUT6 +\count_value_i_reg[9]\: unisim.vcomponents.FDRE generic map( - INIT => X"9009000000009009" + INIT => '0' ) port map ( - I0 => \count_value_i_reg_n_0_[7]\, - I1 => Q(7), - I2 => Q(8), - I3 => \count_value_i_reg_n_0_[8]\, - I4 => Q(6), - I5 => \count_value_i_reg_n_0_[6]\, - O => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0\ - ); -\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9009000000009009" - ) - port map ( - I0 => \count_value_i_reg_n_0_[3]\, - I1 => Q(3), - I2 => Q(5), - I3 => \count_value_i_reg_n_0_[5]\, - I4 => Q(4), - I5 => \count_value_i_reg_n_0_[4]\, - O => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0\ - ); -\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9009000000009009" - ) - port map ( - I0 => \count_value_i_reg_n_0_[1]\, - I1 => Q(1), - I2 => Q(2), - I3 => \count_value_i_reg_n_0_[2]\, - I4 => Q(0), - I5 => \count_value_i_reg_n_0_[0]\, - O => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0\ - ); -\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_CO_UNCONNECTED\(3), - CO(2) => \count_value_i_reg[7]_0\(0), - CO(1) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_2\, - CO(0) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_3\, - CYINIT => '1', - DI(3 downto 0) => B"0000", - O(3 downto 0) => \NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_O_UNCONNECTED\(3 downto 0), - S(3) => '0', - S(2) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0\, - S(1) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0\, - S(0) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0\ + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1__3_n_6\, + Q => \^q\(9), + R => \count_value_i_reg[0]_0\(0) ); end STRUCTURE; library IEEE; @@ -786,9 +698,9 @@ entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized1\ is Q : out STD_LOGIC_VECTOR ( 0 to 0 ); S : out STD_LOGIC_VECTOR ( 1 downto 0 ); DI : out STD_LOGIC_VECTOR ( 0 to 0 ); - \count_value_i_reg[1]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - rd_en : in STD_LOGIC; ram_empty_i : in STD_LOGIC; + \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + rd_en : in STD_LOGIC; \grdc.rd_data_count_i_reg[3]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); \grdc.rd_data_count_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); SR : in STD_LOGIC_VECTOR ( 0 to 0 ); @@ -802,11 +714,11 @@ architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__paramete signal \^di\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal count_value_i : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \count_value_i[0]_i_1__0_n_0\ : STD_LOGIC; + signal \count_value_i[0]_i_1_n_0\ : STD_LOGIC; signal \count_value_i[1]_i_3_n_0\ : STD_LOGIC; signal \gen_fwft.count_en\ : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \count_value_i[0]_i_1__0\ : label is "soft_lutpair0"; + attribute SOFT_HLUTNM of \count_value_i[0]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \count_value_i[1]_i_3\ : label is "soft_lutpair0"; attribute HLUTNM : string; attribute HLUTNM of \gwdc.wr_data_count_i[3]_i_4\ : label is "lutpair0"; @@ -814,36 +726,40 @@ architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__paramete begin DI(0) <= \^di\(0); Q(0) <= \^q\(0); -\count_value_i[0]_i_1__0\: unisim.vcomponents.LUT1 +\count_value_i[0]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"1" + INIT => X"5AAAA655" ) port map ( I0 => count_value_i(0), - O => \count_value_i[0]_i_1__0_n_0\ + I1 => \count_value_i_reg[0]_0\(0), + I2 => rd_en, + I3 => \count_value_i_reg[0]_0\(1), + I4 => ram_empty_i, + O => \count_value_i[0]_i_1_n_0\ ); \count_value_i[1]_i_2\: unisim.vcomponents.LUT4 generic map( - INIT => X"9585" + INIT => X"C02F" ) port map ( - I0 => ram_empty_i, + I0 => \count_value_i_reg[0]_0\(0), I1 => rd_en, - I2 => \count_value_i_reg[1]_0\(1), - I3 => \count_value_i_reg[1]_0\(0), + I2 => \count_value_i_reg[0]_0\(1), + I3 => ram_empty_i, O => \gen_fwft.count_en\ ); \count_value_i[1]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"AA956AAAAA996AAA" + INIT => X"A999A9A96AAA6AAA" ) port map ( I0 => \^q\(0), - I1 => \count_value_i_reg[1]_0\(1), - I2 => rd_en, - I3 => ram_empty_i, - I4 => count_value_i(0), - I5 => \count_value_i_reg[1]_0\(0), + I1 => ram_empty_i, + I2 => \count_value_i_reg[0]_0\(1), + I3 => rd_en, + I4 => \count_value_i_reg[0]_0\(0), + I5 => count_value_i(0), O => \count_value_i[1]_i_3_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE @@ -853,7 +769,7 @@ begin port map ( C => wr_clk, CE => \gen_fwft.count_en\, - D => \count_value_i[0]_i_1__0_n_0\, + D => \count_value_i[0]_i_1_n_0\, Q => count_value_i(0), R => SR(0) ); @@ -874,7 +790,7 @@ begin ) port map ( I0 => count_value_i(0), - I1 => \grdc.rd_data_count_i_reg[3]_0\(0), + I1 => \grdc.rd_data_count_i_reg[3]\(0), O => \^di\(0) ); \gwdc.wr_data_count_i[3]_i_7\: unisim.vcomponents.LUT4 @@ -884,8 +800,8 @@ begin port map ( I0 => \^di\(0), I1 => \grdc.rd_data_count_i_reg[3]\(1), - I2 => \grdc.rd_data_count_i_reg[3]_0\(1), - I3 => \^q\(0), + I2 => \^q\(0), + I3 => \grdc.rd_data_count_i_reg[3]_0\(1), O => S(1) ); \gwdc.wr_data_count_i[3]_i_8\: unisim.vcomponents.LUT3 @@ -894,8 +810,8 @@ begin ) port map ( I0 => count_value_i(0), - I1 => \grdc.rd_data_count_i_reg[3]_0\(0), - I2 => \grdc.rd_data_count_i_reg[3]\(0), + I1 => \grdc.rd_data_count_i_reg[3]\(0), + I2 => \grdc.rd_data_count_i_reg[3]_0\(0), O => S(0) ); end STRUCTURE; @@ -905,31 +821,34 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2\ is port ( - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg\ : out STD_LOGIC; - \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\ : out STD_LOGIC; \syncstages_ff_reg[3]\ : out STD_LOGIC; - Q : out STD_LOGIC_VECTOR ( 9 downto 0 ); - E : out STD_LOGIC_VECTOR ( 0 to 0 ); - D : out STD_LOGIC_VECTOR ( 8 downto 0 ); - \count_value_i_reg[8]_0\ : out STD_LOGIC_VECTOR ( 8 downto 0 ); + \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\ : out STD_LOGIC; + DI : out STD_LOGIC_VECTOR ( 0 to 0 ); + Q : out STD_LOGIC_VECTOR ( 11 downto 0 ); + S : out STD_LOGIC_VECTOR ( 0 to 0 ); + \count_value_i_reg[1]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \count_value_i_reg[11]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + CO : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg\ : out STD_LOGIC; + \count_value_i_reg[3]_0\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); + \count_value_i_reg[7]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \count_value_i_reg[11]_1\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \count_value_i_reg[0]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \count_value_i_reg[1]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0\ : out STD_LOGIC; - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg\ : in STD_LOGIC; - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0\ : in STD_LOGIC; - CO : in STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1\ : in STD_LOGIC; + clr_full : in STD_LOGIC; rst : in STD_LOGIC; almost_full : in STD_LOGIC; - \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - ram_empty_i : in STD_LOGIC; + ram_wr_en_pf : in STD_LOGIC; + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \grdc.rd_data_count_i_reg[12]\ : in STD_LOGIC_VECTOR ( 12 downto 0 ); + \grdc.rd_data_count_i_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg\ : in STD_LOGIC; + \count_value_i_reg[0]_1\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); rd_en : in STD_LOGIC; - S : in STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\ : in STD_LOGIC_VECTOR ( 7 downto 0 ); - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\ : in STD_LOGIC_VECTOR ( 8 downto 0 ); - \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + ram_empty_i : in STD_LOGIC; + \count_value_i_reg[0]_2\ : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; @@ -937,222 +856,82 @@ entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2\ is end \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2\; architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2\ is - signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \^co\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\ : STD_LOGIC; - signal \^q\ : STD_LOGIC_VECTOR ( 9 downto 0 ); - signal \count_value_i[0]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[1]_i_1__4_n_0\ : STD_LOGIC; - signal \count_value_i[2]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[3]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[4]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[5]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[6]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[7]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[8]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[9]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[9]_i_2_n_0\ : STD_LOGIC; + signal \^q\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \count_value_i[3]_i_2__0_n_0\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__0_n_0\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__0_n_1\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__0_n_2\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__0_n_3\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__0_n_4\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__0_n_5\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__0_n_6\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__0_n_7\ : STD_LOGIC; + signal \count_value_i_reg[12]_i_1__0_n_7\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__0_n_0\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__0_n_1\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__0_n_2\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__0_n_3\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__0_n_4\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__0_n_5\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__0_n_6\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__0_n_7\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__0_n_0\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__0_n_1\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__0_n_2\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__0_n_3\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__0_n_4\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__0_n_5\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__0_n_6\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__0_n_7\ : STD_LOGIC; + signal \count_value_i_reg_n_0_[12]\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_7_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_3\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_5_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6_n_0\ : STD_LOGIC; signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7_n_0\ : STD_LOGIC; signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8_n_0\ : STD_LOGIC; signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_n_2\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_n_3\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_7_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_8_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_6_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_7_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_8_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_9_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_1\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_2\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_3\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_1\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_2\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_3\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_7_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_1\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_2\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_3\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_1\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_2\ : STD_LOGIC; - signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_3\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_3\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_3\ : STD_LOGIC; + signal going_afull1 : STD_LOGIC; signal going_full1 : STD_LOGIC; signal ram_afull_i0 : STD_LOGIC; - signal \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - signal \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - signal \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \count_value_i[0]_i_1\ : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of \count_value_i[1]_i_1__4\ : label is "soft_lutpair4"; - attribute SOFT_HLUTNM of \count_value_i[2]_i_1__2\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \count_value_i[3]_i_1__2\ : label is "soft_lutpair2"; - attribute SOFT_HLUTNM of \count_value_i[5]_i_1__2\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \count_value_i[6]_i_1__2\ : label is "soft_lutpair3"; - attribute SOFT_HLUTNM of \count_value_i[7]_i_1__2\ : label is "soft_lutpair1"; - attribute SOFT_HLUTNM of \count_value_i[8]_i_1\ : label is "soft_lutpair1"; + signal \NLW_count_value_i_reg[12]_i_1__0_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_count_value_i_reg[12]_i_1__0_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute ADDER_THRESHOLD : integer; - attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1\ : label is 35; - attribute METHODOLOGY_DRC_VIOS : string; - attribute METHODOLOGY_DRC_VIOS of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; - attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1\ : label is 35; - attribute METHODOLOGY_DRC_VIOS of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; - attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1\ : label is 35; - attribute METHODOLOGY_DRC_VIOS of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; - attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1\ : label is 35; - attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1\ : label is 35; - attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[11]_i_1__0\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[12]_i_1__0\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[3]_i_1__0\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[7]_i_1__0\ : label is 35; begin - E(0) <= \^e\(0); + CO(0) <= \^co\(0); \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\ <= \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\; - Q(9 downto 0) <= \^q\(9 downto 0); -\count_value_i[0]_i_1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \^q\(0), - O => \count_value_i[0]_i_1_n_0\ - ); -\count_value_i[1]_i_1__4\: unisim.vcomponents.LUT2 + Q(11 downto 0) <= \^q\(11 downto 0); +\count_value_i[3]_i_2__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( - I0 => \^q\(1), + I0 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, I1 => \^q\(0), - O => \count_value_i[1]_i_1__4_n_0\ - ); -\count_value_i[2]_i_1__2\: unisim.vcomponents.LUT4 - generic map( - INIT => X"DF20" - ) - port map ( - I0 => \^q\(0), - I1 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - I2 => \^q\(1), - I3 => \^q\(2), - O => \count_value_i[2]_i_1__2_n_0\ - ); -\count_value_i[3]_i_1__2\: unisim.vcomponents.LUT5 - generic map( - INIT => X"DF20FF00" - ) - port map ( - I0 => \^q\(0), - I1 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - I2 => \^q\(1), - I3 => \^q\(3), - I4 => \^q\(2), - O => \count_value_i[3]_i_1__2_n_0\ - ); -\count_value_i[4]_i_1__2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DF20FF00FF00FF00" - ) - port map ( - I0 => \^q\(0), - I1 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - I2 => \^q\(1), - I3 => \^q\(4), - I4 => \^q\(3), - I5 => \^q\(2), - O => \count_value_i[4]_i_1__2_n_0\ - ); -\count_value_i[5]_i_1__2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(5), - I1 => \count_value_i[9]_i_2_n_0\, - O => \count_value_i[5]_i_1__2_n_0\ - ); -\count_value_i[6]_i_1__2\: unisim.vcomponents.LUT3 - generic map( - INIT => X"A6" - ) - port map ( - I0 => \^q\(6), - I1 => \^q\(5), - I2 => \count_value_i[9]_i_2_n_0\, - O => \count_value_i[6]_i_1__2_n_0\ - ); -\count_value_i[7]_i_1__2\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A6AA" - ) - port map ( - I0 => \^q\(7), - I1 => \^q\(6), - I2 => \count_value_i[9]_i_2_n_0\, - I3 => \^q\(5), - O => \count_value_i[7]_i_1__2_n_0\ - ); -\count_value_i[8]_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"A6AAAAAA" - ) - port map ( - I0 => \^q\(8), - I1 => \^q\(5), - I2 => \count_value_i[9]_i_2_n_0\, - I3 => \^q\(6), - I4 => \^q\(7), - O => \count_value_i[8]_i_1_n_0\ - ); -\count_value_i[9]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"AAAA6AAAAAAAAAAA" - ) - port map ( - I0 => \^q\(9), - I1 => \^q\(8), - I2 => \^q\(7), - I3 => \^q\(6), - I4 => \count_value_i[9]_i_2_n_0\, - I5 => \^q\(5), - O => \count_value_i[9]_i_1_n_0\ - ); -\count_value_i[9]_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DFFFFFFFFFFFFFFF" - ) - port map ( - I0 => \^q\(0), - I1 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - I2 => \^q\(1), - I3 => \^q\(3), - I4 => \^q\(2), - I5 => \^q\(4), - O => \count_value_i[9]_i_2_n_0\ + O => \count_value_i[3]_i_2__0_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( @@ -1160,10 +939,69 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[0]_i_1_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[3]_i_1__0_n_7\, Q => \^q\(0), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) + ); +\count_value_i_reg[10]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[11]_i_1__0_n_5\, + Q => \^q\(10), + R => \count_value_i_reg[0]_2\(0) + ); +\count_value_i_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[11]_i_1__0_n_4\, + Q => \^q\(11), + R => \count_value_i_reg[0]_2\(0) + ); +\count_value_i_reg[11]_i_1__0\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[7]_i_1__0_n_0\, + CO(3) => \count_value_i_reg[11]_i_1__0_n_0\, + CO(2) => \count_value_i_reg[11]_i_1__0_n_1\, + CO(1) => \count_value_i_reg[11]_i_1__0_n_2\, + CO(0) => \count_value_i_reg[11]_i_1__0_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[11]_i_1__0_n_4\, + O(2) => \count_value_i_reg[11]_i_1__0_n_5\, + O(1) => \count_value_i_reg[11]_i_1__0_n_6\, + O(0) => \count_value_i_reg[11]_i_1__0_n_7\, + S(3 downto 0) => \^q\(11 downto 8) + ); +\count_value_i_reg[12]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[12]_i_1__0_n_7\, + Q => \count_value_i_reg_n_0_[12]\, + R => \count_value_i_reg[0]_2\(0) + ); +\count_value_i_reg[12]_i_1__0\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[11]_i_1__0_n_0\, + CO(3 downto 0) => \NLW_count_value_i_reg[12]_i_1__0_CO_UNCONNECTED\(3 downto 0), + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3 downto 1) => \NLW_count_value_i_reg[12]_i_1__0_O_UNCONNECTED\(3 downto 1), + O(0) => \count_value_i_reg[12]_i_1__0_n_7\, + S(3 downto 1) => B"000", + S(0) => \count_value_i_reg_n_0_[12]\ ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( @@ -1171,10 +1009,10 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[1]_i_1__4_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[3]_i_1__0_n_6\, Q => \^q\(1), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( @@ -1182,10 +1020,10 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[2]_i_1__2_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[3]_i_1__0_n_5\, Q => \^q\(2), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( @@ -1193,10 +1031,27 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[3]_i_1__2_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[3]_i_1__0_n_4\, Q => \^q\(3), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) + ); +\count_value_i_reg[3]_i_1__0\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => \count_value_i_reg[3]_i_1__0_n_0\, + CO(2) => \count_value_i_reg[3]_i_1__0_n_1\, + CO(1) => \count_value_i_reg[3]_i_1__0_n_2\, + CO(0) => \count_value_i_reg[3]_i_1__0_n_3\, + CYINIT => '0', + DI(3 downto 1) => B"000", + DI(0) => \^q\(0), + O(3) => \count_value_i_reg[3]_i_1__0_n_4\, + O(2) => \count_value_i_reg[3]_i_1__0_n_5\, + O(1) => \count_value_i_reg[3]_i_1__0_n_6\, + O(0) => \count_value_i_reg[3]_i_1__0_n_7\, + S(3 downto 1) => \^q\(3 downto 1), + S(0) => \count_value_i[3]_i_2__0_n_0\ ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( @@ -1204,10 +1059,10 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[4]_i_1__2_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[7]_i_1__0_n_7\, Q => \^q\(4), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( @@ -1215,10 +1070,10 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[5]_i_1__2_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[7]_i_1__0_n_6\, Q => \^q\(5), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( @@ -1226,10 +1081,10 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[6]_i_1__2_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[7]_i_1__0_n_5\, Q => \^q\(6), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( @@ -1237,10 +1092,25 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[7]_i_1__2_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[7]_i_1__0_n_4\, Q => \^q\(7), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) + ); +\count_value_i_reg[7]_i_1__0\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[3]_i_1__0_n_0\, + CO(3) => \count_value_i_reg[7]_i_1__0_n_0\, + CO(2) => \count_value_i_reg[7]_i_1__0_n_1\, + CO(1) => \count_value_i_reg[7]_i_1__0_n_2\, + CO(0) => \count_value_i_reg[7]_i_1__0_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[7]_i_1__0_n_4\, + O(2) => \count_value_i_reg[7]_i_1__0_n_5\, + O(1) => \count_value_i_reg[7]_i_1__0_n_6\, + O(0) => \count_value_i_reg[7]_i_1__0_n_7\, + S(3 downto 0) => \^q\(7 downto 4) ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( @@ -1248,10 +1118,10 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[8]_i_1_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[11]_i_1__0_n_7\, Q => \^q\(8), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) ); \count_value_i_reg[9]\: unisim.vcomponents.FDRE generic map( @@ -1259,82 +1129,203 @@ begin ) port map ( C => wr_clk, - CE => \^e\(0), - D => \count_value_i[9]_i_1_n_0\, + CE => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + D => \count_value_i_reg[11]_i_1__0_n_6\, Q => \^q\(9), - R => \count_value_i_reg[0]_0\(0) + R => \count_value_i_reg[0]_2\(0) ); \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"3202" + INIT => X"F202" ) port map ( I0 => ram_afull_i0, - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1\, + I1 => clr_full, I2 => rst, I3 => almost_full, O => \syncstages_ff_reg[3]\ ); \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_2\: unisim.vcomponents.LUT5 generic map( - INIT => X"C4FCC4CC" + INIT => X"F3FF00A0" ) port map ( - I0 => going_full1, - I1 => almost_full, - I2 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg\, - I4 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg\(0), + I0 => going_afull1, + I1 => going_full1, + I2 => ram_wr_en_pf, + I3 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + I4 => almost_full, O => ram_afull_i0 ); +\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \^q\(9), + I1 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(9), + I2 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(11), + I3 => \^q\(11), + I4 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(10), + I5 => \^q\(10), + O => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0\ + ); +\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \^q\(6), + I1 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(6), + I2 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(8), + I3 => \^q\(8), + I4 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(7), + I5 => \^q\(7), + O => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0\ + ); +\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \^q\(3), + I1 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(3), + I2 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(5), + I3 => \^q\(5), + I4 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(4), + I5 => \^q\(4), + O => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0\ + ); +\gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_7\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \^q\(0), + I1 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(0), + I2 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(2), + I3 => \^q\(2), + I4 => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(1), + I5 => \^q\(1), + O => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_7_n_0\ + ); +\gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => going_afull1, + CO(2) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_1\, + CO(1) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_2\, + CO(0) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_n_3\, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => \NLW_gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_O_UNCONNECTED\(3 downto 0), + S(3) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_4_n_0\, + S(2) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_5_n_0\, + S(1) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_6_n_0\, + S(0) => \gen_pntr_flags_cc.gaf_cc.ram_afull_i_i_7_n_0\ + ); \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"00000000F020FF20" + INIT => X"0545044404440444" ) port map ( - I0 => going_full1, + I0 => clr_full, I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg\, I2 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0\, - I4 => CO(0), - I5 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1\, + I3 => \^co\(0), + I4 => going_full1, + I5 => ram_wr_en_pf, O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0\ ); \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFFFFFFF0FDF00DF" + INIT => X"FABAFBBBFBBBFBBB" ) port map ( - I0 => going_full1, + I0 => clr_full, I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg\, I2 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0\, - I4 => CO(0), - I5 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1\, + I3 => \^co\(0), + I4 => going_full1, + I5 => ram_wr_en_pf, O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg\ ); -\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_4\: unisim.vcomponents.LUT4 +\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10\: unisim.vcomponents.LUT6 generic map( - INIT => X"F0F4" + INIT => X"9009000000009009" ) port map ( - I0 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2\(0), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2\(1), - I2 => ram_empty_i, - I3 => rd_en, - O => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\ + I0 => \^q\(6), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(6), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(8), + I3 => \^q\(8), + I4 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(7), + I5 => \^q\(7), + O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0\ + ); +\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \^q\(3), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(3), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(5), + I3 => \^q\(5), + I4 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(4), + I5 => \^q\(4), + O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0\ + ); +\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \^q\(0), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(0), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(2), + I3 => \^q\(2), + I4 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(1), + I5 => \^q\(1), + O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0\ + ); +\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_5\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \^q\(9), + I1 => \grdc.rd_data_count_i_reg[12]\(9), + I2 => \grdc.rd_data_count_i_reg[12]\(11), + I3 => \^q\(11), + I4 => \grdc.rd_data_count_i_reg[12]\(10), + I5 => \^q\(10), + O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_5_n_0\ + ); +\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6\: unisim.vcomponents.LUT6 + generic map( + INIT => X"9009000000009009" + ) + port map ( + I0 => \^q\(6), + I1 => \grdc.rd_data_count_i_reg[12]\(6), + I2 => \grdc.rd_data_count_i_reg[12]\(8), + I3 => \^q\(8), + I4 => \grdc.rd_data_count_i_reg[12]\(7), + I5 => \^q\(7), + O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6_n_0\ ); \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( - I0 => \^q\(6), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(6), - I2 => \^q\(7), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(7), - I4 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(8), - I5 => \^q\(8), + I0 => \^q\(3), + I1 => \grdc.rd_data_count_i_reg[12]\(3), + I2 => \grdc.rd_data_count_i_reg[12]\(5), + I3 => \^q\(5), + I4 => \grdc.rd_data_count_i_reg[12]\(4), + I5 => \^q\(4), O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7_n_0\ ); \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8\: unisim.vcomponents.LUT6 @@ -1342,12 +1333,12 @@ begin INIT => X"9009000000009009" ) port map ( - I0 => \^q\(4), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(4), - I2 => \^q\(3), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(3), - I4 => \^q\(5), - I5 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(5), + I0 => \^q\(0), + I1 => \grdc.rd_data_count_i_reg[12]\(0), + I2 => \grdc.rd_data_count_i_reg[12]\(2), + I3 => \^q\(2), + I4 => \grdc.rd_data_count_i_reg[12]\(1), + I5 => \^q\(1), O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8_n_0\ ); \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9\: unisim.vcomponents.LUT6 @@ -1355,399 +1346,221 @@ begin INIT => X"9009000000009009" ) port map ( - I0 => \^q\(2), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(2), - I2 => \^q\(1), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(1), - I4 => \^q\(0), - I5 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(0), + I0 => \^q\(9), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(9), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(11), + I3 => \^q\(11), + I4 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(10), + I5 => \^q\(10), O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9_n_0\ ); -\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2\: unisim.vcomponents.CARRY4 +\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3\: unisim.vcomponents.CARRY4 port map ( CI => '0', - CO(3) => \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_CO_UNCONNECTED\(3), - CO(2) => going_full1, - CO(1) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_n_2\, - CO(0) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_n_3\, + CO(3) => \^co\(0), + CO(2) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_1\, + CO(1) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_2\, + CO(0) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_n_3\, CYINIT => '1', DI(3 downto 0) => B"0000", - O(3 downto 0) => \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_O_UNCONNECTED\(3 downto 0), - S(3) => '0', - S(2) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7_n_0\, - S(1) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8_n_0\, - S(0) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9_n_0\ + O(3 downto 0) => \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_3_O_UNCONNECTED\(3 downto 0), + S(3) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_5_n_0\, + S(2) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6_n_0\, + S(1) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_7_n_0\, + S(0) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_8_n_0\ ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2\: unisim.vcomponents.LUT1 +\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => going_full1, + CO(2) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_1\, + CO(1) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_2\, + CO(0) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_n_3\, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_4_O_UNCONNECTED\(3 downto 0), + S(3) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_9_n_0\, + S(2) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0\, + S(1) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0\, + S(0) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[11]_i_2\: unisim.vcomponents.LUT2 generic map( - INIT => X"1" + INIT => X"9" + ) + port map ( + I0 => \^q\(11), + I1 => \grdc.rd_data_count_i_reg[12]\(11), + O => \count_value_i_reg[11]_1\(3) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[11]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => \^q\(10), + I1 => \grdc.rd_data_count_i_reg[12]\(10), + O => \count_value_i_reg[11]_1\(2) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[11]_i_4\: unisim.vcomponents.LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => \^q\(9), + I1 => \grdc.rd_data_count_i_reg[12]\(9), + O => \count_value_i_reg[11]_1\(1) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[11]_i_5\: unisim.vcomponents.LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => \^q\(8), + I1 => \grdc.rd_data_count_i_reg[12]\(8), + O => \count_value_i_reg[11]_1\(0) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"9" ) port map ( I0 => \^q\(3), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2_n_0\ + I1 => \grdc.rd_data_count_i_reg[12]\(3), + O => \count_value_i_reg[3]_0\(2) ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3\: unisim.vcomponents.LUT1 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4\: unisim.vcomponents.LUT2 generic map( - INIT => X"1" + INIT => X"9" ) port map ( I0 => \^q\(2), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3_n_0\ + I1 => \grdc.rd_data_count_i_reg[12]\(2), + O => \count_value_i_reg[3]_0\(1) ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4\: unisim.vcomponents.LUT1 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5\: unisim.vcomponents.LUT2 generic map( - INIT => X"1" + INIT => X"9" ) port map ( I0 => \^q\(1), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4_n_0\ + I1 => \grdc.rd_data_count_i_reg[12]\(1), + O => \count_value_i_reg[3]_0\(0) ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5\: unisim.vcomponents.LUT1 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2\: unisim.vcomponents.LUT2 generic map( - INIT => X"1" + INIT => X"9" + ) + port map ( + I0 => \^q\(7), + I1 => \grdc.rd_data_count_i_reg[12]\(7), + O => \count_value_i_reg[7]_0\(3) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => \^q\(6), + I1 => \grdc.rd_data_count_i_reg[12]\(6), + O => \count_value_i_reg[7]_0\(2) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4\: unisim.vcomponents.LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => \^q\(5), + I1 => \grdc.rd_data_count_i_reg[12]\(5), + O => \count_value_i_reg[7]_0\(1) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5\: unisim.vcomponents.LUT2 + generic map( + INIT => X"9" + ) + port map ( + I0 => \^q\(4), + I1 => \grdc.rd_data_count_i_reg[12]\(4), + O => \count_value_i_reg[7]_0\(0) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_5\: unisim.vcomponents.LUT4 + generic map( + INIT => X"B44B" + ) + port map ( + I0 => \^q\(10), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(10), + I2 => \^q\(11), + I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(11), + O => S(0) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4\: unisim.vcomponents.LUT4 + generic map( + INIT => X"7510" ) port map ( I0 => \^q\(0), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(3), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(3), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_7\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(2), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(2), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_7_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_8\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(1), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(1), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_8_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \^q\(7), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \^q\(6), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \^q\(5), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \^q\(4), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_6\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(7), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(7), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_6_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_7\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(6), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(6), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_7_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_8\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(5), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(5), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_8_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_9\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(4), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(4), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_9_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0\, - CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_1\, - CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_2\, - CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_3\, - CYINIT => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(0), - DI(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2_n_0\, - DI(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_3_n_0\, - DI(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_4_n_0\, - DI(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_5_n_0\, - O(3 downto 0) => \count_value_i_reg[8]_0\(3 downto 0), - S(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6_n_0\, - S(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_7_n_0\, - S(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_8_n_0\, - S(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\(0) - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0\, - CO(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0\, - CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_1\, - CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_2\, - CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_3\, - CYINIT => '0', - DI(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_2_n_0\, - DI(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_3_n_0\, - DI(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_4_n_0\, - DI(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_5_n_0\, - O(3 downto 0) => \count_value_i_reg[8]_0\(7 downto 4), - S(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_6_n_0\, - S(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_7_n_0\, - S(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_8_n_0\, - S(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[7]_i_9_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0\, - CO(3 downto 0) => \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1_CO_UNCONNECTED\(3 downto 0), - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 1) => \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]_i_1_O_UNCONNECTED\(3 downto 1), - O(0) => \count_value_i_reg[8]_0\(8), - S(3 downto 1) => B"000", - S(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]\(0) - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(3), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(3), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(2), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(2), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(1), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(1), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(3), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(3), - I2 => \^q\(2), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(2), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(2), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(2), - I2 => \^q\(1), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(1), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0\ + I1 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + I2 => ram_wr_en_pf, + I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(0), + O => DI(0) ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_7\: unisim.vcomponents.LUT6 generic map( - INIT => X"9699999966669699" + INIT => X"8AEF751075108AEF" + ) + port map ( + I0 => \^q\(0), + I1 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, + I2 => ram_wr_en_pf, + I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(0), + I4 => \^q\(1), + I5 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(1), + O => \count_value_i_reg[0]_0\(0) + ); +\gen_sdpram.xpm_memory_base_inst_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"00FB" + ) + port map ( + I0 => \count_value_i_reg[0]_1\(0), + I1 => \count_value_i_reg[0]_1\(1), + I2 => rd_en, + I3 => ram_empty_i, + O => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\ + ); +\gwdc.wr_data_count_i[12]_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"B44B" + ) + port map ( + I0 => \^q\(11), + I1 => \grdc.rd_data_count_i_reg[12]\(11), + I2 => \count_value_i_reg_n_0_[12]\, + I3 => \grdc.rd_data_count_i_reg[12]\(12), + O => \count_value_i_reg[11]_0\(0) + ); +\gwdc.wr_data_count_i[3]_i_3\: unisim.vcomponents.LUT3 + generic map( + INIT => X"D4" ) port map ( I0 => \^q\(1), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(1), - I2 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg\, - I3 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - I4 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(0), - I5 => \^q\(0), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_7_n_0\ + I1 => \grdc.rd_data_count_i_reg[3]\(0), + I2 => \grdc.rd_data_count_i_reg[12]\(1), + O => \count_value_i_reg[1]_0\(0) ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2\: unisim.vcomponents.LUT2 +\gwdc.wr_data_count_i[3]_i_6\: unisim.vcomponents.LUT5 generic map( - INIT => X"9" + INIT => X"2BD4D42B" ) port map ( - I0 => \^q\(7), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(7), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(6), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(6), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(5), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(5), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(4), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(4), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(7), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(7), - I2 => \^q\(6), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(6), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(6), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(6), - I2 => \^q\(5), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(5), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(5), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(5), - I2 => \^q\(4), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(4), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(4), - I1 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(4), - I2 => \^q\(3), - I3 => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(3), - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0\, - CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_1\, - CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_2\, - CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_3\, - CYINIT => '0', - DI(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0\, - DI(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0\, - DI(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_4_n_0\, - DI(0) => '0', - O(3 downto 0) => D(3 downto 0), - S(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0\, - S(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0\, - S(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_7_n_0\, - S(0) => S(0) - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0\, - CO(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0\, - CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_1\, - CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_2\, - CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_3\, - CYINIT => '0', - DI(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0\, - DI(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0\, - DI(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0\, - DI(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0\, - O(3 downto 0) => D(7 downto 4), - S(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0\, - S(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0\, - S(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0\, - S(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0\ - ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0\, - CO(3 downto 0) => \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1_CO_UNCONNECTED\(3 downto 0), - CYINIT => '0', - DI(3 downto 0) => B"0000", - O(3 downto 1) => \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]_i_1_O_UNCONNECTED\(3 downto 1), - O(0) => D(8), - S(3 downto 1) => B"000", - S(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\(0) - ); -\gen_sdpram.xpm_memory_base_inst_i_2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \^fsm_sequential_gen_fwft.curr_fwft_state_reg[0]\, - O => \^e\(0) + I0 => \^q\(1), + I1 => \grdc.rd_data_count_i_reg[3]\(0), + I2 => \grdc.rd_data_count_i_reg[12]\(1), + I3 => \^q\(2), + I4 => \grdc.rd_data_count_i_reg[12]\(2), + O => \count_value_i_reg[1]_1\(0) ); end STRUCTURE; library IEEE; @@ -1757,20 +1570,24 @@ use UNISIM.VCOMPONENTS.ALL; entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0\ is port ( ram_empty_i0 : out STD_LOGIC; - CO : out STD_LOGIC_VECTOR ( 0 to 0 ); - Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); - D : out STD_LOGIC_VECTOR ( 9 downto 0 ); - \count_value_i_reg[8]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - ram_empty_i : in STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 12 downto 0 ); + D : out STD_LOGIC_VECTOR ( 12 downto 0 ); + \count_value_i_reg[10]_0\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); \gen_pntr_flags_cc.ram_empty_i_reg\ : in STD_LOGIC; - \gen_pntr_flags_cc.ram_empty_i_reg_0\ : in STD_LOGIC; - \gen_pntr_flags_cc.ram_empty_i_reg_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \grdc.rd_data_count_i_reg[9]\ : in STD_LOGIC_VECTOR ( 9 downto 0 ); - DI : in STD_LOGIC_VECTOR ( 0 to 0 ); - S : in STD_LOGIC_VECTOR ( 1 downto 0 ); - \grdc.rd_data_count_i_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - \count_value_i_reg[9]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - E : in STD_LOGIC_VECTOR ( 0 to 0 ); + CO : in STD_LOGIC_VECTOR ( 0 to 0 ); + ram_wr_en_pf : in STD_LOGIC; + ram_empty_i : in STD_LOGIC; + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); + S : in STD_LOGIC_VECTOR ( 0 to 0 ); + DI : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \grdc.rd_data_count_i_reg[3]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); + \grdc.rd_data_count_i_reg[12]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); + \grdc.rd_data_count_i_reg[11]\ : in STD_LOGIC_VECTOR ( 9 downto 0 ); + \count_value_i_reg[12]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; @@ -1778,29 +1595,61 @@ entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0\ is end \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0\; architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0\ is - signal \^co\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); - signal \count_value_i[0]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[1]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[2]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[3]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[4]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[5]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[6]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[7]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[8]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[9]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[9]_i_2__0_n_0\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[9]\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_n_2\ : STD_LOGIC; - signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_n_3\ : STD_LOGIC; + signal \^q\ : STD_LOGIC_VECTOR ( 12 downto 0 ); + signal \count_value_i_reg[11]_i_1_n_0\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1_n_1\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1_n_2\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1_n_3\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1_n_4\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1_n_5\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1_n_6\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1_n_7\ : STD_LOGIC; + signal \count_value_i_reg[12]_i_1_n_7\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1_n_0\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1_n_1\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1_n_2\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1_n_3\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1_n_4\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1_n_5\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1_n_6\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1_n_7\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1_n_0\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1_n_1\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1_n_2\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1_n_3\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1_n_4\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1_n_5\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1_n_6\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1_n_7\ : STD_LOGIC; + signal \gen_pntr_flags_cc.ram_empty_i_i_3_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.ram_empty_i_i_4_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.ram_empty_i_i_5_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.ram_empty_i_i_6_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_3\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_3\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_3\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_3\ : STD_LOGIC; + signal going_empty1 : STD_LOGIC; + signal \gwdc.wr_data_count_i[11]_i_2_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i[11]_i_3_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i[11]_i_4_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i[11]_i_5_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i[11]_i_6_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i[11]_i_7_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i[11]_i_8_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i[11]_i_9_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[3]_i_2_n_0\ : STD_LOGIC; - signal \gwdc.wr_data_count_i[3]_i_3_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[3]_i_5_n_0\ : STD_LOGIC; - signal \gwdc.wr_data_count_i[3]_i_6_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_2_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_3_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_4_n_0\ : STD_LOGIC; @@ -1809,9 +1658,10 @@ architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__paramete signal \gwdc.wr_data_count_i[7]_i_7_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_8_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i[7]_i_9_n_0\ : STD_LOGIC; - signal \gwdc.wr_data_count_i[9]_i_2_n_0\ : STD_LOGIC; - signal \gwdc.wr_data_count_i[9]_i_3_n_0\ : STD_LOGIC; - signal \gwdc.wr_data_count_i[9]_i_4_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i_reg[11]_i_1_n_0\ : STD_LOGIC; + signal \gwdc.wr_data_count_i_reg[11]_i_1_n_1\ : STD_LOGIC; + signal \gwdc.wr_data_count_i_reg[11]_i_1_n_2\ : STD_LOGIC; + signal \gwdc.wr_data_count_i_reg[11]_i_1_n_3\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[3]_i_1_n_0\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[3]_i_1_n_1\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[3]_i_1_n_2\ : STD_LOGIC; @@ -1820,158 +1670,99 @@ architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__paramete signal \gwdc.wr_data_count_i_reg[7]_i_1_n_1\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_2\ : STD_LOGIC; signal \gwdc.wr_data_count_i_reg[7]_i_1_n_3\ : STD_LOGIC; - signal \gwdc.wr_data_count_i_reg[9]_i_1_n_3\ : STD_LOGIC; - signal \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - signal \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_gwdc.wr_data_count_i_reg[9]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); - signal \NLW_gwdc.wr_data_count_i_reg[9]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 2 ); - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \count_value_i[0]_i_1__1\ : label is "soft_lutpair12"; - attribute SOFT_HLUTNM of \count_value_i[1]_i_1__3\ : label is "soft_lutpair12"; - attribute SOFT_HLUTNM of \count_value_i[2]_i_1__3\ : label is "soft_lutpair10"; - attribute SOFT_HLUTNM of \count_value_i[3]_i_1__3\ : label is "soft_lutpair10"; - attribute SOFT_HLUTNM of \count_value_i[5]_i_1__3\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \count_value_i[6]_i_1__3\ : label is "soft_lutpair11"; - attribute SOFT_HLUTNM of \count_value_i[7]_i_1__3\ : label is "soft_lutpair9"; - attribute SOFT_HLUTNM of \count_value_i[8]_i_1__1\ : label is "soft_lutpair9"; + signal \NLW_count_value_i_reg[12]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_count_value_i_reg[12]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); + signal \NLW_gwdc.wr_data_count_i_reg[12]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gwdc.wr_data_count_i_reg[12]_i_1_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); attribute ADDER_THRESHOLD : integer; + attribute ADDER_THRESHOLD of \count_value_i_reg[11]_i_1\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[12]_i_1\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[3]_i_1\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[7]_i_1\ : label is 35; + attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1\ : label is 35; + attribute METHODOLOGY_DRC_VIOS : string; + attribute METHODOLOGY_DRC_VIOS of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; + attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1\ : label is 35; + attribute METHODOLOGY_DRC_VIOS of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; + attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1\ : label is 35; + attribute METHODOLOGY_DRC_VIOS of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1\ : label is "{SYNTH-8 {cell *THIS*}}"; + attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[11]_i_1\ : label is 35; + attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[12]_i_1\ : label is 35; attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[3]_i_1\ : label is 35; attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[7]_i_1\ : label is 35; - attribute ADDER_THRESHOLD of \gwdc.wr_data_count_i_reg[9]_i_1\ : label is 35; begin - CO(0) <= \^co\(0); - Q(8 downto 0) <= \^q\(8 downto 0); -\count_value_i[0]_i_1__1\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \^q\(0), - O => \count_value_i[0]_i_1__1_n_0\ - ); -\count_value_i[1]_i_1__3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \^q\(1), - I1 => \^q\(0), - O => \count_value_i[1]_i_1__3_n_0\ - ); -\count_value_i[2]_i_1__3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"DF20" - ) - port map ( - I0 => \^q\(0), - I1 => \gen_pntr_flags_cc.ram_empty_i_reg_0\, - I2 => \^q\(1), - I3 => \^q\(2), - O => \count_value_i[2]_i_1__3_n_0\ - ); -\count_value_i[3]_i_1__3\: unisim.vcomponents.LUT5 - generic map( - INIT => X"DF20FF00" - ) - port map ( - I0 => \^q\(0), - I1 => \gen_pntr_flags_cc.ram_empty_i_reg_0\, - I2 => \^q\(1), - I3 => \^q\(3), - I4 => \^q\(2), - O => \count_value_i[3]_i_1__3_n_0\ - ); -\count_value_i[4]_i_1__3\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DF20FF00FF00FF00" - ) - port map ( - I0 => \^q\(0), - I1 => \gen_pntr_flags_cc.ram_empty_i_reg_0\, - I2 => \^q\(1), - I3 => \^q\(4), - I4 => \^q\(3), - I5 => \^q\(2), - O => \count_value_i[4]_i_1__3_n_0\ - ); -\count_value_i[5]_i_1__3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(5), - I1 => \count_value_i[9]_i_2__0_n_0\, - O => \count_value_i[5]_i_1__3_n_0\ - ); -\count_value_i[6]_i_1__3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"A6" - ) - port map ( - I0 => \^q\(6), - I1 => \^q\(5), - I2 => \count_value_i[9]_i_2__0_n_0\, - O => \count_value_i[6]_i_1__3_n_0\ - ); -\count_value_i[7]_i_1__3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A6AA" - ) - port map ( - I0 => \^q\(7), - I1 => \^q\(6), - I2 => \count_value_i[9]_i_2__0_n_0\, - I3 => \^q\(5), - O => \count_value_i[7]_i_1__3_n_0\ - ); -\count_value_i[8]_i_1__1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"A6AAAAAA" - ) - port map ( - I0 => \^q\(8), - I1 => \^q\(5), - I2 => \count_value_i[9]_i_2__0_n_0\, - I3 => \^q\(6), - I4 => \^q\(7), - O => \count_value_i[8]_i_1__1_n_0\ - ); -\count_value_i[9]_i_1__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"AA6AAAAAAAAAAAAA" - ) - port map ( - I0 => \count_value_i_reg_n_0_[9]\, - I1 => \^q\(7), - I2 => \^q\(6), - I3 => \count_value_i[9]_i_2__0_n_0\, - I4 => \^q\(5), - I5 => \^q\(8), - O => \count_value_i[9]_i_1__0_n_0\ - ); -\count_value_i[9]_i_2__0\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DFFFFFFFFFFFFFFF" - ) - port map ( - I0 => \^q\(0), - I1 => \gen_pntr_flags_cc.ram_empty_i_reg_0\, - I2 => \^q\(1), - I3 => \^q\(3), - I4 => \^q\(2), - I5 => \^q\(4), - O => \count_value_i[9]_i_2__0_n_0\ - ); + Q(12 downto 0) <= \^q\(12 downto 0); \count_value_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[0]_i_1__1_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1_n_7\, Q => \^q\(0), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) + ); +\count_value_i_reg[10]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1_n_5\, + Q => \^q\(10), + R => \count_value_i_reg[12]_0\(0) + ); +\count_value_i_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1_n_4\, + Q => \^q\(11), + R => \count_value_i_reg[12]_0\(0) + ); +\count_value_i_reg[11]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[7]_i_1_n_0\, + CO(3) => \count_value_i_reg[11]_i_1_n_0\, + CO(2) => \count_value_i_reg[11]_i_1_n_1\, + CO(1) => \count_value_i_reg[11]_i_1_n_2\, + CO(0) => \count_value_i_reg[11]_i_1_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[11]_i_1_n_4\, + O(2) => \count_value_i_reg[11]_i_1_n_5\, + O(1) => \count_value_i_reg[11]_i_1_n_6\, + O(0) => \count_value_i_reg[11]_i_1_n_7\, + S(3 downto 0) => \^q\(11 downto 8) + ); +\count_value_i_reg[12]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[12]_i_1_n_7\, + Q => \^q\(12), + R => \count_value_i_reg[12]_0\(0) + ); +\count_value_i_reg[12]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[11]_i_1_n_0\, + CO(3 downto 0) => \NLW_count_value_i_reg[12]_i_1_CO_UNCONNECTED\(3 downto 0), + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3 downto 1) => \NLW_count_value_i_reg[12]_i_1_O_UNCONNECTED\(3 downto 1), + O(0) => \count_value_i_reg[12]_i_1_n_7\, + S(3 downto 1) => B"000", + S(0) => \^q\(12) ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( @@ -1979,10 +1770,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[1]_i_1__3_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1_n_6\, Q => \^q\(1), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( @@ -1990,10 +1781,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[2]_i_1__3_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1_n_5\, Q => \^q\(2), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( @@ -2001,10 +1792,27 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[3]_i_1__3_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1_n_4\, Q => \^q\(3), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) + ); +\count_value_i_reg[3]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => \count_value_i_reg[3]_i_1_n_0\, + CO(2) => \count_value_i_reg[3]_i_1_n_1\, + CO(1) => \count_value_i_reg[3]_i_1_n_2\, + CO(0) => \count_value_i_reg[3]_i_1_n_3\, + CYINIT => '0', + DI(3 downto 1) => B"000", + DI(0) => \^q\(0), + O(3) => \count_value_i_reg[3]_i_1_n_4\, + O(2) => \count_value_i_reg[3]_i_1_n_5\, + O(1) => \count_value_i_reg[3]_i_1_n_6\, + O(0) => \count_value_i_reg[3]_i_1_n_7\, + S(3 downto 1) => \^q\(3 downto 1), + S(0) => S(0) ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( @@ -2012,10 +1820,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[4]_i_1__3_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1_n_7\, Q => \^q\(4), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( @@ -2023,10 +1831,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[5]_i_1__3_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1_n_6\, Q => \^q\(5), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( @@ -2034,10 +1842,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[6]_i_1__3_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1_n_5\, Q => \^q\(6), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( @@ -2045,10 +1853,25 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[7]_i_1__3_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1_n_4\, Q => \^q\(7), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) + ); +\count_value_i_reg[7]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[3]_i_1_n_0\, + CO(3) => \count_value_i_reg[7]_i_1_n_0\, + CO(2) => \count_value_i_reg[7]_i_1_n_1\, + CO(1) => \count_value_i_reg[7]_i_1_n_2\, + CO(0) => \count_value_i_reg[7]_i_1_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[7]_i_1_n_4\, + O(2) => \count_value_i_reg[7]_i_1_n_5\, + O(1) => \count_value_i_reg[7]_i_1_n_6\, + O(0) => \count_value_i_reg[7]_i_1_n_7\, + S(3 downto 0) => \^q\(7 downto 4) ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( @@ -2056,10 +1879,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[8]_i_1__1_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1_n_7\, Q => \^q\(8), - R => \count_value_i_reg[9]_0\(0) + R => \count_value_i_reg[12]_0\(0) ); \count_value_i_reg[9]\: unisim.vcomponents.FDRE generic map( @@ -2067,237 +1890,336 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[9]_i_1__0_n_0\, - Q => \count_value_i_reg_n_0_[9]\, - R => \count_value_i_reg[9]_0\(0) - ); -\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9009000000009009" - ) - port map ( - I0 => \^q\(7), - I1 => \grdc.rd_data_count_i_reg[9]\(7), - I2 => \^q\(6), - I3 => \grdc.rd_data_count_i_reg[9]\(6), - I4 => \^q\(8), - I5 => \grdc.rd_data_count_i_reg[9]\(8), - O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0\ - ); -\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9009000000009009" - ) - port map ( - I0 => \^q\(5), - I1 => \grdc.rd_data_count_i_reg[9]\(5), - I2 => \^q\(3), - I3 => \grdc.rd_data_count_i_reg[9]\(3), - I4 => \^q\(4), - I5 => \grdc.rd_data_count_i_reg[9]\(4), - O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0\ - ); -\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9009000000009009" - ) - port map ( - I0 => \^q\(2), - I1 => \grdc.rd_data_count_i_reg[9]\(2), - I2 => \grdc.rd_data_count_i_reg[9]\(1), - I3 => \^q\(1), - I4 => \^q\(0), - I5 => \grdc.rd_data_count_i_reg[9]\(0), - O => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0\ - ); -\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_CO_UNCONNECTED\(3), - CO(2) => \^co\(0), - CO(1) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_n_2\, - CO(0) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_n_3\, - CYINIT => '1', - DI(3 downto 0) => B"0000", - O(3 downto 0) => \NLW_gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_5_O_UNCONNECTED\(3 downto 0), - S(3) => '0', - S(2) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_10_n_0\, - S(1) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_11_n_0\, - S(0) => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_12_n_0\ + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1_n_6\, + Q => \^q\(9), + R => \count_value_i_reg[12]_0\(0) ); \gen_pntr_flags_cc.ram_empty_i_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"CF44CC44" + INIT => X"0FFF0088" ) port map ( - I0 => \^co\(0), - I1 => ram_empty_i, - I2 => \gen_pntr_flags_cc.ram_empty_i_reg\, - I3 => \gen_pntr_flags_cc.ram_empty_i_reg_0\, - I4 => \gen_pntr_flags_cc.ram_empty_i_reg_1\(0), + I0 => \gen_pntr_flags_cc.ram_empty_i_reg\, + I1 => going_empty1, + I2 => CO(0), + I3 => ram_wr_en_pf, + I4 => ram_empty_i, O => ram_empty_i0 ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[8]_i_2\: unisim.vcomponents.LUT2 +\gen_pntr_flags_cc.ram_empty_i_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"9" + INIT => X"9009000000009009" ) port map ( - I0 => \^q\(8), - I1 => \grdc.rd_data_count_i_reg[9]\(8), - O => \count_value_i_reg[8]_0\(0) + I0 => \^q\(9), + I1 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(9), + I2 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(11), + I3 => \^q\(11), + I4 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(10), + I5 => \^q\(10), + O => \gen_pntr_flags_cc.ram_empty_i_i_3_n_0\ ); -\gwdc.wr_data_count_i[3]_i_2\: unisim.vcomponents.LUT2 +\gen_pntr_flags_cc.ram_empty_i_i_4\: unisim.vcomponents.LUT6 generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(3), - I1 => \grdc.rd_data_count_i_reg[9]\(3), - O => \gwdc.wr_data_count_i[3]_i_2_n_0\ - ); -\gwdc.wr_data_count_i[3]_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(2), - I1 => \grdc.rd_data_count_i_reg[9]\(2), - O => \gwdc.wr_data_count_i[3]_i_3_n_0\ - ); -\gwdc.wr_data_count_i[3]_i_5\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(3), - I1 => \grdc.rd_data_count_i_reg[9]\(3), - I2 => \grdc.rd_data_count_i_reg[9]\(2), - I3 => \^q\(2), - O => \gwdc.wr_data_count_i[3]_i_5_n_0\ - ); -\gwdc.wr_data_count_i[3]_i_6\: unisim.vcomponents.LUT5 - generic map( - INIT => X"69669969" - ) - port map ( - I0 => \^q\(2), - I1 => \grdc.rd_data_count_i_reg[9]\(2), - I2 => \^q\(1), - I3 => \grdc.rd_data_count_i_reg[9]\(1), - I4 => \grdc.rd_data_count_i_reg[3]\(0), - O => \gwdc.wr_data_count_i[3]_i_6_n_0\ - ); -\gwdc.wr_data_count_i[7]_i_2\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(7), - I1 => \grdc.rd_data_count_i_reg[9]\(7), - O => \gwdc.wr_data_count_i[7]_i_2_n_0\ - ); -\gwdc.wr_data_count_i[7]_i_3\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" + INIT => X"9009000000009009" ) port map ( I0 => \^q\(6), - I1 => \grdc.rd_data_count_i_reg[9]\(6), - O => \gwdc.wr_data_count_i[7]_i_3_n_0\ + I1 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(6), + I2 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(8), + I3 => \^q\(8), + I4 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(7), + I5 => \^q\(7), + O => \gen_pntr_flags_cc.ram_empty_i_i_4_n_0\ ); -\gwdc.wr_data_count_i[7]_i_4\: unisim.vcomponents.LUT2 +\gen_pntr_flags_cc.ram_empty_i_i_5\: unisim.vcomponents.LUT6 generic map( - INIT => X"9" + INIT => X"9009000000009009" ) port map ( - I0 => \^q\(5), - I1 => \grdc.rd_data_count_i_reg[9]\(5), - O => \gwdc.wr_data_count_i[7]_i_4_n_0\ - ); -\gwdc.wr_data_count_i[7]_i_5\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \^q\(4), - I1 => \grdc.rd_data_count_i_reg[9]\(4), - O => \gwdc.wr_data_count_i[7]_i_5_n_0\ - ); -\gwdc.wr_data_count_i[7]_i_6\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(7), - I1 => \grdc.rd_data_count_i_reg[9]\(7), - I2 => \grdc.rd_data_count_i_reg[9]\(6), - I3 => \^q\(6), - O => \gwdc.wr_data_count_i[7]_i_6_n_0\ - ); -\gwdc.wr_data_count_i[7]_i_7\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9699" - ) - port map ( - I0 => \^q\(6), - I1 => \grdc.rd_data_count_i_reg[9]\(6), - I2 => \grdc.rd_data_count_i_reg[9]\(5), + I0 => \^q\(3), + I1 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(3), + I2 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(5), I3 => \^q\(5), - O => \gwdc.wr_data_count_i[7]_i_7_n_0\ + I4 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(4), + I5 => \^q\(4), + O => \gen_pntr_flags_cc.ram_empty_i_i_5_n_0\ ); -\gwdc.wr_data_count_i[7]_i_8\: unisim.vcomponents.LUT4 +\gen_pntr_flags_cc.ram_empty_i_i_6\: unisim.vcomponents.LUT6 generic map( - INIT => X"9699" + INIT => X"9009000000009009" ) port map ( - I0 => \^q\(5), - I1 => \grdc.rd_data_count_i_reg[9]\(5), - I2 => \grdc.rd_data_count_i_reg[9]\(4), - I3 => \^q\(4), - O => \gwdc.wr_data_count_i[7]_i_8_n_0\ + I0 => \^q\(0), + I1 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(0), + I2 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(2), + I3 => \^q\(2), + I4 => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(1), + I5 => \^q\(1), + O => \gen_pntr_flags_cc.ram_empty_i_i_6_n_0\ ); -\gwdc.wr_data_count_i[7]_i_9\: unisim.vcomponents.LUT4 +\gen_pntr_flags_cc.ram_empty_i_reg_i_2\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => going_empty1, + CO(2) => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_1\, + CO(1) => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_2\, + CO(0) => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_3\, + CYINIT => '1', + DI(3 downto 0) => B"0000", + O(3 downto 0) => \NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_O_UNCONNECTED\(3 downto 0), + S(3) => \gen_pntr_flags_cc.ram_empty_i_i_3_n_0\, + S(2) => \gen_pntr_flags_cc.ram_empty_i_i_4_n_0\, + S(1) => \gen_pntr_flags_cc.ram_empty_i_i_5_n_0\, + S(0) => \gen_pntr_flags_cc.ram_empty_i_i_6_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0\, + CO(3) => \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_CO_UNCONNECTED\(3), + CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_1\, + CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_2\, + CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]_i_1_n_3\, + CYINIT => '0', + DI(3) => '0', + DI(2 downto 0) => \^q\(10 downto 8), + O(3 downto 0) => \count_value_i_reg[10]_0\(11 downto 8), + S(3 downto 0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]\(3 downto 0) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0\, + CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_1\, + CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_2\, + CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_3\, + CYINIT => \^q\(0), + DI(3 downto 1) => \^q\(3 downto 1), + DI(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\(0), + O(3 downto 0) => \count_value_i_reg[10]_0\(3 downto 0), + S(3 downto 0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0\(3 downto 0) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_i_1_n_0\, + CO(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_0\, + CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_1\, + CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_2\, + CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]_i_1_n_3\, + CYINIT => '0', + DI(3 downto 0) => \^q\(7 downto 4), + O(3 downto 0) => \count_value_i_reg[10]_0\(7 downto 4), + S(3 downto 0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(3 downto 0) + ); +\gwdc.wr_data_count_i[11]_i_2\: unisim.vcomponents.LUT2 generic map( - INIT => X"9699" + INIT => X"2" ) port map ( - I0 => \^q\(4), - I1 => \grdc.rd_data_count_i_reg[9]\(4), - I2 => \grdc.rd_data_count_i_reg[9]\(3), - I3 => \^q\(3), - O => \gwdc.wr_data_count_i[7]_i_9_n_0\ + I0 => \^q\(10), + I1 => \grdc.rd_data_count_i_reg[11]\(8), + O => \gwdc.wr_data_count_i[11]_i_2_n_0\ ); -\gwdc.wr_data_count_i[9]_i_2\: unisim.vcomponents.LUT2 +\gwdc.wr_data_count_i[11]_i_3\: unisim.vcomponents.LUT2 generic map( - INIT => X"9" + INIT => X"2" + ) + port map ( + I0 => \^q\(9), + I1 => \grdc.rd_data_count_i_reg[11]\(7), + O => \gwdc.wr_data_count_i[11]_i_3_n_0\ + ); +\gwdc.wr_data_count_i[11]_i_4\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" ) port map ( I0 => \^q\(8), - I1 => \grdc.rd_data_count_i_reg[9]\(8), - O => \gwdc.wr_data_count_i[9]_i_2_n_0\ + I1 => \grdc.rd_data_count_i_reg[11]\(6), + O => \gwdc.wr_data_count_i[11]_i_4_n_0\ ); -\gwdc.wr_data_count_i[9]_i_3\: unisim.vcomponents.LUT4 +\gwdc.wr_data_count_i[11]_i_5\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(7), + I1 => \grdc.rd_data_count_i_reg[11]\(5), + O => \gwdc.wr_data_count_i[11]_i_5_n_0\ + ); +\gwdc.wr_data_count_i[11]_i_6\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(10), + I1 => \grdc.rd_data_count_i_reg[11]\(8), + I2 => \grdc.rd_data_count_i_reg[11]\(9), + I3 => \^q\(11), + O => \gwdc.wr_data_count_i[11]_i_6_n_0\ + ); +\gwdc.wr_data_count_i[11]_i_7\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(9), + I1 => \grdc.rd_data_count_i_reg[11]\(7), + I2 => \grdc.rd_data_count_i_reg[11]\(8), + I3 => \^q\(10), + O => \gwdc.wr_data_count_i[11]_i_7_n_0\ + ); +\gwdc.wr_data_count_i[11]_i_8\: unisim.vcomponents.LUT4 generic map( INIT => X"D22D" ) port map ( I0 => \^q\(8), - I1 => \grdc.rd_data_count_i_reg[9]\(8), - I2 => \count_value_i_reg_n_0_[9]\, - I3 => \grdc.rd_data_count_i_reg[9]\(9), - O => \gwdc.wr_data_count_i[9]_i_3_n_0\ + I1 => \grdc.rd_data_count_i_reg[11]\(6), + I2 => \grdc.rd_data_count_i_reg[11]\(7), + I3 => \^q\(9), + O => \gwdc.wr_data_count_i[11]_i_8_n_0\ ); -\gwdc.wr_data_count_i[9]_i_4\: unisim.vcomponents.LUT4 +\gwdc.wr_data_count_i[11]_i_9\: unisim.vcomponents.LUT4 generic map( - INIT => X"9699" + INIT => X"D22D" ) port map ( - I0 => \^q\(8), - I1 => \grdc.rd_data_count_i_reg[9]\(8), - I2 => \grdc.rd_data_count_i_reg[9]\(7), + I0 => \^q\(7), + I1 => \grdc.rd_data_count_i_reg[11]\(5), + I2 => \grdc.rd_data_count_i_reg[11]\(6), + I3 => \^q\(8), + O => \gwdc.wr_data_count_i[11]_i_9_n_0\ + ); +\gwdc.wr_data_count_i[3]_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(2), + I1 => \grdc.rd_data_count_i_reg[11]\(0), + O => \gwdc.wr_data_count_i[3]_i_2_n_0\ + ); +\gwdc.wr_data_count_i[3]_i_5\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(2), + I1 => \grdc.rd_data_count_i_reg[11]\(0), + I2 => \grdc.rd_data_count_i_reg[11]\(1), + I3 => \^q\(3), + O => \gwdc.wr_data_count_i[3]_i_5_n_0\ + ); +\gwdc.wr_data_count_i[7]_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(6), + I1 => \grdc.rd_data_count_i_reg[11]\(4), + O => \gwdc.wr_data_count_i[7]_i_2_n_0\ + ); +\gwdc.wr_data_count_i[7]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(5), + I1 => \grdc.rd_data_count_i_reg[11]\(3), + O => \gwdc.wr_data_count_i[7]_i_3_n_0\ + ); +\gwdc.wr_data_count_i[7]_i_4\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(4), + I1 => \grdc.rd_data_count_i_reg[11]\(2), + O => \gwdc.wr_data_count_i[7]_i_4_n_0\ + ); +\gwdc.wr_data_count_i[7]_i_5\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(3), + I1 => \grdc.rd_data_count_i_reg[11]\(1), + O => \gwdc.wr_data_count_i[7]_i_5_n_0\ + ); +\gwdc.wr_data_count_i[7]_i_6\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(6), + I1 => \grdc.rd_data_count_i_reg[11]\(4), + I2 => \grdc.rd_data_count_i_reg[11]\(5), I3 => \^q\(7), - O => \gwdc.wr_data_count_i[9]_i_4_n_0\ + O => \gwdc.wr_data_count_i[7]_i_6_n_0\ + ); +\gwdc.wr_data_count_i[7]_i_7\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(5), + I1 => \grdc.rd_data_count_i_reg[11]\(3), + I2 => \grdc.rd_data_count_i_reg[11]\(4), + I3 => \^q\(6), + O => \gwdc.wr_data_count_i[7]_i_7_n_0\ + ); +\gwdc.wr_data_count_i[7]_i_8\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(4), + I1 => \grdc.rd_data_count_i_reg[11]\(2), + I2 => \grdc.rd_data_count_i_reg[11]\(3), + I3 => \^q\(5), + O => \gwdc.wr_data_count_i[7]_i_8_n_0\ + ); +\gwdc.wr_data_count_i[7]_i_9\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(3), + I1 => \grdc.rd_data_count_i_reg[11]\(1), + I2 => \grdc.rd_data_count_i_reg[11]\(2), + I3 => \^q\(4), + O => \gwdc.wr_data_count_i[7]_i_9_n_0\ + ); +\gwdc.wr_data_count_i_reg[11]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \gwdc.wr_data_count_i_reg[7]_i_1_n_0\, + CO(3) => \gwdc.wr_data_count_i_reg[11]_i_1_n_0\, + CO(2) => \gwdc.wr_data_count_i_reg[11]_i_1_n_1\, + CO(1) => \gwdc.wr_data_count_i_reg[11]_i_1_n_2\, + CO(0) => \gwdc.wr_data_count_i_reg[11]_i_1_n_3\, + CYINIT => '0', + DI(3) => \gwdc.wr_data_count_i[11]_i_2_n_0\, + DI(2) => \gwdc.wr_data_count_i[11]_i_3_n_0\, + DI(1) => \gwdc.wr_data_count_i[11]_i_4_n_0\, + DI(0) => \gwdc.wr_data_count_i[11]_i_5_n_0\, + O(3 downto 0) => D(11 downto 8), + S(3) => \gwdc.wr_data_count_i[11]_i_6_n_0\, + S(2) => \gwdc.wr_data_count_i[11]_i_7_n_0\, + S(1) => \gwdc.wr_data_count_i[11]_i_8_n_0\, + S(0) => \gwdc.wr_data_count_i[11]_i_9_n_0\ + ); +\gwdc.wr_data_count_i_reg[12]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \gwdc.wr_data_count_i_reg[11]_i_1_n_0\, + CO(3 downto 0) => \NLW_gwdc.wr_data_count_i_reg[12]_i_1_CO_UNCONNECTED\(3 downto 0), + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3 downto 1) => \NLW_gwdc.wr_data_count_i_reg[12]_i_1_O_UNCONNECTED\(3 downto 1), + O(0) => D(12), + S(3 downto 1) => B"000", + S(0) => \grdc.rd_data_count_i_reg[12]\(0) ); \gwdc.wr_data_count_i_reg[3]_i_1\: unisim.vcomponents.CARRY4 port map ( @@ -2308,13 +2230,11 @@ begin CO(0) => \gwdc.wr_data_count_i_reg[3]_i_1_n_3\, CYINIT => '0', DI(3) => \gwdc.wr_data_count_i[3]_i_2_n_0\, - DI(2) => \gwdc.wr_data_count_i[3]_i_3_n_0\, - DI(1) => DI(0), + DI(2 downto 1) => DI(1 downto 0), DI(0) => \^q\(0), O(3 downto 0) => D(3 downto 0), S(3) => \gwdc.wr_data_count_i[3]_i_5_n_0\, - S(2) => \gwdc.wr_data_count_i[3]_i_6_n_0\, - S(1 downto 0) => S(1 downto 0) + S(2 downto 0) => \grdc.rd_data_count_i_reg[3]\(2 downto 0) ); \gwdc.wr_data_count_i_reg[7]_i_1\: unisim.vcomponents.CARRY4 port map ( @@ -2334,20 +2254,6 @@ begin S(1) => \gwdc.wr_data_count_i[7]_i_8_n_0\, S(0) => \gwdc.wr_data_count_i[7]_i_9_n_0\ ); -\gwdc.wr_data_count_i_reg[9]_i_1\: unisim.vcomponents.CARRY4 - port map ( - CI => \gwdc.wr_data_count_i_reg[7]_i_1_n_0\, - CO(3 downto 1) => \NLW_gwdc.wr_data_count_i_reg[9]_i_1_CO_UNCONNECTED\(3 downto 1), - CO(0) => \gwdc.wr_data_count_i_reg[9]_i_1_n_3\, - CYINIT => '0', - DI(3 downto 1) => B"000", - DI(0) => \gwdc.wr_data_count_i[9]_i_2_n_0\, - O(3 downto 2) => \NLW_gwdc.wr_data_count_i_reg[9]_i_1_O_UNCONNECTED\(3 downto 2), - O(1 downto 0) => D(9 downto 8), - S(3 downto 2) => B"00", - S(1) => \gwdc.wr_data_count_i[9]_i_3_n_0\, - S(0) => \gwdc.wr_data_count_i[9]_i_4_n_0\ - ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; @@ -2355,11 +2261,9 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3\ is port ( - CO : out STD_LOGIC_VECTOR ( 0 to 0 ); - Q : in STD_LOGIC_VECTOR ( 8 downto 0 ); - \count_value_i_reg[4]_0\ : in STD_LOGIC; - \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - E : in STD_LOGIC_VECTOR ( 0 to 0 ); + Q : out STD_LOGIC_VECTOR ( 11 downto 0 ); + \count_value_i_reg[0]_0\ : in STD_LOGIC; + \count_value_i_reg[0]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; @@ -2367,149 +2271,46 @@ entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3\ is end \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3\; architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3\ is - signal \count_value_i[0]_i_1__4_n_0\ : STD_LOGIC; - signal \count_value_i[1]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[2]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[3]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[4]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[5]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[6]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[7]_i_1_n_0\ : STD_LOGIC; - signal \count_value_i[8]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[8]_i_2_n_0\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[0]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[1]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[2]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[3]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[4]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[5]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[6]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[7]\ : STD_LOGIC; - signal \count_value_i_reg_n_0_[8]\ : STD_LOGIC; - signal \gen_pntr_flags_cc.ram_empty_i_i_3_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.ram_empty_i_i_4_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.ram_empty_i_i_5_n_0\ : STD_LOGIC; - signal \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_2\ : STD_LOGIC; - signal \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_3\ : STD_LOGIC; - signal \NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); - signal \NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \count_value_i[0]_i_1__4\ : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \count_value_i[1]_i_1__2\ : label is "soft_lutpair8"; - attribute SOFT_HLUTNM of \count_value_i[2]_i_1\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \count_value_i[3]_i_1\ : label is "soft_lutpair6"; - attribute SOFT_HLUTNM of \count_value_i[5]_i_1\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \count_value_i[6]_i_1\ : label is "soft_lutpair7"; - attribute SOFT_HLUTNM of \count_value_i[7]_i_1\ : label is "soft_lutpair5"; - attribute SOFT_HLUTNM of \count_value_i[8]_i_1__0\ : label is "soft_lutpair5"; + signal \^q\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \count_value_i[3]_i_2__1_n_0\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__1_n_1\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__1_n_2\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__1_n_3\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__1_n_4\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__1_n_5\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__1_n_6\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__1_n_7\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__1_n_0\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__1_n_1\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__1_n_2\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__1_n_3\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__1_n_4\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__1_n_5\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__1_n_6\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__1_n_7\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__1_n_0\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__1_n_1\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__1_n_2\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__1_n_3\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__1_n_4\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__1_n_5\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__1_n_6\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__1_n_7\ : STD_LOGIC; + signal \NLW_count_value_i_reg[11]_i_1__1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); + attribute ADDER_THRESHOLD : integer; + attribute ADDER_THRESHOLD of \count_value_i_reg[11]_i_1__1\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[3]_i_1__1\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[7]_i_1__1\ : label is 35; begin -\count_value_i[0]_i_1__4\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - O => \count_value_i[0]_i_1__4_n_0\ - ); -\count_value_i[1]_i_1__2\: unisim.vcomponents.LUT2 + Q(11 downto 0) <= \^q\(11 downto 0); +\count_value_i[3]_i_2__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( - I0 => \count_value_i_reg_n_0_[1]\, - I1 => \count_value_i_reg_n_0_[0]\, - O => \count_value_i[1]_i_1__2_n_0\ - ); -\count_value_i[2]_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"DF20" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => \count_value_i_reg[4]_0\, - I2 => \count_value_i_reg_n_0_[1]\, - I3 => \count_value_i_reg_n_0_[2]\, - O => \count_value_i[2]_i_1_n_0\ - ); -\count_value_i[3]_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"DF20FF00" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => \count_value_i_reg[4]_0\, - I2 => \count_value_i_reg_n_0_[1]\, - I3 => \count_value_i_reg_n_0_[3]\, - I4 => \count_value_i_reg_n_0_[2]\, - O => \count_value_i[3]_i_1_n_0\ - ); -\count_value_i[4]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DFFFFFFF20000000" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => \count_value_i_reg[4]_0\, - I2 => \count_value_i_reg_n_0_[1]\, - I3 => \count_value_i_reg_n_0_[2]\, - I4 => \count_value_i_reg_n_0_[3]\, - I5 => \count_value_i_reg_n_0_[4]\, - O => \count_value_i[4]_i_1_n_0\ - ); -\count_value_i[5]_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \count_value_i_reg_n_0_[5]\, - I1 => \count_value_i[8]_i_2_n_0\, - O => \count_value_i[5]_i_1_n_0\ - ); -\count_value_i[6]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"6A" - ) - port map ( - I0 => \count_value_i_reg_n_0_[6]\, - I1 => \count_value_i[8]_i_2_n_0\, - I2 => \count_value_i_reg_n_0_[5]\, - O => \count_value_i[6]_i_1_n_0\ - ); -\count_value_i[7]_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"6AAA" - ) - port map ( - I0 => \count_value_i_reg_n_0_[7]\, - I1 => \count_value_i_reg_n_0_[5]\, - I2 => \count_value_i[8]_i_2_n_0\, - I3 => \count_value_i_reg_n_0_[6]\, - O => \count_value_i[7]_i_1_n_0\ - ); -\count_value_i[8]_i_1__0\: unisim.vcomponents.LUT5 - generic map( - INIT => X"6AAAAAAA" - ) - port map ( - I0 => \count_value_i_reg_n_0_[8]\, - I1 => \count_value_i_reg_n_0_[6]\, - I2 => \count_value_i[8]_i_2_n_0\, - I3 => \count_value_i_reg_n_0_[5]\, - I4 => \count_value_i_reg_n_0_[7]\, - O => \count_value_i[8]_i_1__0_n_0\ - ); -\count_value_i[8]_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"2000000000000000" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => \count_value_i_reg[4]_0\, - I2 => \count_value_i_reg_n_0_[1]\, - I3 => \count_value_i_reg_n_0_[4]\, - I4 => \count_value_i_reg_n_0_[3]\, - I5 => \count_value_i_reg_n_0_[2]\, - O => \count_value_i[8]_i_2_n_0\ + I0 => \count_value_i_reg[0]_0\, + I1 => \^q\(0), + O => \count_value_i[3]_i_2__1_n_0\ ); \count_value_i_reg[0]\: unisim.vcomponents.FDSE generic map( @@ -2517,10 +2318,47 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[0]_i_1__4_n_0\, - Q => \count_value_i_reg_n_0_[0]\, - S => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[3]_i_1__1_n_7\, + Q => \^q\(0), + S => \count_value_i_reg[0]_1\(0) + ); +\count_value_i_reg[10]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[11]_i_1__1_n_5\, + Q => \^q\(10), + R => \count_value_i_reg[0]_1\(0) + ); +\count_value_i_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[11]_i_1__1_n_4\, + Q => \^q\(11), + R => \count_value_i_reg[0]_1\(0) + ); +\count_value_i_reg[11]_i_1__1\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[7]_i_1__1_n_0\, + CO(3) => \NLW_count_value_i_reg[11]_i_1__1_CO_UNCONNECTED\(3), + CO(2) => \count_value_i_reg[11]_i_1__1_n_1\, + CO(1) => \count_value_i_reg[11]_i_1__1_n_2\, + CO(0) => \count_value_i_reg[11]_i_1__1_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[11]_i_1__1_n_4\, + O(2) => \count_value_i_reg[11]_i_1__1_n_5\, + O(1) => \count_value_i_reg[11]_i_1__1_n_6\, + O(0) => \count_value_i_reg[11]_i_1__1_n_7\, + S(3 downto 0) => \^q\(11 downto 8) ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( @@ -2528,10 +2366,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[1]_i_1__2_n_0\, - Q => \count_value_i_reg_n_0_[1]\, - R => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[3]_i_1__1_n_6\, + Q => \^q\(1), + R => \count_value_i_reg[0]_1\(0) ); \count_value_i_reg[2]\: unisim.vcomponents.FDRE generic map( @@ -2539,10 +2377,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[2]_i_1_n_0\, - Q => \count_value_i_reg_n_0_[2]\, - R => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[3]_i_1__1_n_5\, + Q => \^q\(2), + R => \count_value_i_reg[0]_1\(0) ); \count_value_i_reg[3]\: unisim.vcomponents.FDRE generic map( @@ -2550,10 +2388,27 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[3]_i_1_n_0\, - Q => \count_value_i_reg_n_0_[3]\, - R => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[3]_i_1__1_n_4\, + Q => \^q\(3), + R => \count_value_i_reg[0]_1\(0) + ); +\count_value_i_reg[3]_i_1__1\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => \count_value_i_reg[3]_i_1__1_n_0\, + CO(2) => \count_value_i_reg[3]_i_1__1_n_1\, + CO(1) => \count_value_i_reg[3]_i_1__1_n_2\, + CO(0) => \count_value_i_reg[3]_i_1__1_n_3\, + CYINIT => '0', + DI(3 downto 1) => B"000", + DI(0) => \^q\(0), + O(3) => \count_value_i_reg[3]_i_1__1_n_4\, + O(2) => \count_value_i_reg[3]_i_1__1_n_5\, + O(1) => \count_value_i_reg[3]_i_1__1_n_6\, + O(0) => \count_value_i_reg[3]_i_1__1_n_7\, + S(3 downto 1) => \^q\(3 downto 1), + S(0) => \count_value_i[3]_i_2__1_n_0\ ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( @@ -2561,10 +2416,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[4]_i_1_n_0\, - Q => \count_value_i_reg_n_0_[4]\, - R => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[7]_i_1__1_n_7\, + Q => \^q\(4), + R => \count_value_i_reg[0]_1\(0) ); \count_value_i_reg[5]\: unisim.vcomponents.FDRE generic map( @@ -2572,10 +2427,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[5]_i_1_n_0\, - Q => \count_value_i_reg_n_0_[5]\, - R => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[7]_i_1__1_n_6\, + Q => \^q\(5), + R => \count_value_i_reg[0]_1\(0) ); \count_value_i_reg[6]\: unisim.vcomponents.FDRE generic map( @@ -2583,10 +2438,10 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[6]_i_1_n_0\, - Q => \count_value_i_reg_n_0_[6]\, - R => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[7]_i_1__1_n_5\, + Q => \^q\(6), + R => \count_value_i_reg[0]_1\(0) ); \count_value_i_reg[7]\: unisim.vcomponents.FDRE generic map( @@ -2594,10 +2449,25 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[7]_i_1_n_0\, - Q => \count_value_i_reg_n_0_[7]\, - R => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[7]_i_1__1_n_4\, + Q => \^q\(7), + R => \count_value_i_reg[0]_1\(0) + ); +\count_value_i_reg[7]_i_1__1\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[3]_i_1__1_n_0\, + CO(3) => \count_value_i_reg[7]_i_1__1_n_0\, + CO(2) => \count_value_i_reg[7]_i_1__1_n_1\, + CO(1) => \count_value_i_reg[7]_i_1__1_n_2\, + CO(0) => \count_value_i_reg[7]_i_1__1_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[7]_i_1__1_n_4\, + O(2) => \count_value_i_reg[7]_i_1__1_n_5\, + O(1) => \count_value_i_reg[7]_i_1__1_n_6\, + O(0) => \count_value_i_reg[7]_i_1__1_n_7\, + S(3 downto 0) => \^q\(7 downto 4) ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( @@ -2605,64 +2475,21 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[8]_i_1__0_n_0\, - Q => \count_value_i_reg_n_0_[8]\, - R => \count_value_i_reg[0]_0\(0) + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[11]_i_1__1_n_7\, + Q => \^q\(8), + R => \count_value_i_reg[0]_1\(0) ); -\gen_pntr_flags_cc.ram_empty_i_i_3\: unisim.vcomponents.LUT6 +\count_value_i_reg[9]\: unisim.vcomponents.FDRE generic map( - INIT => X"9009000000009009" + INIT => '0' ) port map ( - I0 => \count_value_i_reg_n_0_[7]\, - I1 => Q(7), - I2 => Q(8), - I3 => \count_value_i_reg_n_0_[8]\, - I4 => Q(6), - I5 => \count_value_i_reg_n_0_[6]\, - O => \gen_pntr_flags_cc.ram_empty_i_i_3_n_0\ - ); -\gen_pntr_flags_cc.ram_empty_i_i_4\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9009000000009009" - ) - port map ( - I0 => \count_value_i_reg_n_0_[3]\, - I1 => Q(3), - I2 => Q(5), - I3 => \count_value_i_reg_n_0_[5]\, - I4 => Q(4), - I5 => \count_value_i_reg_n_0_[4]\, - O => \gen_pntr_flags_cc.ram_empty_i_i_4_n_0\ - ); -\gen_pntr_flags_cc.ram_empty_i_i_5\: unisim.vcomponents.LUT6 - generic map( - INIT => X"9009000000009009" - ) - port map ( - I0 => \count_value_i_reg_n_0_[0]\, - I1 => Q(0), - I2 => Q(2), - I3 => \count_value_i_reg_n_0_[2]\, - I4 => Q(1), - I5 => \count_value_i_reg_n_0_[1]\, - O => \gen_pntr_flags_cc.ram_empty_i_i_5_n_0\ - ); -\gen_pntr_flags_cc.ram_empty_i_reg_i_2\: unisim.vcomponents.CARRY4 - port map ( - CI => '0', - CO(3) => \NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_CO_UNCONNECTED\(3), - CO(2) => CO(0), - CO(1) => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_2\, - CO(0) => \gen_pntr_flags_cc.ram_empty_i_reg_i_2_n_3\, - CYINIT => '1', - DI(3 downto 0) => B"0000", - O(3 downto 0) => \NLW_gen_pntr_flags_cc.ram_empty_i_reg_i_2_O_UNCONNECTED\(3 downto 0), - S(3) => '0', - S(2) => \gen_pntr_flags_cc.ram_empty_i_i_3_n_0\, - S(1) => \gen_pntr_flags_cc.ram_empty_i_i_4_n_0\, - S(0) => \gen_pntr_flags_cc.ram_empty_i_i_5_n_0\ + C => wr_clk, + CE => \count_value_i_reg[0]_0\, + D => \count_value_i_reg[11]_i_1__1_n_6\, + Q => \^q\(9), + R => \count_value_i_reg[0]_1\(0) ); end STRUCTURE; library IEEE; @@ -2671,14 +2498,16 @@ library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3_1\ is port ( - Q : out STD_LOGIC_VECTOR ( 8 downto 0 ); - \count_value_i_reg[8]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - S : out STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]\ : in STD_LOGIC; - \count_value_i_reg[4]_0\ : in STD_LOGIC; + Q : out STD_LOGIC_VECTOR ( 11 downto 0 ); + D : out STD_LOGIC_VECTOR ( 11 downto 0 ); + S : in STD_LOGIC_VECTOR ( 0 to 0 ); + DI : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\ : in STD_LOGIC_VECTOR ( 10 downto 0 ); + ram_wr_en_pf : in STD_LOGIC; + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_0\ : in STD_LOGIC; \count_value_i_reg[0]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); - E : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; @@ -2686,155 +2515,127 @@ entity \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3_1\ is end \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3_1\; architecture STRUCTURE of \design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3_1\ is - signal \^q\ : STD_LOGIC_VECTOR ( 8 downto 0 ); - signal \count_value_i[0]_i_1__2_n_0\ : STD_LOGIC; - signal \count_value_i[1]_i_1__0_n_0\ : STD_LOGIC; - signal \count_value_i[2]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[3]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[4]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[5]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[6]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[7]_i_1__1_n_0\ : STD_LOGIC; - signal \count_value_i[8]_i_1__3_n_0\ : STD_LOGIC; - signal \count_value_i[8]_i_2__1_n_0\ : STD_LOGIC; - attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \count_value_i[0]_i_1__2\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \count_value_i[1]_i_1__0\ : label is "soft_lutpair16"; - attribute SOFT_HLUTNM of \count_value_i[2]_i_1__1\ : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of \count_value_i[3]_i_1__1\ : label is "soft_lutpair14"; - attribute SOFT_HLUTNM of \count_value_i[5]_i_1__1\ : label is "soft_lutpair15"; - attribute SOFT_HLUTNM of \count_value_i[6]_i_1__1\ : label is "soft_lutpair15"; - attribute SOFT_HLUTNM of \count_value_i[7]_i_1__1\ : label is "soft_lutpair13"; - attribute SOFT_HLUTNM of \count_value_i[8]_i_1__3\ : label is "soft_lutpair13"; + signal \^q\ : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal \count_value_i_reg[11]_i_1__2_n_1\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__2_n_2\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__2_n_3\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__2_n_4\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__2_n_5\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__2_n_6\ : STD_LOGIC; + signal \count_value_i_reg[11]_i_1__2_n_7\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__2_n_0\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__2_n_1\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__2_n_2\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__2_n_3\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__2_n_4\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__2_n_5\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__2_n_6\ : STD_LOGIC; + signal \count_value_i_reg[3]_i_1__2_n_7\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__2_n_0\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__2_n_1\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__2_n_2\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__2_n_3\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__2_n_4\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__2_n_5\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__2_n_6\ : STD_LOGIC; + signal \count_value_i_reg[7]_i_1__2_n_7\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_2_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_3_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_4_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_6_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_7_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_8_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_3\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_3\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_1\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_2\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_3\ : STD_LOGIC; + signal \NLW_count_value_i_reg[11]_i_1__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); + signal \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 to 3 ); + attribute ADDER_THRESHOLD : integer; + attribute ADDER_THRESHOLD of \count_value_i_reg[11]_i_1__2\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[3]_i_1__2\ : label is 35; + attribute ADDER_THRESHOLD of \count_value_i_reg[7]_i_1__2\ : label is 35; + attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1\ : label is 35; + attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1\ : label is 35; + attribute ADDER_THRESHOLD of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1\ : label is 35; begin - Q(8 downto 0) <= \^q\(8 downto 0); -\count_value_i[0]_i_1__2\: unisim.vcomponents.LUT1 - generic map( - INIT => X"1" - ) - port map ( - I0 => \^q\(0), - O => \count_value_i[0]_i_1__2_n_0\ - ); -\count_value_i[1]_i_1__0\: unisim.vcomponents.LUT2 - generic map( - INIT => X"6" - ) - port map ( - I0 => \^q\(1), - I1 => \^q\(0), - O => \count_value_i[1]_i_1__0_n_0\ - ); -\count_value_i[2]_i_1__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"DF20" - ) - port map ( - I0 => \^q\(0), - I1 => \count_value_i_reg[4]_0\, - I2 => \^q\(1), - I3 => \^q\(2), - O => \count_value_i[2]_i_1__1_n_0\ - ); -\count_value_i[3]_i_1__1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"DF20FF00" - ) - port map ( - I0 => \^q\(0), - I1 => \count_value_i_reg[4]_0\, - I2 => \^q\(1), - I3 => \^q\(3), - I4 => \^q\(2), - O => \count_value_i[3]_i_1__1_n_0\ - ); -\count_value_i[4]_i_1__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DF20FF00FF00FF00" - ) - port map ( - I0 => \^q\(0), - I1 => \count_value_i_reg[4]_0\, - I2 => \^q\(1), - I3 => \^q\(4), - I4 => \^q\(2), - I5 => \^q\(3), - O => \count_value_i[4]_i_1__1_n_0\ - ); -\count_value_i[5]_i_1__1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \count_value_i[8]_i_2__1_n_0\, - I1 => \^q\(5), - O => \count_value_i[5]_i_1__1_n_0\ - ); -\count_value_i[6]_i_1__1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"9A" - ) - port map ( - I0 => \^q\(6), - I1 => \count_value_i[8]_i_2__1_n_0\, - I2 => \^q\(5), - O => \count_value_i[6]_i_1__1_n_0\ - ); -\count_value_i[7]_i_1__1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"A6AA" - ) - port map ( - I0 => \^q\(7), - I1 => \^q\(5), - I2 => \count_value_i[8]_i_2__1_n_0\, - I3 => \^q\(6), - O => \count_value_i[7]_i_1__1_n_0\ - ); -\count_value_i[8]_i_1__3\: unisim.vcomponents.LUT5 - generic map( - INIT => X"A6AAAAAA" - ) - port map ( - I0 => \^q\(8), - I1 => \^q\(6), - I2 => \count_value_i[8]_i_2__1_n_0\, - I3 => \^q\(5), - I4 => \^q\(7), - O => \count_value_i[8]_i_1__3_n_0\ - ); -\count_value_i[8]_i_2__1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"DFFFFFFFFFFFFFFF" - ) - port map ( - I0 => \^q\(0), - I1 => \count_value_i_reg[4]_0\, - I2 => \^q\(1), - I3 => \^q\(3), - I4 => \^q\(2), - I5 => \^q\(4), - O => \count_value_i[8]_i_2__1_n_0\ - ); + Q(11 downto 0) <= \^q\(11 downto 0); \count_value_i_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[0]_i_1__2_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1__2_n_7\, Q => \^q\(0), S => \count_value_i_reg[0]_0\(0) ); +\count_value_i_reg[10]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1__2_n_5\, + Q => \^q\(10), + R => \count_value_i_reg[0]_0\(0) + ); +\count_value_i_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1__2_n_4\, + Q => \^q\(11), + R => \count_value_i_reg[0]_0\(0) + ); +\count_value_i_reg[11]_i_1__2\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[7]_i_1__2_n_0\, + CO(3) => \NLW_count_value_i_reg[11]_i_1__2_CO_UNCONNECTED\(3), + CO(2) => \count_value_i_reg[11]_i_1__2_n_1\, + CO(1) => \count_value_i_reg[11]_i_1__2_n_2\, + CO(0) => \count_value_i_reg[11]_i_1__2_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[11]_i_1__2_n_4\, + O(2) => \count_value_i_reg[11]_i_1__2_n_5\, + O(1) => \count_value_i_reg[11]_i_1__2_n_6\, + O(0) => \count_value_i_reg[11]_i_1__2_n_7\, + S(3 downto 0) => \^q\(11 downto 8) + ); \count_value_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[1]_i_1__0_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1__2_n_6\, Q => \^q\(1), R => \count_value_i_reg[0]_0\(0) ); @@ -2844,8 +2645,8 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[2]_i_1__1_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1__2_n_5\, Q => \^q\(2), R => \count_value_i_reg[0]_0\(0) ); @@ -2855,19 +2656,36 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[3]_i_1__1_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[3]_i_1__2_n_4\, Q => \^q\(3), R => \count_value_i_reg[0]_0\(0) ); +\count_value_i_reg[3]_i_1__2\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => \count_value_i_reg[3]_i_1__2_n_0\, + CO(2) => \count_value_i_reg[3]_i_1__2_n_1\, + CO(1) => \count_value_i_reg[3]_i_1__2_n_2\, + CO(0) => \count_value_i_reg[3]_i_1__2_n_3\, + CYINIT => '0', + DI(3 downto 1) => B"000", + DI(0) => \^q\(0), + O(3) => \count_value_i_reg[3]_i_1__2_n_4\, + O(2) => \count_value_i_reg[3]_i_1__2_n_5\, + O(1) => \count_value_i_reg[3]_i_1__2_n_6\, + O(0) => \count_value_i_reg[3]_i_1__2_n_7\, + S(3 downto 1) => \^q\(3 downto 1), + S(0) => S(0) + ); \count_value_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[4]_i_1__1_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1__2_n_7\, Q => \^q\(4), R => \count_value_i_reg[0]_0\(0) ); @@ -2877,8 +2695,8 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[5]_i_1__1_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1__2_n_6\, Q => \^q\(5), R => \count_value_i_reg[0]_0\(0) ); @@ -2888,8 +2706,8 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[6]_i_1__1_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1__2_n_5\, Q => \^q\(6), R => \count_value_i_reg[0]_0\(0) ); @@ -2899,43 +2717,292 @@ begin ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[7]_i_1__1_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[7]_i_1__2_n_4\, Q => \^q\(7), R => \count_value_i_reg[0]_0\(0) ); +\count_value_i_reg[7]_i_1__2\: unisim.vcomponents.CARRY4 + port map ( + CI => \count_value_i_reg[3]_i_1__2_n_0\, + CO(3) => \count_value_i_reg[7]_i_1__2_n_0\, + CO(2) => \count_value_i_reg[7]_i_1__2_n_1\, + CO(1) => \count_value_i_reg[7]_i_1__2_n_2\, + CO(0) => \count_value_i_reg[7]_i_1__2_n_3\, + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3) => \count_value_i_reg[7]_i_1__2_n_4\, + O(2) => \count_value_i_reg[7]_i_1__2_n_5\, + O(1) => \count_value_i_reg[7]_i_1__2_n_6\, + O(0) => \count_value_i_reg[7]_i_1__2_n_7\, + S(3 downto 0) => \^q\(7 downto 4) + ); \count_value_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => wr_clk, - CE => E(0), - D => \count_value_i[8]_i_1__3_n_0\, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1__2_n_7\, Q => \^q\(8), R => \count_value_i_reg[0]_0\(0) ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8\: unisim.vcomponents.LUT4 +\count_value_i_reg[9]\: unisim.vcomponents.FDRE generic map( - INIT => X"A659" + INIT => '0' ) port map ( - I0 => \^q\(0), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]\, - I2 => \count_value_i_reg[4]_0\, - I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\(0), - O => S(0) + C => wr_clk, + CE => ram_wr_en_pf, + D => \count_value_i_reg[11]_i_1__2_n_6\, + Q => \^q\(9), + R => \count_value_i_reg[0]_0\(0) ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[9]_i_2\: unisim.vcomponents.LUT4 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_2\: unisim.vcomponents.LUT2 generic map( - INIT => X"9699" + INIT => X"2" + ) + port map ( + I0 => \^q\(9), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(9), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_2_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" ) port map ( I0 => \^q\(8), - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\(2), - I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\(1), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(8), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_3_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_4\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(7), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(7), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_4_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_6\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(9), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(9), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(10), + I3 => \^q\(10), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_6_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_7\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(8), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(8), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(9), + I3 => \^q\(9), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_7_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_8\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(7), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(7), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(8), + I3 => \^q\(8), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_8_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(2), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(2), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(1), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(1), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(2), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(2), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(3), + I3 => \^q\(3), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(1), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(1), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(2), + I3 => \^q\(2), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8\: unisim.vcomponents.LUT4 + generic map( + INIT => X"9969" + ) + port map ( + I0 => \^q\(0), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(0), + I2 => ram_wr_en_pf, + I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_0\, + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(6), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(6), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(5), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(5), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(4), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(4), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => \^q\(3), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(3), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(6), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(6), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(7), I3 => \^q\(7), - O => \count_value_i_reg[8]_0\(0) + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(5), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(5), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(6), + I3 => \^q\(6), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(4), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(4), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(5), + I3 => \^q\(5), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9\: unisim.vcomponents.LUT4 + generic map( + INIT => X"D22D" + ) + port map ( + I0 => \^q\(3), + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(3), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(4), + I3 => \^q\(4), + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0\, + CO(3) => \NLW_gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_CO_UNCONNECTED\(3), + CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_1\, + CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_2\, + CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_i_1_n_3\, + CYINIT => '0', + DI(3) => '0', + DI(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_2_n_0\, + DI(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_3_n_0\, + DI(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_4_n_0\, + O(3 downto 0) => D(11 downto 8), + S(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(0), + S(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_6_n_0\, + S(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_7_n_0\, + S(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[12]_i_8_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0\, + CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_1\, + CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_2\, + CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_3\, + CYINIT => '0', + DI(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_2_n_0\, + DI(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_3_n_0\, + DI(1) => DI(0), + DI(0) => '0', + O(3 downto 0) => D(3 downto 0), + S(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_5_n_0\, + S(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_6_n_0\, + S(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]\(0), + S(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[4]_i_8_n_0\ + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1\: unisim.vcomponents.CARRY4 + port map ( + CI => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_i_1_n_0\, + CO(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_0\, + CO(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_1\, + CO(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_2\, + CO(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[8]_i_1_n_3\, + CYINIT => '0', + DI(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_2_n_0\, + DI(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_3_n_0\, + DI(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_4_n_0\, + DI(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_5_n_0\, + O(3 downto 0) => D(7 downto 4), + S(3) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_6_n_0\, + S(2) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_7_n_0\, + S(1) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_8_n_0\, + S(0) => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q[8]_i_9_n_0\ ); end STRUCTURE; library IEEE; @@ -2945,26 +3012,27 @@ use UNISIM.VCOMPONENTS.ALL; entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_reg_bit is port ( rst_d1 : out STD_LOGIC; - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg\ : out STD_LOGIC; - \syncstages_ff_reg[3]\ : out STD_LOGIC; + clr_full : out STD_LOGIC; + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_wr_en_pf_q_reg\ : out STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC; - ram_rd_en_pf_q : in STD_LOGIC; - ram_wr_en_pf_q : in STD_LOGIC; + rst : in STD_LOGIC; \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\ : in STD_LOGIC; - prog_full : in STD_LOGIC; - rst : in STD_LOGIC + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg_0\ : in STD_LOGIC; + ram_wr_en_pf_q : in STD_LOGIC; + ram_rd_en_pf_q : in STD_LOGIC; + prog_full : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of design_1_axi_fifo_mm_s_0_0_xpm_fifo_reg_bit : entity is "xpm_fifo_reg_bit"; end design_1_axi_fifo_mm_s_0_0_xpm_fifo_reg_bit; architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_reg_bit is + signal \^clr_full\ : STD_LOGIC; signal \^rst_d1\ : STD_LOGIC; - signal \^syncstages_ff_reg[3]\ : STD_LOGIC; begin + clr_full <= \^clr_full\; rst_d1 <= \^rst_d1\; - \syncstages_ff_reg[3]\ <= \^syncstages_ff_reg[3]\; d_out_reg: unisim.vcomponents.FDRE generic map( INIT => '0' @@ -2976,7 +3044,7 @@ d_out_reg: unisim.vcomponents.FDRE Q => \^rst_d1\, R => '0' ); -\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_6\: unisim.vcomponents.LUT3 +\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_2\: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) @@ -2984,19 +3052,20 @@ d_out_reg: unisim.vcomponents.FDRE I0 => rst, I1 => \^rst_d1\, I2 => Q(0), - O => \^syncstages_ff_reg[3]\ + O => \^clr_full\ ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_1\: unisim.vcomponents.LUT5 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"51551000" + INIT => X"5545555500001000" ) port map ( - I0 => \^syncstages_ff_reg[3]\, - I1 => ram_rd_en_pf_q, - I2 => ram_wr_en_pf_q, - I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\, - I4 => prog_full, - O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg\ + I0 => \^clr_full\, + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\, + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg_0\, + I3 => ram_wr_en_pf_q, + I4 => ram_rd_en_pf_q, + I5 => prog_full, + O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_wr_en_pf_q_reg\ ); end STRUCTURE; library IEEE; @@ -3007,25 +3076,32 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_rst is port ( \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\ : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 0 to 0 ); - E : out STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\ : out STD_LOGIC; + write_only : out STD_LOGIC; + ram_wr_en_pf : out STD_LOGIC; + read_only : out STD_LOGIC; SR : out STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_rst_cc.fifo_wr_rst_cc_reg[2]_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); - \gen_fwft.empty_fwft_i_reg\ : out STD_LOGIC; - \gen_fwft.empty_fwft_i_reg_0\ : out STD_LOGIC; - \gen_fwft.empty_fwft_i_reg_1\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + S : out STD_LOGIC_VECTOR ( 0 to 0 ); + \count_value_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \count_value_i_reg[0]_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_fwft.empty_fwft_i_reg\ : out STD_LOGIC_VECTOR ( 0 to 0 ); + \gen_fwft.empty_fwft_i_reg_0\ : out STD_LOGIC_VECTOR ( 0 to 0 ); prog_empty : in STD_LOGIC; write_only_q : in STD_LOGIC; - read_only_q : in STD_LOGIC; \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0\ : in STD_LOGIC; + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_1\ : in STD_LOGIC; + read_only_q : in STD_LOGIC; rst : in STD_LOGIC; - wr_en : in STD_LOGIC; - rst_d1 : in STD_LOGIC; \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg\ : in STD_LOGIC; - \count_value_i_reg[1]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); - ram_empty_i : in STD_LOGIC; \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\ : in STD_LOGIC; \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\ : in STD_LOGIC; + wr_en : in STD_LOGIC; + rst_d1 : in STD_LOGIC; + \grdc.rd_data_count_i_reg[0]\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + ram_empty_i : in STD_LOGIC; + \count_value_i_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \count_value_i_reg[3]_0\ : in STD_LOGIC_VECTOR ( 0 to 0 ); + \count_value_i_reg[3]_1\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); wr_clk : in STD_LOGIC ); @@ -3036,90 +3112,121 @@ end design_1_axi_fifo_mm_s_0_0_xpm_fifo_rst; architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_rst is signal \^q\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gen_rst_cc.fifo_wr_rst_cc\ : STD_LOGIC_VECTOR ( 1 downto 0 ); - signal \^gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\ : STD_LOGIC; signal p_0_in : STD_LOGIC; signal \power_on_rst_reg_n_0_[0]\ : STD_LOGIC; + signal \^ram_wr_en_pf\ : STD_LOGIC; signal rst_i : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \count_value_i[1]_i_1\ : label is "soft_lutpair21"; - attribute SOFT_HLUTNM of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.read_only_q_i_1\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_i_1\ : label is "soft_lutpair22"; - attribute SOFT_HLUTNM of \grdc.rd_data_count_i[9]_i_1\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \count_value_i[1]_i_1\ : label is "soft_lutpair2"; + attribute SOFT_HLUTNM of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.read_only_q_i_1\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_i_1\ : label is "soft_lutpair1"; + attribute SOFT_HLUTNM of \grdc.rd_data_count_i[12]_i_1\ : label is "soft_lutpair2"; begin Q(0) <= \^q\(0); - \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\ <= \^gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\; + ram_wr_en_pf <= \^ram_wr_en_pf\; \count_value_i[1]_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"ABAA" + INIT => X"AAAE" ) port map ( I0 => \^q\(0), - I1 => \count_value_i_reg[1]\(0), - I2 => \count_value_i_reg[1]\(1), - I3 => ram_empty_i, - O => SR(0) + I1 => ram_empty_i, + I2 => \grdc.rd_data_count_i_reg[0]\(1), + I3 => \grdc.rd_data_count_i_reg[0]\(0), + O => \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\(0) ); -\gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_i_3\: unisim.vcomponents.LUT4 +\count_value_i[3]_i_2\: unisim.vcomponents.LUT2 generic map( - INIT => X"FFFD" + INIT => X"6" ) port map ( - I0 => wr_en, - I1 => \^q\(0), - I2 => rst_d1, - I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg\, - O => \^gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\ + I0 => \^ram_wr_en_pf\, + I1 => \count_value_i_reg[3]\(0), + O => S(0) ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_9\: unisim.vcomponents.LUT4 +\count_value_i[3]_i_2__2\: unisim.vcomponents.LUT2 generic map( - INIT => X"FB04" + INIT => X"6" ) port map ( - I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\, - I1 => \^gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\, - I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\, - I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\(0), - O => \gen_fwft.empty_fwft_i_reg_1\(0) + I0 => \^ram_wr_en_pf\, + I1 => \count_value_i_reg[3]_0\(0), + O => \count_value_i_reg[0]\(0) ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_1\: unisim.vcomponents.LUT5 +\count_value_i[3]_i_2__3\: unisim.vcomponents.LUT2 generic map( - INIT => X"FFFFAAF2" + INIT => X"6" + ) + port map ( + I0 => \^ram_wr_en_pf\, + I1 => \count_value_i_reg[3]_1\(0), + O => \count_value_i_reg[0]_0\(0) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_2\: unisim.vcomponents.LUT4 + generic map( + INIT => X"BFBB" + ) + port map ( + I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\, + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\, + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg\, + I3 => \^ram_wr_en_pf\, + O => \gen_fwft.empty_fwft_i_reg\(0) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe[3]_i_6\: unisim.vcomponents.LUT5 + generic map( + INIT => X"BFBB4044" + ) + port map ( + I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\, + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\, + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg\, + I3 => \^ram_wr_en_pf\, + I4 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\(0), + O => \gen_fwft.empty_fwft_i_reg_0\(0) + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_1\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFFFFFAFAAA2AA" ) port map ( I0 => prog_empty, I1 => write_only_q, - I2 => read_only_q, - I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0\, - I4 => \^q\(0), + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0\, + I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_1\, + I4 => read_only_q, + I5 => \^q\(0), O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\ ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.read_only_q_i_1\: unisim.vcomponents.LUT3 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.read_only_q_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"04" + INIT => X"4044" ) port map ( - I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\, - I1 => \^gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\, - I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\, - O => \gen_fwft.empty_fwft_i_reg_0\ + I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\, + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\, + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg\, + I3 => \^ram_wr_en_pf\, + O => read_only ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_i_1\: unisim.vcomponents.LUT3 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"54" + INIT => X"4044" ) port map ( - I0 => \^gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\, - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\, - I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\, - O => \gen_fwft.empty_fwft_i_reg\ + I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg\, + I1 => \^ram_wr_en_pf\, + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\, + I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\, + O => write_only ); \gen_rst_cc.fifo_wr_rst_cc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( - I0 => rst, - I1 => p_0_in, + I0 => p_0_in, + I1 => rst, O => rst_i ); \gen_rst_cc.fifo_wr_rst_cc_reg[0]\: unisim.vcomponents.FDSE @@ -3155,23 +3262,26 @@ begin Q => \^q\(0), S => rst_i ); -\gen_sdpram.xpm_memory_base_inst_i_1\: unisim.vcomponents.LUT1 +\gen_sdpram.xpm_memory_base_inst_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"1" + INIT => X"0002" ) port map ( - I0 => \^gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\, - O => E(0) + I0 => wr_en, + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg\, + I2 => \^q\(0), + I3 => rst_d1, + O => \^ram_wr_en_pf\ ); -\grdc.rd_data_count_i[9]_i_1\: unisim.vcomponents.LUT3 +\grdc.rd_data_count_i[12]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AB" ) port map ( I0 => \^q\(0), - I1 => \count_value_i_reg[1]\(1), - I2 => \count_value_i_reg[1]\(0), - O => \gen_rst_cc.fifo_wr_rst_cc_reg[2]_1\(0) + I1 => \grdc.rd_data_count_i_reg[0]\(1), + I2 => \grdc.rd_data_count_i_reg[0]\(0), + O => SR(0) ); \power_on_rst_reg[0]\: unisim.vcomponents.FDRE generic map( @@ -3208,7 +3318,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_memory_base is ena : in STD_LOGIC; regcea : in STD_LOGIC; wea : in STD_LOGIC_VECTOR ( 0 to 0 ); - addra : in STD_LOGIC_VECTOR ( 8 downto 0 ); + addra : in STD_LOGIC_VECTOR ( 11 downto 0 ); dina : in STD_LOGIC_VECTOR ( 52 downto 0 ); injectsbiterra : in STD_LOGIC; injectdbiterra : in STD_LOGIC; @@ -3220,7 +3330,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_memory_base is enb : in STD_LOGIC; regceb : in STD_LOGIC; web : in STD_LOGIC_VECTOR ( 0 to 0 ); - addrb : in STD_LOGIC_VECTOR ( 8 downto 0 ); + addrb : in STD_LOGIC_VECTOR ( 11 downto 0 ); dinb : in STD_LOGIC_VECTOR ( 52 downto 0 ); injectsbiterrb : in STD_LOGIC; injectdbiterrb : in STD_LOGIC; @@ -3229,9 +3339,9 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_memory_base is dbiterrb : out STD_LOGIC ); attribute ADDR_WIDTH_A : integer; - attribute ADDR_WIDTH_A of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 9; + attribute ADDR_WIDTH_A of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 12; attribute ADDR_WIDTH_B : integer; - attribute ADDR_WIDTH_B of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 9; + attribute ADDR_WIDTH_B of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 12; attribute AUTO_SLEEP_TIME : integer; attribute AUTO_SLEEP_TIME of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 0; attribute BYTE_WRITE_WIDTH_A : integer; @@ -3255,7 +3365,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_memory_base is attribute MEMORY_PRIMITIVE : integer; attribute MEMORY_PRIMITIVE of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 2; attribute MEMORY_SIZE : integer; - attribute MEMORY_SIZE of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 27136; + attribute MEMORY_SIZE of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 217088; attribute MEMORY_TYPE : integer; attribute MEMORY_TYPE of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 1; attribute MESSAGE_CONTROL : integer; @@ -3271,7 +3381,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_memory_base is attribute P_ENABLE_BYTE_WRITE_B : integer; attribute P_ENABLE_BYTE_WRITE_B of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 0; attribute P_MAX_DEPTH_DATA : integer; - attribute P_MAX_DEPTH_DATA of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 512; + attribute P_MAX_DEPTH_DATA of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 4096; attribute P_MEMORY_OPT : string; attribute P_MEMORY_OPT of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is "yes"; attribute P_MEMORY_PRIMITIVE : string; @@ -3311,13 +3421,13 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_memory_base is attribute P_WIDTH_ADDR_LSB_WRITE_B : integer; attribute P_WIDTH_ADDR_LSB_WRITE_B of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 0; attribute P_WIDTH_ADDR_READ_A : integer; - attribute P_WIDTH_ADDR_READ_A of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 9; + attribute P_WIDTH_ADDR_READ_A of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 12; attribute P_WIDTH_ADDR_READ_B : integer; - attribute P_WIDTH_ADDR_READ_B of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 9; + attribute P_WIDTH_ADDR_READ_B of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 12; attribute P_WIDTH_ADDR_WRITE_A : integer; - attribute P_WIDTH_ADDR_WRITE_A of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 9; + attribute P_WIDTH_ADDR_WRITE_A of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 12; attribute P_WIDTH_ADDR_WRITE_B : integer; - attribute P_WIDTH_ADDR_WRITE_B of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 9; + attribute P_WIDTH_ADDR_WRITE_B of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 12; attribute P_WIDTH_COL_WRITE_A : integer; attribute P_WIDTH_COL_WRITE_A of design_1_axi_fifo_mm_s_0_0_xpm_memory_base : entity is 53; attribute P_WIDTH_COL_WRITE_B : integer; @@ -3372,61 +3482,248 @@ end design_1_axi_fifo_mm_s_0_0_xpm_memory_base; architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_memory_base is signal \<const0>\ : STD_LOGIC; - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DBITERR_UNCONNECTED\ : STD_LOGIC; - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_SBITERR_UNCONNECTED\ : STD_LOGIC; - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 21 ); - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); - signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_60\ : STD_LOGIC; + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_61\ : STD_LOGIC; + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_62\ : STD_LOGIC; + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_63\ : STD_LOGIC; + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_64\ : STD_LOGIC; + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_65\ : STD_LOGIC; + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_66\ : STD_LOGIC; + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_67\ : STD_LOGIC; + signal \gen_wr_a.gen_word_narrow.mem_reg_4_n_75\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_INJECTDBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_INJECTSBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_SBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_INJECTDBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_INJECTSBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_SBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_INJECTDBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_INJECTSBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_SBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_INJECTDBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_INJECTSBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_SBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_INJECTDBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_INJECTSBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_SBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_CASCADEOUTA_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_CASCADEOUTB_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_INJECTDBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_INJECTSBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_SBITERR_UNCONNECTED\ : STD_LOGIC; + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOADO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOBDO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 31 downto 8 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOPADOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOPBDOP_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_ECCPARITY_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); + signal \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_RDADDRECC_UNCONNECTED\ : STD_LOGIC_VECTOR ( 8 downto 0 ); attribute \MEM.PORTA.ADDRESS_BEGIN\ : integer; - attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute \MEM.PORTA.ADDRESS_END\ : integer; - attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; + attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 4095; attribute \MEM.PORTA.DATA_BIT_LAYOUT\ : string; - attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "p0_d53"; + attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is "p1_d8"; attribute \MEM.PORTA.DATA_LSB\ : integer; - attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute \MEM.PORTA.DATA_MSB\ : integer; - attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 52; + attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 8; attribute \MEM.PORTB.ADDRESS_BEGIN\ : integer; - attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute \MEM.PORTB.ADDRESS_END\ : integer; - attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; + attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 4095; attribute \MEM.PORTB.DATA_BIT_LAYOUT\ : string; - attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "p0_d53"; + attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is "p1_d8"; attribute \MEM.PORTB.DATA_LSB\ : integer; - attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute \MEM.PORTB.DATA_MSB\ : integer; - attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 52; + attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 8; attribute METHODOLOGY_DRC_VIOS : string; - attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg\ : label is ""; + attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is ""; attribute RTL_RAM_BITS : integer; - attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 27136; + attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 217088; attribute RTL_RAM_NAME : string; - attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "gen_wr_a.gen_word_narrow.mem"; + attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is "gen_wr_a.gen_word_narrow.mem"; attribute RTL_RAM_TYPE : string; - attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg\ : label is "RAM_SDP"; + attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is "RAM_SDP"; attribute bram_addr_begin : integer; - attribute bram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute bram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute bram_addr_end : integer; - attribute bram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; + attribute bram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 4095; attribute bram_slice_begin : integer; - attribute bram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute bram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute bram_slice_end : integer; - attribute bram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 52; + attribute bram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 8; attribute ram_addr_begin : integer; - attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute ram_addr_end : integer; - attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 511; + attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 4095; attribute ram_offset : integer; - attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute ram_slice_begin : integer; - attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 0; + attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 0; attribute ram_slice_end : integer; - attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg\ : label is 52; + attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_0\ : label is 8; + attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 0; + attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 4095; + attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is "p1_d8"; + attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 9; + attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 17; + attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 0; + attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 4095; + attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is "p1_d8"; + attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 9; + attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 17; + attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is ""; + attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 217088; + attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is "gen_wr_a.gen_word_narrow.mem"; + attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is "RAM_SDP"; + attribute bram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 0; + attribute bram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 4095; + attribute bram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 9; + attribute bram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 17; + attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 0; + attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 4095; + attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 0; + attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 9; + attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_1\ : label is 17; + attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 0; + attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 4095; + attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is "p1_d8"; + attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 18; + attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 26; + attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 0; + attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 4095; + attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is "p1_d8"; + attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 18; + attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 26; + attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is ""; + attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 217088; + attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is "gen_wr_a.gen_word_narrow.mem"; + attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is "RAM_SDP"; + attribute bram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 0; + attribute bram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 4095; + attribute bram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 18; + attribute bram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 26; + attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 0; + attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 4095; + attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 0; + attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 18; + attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_2\ : label is 26; + attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 0; + attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 4095; + attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is "p1_d8"; + attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 27; + attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 35; + attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 0; + attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 4095; + attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is "p1_d8"; + attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 27; + attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 35; + attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is ""; + attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 217088; + attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is "gen_wr_a.gen_word_narrow.mem"; + attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is "RAM_SDP"; + attribute bram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 0; + attribute bram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 4095; + attribute bram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 27; + attribute bram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 35; + attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 0; + attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 4095; + attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 0; + attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 27; + attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_3\ : label is 35; + attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 0; + attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 4095; + attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is "p1_d8"; + attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 36; + attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 44; + attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 0; + attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 4095; + attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is "p1_d8"; + attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 36; + attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 44; + attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is "{SYNTH-6 {cell *THIS*}}"; + attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 217088; + attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is "gen_wr_a.gen_word_narrow.mem"; + attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is "RAM_SDP"; + attribute bram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 0; + attribute bram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 4095; + attribute bram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 36; + attribute bram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 44; + attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 0; + attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 4095; + attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 0; + attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 36; + attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_4\ : label is 44; + attribute \MEM.PORTA.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 0; + attribute \MEM.PORTA.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 4095; + attribute \MEM.PORTA.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is "p0_d8"; + attribute \MEM.PORTA.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 45; + attribute \MEM.PORTA.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 52; + attribute \MEM.PORTB.ADDRESS_BEGIN\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 0; + attribute \MEM.PORTB.ADDRESS_END\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 4095; + attribute \MEM.PORTB.DATA_BIT_LAYOUT\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is "p0_d8"; + attribute \MEM.PORTB.DATA_LSB\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 45; + attribute \MEM.PORTB.DATA_MSB\ of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 52; + attribute METHODOLOGY_DRC_VIOS of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is ""; + attribute RTL_RAM_BITS of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 217088; + attribute RTL_RAM_NAME of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is "gen_wr_a.gen_word_narrow.mem"; + attribute RTL_RAM_TYPE of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is "RAM_SDP"; + attribute bram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 0; + attribute bram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 4095; + attribute bram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 45; + attribute bram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 52; + attribute ram_addr_begin of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 0; + attribute ram_addr_end of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 4095; + attribute ram_offset of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 0; + attribute ram_slice_begin of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 45; + attribute ram_slice_end of \gen_wr_a.gen_word_narrow.mem_reg_5\ : label is 52; begin dbiterra <= \<const0>\; dbiterrb <= \<const0>\; @@ -3489,9 +3786,108 @@ GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); -\gen_wr_a.gen_word_narrow.mem_reg\: unisim.vcomponents.RAMB36E1 +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][36]\: unisim.vcomponents.FDRE generic map( - DOA_REG => 1, + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_67\, + Q => doutb(36), + R => rstb + ); +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][37]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_66\, + Q => doutb(37), + R => rstb + ); +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][38]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_65\, + Q => doutb(38), + R => rstb + ); +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][39]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_64\, + Q => doutb(39), + R => rstb + ); +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][40]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_63\, + Q => doutb(40), + R => rstb + ); +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][41]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_62\, + Q => doutb(41), + R => rstb + ); +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][42]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_61\, + Q => doutb(42), + R => rstb + ); +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][43]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_60\, + Q => doutb(43), + R => rstb + ); +\gen_rd_b.gen_doutb_pipe.doutb_pipe_reg[0][44]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => clka, + CE => regceb, + D => \gen_wr_a.gen_word_narrow.mem_reg_4_n_75\, + Q => doutb(44), + R => rstb + ); +\gen_wr_a.gen_word_narrow.mem_reg_0\: unisim.vcomponents.RAMB36E1 + generic map( + DOA_REG => 0, DOB_REG => 1, EN_ECC_READ => false, EN_ECC_WRITE => false, @@ -3643,67 +4039,1140 @@ GND: unisim.vcomponents.GND INIT_B => X"000000000", RAM_EXTENSION_A => "NONE", RAM_EXTENSION_B => "NONE", - RAM_MODE => "SDP", + RAM_MODE => "TDP", RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", - READ_WIDTH_A => 72, - READ_WIDTH_B => 0, + READ_WIDTH_A => 9, + READ_WIDTH_B => 9, RSTREG_PRIORITY_A => "RSTREG", RSTREG_PRIORITY_B => "RSTREG", SIM_COLLISION_CHECK => "ALL", SIM_DEVICE => "7SERIES", SRVAL_A => X"000000000", SRVAL_B => X"000000000", - WRITE_MODE_A => "WRITE_FIRST", - WRITE_MODE_B => "WRITE_FIRST", - WRITE_WIDTH_A => 0, - WRITE_WIDTH_B => 72 + WRITE_MODE_A => "NO_CHANGE", + WRITE_MODE_B => "NO_CHANGE", + WRITE_WIDTH_A => 9, + WRITE_WIDTH_B => 9 ) port map ( ADDRARDADDR(15) => '1', - ADDRARDADDR(14 downto 6) => addrb(8 downto 0), - ADDRARDADDR(5 downto 0) => B"111111", + ADDRARDADDR(14 downto 3) => addra(11 downto 0), + ADDRARDADDR(2 downto 0) => B"000", ADDRBWRADDR(15) => '1', - ADDRBWRADDR(14 downto 6) => addra(8 downto 0), - ADDRBWRADDR(5 downto 0) => B"111111", - CASCADEINA => '0', - CASCADEINB => '0', - CASCADEOUTA => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASCADEOUTA_UNCONNECTED\, - CASCADEOUTB => \NLW_gen_wr_a.gen_word_narrow.mem_reg_CASCADEOUTB_UNCONNECTED\, + ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), + ADDRBWRADDR(2 downto 0) => B"000", + CASCADEINA => '1', + CASCADEINB => '1', + CASCADEOUTA => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_CASCADEOUTA_UNCONNECTED\, + CASCADEOUTB => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_CASCADEOUTB_UNCONNECTED\, CLKARDCLK => clka, CLKBWRCLK => clka, - DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DBITERR_UNCONNECTED\, - DIADI(31 downto 0) => dina(31 downto 0), - DIBDI(31 downto 21) => B"11111111111", - DIBDI(20 downto 0) => dina(52 downto 32), - DIPADIP(3 downto 0) => B"1111", - DIPBDIP(3 downto 0) => B"1111", - DOADO(31 downto 0) => doutb(31 downto 0), - DOBDO(31 downto 21) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOBDO_UNCONNECTED\(31 downto 21), - DOBDO(20 downto 0) => doutb(52 downto 32), - DOPADOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOPADOP_UNCONNECTED\(3 downto 0), - DOPBDOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_DOPBDOP_UNCONNECTED\(3 downto 0), - ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_ECCPARITY_UNCONNECTED\(7 downto 0), - ENARDEN => enb, - ENBWREN => '1', - INJECTDBITERR => '0', - INJECTSBITERR => '0', - RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_RDADDRECC_UNCONNECTED\(8 downto 0), - REGCEAREGCE => regceb, + DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DBITERR_UNCONNECTED\, + DIADI(31 downto 8) => B"000000000000000000000000", + DIADI(7 downto 0) => dina(7 downto 0), + DIBDI(31 downto 0) => B"00000000000000000000000011111111", + DIPADIP(3 downto 1) => B"000", + DIPADIP(0) => dina(8), + DIPBDIP(3 downto 0) => B"0001", + DOADO(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOADO_UNCONNECTED\(31 downto 0), + DOBDO(31 downto 8) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOBDO_UNCONNECTED\(31 downto 8), + DOBDO(7 downto 0) => doutb(7 downto 0), + DOPADOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOPADOP_UNCONNECTED\(3 downto 0), + DOPBDOP(3 downto 1) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_DOPBDOP_UNCONNECTED\(3 downto 1), + DOPBDOP(0) => doutb(8), + ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_ECCPARITY_UNCONNECTED\(7 downto 0), + ENARDEN => wea(0), + ENBWREN => enb, + INJECTDBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_INJECTDBITERR_UNCONNECTED\, + INJECTSBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_INJECTSBITERR_UNCONNECTED\, + RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_RDADDRECC_UNCONNECTED\(8 downto 0), + REGCEAREGCE => '0', + REGCEB => regceb, + RSTRAMARSTRAM => '0', + RSTRAMB => '0', + RSTREGARSTREG => '0', + RSTREGB => rstb, + SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_0_SBITERR_UNCONNECTED\, + WEA(3) => wea(0), + WEA(2) => wea(0), + WEA(1) => wea(0), + WEA(0) => '1', + WEBWE(7 downto 0) => B"00000000" + ); +\gen_wr_a.gen_word_narrow.mem_reg_1\: unisim.vcomponents.RAMB36E1 + generic map( + DOA_REG => 0, + DOB_REG => 1, + EN_ECC_READ => false, + EN_ECC_WRITE => false, + INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_A => X"000000000", + INIT_B => X"000000000", + RAM_EXTENSION_A => "NONE", + RAM_EXTENSION_B => "NONE", + RAM_MODE => "TDP", + RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", + READ_WIDTH_A => 9, + READ_WIDTH_B => 9, + RSTREG_PRIORITY_A => "RSTREG", + RSTREG_PRIORITY_B => "RSTREG", + SIM_COLLISION_CHECK => "ALL", + SIM_DEVICE => "7SERIES", + SRVAL_A => X"000000000", + SRVAL_B => X"000000000", + WRITE_MODE_A => "NO_CHANGE", + WRITE_MODE_B => "NO_CHANGE", + WRITE_WIDTH_A => 9, + WRITE_WIDTH_B => 9 + ) + port map ( + ADDRARDADDR(15) => '1', + ADDRARDADDR(14 downto 3) => addra(11 downto 0), + ADDRARDADDR(2 downto 0) => B"000", + ADDRBWRADDR(15) => '1', + ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), + ADDRBWRADDR(2 downto 0) => B"000", + CASCADEINA => '1', + CASCADEINB => '1', + CASCADEOUTA => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_CASCADEOUTA_UNCONNECTED\, + CASCADEOUTB => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_CASCADEOUTB_UNCONNECTED\, + CLKARDCLK => clka, + CLKBWRCLK => clka, + DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DBITERR_UNCONNECTED\, + DIADI(31 downto 8) => B"000000000000000000000000", + DIADI(7 downto 0) => dina(16 downto 9), + DIBDI(31 downto 0) => B"00000000000000000000000011111111", + DIPADIP(3 downto 1) => B"000", + DIPADIP(0) => dina(17), + DIPBDIP(3 downto 0) => B"0001", + DOADO(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOADO_UNCONNECTED\(31 downto 0), + DOBDO(31 downto 8) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOBDO_UNCONNECTED\(31 downto 8), + DOBDO(7 downto 0) => doutb(16 downto 9), + DOPADOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOPADOP_UNCONNECTED\(3 downto 0), + DOPBDOP(3 downto 1) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_DOPBDOP_UNCONNECTED\(3 downto 1), + DOPBDOP(0) => doutb(17), + ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_ECCPARITY_UNCONNECTED\(7 downto 0), + ENARDEN => wea(0), + ENBWREN => enb, + INJECTDBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_INJECTDBITERR_UNCONNECTED\, + INJECTSBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_INJECTSBITERR_UNCONNECTED\, + RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_RDADDRECC_UNCONNECTED\(8 downto 0), + REGCEAREGCE => '0', + REGCEB => regceb, + RSTRAMARSTRAM => '0', + RSTRAMB => '0', + RSTREGARSTREG => '0', + RSTREGB => rstb, + SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_1_SBITERR_UNCONNECTED\, + WEA(3) => wea(0), + WEA(2) => wea(0), + WEA(1) => wea(0), + WEA(0) => '1', + WEBWE(7 downto 0) => B"00000000" + ); +\gen_wr_a.gen_word_narrow.mem_reg_2\: unisim.vcomponents.RAMB36E1 + generic map( + DOA_REG => 0, + DOB_REG => 1, + EN_ECC_READ => false, + EN_ECC_WRITE => false, + INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_A => X"000000000", + INIT_B => X"000000000", + RAM_EXTENSION_A => "NONE", + RAM_EXTENSION_B => "NONE", + RAM_MODE => "TDP", + RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", + READ_WIDTH_A => 9, + READ_WIDTH_B => 9, + RSTREG_PRIORITY_A => "RSTREG", + RSTREG_PRIORITY_B => "RSTREG", + SIM_COLLISION_CHECK => "ALL", + SIM_DEVICE => "7SERIES", + SRVAL_A => X"000000000", + SRVAL_B => X"000000000", + WRITE_MODE_A => "NO_CHANGE", + WRITE_MODE_B => "NO_CHANGE", + WRITE_WIDTH_A => 9, + WRITE_WIDTH_B => 9 + ) + port map ( + ADDRARDADDR(15) => '1', + ADDRARDADDR(14 downto 3) => addra(11 downto 0), + ADDRARDADDR(2 downto 0) => B"000", + ADDRBWRADDR(15) => '1', + ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), + ADDRBWRADDR(2 downto 0) => B"000", + CASCADEINA => '1', + CASCADEINB => '1', + CASCADEOUTA => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_CASCADEOUTA_UNCONNECTED\, + CASCADEOUTB => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_CASCADEOUTB_UNCONNECTED\, + CLKARDCLK => clka, + CLKBWRCLK => clka, + DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DBITERR_UNCONNECTED\, + DIADI(31 downto 8) => B"000000000000000000000000", + DIADI(7 downto 0) => dina(25 downto 18), + DIBDI(31 downto 0) => B"00000000000000000000000011111111", + DIPADIP(3 downto 1) => B"000", + DIPADIP(0) => dina(26), + DIPBDIP(3 downto 0) => B"0001", + DOADO(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOADO_UNCONNECTED\(31 downto 0), + DOBDO(31 downto 8) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOBDO_UNCONNECTED\(31 downto 8), + DOBDO(7 downto 0) => doutb(25 downto 18), + DOPADOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOPADOP_UNCONNECTED\(3 downto 0), + DOPBDOP(3 downto 1) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_DOPBDOP_UNCONNECTED\(3 downto 1), + DOPBDOP(0) => doutb(26), + ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_ECCPARITY_UNCONNECTED\(7 downto 0), + ENARDEN => wea(0), + ENBWREN => enb, + INJECTDBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_INJECTDBITERR_UNCONNECTED\, + INJECTSBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_INJECTSBITERR_UNCONNECTED\, + RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_RDADDRECC_UNCONNECTED\(8 downto 0), + REGCEAREGCE => '0', + REGCEB => regceb, + RSTRAMARSTRAM => '0', + RSTRAMB => '0', + RSTREGARSTREG => '0', + RSTREGB => rstb, + SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_2_SBITERR_UNCONNECTED\, + WEA(3) => wea(0), + WEA(2) => wea(0), + WEA(1) => wea(0), + WEA(0) => '1', + WEBWE(7 downto 0) => B"00000000" + ); +\gen_wr_a.gen_word_narrow.mem_reg_3\: unisim.vcomponents.RAMB36E1 + generic map( + DOA_REG => 0, + DOB_REG => 1, + EN_ECC_READ => false, + EN_ECC_WRITE => false, + INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_A => X"000000000", + INIT_B => X"000000000", + RAM_EXTENSION_A => "NONE", + RAM_EXTENSION_B => "NONE", + RAM_MODE => "TDP", + RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", + READ_WIDTH_A => 9, + READ_WIDTH_B => 9, + RSTREG_PRIORITY_A => "RSTREG", + RSTREG_PRIORITY_B => "RSTREG", + SIM_COLLISION_CHECK => "ALL", + SIM_DEVICE => "7SERIES", + SRVAL_A => X"000000000", + SRVAL_B => X"000000000", + WRITE_MODE_A => "NO_CHANGE", + WRITE_MODE_B => "NO_CHANGE", + WRITE_WIDTH_A => 9, + WRITE_WIDTH_B => 9 + ) + port map ( + ADDRARDADDR(15) => '1', + ADDRARDADDR(14 downto 3) => addra(11 downto 0), + ADDRARDADDR(2 downto 0) => B"000", + ADDRBWRADDR(15) => '1', + ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), + ADDRBWRADDR(2 downto 0) => B"000", + CASCADEINA => '1', + CASCADEINB => '1', + CASCADEOUTA => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_CASCADEOUTA_UNCONNECTED\, + CASCADEOUTB => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_CASCADEOUTB_UNCONNECTED\, + CLKARDCLK => clka, + CLKBWRCLK => clka, + DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DBITERR_UNCONNECTED\, + DIADI(31 downto 8) => B"000000000000000000000000", + DIADI(7 downto 0) => dina(34 downto 27), + DIBDI(31 downto 0) => B"00000000000000000000000011111111", + DIPADIP(3 downto 1) => B"000", + DIPADIP(0) => dina(35), + DIPBDIP(3 downto 0) => B"0001", + DOADO(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOADO_UNCONNECTED\(31 downto 0), + DOBDO(31 downto 8) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOBDO_UNCONNECTED\(31 downto 8), + DOBDO(7 downto 0) => doutb(34 downto 27), + DOPADOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOPADOP_UNCONNECTED\(3 downto 0), + DOPBDOP(3 downto 1) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_DOPBDOP_UNCONNECTED\(3 downto 1), + DOPBDOP(0) => doutb(35), + ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_ECCPARITY_UNCONNECTED\(7 downto 0), + ENARDEN => wea(0), + ENBWREN => enb, + INJECTDBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_INJECTDBITERR_UNCONNECTED\, + INJECTSBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_INJECTSBITERR_UNCONNECTED\, + RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_RDADDRECC_UNCONNECTED\(8 downto 0), + REGCEAREGCE => '0', + REGCEB => regceb, + RSTRAMARSTRAM => '0', + RSTRAMB => '0', + RSTREGARSTREG => '0', + RSTREGB => rstb, + SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_3_SBITERR_UNCONNECTED\, + WEA(3) => wea(0), + WEA(2) => wea(0), + WEA(1) => wea(0), + WEA(0) => '1', + WEBWE(7 downto 0) => B"00000000" + ); +\gen_wr_a.gen_word_narrow.mem_reg_4\: unisim.vcomponents.RAMB36E1 + generic map( + DOA_REG => 0, + DOB_REG => 0, + EN_ECC_READ => false, + EN_ECC_WRITE => false, + INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_A => X"000000000", + INIT_B => X"000000000", + RAM_EXTENSION_A => "NONE", + RAM_EXTENSION_B => "NONE", + RAM_MODE => "TDP", + RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", + READ_WIDTH_A => 9, + READ_WIDTH_B => 9, + RSTREG_PRIORITY_A => "RSTREG", + RSTREG_PRIORITY_B => "RSTREG", + SIM_COLLISION_CHECK => "ALL", + SIM_DEVICE => "7SERIES", + SRVAL_A => X"000000000", + SRVAL_B => X"000000000", + WRITE_MODE_A => "NO_CHANGE", + WRITE_MODE_B => "NO_CHANGE", + WRITE_WIDTH_A => 9, + WRITE_WIDTH_B => 9 + ) + port map ( + ADDRARDADDR(15) => '1', + ADDRARDADDR(14 downto 3) => addra(11 downto 0), + ADDRARDADDR(2 downto 0) => B"000", + ADDRBWRADDR(15) => '1', + ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), + ADDRBWRADDR(2 downto 0) => B"000", + CASCADEINA => '1', + CASCADEINB => '1', + CASCADEOUTA => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_CASCADEOUTA_UNCONNECTED\, + CASCADEOUTB => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_CASCADEOUTB_UNCONNECTED\, + CLKARDCLK => clka, + CLKBWRCLK => clka, + DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DBITERR_UNCONNECTED\, + DIADI(31 downto 8) => B"000000000000000000000000", + DIADI(7 downto 0) => dina(43 downto 36), + DIBDI(31 downto 0) => B"00000000000000000000000011111111", + DIPADIP(3 downto 1) => B"000", + DIPADIP(0) => dina(44), + DIPBDIP(3 downto 0) => B"0001", + DOADO(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOADO_UNCONNECTED\(31 downto 0), + DOBDO(31 downto 8) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOBDO_UNCONNECTED\(31 downto 8), + DOBDO(7) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_60\, + DOBDO(6) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_61\, + DOBDO(5) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_62\, + DOBDO(4) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_63\, + DOBDO(3) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_64\, + DOBDO(2) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_65\, + DOBDO(1) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_66\, + DOBDO(0) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_67\, + DOPADOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOPADOP_UNCONNECTED\(3 downto 0), + DOPBDOP(3 downto 1) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_DOPBDOP_UNCONNECTED\(3 downto 1), + DOPBDOP(0) => \gen_wr_a.gen_word_narrow.mem_reg_4_n_75\, + ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_ECCPARITY_UNCONNECTED\(7 downto 0), + ENARDEN => wea(0), + ENBWREN => enb, + INJECTDBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_INJECTDBITERR_UNCONNECTED\, + INJECTSBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_INJECTSBITERR_UNCONNECTED\, + RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_RDADDRECC_UNCONNECTED\(8 downto 0), + REGCEAREGCE => '0', REGCEB => '0', RSTRAMARSTRAM => '0', RSTRAMB => '0', - RSTREGARSTREG => rstb, + RSTREGARSTREG => '0', RSTREGB => '0', - SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_SBITERR_UNCONNECTED\, - WEA(3 downto 0) => B"0000", - WEBWE(7) => wea(0), - WEBWE(6) => wea(0), - WEBWE(5) => wea(0), - WEBWE(4) => wea(0), - WEBWE(3) => wea(0), - WEBWE(2) => wea(0), - WEBWE(1) => wea(0), - WEBWE(0) => wea(0) + SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_4_SBITERR_UNCONNECTED\, + WEA(3) => wea(0), + WEA(2) => wea(0), + WEA(1) => wea(0), + WEA(0) => '1', + WEBWE(7 downto 0) => B"00000000" + ); +\gen_wr_a.gen_word_narrow.mem_reg_5\: unisim.vcomponents.RAMB36E1 + generic map( + DOA_REG => 0, + DOB_REG => 1, + EN_ECC_READ => false, + EN_ECC_WRITE => false, + INITP_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INITP_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_40 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_41 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_42 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_43 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_44 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_45 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_46 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_47 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_48 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_49 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_4F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_50 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_51 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_52 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_53 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_54 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_55 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_56 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_57 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_58 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_59 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_5F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_60 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_61 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_62 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_63 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_64 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_65 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_66 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_67 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_68 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_69 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_6F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_70 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_71 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_72 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_73 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_74 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_75 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_76 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_77 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_78 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_79 => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7A => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7B => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7C => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7D => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7E => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_7F => X"0000000000000000000000000000000000000000000000000000000000000000", + INIT_A => X"000000000", + INIT_B => X"000000000", + RAM_EXTENSION_A => "NONE", + RAM_EXTENSION_B => "NONE", + RAM_MODE => "TDP", + RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", + READ_WIDTH_A => 9, + READ_WIDTH_B => 9, + RSTREG_PRIORITY_A => "RSTREG", + RSTREG_PRIORITY_B => "RSTREG", + SIM_COLLISION_CHECK => "ALL", + SIM_DEVICE => "7SERIES", + SRVAL_A => X"000000000", + SRVAL_B => X"000000000", + WRITE_MODE_A => "NO_CHANGE", + WRITE_MODE_B => "NO_CHANGE", + WRITE_WIDTH_A => 9, + WRITE_WIDTH_B => 9 + ) + port map ( + ADDRARDADDR(15) => '1', + ADDRARDADDR(14 downto 3) => addra(11 downto 0), + ADDRARDADDR(2 downto 0) => B"000", + ADDRBWRADDR(15) => '1', + ADDRBWRADDR(14 downto 3) => addrb(11 downto 0), + ADDRBWRADDR(2 downto 0) => B"000", + CASCADEINA => '1', + CASCADEINB => '1', + CASCADEOUTA => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_CASCADEOUTA_UNCONNECTED\, + CASCADEOUTB => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_CASCADEOUTB_UNCONNECTED\, + CLKARDCLK => clka, + CLKBWRCLK => clka, + DBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DBITERR_UNCONNECTED\, + DIADI(31 downto 8) => B"000000000000000000000000", + DIADI(7 downto 0) => dina(52 downto 45), + DIBDI(31 downto 0) => B"00000000000000000000000011111111", + DIPADIP(3 downto 0) => B"0000", + DIPBDIP(3 downto 0) => B"0000", + DOADO(31 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOADO_UNCONNECTED\(31 downto 0), + DOBDO(31 downto 8) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOBDO_UNCONNECTED\(31 downto 8), + DOBDO(7 downto 0) => doutb(52 downto 45), + DOPADOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOPADOP_UNCONNECTED\(3 downto 0), + DOPBDOP(3 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_DOPBDOP_UNCONNECTED\(3 downto 0), + ECCPARITY(7 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_ECCPARITY_UNCONNECTED\(7 downto 0), + ENARDEN => wea(0), + ENBWREN => enb, + INJECTDBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_INJECTDBITERR_UNCONNECTED\, + INJECTSBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_INJECTSBITERR_UNCONNECTED\, + RDADDRECC(8 downto 0) => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_RDADDRECC_UNCONNECTED\(8 downto 0), + REGCEAREGCE => '0', + REGCEB => regceb, + RSTRAMARSTRAM => '0', + RSTRAMB => '0', + RSTREGARSTREG => '0', + RSTREGB => rstb, + SBITERR => \NLW_gen_wr_a.gen_word_narrow.mem_reg_5_SBITERR_UNCONNECTED\, + WEA(3) => wea(0), + WEA(2) => wea(0), + WEA(1) => wea(0), + WEA(0) => '1', + WEBWE(7 downto 0) => B"00000000" ); end STRUCTURE; library IEEE; @@ -3716,26 +5185,25 @@ entity design_1_axi_fifo_mm_s_0_0_address_decoder is \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\ : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0\ : out STD_LOGIC; Bus_RNW_reg_reg_0 : out STD_LOGIC; - \s_axi_wdata[25]\ : out STD_LOGIC; + \s_axi_wdata[27]\ : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2\ : out STD_LOGIC; - sig_tx_channel_reset_reg : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_3\ : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_1\ : out STD_LOGIC; + E : out STD_LOGIC_VECTOR ( 0 to 0 ); \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\ : out STD_LOGIC; - sig_txd_sb_wr_en : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 6 downto 0 ); \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_1\ : out STD_LOGIC; - Bus_RNW_reg_reg_1 : out STD_LOGIC_VECTOR ( 12 downto 0 ); - E : out STD_LOGIC_VECTOR ( 0 to 0 ); + sig_tx_channel_reset_reg : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_1\ : out STD_LOGIC; + \s_axi_wdata[31]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); cs_ce_clr : in STD_LOGIC; start2 : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 12 downto 0 ); - \sig_register_array_reg[0][6]\ : in STD_LOGIC; - sig_txd_sb_wr_en_reg : in STD_LOGIC; + axi_str_txd_tvalid : in STD_LOGIC; + axi_str_txd_tlast : in STD_LOGIC; + IP2Bus_Error1_in : in STD_LOGIC; sig_str_rst_reg : in STD_LOGIC; \sig_ip2bus_data_reg[10]\ : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 6 downto 0 ); @@ -3745,8 +5213,7 @@ entity design_1_axi_fifo_mm_s_0_0_address_decoder is \sig_ip2bus_data_reg[6]\ : in STD_LOGIC; \sig_ip2bus_data_reg[4]\ : in STD_LOGIC; \sig_ip2bus_data_reg[3]\ : in STD_LOGIC; - sig_txd_sb_wr_en_reg_0 : in STD_LOGIC; - IP2Bus_Error1_in : in STD_LOGIC; + IP2Bus_Error_reg : in STD_LOGIC; sig_Bus2IP_RNW : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); @@ -3760,15 +5227,14 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_address_decoder is signal \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\ : STD_LOGIC; signal \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_0\ : STD_LOGIC; signal \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\ : STD_LOGIC; - signal \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_2\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[11].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[12].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\ : STD_LOGIC; - signal \^gen_bkend_ce_registers[1].ce_out_i_reg[1]_0\ : STD_LOGIC; signal \^gen_bkend_ce_registers[1].ce_out_i_reg[1]_1\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\ : STD_LOGIC; + signal \^gen_bkend_ce_registers[4].ce_out_i_reg[4]_0\ : STD_LOGIC; signal \^gen_bkend_ce_registers[5].ce_out_i_reg[5]_0\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg\ : STD_LOGIC; signal \GEN_BKEND_CE_REGISTERS[7].ce_out_i_reg\ : STD_LOGIC; @@ -3790,40 +5256,41 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_address_decoder is signal \sig_ip2bus_data[3]_i_2_n_0\ : STD_LOGIC; signal \sig_register_array[1][0]_i_3_n_0\ : STD_LOGIC; signal \sig_register_array[1][0]_i_4_n_0\ : STD_LOGIC; + signal \sig_register_array[1][0]_i_5_n_0\ : STD_LOGIC; + signal \sig_register_array[1][0]_i_6_n_0\ : STD_LOGIC; signal sig_str_rst_i_3_n_0 : STD_LOGIC; - signal \^sig_tx_channel_reset_reg\ : STD_LOGIC; + signal \sig_txd_wr_data[31]_i_4_n_0\ : STD_LOGIC; signal \sig_txd_wr_data[31]_i_5_n_0\ : STD_LOGIC; - signal sig_txd_wr_en_i_3_n_0 : STD_LOGIC; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of Bus_RNW_reg_i_1 : label is "soft_lutpair42"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[0]_i_2\ : label is "soft_lutpair41"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[3]_i_2\ : label is "soft_lutpair38"; - attribute SOFT_HLUTNM of \sig_register_array[1][0]_i_2\ : label is "soft_lutpair41"; - attribute SOFT_HLUTNM of \sig_register_array[1][0]_i_4\ : label is "soft_lutpair38"; - attribute SOFT_HLUTNM of \sig_register_array[1][10]_i_1\ : label is "soft_lutpair47"; - attribute SOFT_HLUTNM of \sig_register_array[1][11]_i_1\ : label is "soft_lutpair47"; - attribute SOFT_HLUTNM of \sig_register_array[1][1]_i_1\ : label is "soft_lutpair42"; - attribute SOFT_HLUTNM of \sig_register_array[1][2]_i_1\ : label is "soft_lutpair43"; - attribute SOFT_HLUTNM of \sig_register_array[1][3]_i_1\ : label is "soft_lutpair43"; - attribute SOFT_HLUTNM of \sig_register_array[1][4]_i_1\ : label is "soft_lutpair44"; - attribute SOFT_HLUTNM of \sig_register_array[1][5]_i_1\ : label is "soft_lutpair44"; - attribute SOFT_HLUTNM of \sig_register_array[1][6]_i_1\ : label is "soft_lutpair45"; - attribute SOFT_HLUTNM of \sig_register_array[1][7]_i_1\ : label is "soft_lutpair45"; - attribute SOFT_HLUTNM of \sig_register_array[1][8]_i_1\ : label is "soft_lutpair46"; - attribute SOFT_HLUTNM of \sig_register_array[1][9]_i_1\ : label is "soft_lutpair46"; - attribute SOFT_HLUTNM of sig_str_rst_i_3 : label is "soft_lutpair39"; - attribute SOFT_HLUTNM of \sig_txd_wr_data[31]_i_2\ : label is "soft_lutpair39"; - attribute SOFT_HLUTNM of \sig_txd_wr_data[31]_i_4\ : label is "soft_lutpair40"; - attribute SOFT_HLUTNM of sig_txd_wr_en_i_3 : label is "soft_lutpair40"; + attribute SOFT_HLUTNM of \sig_register_array[0][3]_i_2\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \sig_register_array[0][4]_i_2\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \sig_register_array[0][4]_i_3\ : label is "soft_lutpair17"; + attribute SOFT_HLUTNM of \sig_register_array[1][0]_i_3\ : label is "soft_lutpair18"; + attribute SOFT_HLUTNM of \sig_register_array[1][0]_i_4\ : label is "soft_lutpair20"; + attribute SOFT_HLUTNM of \sig_register_array[1][0]_i_5\ : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \sig_register_array[1][0]_i_6\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \sig_register_array[1][10]_i_1\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of \sig_register_array[1][11]_i_1\ : label is "soft_lutpair22"; + attribute SOFT_HLUTNM of \sig_register_array[1][12]_i_1\ : label is "soft_lutpair22"; + attribute SOFT_HLUTNM of \sig_register_array[1][1]_i_1\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \sig_register_array[1][2]_i_1\ : label is "soft_lutpair27"; + attribute SOFT_HLUTNM of \sig_register_array[1][3]_i_1\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \sig_register_array[1][4]_i_1\ : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of \sig_register_array[1][5]_i_1\ : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of \sig_register_array[1][6]_i_1\ : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of \sig_register_array[1][7]_i_1\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \sig_register_array[1][8]_i_1\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \sig_register_array[1][9]_i_1\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of sig_str_rst_i_3 : label is "soft_lutpair21"; + attribute SOFT_HLUTNM of \sig_txd_wr_data[31]_i_3\ : label is "soft_lutpair19"; + attribute SOFT_HLUTNM of \sig_txd_wr_data[31]_i_5\ : label is "soft_lutpair20"; begin Bus_RNW_reg_reg_0 <= \^bus_rnw_reg_reg_0\; \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\ <= \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_0\; \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\ <= \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\; - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2\ <= \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_2\; - \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ <= \^gen_bkend_ce_registers[1].ce_out_i_reg[1]_0\; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1\ <= \^gen_bkend_ce_registers[1].ce_out_i_reg[1]_1\; + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ <= \^gen_bkend_ce_registers[4].ce_out_i_reg[4]_0\; \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0\ <= \^gen_bkend_ce_registers[5].ce_out_i_reg[5]_0\; - sig_tx_channel_reset_reg <= \^sig_tx_channel_reset_reg\; Bus_RNW_reg_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"B8" @@ -4019,15 +5486,16 @@ Bus_RNW_reg_reg: unisim.vcomponents.FDRE Q => sig_Bus2IP_CS, R => cs_ce_clr ); -\sig_ip2bus_data[0]_i_2\: unisim.vcomponents.LUT4 +\sig_ip2bus_data[0]_i_2\: unisim.vcomponents.LUT5 generic map( - INIT => X"FBFF" + INIT => X"FFFFFBFF" ) port map ( - I0 => \sig_register_array[1][0]_i_3_n_0\, + I0 => \sig_register_array[1][0]_i_5_n_0\, I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => \sig_register_array[1][0]_i_4_n_0\, + I2 => \sig_register_array[1][0]_i_3_n_0\, I3 => \^bus_rnw_reg_reg_0\, + I4 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, O => \^gen_bkend_ce_registers[1].ce_out_i_reg[1]_1\ ); \sig_ip2bus_data[10]_i_1\: unisim.vcomponents.LUT4 @@ -4041,18 +5509,18 @@ Bus_RNW_reg_reg: unisim.vcomponents.FDRE I3 => Q(0), O => D(0) ); -\sig_ip2bus_data[22]_i_2\: unisim.vcomponents.LUT6 +\sig_ip2bus_data[19]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFFFFFFBFFFFFFFF" + INIT => X"FFFFFFFFFFEFFFFF" ) port map ( - I0 => sig_str_rst_i_3_n_0, - I1 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, - I2 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, - I4 => \sig_register_array[1][0]_i_4_n_0\, - I5 => \^bus_rnw_reg_reg_0\, - O => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\ + I0 => \sig_register_array[1][0]_i_6_n_0\, + I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, + I2 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, + I3 => \sig_register_array[1][0]_i_3_n_0\, + I4 => \^bus_rnw_reg_reg_0\, + I5 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, + O => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ ); \sig_ip2bus_data[3]_i_1\: unisim.vcomponents.LUT4 generic map( @@ -4065,16 +5533,17 @@ Bus_RNW_reg_reg: unisim.vcomponents.FDRE I3 => Q(6), O => D(6) ); -\sig_ip2bus_data[3]_i_2\: unisim.vcomponents.LUT5 +\sig_ip2bus_data[3]_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFEFFFFF" + INIT => X"FFFFFFEFFFFFFFFF" ) port map ( - I0 => \sig_register_array[1][0]_i_3_n_0\, + I0 => \sig_register_array[1][0]_i_5_n_0\, I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, I2 => \^bus_rnw_reg_reg_0\, - I3 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_0\, - I4 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, + I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, + I4 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_0\, + I5 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, O => \sig_ip2bus_data[3]_i_2_n_0\ ); \sig_ip2bus_data[4]_i_1\: unisim.vcomponents.LUT4 @@ -4132,271 +5601,256 @@ Bus_RNW_reg_reg: unisim.vcomponents.FDRE I3 => Q(1), O => D(1) ); -\sig_register_array[0][3]_i_2\: unisim.vcomponents.LUT4 +\sig_register_array[0][3]_i_2\: unisim.vcomponents.LUT5 generic map( - INIT => X"FFEF" + INIT => X"FFFFFFEF" ) port map ( I0 => sig_str_rst_i_3_n_0, I1 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_0\, I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, - I3 => \sig_register_array_reg[0][6]\, - O => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_2\ + I3 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, + I4 => IP2Bus_Error1_in, + O => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\ ); \sig_register_array[0][4]_i_2\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( - I0 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\, - I1 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_2\, - O => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_3\ + I0 => \^gen_bkend_ce_registers[4].ce_out_i_reg[4]_0\, + I1 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\, + O => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_1\ ); -\sig_register_array[0][6]_i_2\: unisim.vcomponents.LUT6 +\sig_register_array[0][4]_i_3\: unisim.vcomponents.LUT5 generic map( - INIT => X"AEAEAEAEAEAEFFAE" + INIT => X"FFAEAEAE" ) port map ( - I0 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\, - I1 => s_axi_wdata(6), - I2 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_2\, - I3 => \^sig_tx_channel_reset_reg\, - I4 => \sig_register_array_reg[0][6]\, - I5 => sig_txd_sb_wr_en_reg, - O => \s_axi_wdata[25]\ + I0 => \^gen_bkend_ce_registers[4].ce_out_i_reg[4]_0\, + I1 => s_axi_wdata(8), + I2 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\, + I3 => axi_str_txd_tvalid, + I4 => axi_str_txd_tlast, + O => \s_axi_wdata[27]\ ); \sig_register_array[1][0]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"F0F0F0F0F0F0F0F4" + INIT => X"AAAAAAAAAAAAAAAB" ) port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\, + I0 => \^gen_bkend_ce_registers[4].ce_out_i_reg[4]_0\, + I1 => IP2Bus_Error1_in, + I2 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, I3 => \sig_register_array[1][0]_i_3_n_0\, - I4 => IP2Bus_Error1_in, - I5 => \sig_register_array[1][0]_i_4_n_0\, + I4 => \sig_register_array[1][0]_i_4_n_0\, + I5 => \sig_register_array[1][0]_i_5_n_0\, O => E(0) ); -\sig_register_array[1][0]_i_2\: unisim.vcomponents.LUT3 +\sig_register_array[1][0]_i_2\: unisim.vcomponents.LUT2 generic map( - INIT => X"40" + INIT => X"2" ) port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(12), - O => Bus_RNW_reg_reg_1(12) + I0 => s_axi_wdata(12), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(12) ); -\sig_register_array[1][0]_i_3\: unisim.vcomponents.LUT3 - generic map( - INIT => X"FE" - ) - port map ( - I0 => sig_str_rst_i_3_n_0, - I1 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, - I2 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, - O => \sig_register_array[1][0]_i_3_n_0\ - ); -\sig_register_array[1][0]_i_4\: unisim.vcomponents.LUT2 +\sig_register_array[1][0]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"E" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, I1 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_0\, + O => \sig_register_array[1][0]_i_3_n_0\ + ); +\sig_register_array[1][0]_i_4\: unisim.vcomponents.LUT2 + generic map( + INIT => X"B" + ) + port map ( + I0 => \^bus_rnw_reg_reg_0\, + I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, O => \sig_register_array[1][0]_i_4_n_0\ ); -\sig_register_array[1][10]_i_1\: unisim.vcomponents.LUT3 +\sig_register_array[1][0]_i_5\: unisim.vcomponents.LUT2 generic map( - INIT => X"40" + INIT => X"E" ) port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(2), - O => Bus_RNW_reg_reg_1(2) + I0 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, + I1 => \sig_register_array[1][0]_i_6_n_0\, + O => \sig_register_array[1][0]_i_5_n_0\ ); -\sig_register_array[1][11]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(1), - O => Bus_RNW_reg_reg_1(1) - ); -\sig_register_array[1][12]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(0), - O => Bus_RNW_reg_reg_1(0) - ); -\sig_register_array[1][1]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(11), - O => Bus_RNW_reg_reg_1(11) - ); -\sig_register_array[1][2]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(10), - O => Bus_RNW_reg_reg_1(10) - ); -\sig_register_array[1][3]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(9), - O => Bus_RNW_reg_reg_1(9) - ); -\sig_register_array[1][4]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(8), - O => Bus_RNW_reg_reg_1(8) - ); -\sig_register_array[1][5]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(7), - O => Bus_RNW_reg_reg_1(7) - ); -\sig_register_array[1][6]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(6), - O => Bus_RNW_reg_reg_1(6) - ); -\sig_register_array[1][7]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(5), - O => Bus_RNW_reg_reg_1(5) - ); -\sig_register_array[1][8]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(4), - O => Bus_RNW_reg_reg_1(4) - ); -\sig_register_array[1][9]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"40" - ) - port map ( - I0 => \^bus_rnw_reg_reg_0\, - I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I2 => s_axi_wdata(3), - O => Bus_RNW_reg_reg_1(3) - ); -sig_str_rst_i_1: unisim.vcomponents.LUT6 - generic map( - INIT => X"0000000000000400" - ) - port map ( - I0 => \^gen_bkend_ce_registers[1].ce_out_i_reg[1]_0\, - I1 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_0\, - I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, - I3 => sig_str_rst_reg, - I4 => sig_str_rst_i_3_n_0, - I5 => IP2Bus_Error1_in, - O => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_1\ - ); -sig_str_rst_i_3: unisim.vcomponents.LUT3 +\sig_register_array[1][0]_i_6\: unisim.vcomponents.LUT3 generic map( INIT => X"FE" ) port map ( I0 => \^gen_bkend_ce_registers[5].ce_out_i_reg[5]_0\, - I1 => \sig_txd_wr_data[31]_i_5_n_0\, + I1 => \sig_txd_wr_data[31]_i_4_n_0\, I2 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, - O => sig_str_rst_i_3_n_0 + O => \sig_register_array[1][0]_i_6_n_0\ ); -sig_tx_channel_reset_i_2: unisim.vcomponents.LUT6 +\sig_register_array[1][10]_i_1\: unisim.vcomponents.LUT2 generic map( - INIT => X"0000000000000400" + INIT => X"2" ) port map ( - I0 => \sig_register_array[1][0]_i_4_n_0\, - I1 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, - I2 => \^gen_bkend_ce_registers[5].ce_out_i_reg[5]_0\, - I3 => sig_str_rst_reg, - I4 => \sig_register_array_reg[0][6]\, - I5 => \sig_txd_wr_data[31]_i_5_n_0\, - O => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\ - ); -sig_txd_sb_wr_en_i_1: unisim.vcomponents.LUT3 - generic map( - INIT => X"08" - ) - port map ( - I0 => \^sig_tx_channel_reset_reg\, - I1 => sig_txd_sb_wr_en_reg, - I2 => \^gen_bkend_ce_registers[1].ce_out_i_reg[1]_0\, - O => sig_txd_sb_wr_en - ); -\sig_txd_wr_data[31]_i_2\: unisim.vcomponents.LUT5 - generic map( - INIT => X"00000010" - ) - port map ( - I0 => sig_txd_sb_wr_en_reg_0, + I0 => s_axi_wdata(2), I1 => \sig_register_array[1][0]_i_4_n_0\, - I2 => \^gen_bkend_ce_registers[5].ce_out_i_reg[5]_0\, - I3 => \sig_txd_wr_data[31]_i_5_n_0\, - I4 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, - O => \^sig_tx_channel_reset_reg\ + O => \s_axi_wdata[31]\(2) ); -\sig_txd_wr_data[31]_i_4\: unisim.vcomponents.LUT4 +\sig_register_array[1][11]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(1), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(1) + ); +\sig_register_array[1][12]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(0), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(0) + ); +\sig_register_array[1][1]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(11), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(11) + ); +\sig_register_array[1][2]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(10), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(10) + ); +\sig_register_array[1][3]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(9), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(9) + ); +\sig_register_array[1][4]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(8), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(8) + ); +\sig_register_array[1][5]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(7), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(7) + ); +\sig_register_array[1][6]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(6), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(6) + ); +\sig_register_array[1][7]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(5), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(5) + ); +\sig_register_array[1][8]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(4), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(4) + ); +\sig_register_array[1][9]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => s_axi_wdata(3), + I1 => \sig_register_array[1][0]_i_4_n_0\, + O => \s_axi_wdata[31]\(3) + ); +sig_str_rst_i_1: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000000100" + ) + port map ( + I0 => sig_str_rst_reg, + I1 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, + I2 => \GEN_BKEND_CE_REGISTERS[0].ce_out_i_reg\, + I3 => \^gen_bkend_ce_registers[10].ce_out_i_reg[10]_0\, + I4 => sig_str_rst_i_3_n_0, + I5 => IP2Bus_Error1_in, + O => \^gen_bkend_ce_registers[4].ce_out_i_reg[4]_0\ + ); +sig_str_rst_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"FFFE" ) port map ( - I0 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, + I0 => \sig_register_array[1][0]_i_6_n_0\, I1 => \^bus_rnw_reg_reg_0\, - I2 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, + I2 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, I3 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, - O => \^gen_bkend_ce_registers[1].ce_out_i_reg[1]_0\ + O => sig_str_rst_i_3_n_0 ); -\sig_txd_wr_data[31]_i_5\: unisim.vcomponents.LUT6 +sig_tx_channel_reset_i_2: unisim.vcomponents.LUT6 + generic map( + INIT => X"0000000000000010" + ) + port map ( + I0 => sig_str_rst_reg, + I1 => IP2Bus_Error1_in, + I2 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, + I3 => \^gen_bkend_ce_registers[5].ce_out_i_reg[5]_0\, + I4 => \sig_txd_wr_data[31]_i_5_n_0\, + I5 => \sig_txd_wr_data[31]_i_4_n_0\, + O => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\ + ); +\sig_txd_wr_data[31]_i_3\: unisim.vcomponents.LUT5 + generic map( + INIT => X"00000100" + ) + port map ( + I0 => \sig_txd_wr_data[31]_i_4_n_0\, + I1 => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg\, + I2 => IP2Bus_Error_reg, + I3 => \^gen_bkend_ce_registers[5].ce_out_i_reg[5]_0\, + I4 => \sig_txd_wr_data[31]_i_5_n_0\, + O => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_1\ + ); +\sig_txd_wr_data[31]_i_4\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFFFFFFFFFE" ) @@ -4407,29 +5861,30 @@ sig_txd_sb_wr_en_i_1: unisim.vcomponents.LUT3 I3 => \GEN_BKEND_CE_REGISTERS[8].ce_out_i_reg\, I4 => \GEN_BKEND_CE_REGISTERS[6].ce_out_i_reg\, I5 => \GEN_BKEND_CE_REGISTERS[12].ce_out_i_reg\, - O => \sig_txd_wr_data[31]_i_5_n_0\ + O => \sig_txd_wr_data[31]_i_4_n_0\ ); -sig_txd_wr_en_i_2: unisim.vcomponents.LUT5 +\sig_txd_wr_data[31]_i_5\: unisim.vcomponents.LUT5 generic map( INIT => X"FFFFFFFE" ) port map ( - I0 => sig_str_rst_i_3_n_0, - I1 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, - I2 => sig_txd_wr_en_i_3_n_0, - I3 => sig_txd_sb_wr_en_reg_0, - I4 => \sig_register_array[1][0]_i_4_n_0\, - O => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_1\ + I0 => \^bus_rnw_reg_reg_0\, + I1 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, + I2 => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg\, + I3 => \sig_register_array[1][0]_i_3_n_0\, + I4 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, + O => \sig_txd_wr_data[31]_i_5_n_0\ ); -sig_txd_wr_en_i_3: unisim.vcomponents.LUT3 +sig_txd_wr_en_i_2: unisim.vcomponents.LUT4 generic map( - INIT => X"EF" + INIT => X"FFEF" ) port map ( - I0 => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg\, - I1 => \^bus_rnw_reg_reg_0\, + I0 => sig_str_rst_i_3_n_0, + I1 => IP2Bus_Error_reg, I2 => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg\, - O => sig_txd_wr_en_i_3_n_0 + I3 => \sig_register_array[1][0]_i_3_n_0\, + O => sig_tx_channel_reset_reg ); end STRUCTURE; library IEEE; @@ -4446,7 +5901,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is full : out STD_LOGIC; full_n : out STD_LOGIC; prog_full : out STD_LOGIC; - wr_data_count : out STD_LOGIC_VECTOR ( 9 downto 0 ); + wr_data_count : out STD_LOGIC_VECTOR ( 12 downto 0 ); overflow : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; almost_full : out STD_LOGIC; @@ -4456,7 +5911,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is dout : out STD_LOGIC_VECTOR ( 52 downto 0 ); empty : out STD_LOGIC; prog_empty : out STD_LOGIC; - rd_data_count : out STD_LOGIC_VECTOR ( 9 downto 0 ); + rd_data_count : out STD_LOGIC_VECTOR ( 12 downto 0 ); underflow : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; almost_empty : out STD_LOGIC; @@ -4507,13 +5962,13 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is attribute FIFO_MEM_TYPE : integer; attribute FIFO_MEM_TYPE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 2; attribute FIFO_READ_DEPTH : integer; - attribute FIFO_READ_DEPTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 512; + attribute FIFO_READ_DEPTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 4096; attribute FIFO_READ_LATENCY : integer; attribute FIFO_READ_LATENCY of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 0; attribute FIFO_SIZE : integer; - attribute FIFO_SIZE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 27136; + attribute FIFO_SIZE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 217088; attribute FIFO_WRITE_DEPTH : integer; - attribute FIFO_WRITE_DEPTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 512; + attribute FIFO_WRITE_DEPTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 4096; attribute FULL_RESET_VALUE : integer; attribute FULL_RESET_VALUE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 1; attribute FULL_RST_VAL : string; @@ -4523,29 +5978,29 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is attribute PE_THRESH_ADJ : integer; attribute PE_THRESH_ADJ of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 3; attribute PE_THRESH_MAX : integer; - attribute PE_THRESH_MAX of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 507; + attribute PE_THRESH_MAX of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 4091; attribute PE_THRESH_MIN : integer; attribute PE_THRESH_MIN of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 5; attribute PF_THRESH_ADJ : integer; - attribute PF_THRESH_ADJ of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 505; + attribute PF_THRESH_ADJ of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 4089; attribute PF_THRESH_MAX : integer; - attribute PF_THRESH_MAX of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 507; + attribute PF_THRESH_MAX of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 4091; attribute PF_THRESH_MIN : integer; attribute PF_THRESH_MIN of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 5; attribute PROG_EMPTY_THRESH : integer; attribute PROG_EMPTY_THRESH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 5; attribute PROG_FULL_THRESH : integer; - attribute PROG_FULL_THRESH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 507; + attribute PROG_FULL_THRESH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 4091; attribute RD_DATA_COUNT_WIDTH : integer; - attribute RD_DATA_COUNT_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 10; + attribute RD_DATA_COUNT_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 13; attribute RD_DC_WIDTH_EXT : integer; - attribute RD_DC_WIDTH_EXT of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 10; + attribute RD_DC_WIDTH_EXT of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 13; attribute RD_LATENCY : integer; attribute RD_LATENCY of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 2; attribute RD_MODE : integer; attribute RD_MODE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 1; attribute RD_PNTR_WIDTH : integer; - attribute RD_PNTR_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 9; + attribute RD_PNTR_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 12; attribute READ_DATA_WIDTH : integer; attribute READ_DATA_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 53; attribute READ_MODE : integer; @@ -4567,13 +6022,13 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is attribute WRITE_DATA_WIDTH : integer; attribute WRITE_DATA_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 53; attribute WR_DATA_COUNT_WIDTH : integer; - attribute WR_DATA_COUNT_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 10; + attribute WR_DATA_COUNT_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 13; attribute WR_DC_WIDTH_EXT : integer; - attribute WR_DC_WIDTH_EXT of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 10; + attribute WR_DC_WIDTH_EXT of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 13; attribute WR_DEPTH_LOG : integer; - attribute WR_DEPTH_LOG of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 9; + attribute WR_DEPTH_LOG of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 12; attribute WR_PNTR_WIDTH : integer; - attribute WR_PNTR_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 9; + attribute WR_PNTR_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 12; attribute WR_RD_RATIO : integer; attribute WR_RD_RATIO of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base : entity is 0; attribute WR_WIDTH_LOG : integer; @@ -4597,12 +6052,13 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is signal aempty_fwft_i0 : STD_LOGIC; signal \^almost_empty\ : STD_LOGIC; signal \^almost_full\ : STD_LOGIC; + signal clr_full : STD_LOGIC; signal count_value_i : STD_LOGIC_VECTOR ( 1 to 1 ); signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal data_valid_fwft1 : STD_LOGIC; - signal diff_pntr_pe : STD_LOGIC_VECTOR ( 8 downto 0 ); - signal diff_pntr_pf_q : STD_LOGIC_VECTOR ( 9 downto 1 ); - signal diff_pntr_pf_q0 : STD_LOGIC_VECTOR ( 9 downto 1 ); + signal diff_pntr_pe : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal diff_pntr_pf_q : STD_LOGIC_VECTOR ( 12 downto 1 ); + signal diff_pntr_pf_q0 : STD_LOGIC_VECTOR ( 12 downto 1 ); signal \gen_fwft.count_rst\ : STD_LOGIC; signal \gen_fwft.empty_fwft_i_reg_n_0\ : STD_LOGIC; signal \gen_fwft.gdvld_fwft.data_valid_fwft_i_1_n_0\ : STD_LOGIC; @@ -4612,6 +6068,8 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is signal \gen_fwft.rdpp1_inst_n_3\ : STD_LOGIC; signal \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[0]\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[10]\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[11]\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[1]\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[2]\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[3]\ : STD_LOGIC; @@ -4620,40 +6078,69 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[6]\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[7]\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[8]\ : STD_LOGIC; + signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[9]\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2_n_0\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3_n_0\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2_n_0\ : STD_LOGIC; signal \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3_n_0\ : STD_LOGIC; - signal going_afull1 : STD_LOGIC; - signal going_empty1 : STD_LOGIC; - signal \grdc.diff_wr_rd_pntr_rdc\ : STD_LOGIC_VECTOR ( 9 downto 0 ); + signal \grdc.diff_wr_rd_pntr_rdc\ : STD_LOGIC_VECTOR ( 12 downto 0 ); signal \grdc.rd_data_count_i0\ : STD_LOGIC; signal leaving_empty0 : STD_LOGIC; signal \next_fwft_state__0\ : STD_LOGIC_VECTOR ( 1 downto 0 ); + signal \p_1_in__0\ : STD_LOGIC; signal \^prog_empty\ : STD_LOGIC; signal \^prog_full\ : STD_LOGIC; signal ram_empty_i : STD_LOGIC; signal ram_empty_i0 : STD_LOGIC; - signal ram_rd_en_pf : STD_LOGIC; signal ram_rd_en_pf_q : STD_LOGIC; signal ram_wr_en_pf : STD_LOGIC; signal ram_wr_en_pf_q : STD_LOGIC; - signal rd_pntr_ext : STD_LOGIC_VECTOR ( 8 downto 0 ); + signal rd_pntr_ext : STD_LOGIC_VECTOR ( 11 downto 0 ); signal rdp_inst_n_0 : STD_LOGIC; signal rdp_inst_n_1 : STD_LOGIC; + signal rdp_inst_n_15 : STD_LOGIC; + signal rdp_inst_n_16 : STD_LOGIC; + signal rdp_inst_n_17 : STD_LOGIC; + signal rdp_inst_n_19 : STD_LOGIC; signal rdp_inst_n_2 : STD_LOGIC; - signal rdp_inst_n_3 : STD_LOGIC; + signal rdp_inst_n_20 : STD_LOGIC; + signal rdp_inst_n_21 : STD_LOGIC; + signal rdp_inst_n_22 : STD_LOGIC; + signal rdp_inst_n_23 : STD_LOGIC; + signal rdp_inst_n_24 : STD_LOGIC; + signal rdp_inst_n_25 : STD_LOGIC; + signal rdp_inst_n_26 : STD_LOGIC; + signal rdp_inst_n_27 : STD_LOGIC; + signal rdp_inst_n_28 : STD_LOGIC; + signal rdp_inst_n_29 : STD_LOGIC; + signal rdp_inst_n_30 : STD_LOGIC; + signal rdp_inst_n_31 : STD_LOGIC; signal rdp_inst_n_32 : STD_LOGIC; + signal rdp_inst_n_33 : STD_LOGIC; + signal rdpp1_inst_n_0 : STD_LOGIC; + signal rdpp1_inst_n_1 : STD_LOGIC; + signal rdpp1_inst_n_10 : STD_LOGIC; + signal rdpp1_inst_n_11 : STD_LOGIC; + signal rdpp1_inst_n_2 : STD_LOGIC; + signal rdpp1_inst_n_3 : STD_LOGIC; + signal rdpp1_inst_n_4 : STD_LOGIC; + signal rdpp1_inst_n_5 : STD_LOGIC; + signal rdpp1_inst_n_6 : STD_LOGIC; + signal rdpp1_inst_n_7 : STD_LOGIC; + signal rdpp1_inst_n_8 : STD_LOGIC; + signal rdpp1_inst_n_9 : STD_LOGIC; + signal read_only : STD_LOGIC; signal read_only_q : STD_LOGIC; signal rst_d1 : STD_LOGIC; - signal rst_d1_inst_n_1 : STD_LOGIC; signal rst_d1_inst_n_2 : STD_LOGIC; - signal wr_pntr_ext : STD_LOGIC_VECTOR ( 8 downto 0 ); + signal wr_pntr_ext : STD_LOGIC_VECTOR ( 11 downto 0 ); + signal write_only : STD_LOGIC; signal write_only_q : STD_LOGIC; - signal wrp_inst_n_21 : STD_LOGIC; + signal wrp_inst_n_1 : STD_LOGIC; signal wrpp1_inst_n_0 : STD_LOGIC; signal wrpp1_inst_n_1 : STD_LOGIC; signal wrpp1_inst_n_10 : STD_LOGIC; + signal wrpp1_inst_n_11 : STD_LOGIC; signal wrpp1_inst_n_2 : STD_LOGIC; signal wrpp1_inst_n_3 : STD_LOGIC; signal wrpp1_inst_n_4 : STD_LOGIC; @@ -4662,29 +6149,41 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is signal wrpp1_inst_n_7 : STD_LOGIC; signal wrpp1_inst_n_8 : STD_LOGIC; signal wrpp1_inst_n_9 : STD_LOGIC; + signal wrpp2_inst_n_0 : STD_LOGIC; + signal wrpp2_inst_n_1 : STD_LOGIC; + signal wrpp2_inst_n_10 : STD_LOGIC; + signal wrpp2_inst_n_11 : STD_LOGIC; + signal wrpp2_inst_n_2 : STD_LOGIC; + signal wrpp2_inst_n_3 : STD_LOGIC; + signal wrpp2_inst_n_4 : STD_LOGIC; + signal wrpp2_inst_n_5 : STD_LOGIC; + signal wrpp2_inst_n_6 : STD_LOGIC; + signal wrpp2_inst_n_7 : STD_LOGIC; + signal wrpp2_inst_n_8 : STD_LOGIC; + signal wrpp2_inst_n_9 : STD_LOGIC; signal xpm_fifo_rst_inst_n_0 : STD_LOGIC; signal xpm_fifo_rst_inst_n_1 : STD_LOGIC; - signal xpm_fifo_rst_inst_n_3 : STD_LOGIC; - signal xpm_fifo_rst_inst_n_6 : STD_LOGIC; + signal xpm_fifo_rst_inst_n_11 : STD_LOGIC; signal xpm_fifo_rst_inst_n_7 : STD_LOGIC; signal xpm_fifo_rst_inst_n_8 : STD_LOGIC; + signal xpm_fifo_rst_inst_n_9 : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_dbiterra_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_dbiterrb_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_sbiterra_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_sbiterrb_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_sdpram.xpm_memory_base_inst_douta_UNCONNECTED\ : STD_LOGIC_VECTOR ( 52 downto 0 ); attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1\ : label is "soft_lutpair23"; + attribute SOFT_HLUTNM of \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1\ : label is "soft_lutpair3"; attribute FSM_ENCODED_STATES : string; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\ : label is "invalid:00,stage1_valid:01,both_stages_valid:10,stage2_valid:11"; attribute FSM_ENCODED_STATES of \FSM_sequential_gen_fwft.curr_fwft_state_reg[1]\ : label is "invalid:00,stage1_valid:01,both_stages_valid:10,stage2_valid:11"; - attribute SOFT_HLUTNM of \gen_fwft.empty_fwft_i_i_1\ : label is "soft_lutpair24"; - attribute SOFT_HLUTNM of \gen_fwft.gae_fwft.aempty_fwft_i_i_1\ : label is "soft_lutpair23"; - attribute SOFT_HLUTNM of \gen_fwft.gdvld_fwft.data_valid_fwft_i_1\ : label is "soft_lutpair24"; + attribute SOFT_HLUTNM of \gen_fwft.empty_fwft_i_i_1\ : label is "soft_lutpair4"; + attribute SOFT_HLUTNM of \gen_fwft.gae_fwft.aempty_fwft_i_i_1\ : label is "soft_lutpair3"; + attribute SOFT_HLUTNM of \gen_fwft.gdvld_fwft.data_valid_fwft_i_1\ : label is "soft_lutpair4"; attribute ADDR_WIDTH_A : integer; - attribute ADDR_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 9; + attribute ADDR_WIDTH_A of \gen_sdpram.xpm_memory_base_inst\ : label is 12; attribute ADDR_WIDTH_B : integer; - attribute ADDR_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 9; + attribute ADDR_WIDTH_B of \gen_sdpram.xpm_memory_base_inst\ : label is 12; attribute AUTO_SLEEP_TIME : integer; attribute AUTO_SLEEP_TIME of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute BYTE_WRITE_WIDTH_A : integer; @@ -4707,7 +6206,7 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is attribute \MEM.ADDRESS_SPACE_DATA_MSB\ : integer; attribute \MEM.ADDRESS_SPACE_DATA_MSB\ of \gen_sdpram.xpm_memory_base_inst\ : label is 52; attribute \MEM.ADDRESS_SPACE_END\ : integer; - attribute \MEM.ADDRESS_SPACE_END\ of \gen_sdpram.xpm_memory_base_inst\ : label is 511; + attribute \MEM.ADDRESS_SPACE_END\ of \gen_sdpram.xpm_memory_base_inst\ : label is 4095; attribute \MEM.CORE_MEMORY_WIDTH\ : integer; attribute \MEM.CORE_MEMORY_WIDTH\ of \gen_sdpram.xpm_memory_base_inst\ : label is 53; attribute MEMORY_INIT_FILE : string; @@ -4719,7 +6218,7 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is attribute MEMORY_PRIMITIVE : integer; attribute MEMORY_PRIMITIVE of \gen_sdpram.xpm_memory_base_inst\ : label is 2; attribute MEMORY_SIZE : integer; - attribute MEMORY_SIZE of \gen_sdpram.xpm_memory_base_inst\ : label is 27136; + attribute MEMORY_SIZE of \gen_sdpram.xpm_memory_base_inst\ : label is 217088; attribute MEMORY_TYPE : integer; attribute MEMORY_TYPE of \gen_sdpram.xpm_memory_base_inst\ : label is 1; attribute MESSAGE_CONTROL : integer; @@ -4733,7 +6232,7 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is attribute P_ENABLE_BYTE_WRITE_B : integer; attribute P_ENABLE_BYTE_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_MAX_DEPTH_DATA : integer; - attribute P_MAX_DEPTH_DATA of \gen_sdpram.xpm_memory_base_inst\ : label is 512; + attribute P_MAX_DEPTH_DATA of \gen_sdpram.xpm_memory_base_inst\ : label is 4096; attribute P_MEMORY_OPT : string; attribute P_MEMORY_OPT of \gen_sdpram.xpm_memory_base_inst\ : label is "yes"; attribute P_MEMORY_PRIMITIVE : string; @@ -4773,13 +6272,13 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_base is attribute P_WIDTH_ADDR_LSB_WRITE_B : integer; attribute P_WIDTH_ADDR_LSB_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 0; attribute P_WIDTH_ADDR_READ_A : integer; - attribute P_WIDTH_ADDR_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 9; + attribute P_WIDTH_ADDR_READ_A of \gen_sdpram.xpm_memory_base_inst\ : label is 12; attribute P_WIDTH_ADDR_READ_B : integer; - attribute P_WIDTH_ADDR_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 9; + attribute P_WIDTH_ADDR_READ_B of \gen_sdpram.xpm_memory_base_inst\ : label is 12; attribute P_WIDTH_ADDR_WRITE_A : integer; - attribute P_WIDTH_ADDR_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 9; + attribute P_WIDTH_ADDR_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 12; attribute P_WIDTH_ADDR_WRITE_B : integer; - attribute P_WIDTH_ADDR_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 9; + attribute P_WIDTH_ADDR_WRITE_B of \gen_sdpram.xpm_memory_base_inst\ : label is 12; attribute P_WIDTH_COL_WRITE_A : integer; attribute P_WIDTH_COL_WRITE_A of \gen_sdpram.xpm_memory_base_inst\ : label is 53; attribute P_WIDTH_COL_WRITE_B : integer; @@ -4840,23 +6339,23 @@ begin wr_rst_busy <= \<const0>\; \FSM_sequential_gen_fwft.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"6899" - ) - port map ( - I0 => curr_fwft_state(0), - I1 => ram_empty_i, - I2 => rd_en, - I3 => curr_fwft_state(1), - O => \next_fwft_state__0\(0) - ); -\FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"7C" + INIT => X"7883" ) port map ( I0 => rd_en, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), + I3 => ram_empty_i, + O => \next_fwft_state__0\(0) + ); +\FSM_sequential_gen_fwft.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT3 + generic map( + INIT => X"7A" + ) + port map ( + I0 => curr_fwft_state(0), + I1 => rd_en, + I2 => curr_fwft_state(1), O => \next_fwft_state__0\(1) ); \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\: unisim.vcomponents.FDRE @@ -4887,12 +6386,12 @@ GND: unisim.vcomponents.GND ); \gen_fwft.empty_fwft_i_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"BB80" + INIT => X"F380" ) port map ( - I0 => curr_fwft_state(1), + I0 => rd_en, I1 => curr_fwft_state(0), - I2 => rd_en, + I2 => curr_fwft_state(1), I3 => \gen_fwft.empty_fwft_i_reg_n_0\, O => data_valid_fwft1 ); @@ -4909,14 +6408,14 @@ GND: unisim.vcomponents.GND ); \gen_fwft.gae_fwft.aempty_fwft_i_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"A888EAAA" + INIT => X"FDDD4000" ) port map ( - I0 => \^almost_empty\, + I0 => curr_fwft_state(0), I1 => ram_empty_i, - I2 => rd_en, - I3 => curr_fwft_state(1), - I4 => curr_fwft_state(0), + I2 => curr_fwft_state(1), + I3 => rd_en, + I4 => \^almost_empty\, O => aempty_fwft_i0 ); \gen_fwft.gae_fwft.aempty_fwft_i_reg\: unisim.vcomponents.FDSE @@ -4932,12 +6431,12 @@ GND: unisim.vcomponents.GND ); \gen_fwft.gdvld_fwft.data_valid_fwft_i_1\: unisim.vcomponents.LUT4 generic map( - INIT => X"447F" + INIT => X"0C7F" ) port map ( - I0 => curr_fwft_state(1), + I0 => rd_en, I1 => curr_fwft_state(0), - I2 => rd_en, + I2 => curr_fwft_state(1), I3 => \gen_fwft.empty_fwft_i_reg_n_0\, O => \gen_fwft.gdvld_fwft.data_valid_fwft_i_1_n_0\ ); @@ -4959,9 +6458,9 @@ GND: unisim.vcomponents.GND S(1) => \gen_fwft.rdpp1_inst_n_1\, S(0) => \gen_fwft.rdpp1_inst_n_2\, SR(0) => \gen_fwft.count_rst\, - \count_value_i_reg[1]_0\(1 downto 0) => curr_fwft_state(1 downto 0), - \grdc.rd_data_count_i_reg[3]\(1 downto 0) => wr_pntr_ext(1 downto 0), - \grdc.rd_data_count_i_reg[3]_0\(1 downto 0) => rd_pntr_ext(1 downto 0), + \count_value_i_reg[0]_0\(1 downto 0) => curr_fwft_state(1 downto 0), + \grdc.rd_data_count_i_reg[3]\(1 downto 0) => rd_pntr_ext(1 downto 0), + \grdc.rd_data_count_i_reg[3]_0\(1 downto 0) => wr_pntr_ext(1 downto 0), ram_empty_i => ram_empty_i, rd_en => rd_en, wr_clk => wr_clk @@ -4973,7 +6472,7 @@ GND: unisim.vcomponents.GND port map ( C => wr_clk, CE => '1', - D => rdp_inst_n_2, + D => rdp_inst_n_0, Q => \^almost_full\, S => xpm_fifo_rst_inst_n_1 ); @@ -4984,7 +6483,7 @@ GND: unisim.vcomponents.GND port map ( C => wr_clk, CE => '1', - D => rdp_inst_n_32, + D => rdp_inst_n_33, Q => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0\, S => xpm_fifo_rst_inst_n_1 ); @@ -4995,7 +6494,7 @@ GND: unisim.vcomponents.GND port map ( C => wr_clk, CE => '1', - D => rdp_inst_n_0, + D => rdp_inst_n_19, Q => full_n, R => xpm_fifo_rst_inst_n_1 ); @@ -5021,6 +6520,28 @@ GND: unisim.vcomponents.GND Q => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[0]\, R => xpm_fifo_rst_inst_n_1 ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[10]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => '1', + D => diff_pntr_pe(10), + Q => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[10]\, + R => xpm_fifo_rst_inst_n_1 + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => '1', + D => diff_pntr_pe(11), + Q => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[11]\, + R => xpm_fifo_rst_inst_n_1 + ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' @@ -5109,28 +6630,41 @@ GND: unisim.vcomponents.GND Q => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[8]\, R => xpm_fifo_rst_inst_n_1 ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2\: unisim.vcomponents.LUT4 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[9]\: unisim.vcomponents.FDRE generic map( - INIT => X"FFEF" + INIT => '0' + ) + port map ( + C => wr_clk, + CE => '1', + D => diff_pntr_pe(9), + Q => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[9]\, + R => xpm_fifo_rst_inst_n_1 + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2\: unisim.vcomponents.LUT6 + generic map( + INIT => X"FFFFFFFFFFFFFFBF" ) port map ( I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[2]\, - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[5]\, - I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[0]\, - I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3_n_0\, + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[0]\, + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[1]\, + I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[5]\, + I4 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[3]\, + I5 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[4]\, O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2_n_0\ ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"FFFFFFFFFFFFFFFD" + INIT => X"0000000000000001" ) port map ( - I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[1]\, - I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[8]\, - I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[4]\, - I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[7]\, - I4 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[3]\, - I5 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[6]\, + I0 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[10]\, + I1 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[9]\, + I2 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[11]\, + I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[6]\, + I4 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[7]\, + I5 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg_n_0_[8]\, O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3_n_0\ ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\: unisim.vcomponents.FDRE @@ -5148,7 +6682,7 @@ GND: unisim.vcomponents.GND port map ( C => wr_clk, CE => '1', - D => xpm_fifo_rst_inst_n_7, + D => read_only, Q => read_only_q, R => xpm_fifo_rst_inst_n_1 ); @@ -5156,10 +6690,43 @@ GND: unisim.vcomponents.GND port map ( C => wr_clk, CE => '1', - D => xpm_fifo_rst_inst_n_6, + D => write_only, Q => write_only_q, R => xpm_fifo_rst_inst_n_1 ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[10]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => '1', + D => diff_pntr_pf_q0(10), + Q => diff_pntr_pf_q(10), + R => xpm_fifo_rst_inst_n_1 + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => '1', + D => diff_pntr_pf_q0(11), + Q => diff_pntr_pf_q(11), + R => xpm_fifo_rst_inst_n_1 + ); +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => wr_clk, + CE => '1', + D => diff_pntr_pf_q0(12), + Q => diff_pntr_pf_q(12), + R => xpm_fifo_rst_inst_n_1 + ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' @@ -5259,28 +6826,30 @@ GND: unisim.vcomponents.GND Q => diff_pntr_pf_q(9), R => xpm_fifo_rst_inst_n_1 ); -\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2\: unisim.vcomponents.LUT4 +\gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2\: unisim.vcomponents.LUT6 generic map( - INIT => X"0080" + INIT => X"7FFFFFFFFFFFFFFF" ) port map ( - I0 => diff_pntr_pf_q(8), - I1 => diff_pntr_pf_q(9), - I2 => diff_pntr_pf_q(1), - I3 => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3_n_0\, + I0 => diff_pntr_pf_q(1), + I1 => diff_pntr_pf_q(4), + I2 => diff_pntr_pf_q(5), + I3 => diff_pntr_pf_q(6), + I4 => diff_pntr_pf_q(7), + I5 => diff_pntr_pf_q(8), O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2_n_0\ ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"FDFFFFFFFFFFFFFF" + INIT => X"0400000000000000" ) port map ( - I0 => diff_pntr_pf_q(7), - I1 => diff_pntr_pf_q(2), - I2 => diff_pntr_pf_q(3), - I3 => diff_pntr_pf_q(4), - I4 => diff_pntr_pf_q(5), - I5 => diff_pntr_pf_q(6), + I0 => diff_pntr_pf_q(3), + I1 => diff_pntr_pf_q(11), + I2 => diff_pntr_pf_q(2), + I3 => diff_pntr_pf_q(12), + I4 => diff_pntr_pf_q(9), + I5 => diff_pntr_pf_q(10), O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3_n_0\ ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\: unisim.vcomponents.FDSE @@ -5290,7 +6859,7 @@ GND: unisim.vcomponents.GND port map ( C => wr_clk, CE => '1', - D => rst_d1_inst_n_1, + D => rst_d1_inst_n_2, Q => \^prog_full\, S => xpm_fifo_rst_inst_n_1 ); @@ -5301,7 +6870,7 @@ GND: unisim.vcomponents.GND port map ( C => wr_clk, CE => '1', - D => ram_rd_en_pf, + D => rdp_inst_n_1, Q => ram_rd_en_pf_q, R => xpm_fifo_rst_inst_n_1 ); @@ -5318,8 +6887,8 @@ GND: unisim.vcomponents.GND ); \gen_sdpram.xpm_memory_base_inst\: entity work.design_1_axi_fifo_mm_s_0_0_xpm_memory_base port map ( - addra(8 downto 0) => wr_pntr_ext(8 downto 0), - addrb(8 downto 0) => rd_pntr_ext(8 downto 0), + addra(11 downto 0) => wr_pntr_ext(11 downto 0), + addrb(11 downto 0) => rd_pntr_ext(11 downto 0), clka => wr_clk, clkb => '0', dbiterra => \NLW_gen_sdpram.xpm_memory_base_inst_dbiterra_UNCONNECTED\, @@ -5329,7 +6898,7 @@ GND: unisim.vcomponents.GND douta(52 downto 0) => \NLW_gen_sdpram.xpm_memory_base_inst_douta_UNCONNECTED\(52 downto 0), doutb(52 downto 0) => dout(52 downto 0), ena => '0', - enb => ram_rd_en_pf, + enb => rdp_inst_n_1, injectdbiterra => '0', injectdbiterrb => '0', injectsbiterra => '0', @@ -5346,11 +6915,11 @@ GND: unisim.vcomponents.GND ); \gen_sdpram.xpm_memory_base_inst_i_3\: unisim.vcomponents.LUT3 generic map( - INIT => X"4A" + INIT => X"2C" ) port map ( - I0 => curr_fwft_state(0), - I1 => rd_en, + I0 => rd_en, + I1 => curr_fwft_state(0), I2 => curr_fwft_state(1), O => \gen_fwft.ram_regout_en\ ); @@ -5362,6 +6931,30 @@ GND: unisim.vcomponents.GND Q => rd_data_count(0), R => \grdc.rd_data_count_i0\ ); +\grdc.rd_data_count_i_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => wr_clk, + CE => '1', + D => \grdc.diff_wr_rd_pntr_rdc\(10), + Q => rd_data_count(10), + R => \grdc.rd_data_count_i0\ + ); +\grdc.rd_data_count_i_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => wr_clk, + CE => '1', + D => \grdc.diff_wr_rd_pntr_rdc\(11), + Q => rd_data_count(11), + R => \grdc.rd_data_count_i0\ + ); +\grdc.rd_data_count_i_reg[12]\: unisim.vcomponents.FDRE + port map ( + C => wr_clk, + CE => '1', + D => \grdc.diff_wr_rd_pntr_rdc\(12), + Q => rd_data_count(12), + R => \grdc.rd_data_count_i0\ + ); \grdc.rd_data_count_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => wr_clk, @@ -5442,6 +7035,30 @@ GND: unisim.vcomponents.GND Q => wr_data_count(0), R => xpm_fifo_rst_inst_n_1 ); +\gwdc.wr_data_count_i_reg[10]\: unisim.vcomponents.FDRE + port map ( + C => wr_clk, + CE => '1', + D => \grdc.diff_wr_rd_pntr_rdc\(10), + Q => wr_data_count(10), + R => xpm_fifo_rst_inst_n_1 + ); +\gwdc.wr_data_count_i_reg[11]\: unisim.vcomponents.FDRE + port map ( + C => wr_clk, + CE => '1', + D => \grdc.diff_wr_rd_pntr_rdc\(11), + Q => wr_data_count(11), + R => xpm_fifo_rst_inst_n_1 + ); +\gwdc.wr_data_count_i_reg[12]\: unisim.vcomponents.FDRE + port map ( + C => wr_clk, + CE => '1', + D => \grdc.diff_wr_rd_pntr_rdc\(12), + Q => wr_data_count(12), + R => xpm_fifo_rst_inst_n_1 + ); \gwdc.wr_data_count_i_reg[1]\: unisim.vcomponents.FDRE port map ( C => wr_clk, @@ -5517,138 +7134,220 @@ GND: unisim.vcomponents.GND rdp_inst: entity work.\design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2\ port map ( CO(0) => leaving_empty0, - D(8 downto 0) => diff_pntr_pf_q0(9 downto 1), - E(0) => ram_rd_en_pf, + DI(0) => rdp_inst_n_2, \FSM_sequential_gen_fwft.curr_fwft_state_reg[0]\ => rdp_inst_n_1, - Q(9) => rdp_inst_n_3, - Q(8 downto 0) => rd_pntr_ext(8 downto 0), - S(0) => wrpp1_inst_n_10, + Q(11 downto 0) => rd_pntr_ext(11 downto 0), + S(0) => rdp_inst_n_15, almost_full => \^almost_full\, - \count_value_i_reg[0]_0\(0) => xpm_fifo_rst_inst_n_1, - \count_value_i_reg[8]_0\(8 downto 0) => diff_pntr_pe(8 downto 0), - \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg\(0) => going_afull1, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg\ => rdp_inst_n_0, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0\ => rdp_inst_n_32, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg\ => xpm_fifo_rst_inst_n_3, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_0\ => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0\, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_1\ => rst_d1_inst_n_2, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_2\(1 downto 0) => curr_fwft_state(1 downto 0), - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(8) => wrpp1_inst_n_0, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(7) => wrpp1_inst_n_1, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(6) => wrpp1_inst_n_2, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(5) => wrpp1_inst_n_3, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(4) => wrpp1_inst_n_4, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(3) => wrpp1_inst_n_5, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(2) => wrpp1_inst_n_6, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(1) => wrpp1_inst_n_7, - \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg_i_2_0\(0) => wrpp1_inst_n_8, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\(0) => xpm_fifo_rst_inst_n_8, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(7 downto 0) => wr_pntr_ext(7 downto 0), - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[8]\(0) => wrp_inst_n_21, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\(0) => wrpp1_inst_n_9, + clr_full => clr_full, + \count_value_i_reg[0]_0\(0) => rdp_inst_n_31, + \count_value_i_reg[0]_1\(1 downto 0) => curr_fwft_state(1 downto 0), + \count_value_i_reg[0]_2\(0) => xpm_fifo_rst_inst_n_1, + \count_value_i_reg[11]_0\(0) => rdp_inst_n_17, + \count_value_i_reg[11]_1\(3) => rdp_inst_n_27, + \count_value_i_reg[11]_1\(2) => rdp_inst_n_28, + \count_value_i_reg[11]_1\(1) => rdp_inst_n_29, + \count_value_i_reg[11]_1\(0) => rdp_inst_n_30, + \count_value_i_reg[1]_0\(0) => rdp_inst_n_16, + \count_value_i_reg[1]_1\(0) => rdp_inst_n_32, + \count_value_i_reg[3]_0\(2) => rdp_inst_n_20, + \count_value_i_reg[3]_0\(1) => rdp_inst_n_21, + \count_value_i_reg[3]_0\(0) => rdp_inst_n_22, + \count_value_i_reg[7]_0\(3) => rdp_inst_n_23, + \count_value_i_reg[7]_0\(2) => rdp_inst_n_24, + \count_value_i_reg[7]_0\(1) => rdp_inst_n_25, + \count_value_i_reg[7]_0\(0) => rdp_inst_n_26, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(11) => wrpp2_inst_n_0, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(10) => wrpp2_inst_n_1, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(9) => wrpp2_inst_n_2, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(8) => wrpp2_inst_n_3, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(7) => wrpp2_inst_n_4, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(6) => wrpp2_inst_n_5, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(5) => wrpp2_inst_n_6, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(4) => wrpp2_inst_n_7, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(3) => wrpp2_inst_n_8, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(2) => wrpp2_inst_n_9, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(1) => wrpp2_inst_n_10, + \gen_pntr_flags_cc.gaf_cc.ram_afull_i_reg_i_3_0\(0) => wrpp2_inst_n_11, + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg\ => rdp_inst_n_19, + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_0\ => rdp_inst_n_33, + \gen_pntr_flags_cc.gen_full_rst_val.ram_full_n_reg\ => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0\, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(11) => wrpp1_inst_n_0, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(10) => wrpp1_inst_n_1, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(9) => wrpp1_inst_n_2, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(8) => wrpp1_inst_n_3, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(7) => wrpp1_inst_n_4, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(6) => wrpp1_inst_n_5, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(5) => wrpp1_inst_n_6, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(4) => wrpp1_inst_n_7, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(3) => wrpp1_inst_n_8, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(2) => wrpp1_inst_n_9, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(1) => wrpp1_inst_n_10, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(0) => wrpp1_inst_n_11, + \grdc.rd_data_count_i_reg[12]\(12) => wrp_inst_n_1, + \grdc.rd_data_count_i_reg[12]\(11 downto 0) => wr_pntr_ext(11 downto 0), + \grdc.rd_data_count_i_reg[3]\(0) => count_value_i(1), ram_empty_i => ram_empty_i, + ram_wr_en_pf => ram_wr_en_pf, rd_en => rd_en, rst => rst, - \syncstages_ff_reg[3]\ => rdp_inst_n_2, + \syncstages_ff_reg[3]\ => rdp_inst_n_0, wr_clk => wr_clk ); rdpp1_inst: entity work.\design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3\ port map ( - CO(0) => going_empty1, - E(0) => ram_rd_en_pf, - Q(8 downto 0) => wr_pntr_ext(8 downto 0), - \count_value_i_reg[0]_0\(0) => xpm_fifo_rst_inst_n_1, - \count_value_i_reg[4]_0\ => rdp_inst_n_1, + Q(11) => rdpp1_inst_n_0, + Q(10) => rdpp1_inst_n_1, + Q(9) => rdpp1_inst_n_2, + Q(8) => rdpp1_inst_n_3, + Q(7) => rdpp1_inst_n_4, + Q(6) => rdpp1_inst_n_5, + Q(5) => rdpp1_inst_n_6, + Q(4) => rdpp1_inst_n_7, + Q(3) => rdpp1_inst_n_8, + Q(2) => rdpp1_inst_n_9, + Q(1) => rdpp1_inst_n_10, + Q(0) => rdpp1_inst_n_11, + \count_value_i_reg[0]_0\ => rdp_inst_n_1, + \count_value_i_reg[0]_1\(0) => xpm_fifo_rst_inst_n_1, wr_clk => wr_clk ); rst_d1_inst: entity work.design_1_axi_fifo_mm_s_0_0_xpm_fifo_reg_bit port map ( Q(0) => xpm_fifo_rst_inst_n_1, + clr_full => clr_full, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\ => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_2_n_0\, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_rd_en_pf_q_reg\ => rst_d1_inst_n_1, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg_0\ => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_i_3_n_0\, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.ram_wr_en_pf_q_reg\ => rst_d1_inst_n_2, prog_full => \^prog_full\, ram_rd_en_pf_q => ram_rd_en_pf_q, ram_wr_en_pf_q => ram_wr_en_pf_q, rst => rst, rst_d1 => rst_d1, - \syncstages_ff_reg[3]\ => rst_d1_inst_n_2, wr_clk => wr_clk ); wrp_inst: entity work.\design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized2_0\ port map ( CO(0) => leaving_empty0, - D(9 downto 0) => \grdc.diff_wr_rd_pntr_rdc\(9 downto 0), + D(12 downto 0) => \grdc.diff_wr_rd_pntr_rdc\(12 downto 0), + DI(1) => rdp_inst_n_16, DI(0) => \gen_fwft.rdpp1_inst_n_3\, - E(0) => ram_wr_en_pf, - Q(8 downto 0) => wr_pntr_ext(8 downto 0), - S(1) => \gen_fwft.rdpp1_inst_n_1\, - S(0) => \gen_fwft.rdpp1_inst_n_2\, - \count_value_i_reg[8]_0\(0) => wrp_inst_n_21, - \count_value_i_reg[9]_0\(0) => xpm_fifo_rst_inst_n_1, + Q(12) => wrp_inst_n_1, + Q(11 downto 0) => wr_pntr_ext(11 downto 0), + S(0) => xpm_fifo_rst_inst_n_7, + \count_value_i_reg[10]_0\(11 downto 0) => diff_pntr_pe(11 downto 0), + \count_value_i_reg[12]_0\(0) => xpm_fifo_rst_inst_n_1, \gen_pntr_flags_cc.ram_empty_i_reg\ => rdp_inst_n_1, - \gen_pntr_flags_cc.ram_empty_i_reg_0\ => xpm_fifo_rst_inst_n_3, - \gen_pntr_flags_cc.ram_empty_i_reg_1\(0) => going_empty1, - \grdc.rd_data_count_i_reg[3]\(0) => count_value_i(1), - \grdc.rd_data_count_i_reg[9]\(9) => rdp_inst_n_3, - \grdc.rd_data_count_i_reg[9]\(8 downto 0) => rd_pntr_ext(8 downto 0), + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(11) => rdpp1_inst_n_0, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(10) => rdpp1_inst_n_1, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(9) => rdpp1_inst_n_2, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(8) => rdpp1_inst_n_3, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(7) => rdpp1_inst_n_4, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(6) => rdpp1_inst_n_5, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(5) => rdpp1_inst_n_6, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(4) => rdpp1_inst_n_7, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(3) => rdpp1_inst_n_8, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(2) => rdpp1_inst_n_9, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(1) => rdpp1_inst_n_10, + \gen_pntr_flags_cc.ram_empty_i_reg_i_2_0\(0) => rdpp1_inst_n_11, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]\(3) => rdp_inst_n_27, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]\(2) => rdp_inst_n_28, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]\(1) => rdp_inst_n_29, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[11]\(0) => rdp_inst_n_30, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\(0) => \p_1_in__0\, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0\(3) => rdp_inst_n_20, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0\(2) => rdp_inst_n_21, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0\(1) => rdp_inst_n_22, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]_0\(0) => xpm_fifo_rst_inst_n_11, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(3) => rdp_inst_n_23, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(2) => rdp_inst_n_24, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(1) => rdp_inst_n_25, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[7]\(0) => rdp_inst_n_26, + \grdc.rd_data_count_i_reg[11]\(9 downto 0) => rd_pntr_ext(11 downto 2), + \grdc.rd_data_count_i_reg[12]\(0) => rdp_inst_n_17, + \grdc.rd_data_count_i_reg[3]\(2) => rdp_inst_n_32, + \grdc.rd_data_count_i_reg[3]\(1) => \gen_fwft.rdpp1_inst_n_1\, + \grdc.rd_data_count_i_reg[3]\(0) => \gen_fwft.rdpp1_inst_n_2\, ram_empty_i => ram_empty_i, ram_empty_i0 => ram_empty_i0, + ram_wr_en_pf => ram_wr_en_pf, wr_clk => wr_clk ); wrpp1_inst: entity work.\design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized3_1\ port map ( - E(0) => ram_wr_en_pf, - Q(8) => wrpp1_inst_n_0, - Q(7) => wrpp1_inst_n_1, - Q(6) => wrpp1_inst_n_2, - Q(5) => wrpp1_inst_n_3, - Q(4) => wrpp1_inst_n_4, - Q(3) => wrpp1_inst_n_5, - Q(2) => wrpp1_inst_n_6, - Q(1) => wrpp1_inst_n_7, - Q(0) => wrpp1_inst_n_8, - S(0) => wrpp1_inst_n_10, + D(11 downto 0) => diff_pntr_pf_q0(12 downto 1), + DI(0) => rdp_inst_n_2, + Q(11) => wrpp1_inst_n_0, + Q(10) => wrpp1_inst_n_1, + Q(9) => wrpp1_inst_n_2, + Q(8) => wrpp1_inst_n_3, + Q(7) => wrpp1_inst_n_4, + Q(6) => wrpp1_inst_n_5, + Q(5) => wrpp1_inst_n_6, + Q(4) => wrpp1_inst_n_7, + Q(3) => wrpp1_inst_n_8, + Q(2) => wrpp1_inst_n_9, + Q(1) => wrpp1_inst_n_10, + Q(0) => wrpp1_inst_n_11, + S(0) => xpm_fifo_rst_inst_n_8, \count_value_i_reg[0]_0\(0) => xpm_fifo_rst_inst_n_1, - \count_value_i_reg[4]_0\ => xpm_fifo_rst_inst_n_3, - \count_value_i_reg[8]_0\(0) => wrpp1_inst_n_9, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]\ => rdp_inst_n_1, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\(2 downto 1) => rd_pntr_ext(8 downto 7), - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[9]\(0) => rd_pntr_ext(0), + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]\(0) => rdp_inst_n_15, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[12]_0\(10 downto 0) => rd_pntr_ext(10 downto 0), + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]\(0) => rdp_inst_n_31, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.diff_pntr_pf_q_reg[4]_0\ => rdp_inst_n_1, + ram_wr_en_pf => ram_wr_en_pf, wr_clk => wr_clk ); wrpp2_inst: entity work.\design_1_axi_fifo_mm_s_0_0_xpm_counter_updn__parameterized0\ port map ( - E(0) => ram_wr_en_pf, - Q(8 downto 0) => rd_pntr_ext(8 downto 0), + Q(11) => wrpp2_inst_n_0, + Q(10) => wrpp2_inst_n_1, + Q(9) => wrpp2_inst_n_2, + Q(8) => wrpp2_inst_n_3, + Q(7) => wrpp2_inst_n_4, + Q(6) => wrpp2_inst_n_5, + Q(5) => wrpp2_inst_n_6, + Q(4) => wrpp2_inst_n_7, + Q(3) => wrpp2_inst_n_8, + Q(2) => wrpp2_inst_n_9, + Q(1) => wrpp2_inst_n_10, + Q(0) => wrpp2_inst_n_11, + S(0) => xpm_fifo_rst_inst_n_9, \count_value_i_reg[0]_0\(0) => xpm_fifo_rst_inst_n_1, - \count_value_i_reg[4]_0\ => xpm_fifo_rst_inst_n_3, - \count_value_i_reg[7]_0\(0) => going_afull1, + ram_wr_en_pf => ram_wr_en_pf, wr_clk => wr_clk ); xpm_fifo_rst_inst: entity work.design_1_axi_fifo_mm_s_0_0_xpm_fifo_rst port map ( - E(0) => ram_wr_en_pf, Q(0) => xpm_fifo_rst_inst_n_1, - SR(0) => \gen_fwft.count_rst\, - \count_value_i_reg[1]\(1 downto 0) => curr_fwft_state(1 downto 0), - \gen_fwft.empty_fwft_i_reg\ => xpm_fifo_rst_inst_n_6, - \gen_fwft.empty_fwft_i_reg_0\ => xpm_fifo_rst_inst_n_7, - \gen_fwft.empty_fwft_i_reg_1\(0) => xpm_fifo_rst_inst_n_8, + S(0) => xpm_fifo_rst_inst_n_7, + SR(0) => \grdc.rd_data_count_i0\, + \count_value_i_reg[0]\(0) => xpm_fifo_rst_inst_n_8, + \count_value_i_reg[0]_0\(0) => xpm_fifo_rst_inst_n_9, + \count_value_i_reg[3]\(0) => wr_pntr_ext(0), + \count_value_i_reg[3]_0\(0) => wrpp1_inst_n_11, + \count_value_i_reg[3]_1\(0) => wrpp2_inst_n_11, + \gen_fwft.empty_fwft_i_reg\(0) => \p_1_in__0\, + \gen_fwft.empty_fwft_i_reg_0\(0) => xpm_fifo_rst_inst_n_11, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.diff_pntr_pe_reg[3]\(0) => rd_pntr_ext(0), \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\ => xpm_fifo_rst_inst_n_0, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_0\ => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_2_n_0\, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg_1\ => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_i_3_n_0\, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg\ => \gen_pntr_flags_cc.gen_full_rst_val.ram_full_i_reg_n_0\, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\ => rdp_inst_n_1, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\ => \gen_fwft.empty_fwft_i_reg_n_0\, - \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\ => xpm_fifo_rst_inst_n_3, - \gen_rst_cc.fifo_wr_rst_cc_reg[2]_1\(0) => \grdc.rd_data_count_i0\, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_0\ => \gen_fwft.empty_fwft_i_reg_n_0\, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.write_only_q_reg_1\ => rdp_inst_n_1, + \gen_rst_cc.fifo_wr_rst_cc_reg[2]_0\(0) => \gen_fwft.count_rst\, + \grdc.rd_data_count_i_reg[0]\(1 downto 0) => curr_fwft_state(1 downto 0), prog_empty => \^prog_empty\, ram_empty_i => ram_empty_i, + ram_wr_en_pf => ram_wr_en_pf, + read_only => read_only, read_only_q => read_only_q, rst => rst, rst_d1 => rst_d1, wr_clk => wr_clk, wr_en => wr_en, + write_only => write_only, write_only_q => write_only_q ); end STRUCTURE; @@ -5666,23 +7365,21 @@ entity design_1_axi_fifo_mm_s_0_0_slave_attachment is s_axi_rvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 0 to 0 ); - \s_axi_wdata[25]\ : out STD_LOGIC; + \s_axi_wdata[27]\ : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\ : out STD_LOGIC; - sig_tx_channel_reset_reg : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2\ : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ : out STD_LOGIC; + E : out STD_LOGIC_VECTOR ( 0 to 0 ); bus2ip_rnw_i_reg_0 : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\ : out STD_LOGIC; - sig_txd_sb_wr_en : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 6 downto 0 ); \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\ : out STD_LOGIC; + sig_tx_channel_reset_reg : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\ : out STD_LOGIC; + \s_axi_wdata[31]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); bus2ip_rnw_i_reg_1 : out STD_LOGIC; - Bus_RNW_reg_reg_0 : out STD_LOGIC_VECTOR ( 12 downto 0 ); - E : out STD_LOGIC_VECTOR ( 0 to 0 ); - s_axi_rdata : out STD_LOGIC_VECTOR ( 21 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 24 downto 0 ); sig_Bus2IP_Reset : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; cs_ce_clr : in STD_LOGIC; @@ -5693,8 +7390,8 @@ entity design_1_axi_fifo_mm_s_0_0_slave_attachment is s_axi_wvalid : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 12 downto 0 ); - \sig_register_array_reg[0][6]\ : in STD_LOGIC; - sig_txd_sb_wr_en_reg : in STD_LOGIC; + axi_str_txd_tvalid : in STD_LOGIC; + axi_str_txd_tlast : in STD_LOGIC; IP2Bus_Error1_in : in STD_LOGIC; sig_str_rst_reg : in STD_LOGIC; \sig_ip2bus_data_reg[10]\ : in STD_LOGIC; @@ -5705,12 +7402,12 @@ entity design_1_axi_fifo_mm_s_0_0_slave_attachment is \sig_ip2bus_data_reg[6]\ : in STD_LOGIC; \sig_ip2bus_data_reg[4]\ : in STD_LOGIC; \sig_ip2bus_data_reg[3]\ : in STD_LOGIC; - sig_txd_sb_wr_en_reg_0 : in STD_LOGIC; + IP2Bus_Error_reg : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \s_axi_rdata_i_reg[31]_0\ : in STD_LOGIC_VECTOR ( 21 downto 0 ) + \s_axi_rdata_i_reg[31]_0\ : in STD_LOGIC_VECTOR ( 24 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of design_1_axi_fifo_mm_s_0_0_slave_attachment : entity is "slave_attachment"; @@ -5752,10 +7449,10 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_slave_attachment is attribute FSM_ENCODED_STATES of \FSM_onehot_state_reg[2]\ : label is "sm_read:1000,sm_write:0100,sm_resp:0001,sm_idle:0010"; attribute FSM_ENCODED_STATES of \FSM_onehot_state_reg[3]\ : label is "sm_read:1000,sm_write:0100,sm_resp:0001,sm_idle:0010"; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of IP2Bus_RdAck_i_2 : label is "soft_lutpair49"; - attribute SOFT_HLUTNM of IP2Bus_WrAck_i_2 : label is "soft_lutpair49"; - attribute SOFT_HLUTNM of \bus2ip_addr_i[2]_i_1\ : label is "soft_lutpair48"; - attribute SOFT_HLUTNM of bus2ip_rnw_i_i_2 : label is "soft_lutpair48"; + attribute SOFT_HLUTNM of IP2Bus_RdAck_i_2 : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of IP2Bus_WrAck_i_2 : label is "soft_lutpair29"; + attribute SOFT_HLUTNM of \bus2ip_addr_i[2]_i_1\ : label is "soft_lutpair28"; + attribute SOFT_HLUTNM of bus2ip_rnw_i_i_2 : label is "soft_lutpair28"; begin s_axi_bresp(0) <= \^s_axi_bresp\(0); s_axi_bvalid <= \^s_axi_bvalid\; @@ -5885,29 +7582,31 @@ IP2Bus_WrAck_i_2: unisim.vcomponents.LUT1 I_DECODER: entity work.design_1_axi_fifo_mm_s_0_0_address_decoder port map ( Bus_RNW_reg_reg_0 => Bus_RNW_reg_reg, - Bus_RNW_reg_reg_1(12 downto 0) => Bus_RNW_reg_reg_0(12 downto 0), D(6 downto 0) => D(6 downto 0), E(0) => E(0), \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\ => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]\, \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\ => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2\ => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_3\ => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_1\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\ => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\ => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]\, - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_1\ => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\, + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_1\ => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\, + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\, + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_1\ => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]_0\ => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\, \GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0\(3) => \bus2ip_addr_i_reg_n_0_[5]\, \GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0\(2) => \bus2ip_addr_i_reg_n_0_[4]\, \GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0\(1) => \bus2ip_addr_i_reg_n_0_[3]\, \GEN_BKEND_CE_REGISTERS[9].ce_out_i_reg[9]_0\(0) => \bus2ip_addr_i_reg_n_0_[2]\, IP2Bus_Error1_in => IP2Bus_Error1_in, + IP2Bus_Error_reg => IP2Bus_Error_reg, Q(6 downto 0) => Q(6 downto 0), + axi_str_txd_tlast => axi_str_txd_tlast, + axi_str_txd_tvalid => axi_str_txd_tvalid, cs_ce_clr => cs_ce_clr, s_axi_aclk => s_axi_aclk, s_axi_wdata(12 downto 0) => s_axi_wdata(12 downto 0), - \s_axi_wdata[25]\ => \s_axi_wdata[25]\, + \s_axi_wdata[27]\ => \s_axi_wdata[27]\, + \s_axi_wdata[31]\(12 downto 0) => \s_axi_wdata[31]\(12 downto 0), sig_Bus2IP_CS => sig_Bus2IP_CS, sig_Bus2IP_RNW => sig_Bus2IP_RNW, \sig_ip2bus_data_reg[10]\ => \sig_ip2bus_data_reg[10]\, @@ -5917,12 +7616,8 @@ I_DECODER: entity work.design_1_axi_fifo_mm_s_0_0_address_decoder \sig_ip2bus_data_reg[7]\ => \sig_ip2bus_data_reg[7]\, \sig_ip2bus_data_reg[8]\ => \sig_ip2bus_data_reg[8]\, \sig_ip2bus_data_reg[9]\ => \sig_ip2bus_data_reg[9]\, - \sig_register_array_reg[0][6]\ => \sig_register_array_reg[0][6]\, sig_str_rst_reg => sig_str_rst_reg, sig_tx_channel_reset_reg => sig_tx_channel_reset_reg, - sig_txd_sb_wr_en => sig_txd_sb_wr_en, - sig_txd_sb_wr_en_reg => sig_txd_sb_wr_en_reg, - sig_txd_sb_wr_en_reg_0 => sig_txd_sb_wr_en_reg_0, start2 => start2 ); \bus2ip_addr_i[2]_i_1\: unisim.vcomponents.LUT4 @@ -6080,7 +7775,7 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => \^s_axi_bvalid\, R => rst ); -\s_axi_rdata_i_reg[19]\: unisim.vcomponents.FDRE +\s_axi_rdata_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) @@ -6091,6 +7786,39 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => s_axi_rdata(9), R => rst ); +\s_axi_rdata_i_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => s_axi_rresp_i, + D => \s_axi_rdata_i_reg[31]_0\(10), + Q => s_axi_rdata(10), + R => rst + ); +\s_axi_rdata_i_reg[12]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => s_axi_rresp_i, + D => \s_axi_rdata_i_reg[31]_0\(11), + Q => s_axi_rdata(11), + R => rst + ); +\s_axi_rdata_i_reg[19]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => s_axi_rresp_i, + D => \s_axi_rdata_i_reg[31]_0\(12), + Q => s_axi_rdata(12), + R => rst + ); \s_axi_rdata_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' @@ -6103,39 +7831,6 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE R => rst ); \s_axi_rdata_i_reg[20]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => s_axi_aclk, - CE => s_axi_rresp_i, - D => \s_axi_rdata_i_reg[31]_0\(10), - Q => s_axi_rdata(10), - R => rst - ); -\s_axi_rdata_i_reg[21]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => s_axi_aclk, - CE => s_axi_rresp_i, - D => \s_axi_rdata_i_reg[31]_0\(11), - Q => s_axi_rdata(11), - R => rst - ); -\s_axi_rdata_i_reg[22]\: unisim.vcomponents.FDRE - generic map( - INIT => '0' - ) - port map ( - C => s_axi_aclk, - CE => s_axi_rresp_i, - D => \s_axi_rdata_i_reg[31]_0\(12), - Q => s_axi_rdata(12), - R => rst - ); -\s_axi_rdata_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) @@ -6146,7 +7841,7 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => s_axi_rdata(13), R => rst ); -\s_axi_rdata_i_reg[24]\: unisim.vcomponents.FDRE +\s_axi_rdata_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) @@ -6157,7 +7852,7 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => s_axi_rdata(14), R => rst ); -\s_axi_rdata_i_reg[25]\: unisim.vcomponents.FDRE +\s_axi_rdata_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) @@ -6168,7 +7863,7 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => s_axi_rdata(15), R => rst ); -\s_axi_rdata_i_reg[26]\: unisim.vcomponents.FDRE +\s_axi_rdata_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) @@ -6179,7 +7874,7 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => s_axi_rdata(16), R => rst ); -\s_axi_rdata_i_reg[27]\: unisim.vcomponents.FDRE +\s_axi_rdata_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) @@ -6190,7 +7885,7 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => s_axi_rdata(17), R => rst ); -\s_axi_rdata_i_reg[28]\: unisim.vcomponents.FDRE +\s_axi_rdata_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) @@ -6201,7 +7896,7 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => s_axi_rdata(18), R => rst ); -\s_axi_rdata_i_reg[29]\: unisim.vcomponents.FDRE +\s_axi_rdata_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) @@ -6212,6 +7907,39 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE Q => s_axi_rdata(19), R => rst ); +\s_axi_rdata_i_reg[27]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => s_axi_rresp_i, + D => \s_axi_rdata_i_reg[31]_0\(20), + Q => s_axi_rdata(20), + R => rst + ); +\s_axi_rdata_i_reg[28]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => s_axi_rresp_i, + D => \s_axi_rdata_i_reg[31]_0\(21), + Q => s_axi_rdata(21), + R => rst + ); +\s_axi_rdata_i_reg[29]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => s_axi_rresp_i, + D => \s_axi_rdata_i_reg[31]_0\(22), + Q => s_axi_rdata(22), + R => rst + ); \s_axi_rdata_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' @@ -6230,8 +7958,8 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => s_axi_rresp_i, - D => \s_axi_rdata_i_reg[31]_0\(20), - Q => s_axi_rdata(20), + D => \s_axi_rdata_i_reg[31]_0\(23), + Q => s_axi_rdata(23), R => rst ); \s_axi_rdata_i_reg[31]\: unisim.vcomponents.FDRE @@ -6241,8 +7969,8 @@ s_axi_bvalid_i_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => s_axi_rresp_i, - D => \s_axi_rdata_i_reg[31]_0\(21), - Q => s_axi_rdata(21), + D => \s_axi_rdata_i_reg[31]_0\(24), + Q => s_axi_rdata(24), R => rst ); \s_axi_rdata_i_reg[3]\: unisim.vcomponents.FDRE @@ -6403,10 +8131,10 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is m_axis_tdest : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); prog_full_axis : out STD_LOGIC; - wr_data_count_axis : out STD_LOGIC_VECTOR ( 9 downto 0 ); + wr_data_count_axis : out STD_LOGIC_VECTOR ( 12 downto 0 ); almost_full_axis : out STD_LOGIC; prog_empty_axis : out STD_LOGIC; - rd_data_count_axis : out STD_LOGIC_VECTOR ( 9 downto 0 ); + rd_data_count_axis : out STD_LOGIC_VECTOR ( 12 downto 0 ); almost_empty_axis : out STD_LOGIC; injectsbiterr_axis : in STD_LOGIC; injectdbiterr_axis : in STD_LOGIC; @@ -6436,11 +8164,11 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute EN_DATA_VALID_INT : string; attribute EN_DATA_VALID_INT of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is "1'b1"; attribute FIFO_DEPTH : integer; - attribute FIFO_DEPTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 512; + attribute FIFO_DEPTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 4096; attribute FIFO_MEMORY_TYPE : string; attribute FIFO_MEMORY_TYPE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is "BRAM"; attribute LOG_DEPTH_AXIS : integer; - attribute LOG_DEPTH_AXIS of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 9; + attribute LOG_DEPTH_AXIS of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 12; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is "xpm_fifo_axis"; attribute PACKET_FIFO : string; @@ -6450,7 +8178,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute PROG_EMPTY_THRESH : integer; attribute PROG_EMPTY_THRESH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 5; attribute PROG_FULL_THRESH : integer; - attribute PROG_FULL_THRESH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 507; + attribute PROG_FULL_THRESH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 4091; attribute P_COMMON_CLOCK : integer; attribute P_COMMON_CLOCK of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 1; attribute P_ECC_MODE : integer; @@ -6460,7 +8188,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute P_PKT_MODE : integer; attribute P_PKT_MODE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 1; attribute RD_DATA_COUNT_WIDTH : integer; - attribute RD_DATA_COUNT_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 10; + attribute RD_DATA_COUNT_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 13; attribute RELATED_CLOCKS : integer; attribute RELATED_CLOCKS of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 0; attribute SIM_ASSERT_CHK : integer; @@ -6492,7 +8220,7 @@ entity design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute USE_ADV_FEATURES_INT : integer; attribute USE_ADV_FEATURES_INT of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 826617925; attribute WR_DATA_COUNT_WIDTH : integer; - attribute WR_DATA_COUNT_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 10; + attribute WR_DATA_COUNT_WIDTH of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is 13; attribute XPM_MODULE : string; attribute XPM_MODULE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis : entity is "TRUE"; attribute dont_touch : string; @@ -6689,7 +8417,7 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute ADDER_THRESHOLD of \gaxis_pkt_fifo_cc.axis_pkt_cnt_reg[4]_i_1\ : label is 11; attribute ADDER_THRESHOLD of \gaxis_pkt_fifo_cc.axis_pkt_cnt_reg[8]_i_1\ : label is 11; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \gaxis_pkt_fifo_cc.axis_pkt_read_i_1\ : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of \gaxis_pkt_fifo_cc.axis_pkt_read_i_1\ : label is "soft_lutpair5"; attribute COMPARATOR_THRESHOLD : integer; attribute COMPARATOR_THRESHOLD of \gaxis_pkt_fifo_cc.axis_pkt_read_reg_i_15\ : label is 6; attribute COMPARATOR_THRESHOLD of \gaxis_pkt_fifo_cc.axis_pkt_read_reg_i_2\ : label is 6; @@ -6711,7 +8439,7 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute XPM_CDC : string; attribute XPM_CDC of \gaxis_rst_sync.xpm_cdc_sync_rst_inst\ : label is "SYNC_RST"; attribute XPM_MODULE of \gaxis_rst_sync.xpm_cdc_sync_rst_inst\ : label is "TRUE"; - attribute SOFT_HLUTNM of m_axis_tvalid_INST_0 : label is "soft_lutpair25"; + attribute SOFT_HLUTNM of m_axis_tvalid_INST_0 : label is "soft_lutpair5"; attribute CASCADE_HEIGHT of xpm_fifo_base_inst : label is 0; attribute CDC_DEST_SYNC_FF : integer; attribute CDC_DEST_SYNC_FF of xpm_fifo_base_inst : label is 2; @@ -6752,13 +8480,13 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute FIFO_MEM_TYPE : integer; attribute FIFO_MEM_TYPE of xpm_fifo_base_inst : label is 2; attribute FIFO_READ_DEPTH : integer; - attribute FIFO_READ_DEPTH of xpm_fifo_base_inst : label is 512; + attribute FIFO_READ_DEPTH of xpm_fifo_base_inst : label is 4096; attribute FIFO_READ_LATENCY : integer; attribute FIFO_READ_LATENCY of xpm_fifo_base_inst : label is 0; attribute FIFO_SIZE : integer; - attribute FIFO_SIZE of xpm_fifo_base_inst : label is 27136; + attribute FIFO_SIZE of xpm_fifo_base_inst : label is 217088; attribute FIFO_WRITE_DEPTH : integer; - attribute FIFO_WRITE_DEPTH of xpm_fifo_base_inst : label is 512; + attribute FIFO_WRITE_DEPTH of xpm_fifo_base_inst : label is 4096; attribute FULL_RESET_VALUE : integer; attribute FULL_RESET_VALUE of xpm_fifo_base_inst : label is 1; attribute FULL_RST_VAL : string; @@ -6767,26 +8495,26 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute PE_THRESH_ADJ : integer; attribute PE_THRESH_ADJ of xpm_fifo_base_inst : label is 3; attribute PE_THRESH_MAX : integer; - attribute PE_THRESH_MAX of xpm_fifo_base_inst : label is 507; + attribute PE_THRESH_MAX of xpm_fifo_base_inst : label is 4091; attribute PE_THRESH_MIN : integer; attribute PE_THRESH_MIN of xpm_fifo_base_inst : label is 5; attribute PF_THRESH_ADJ : integer; - attribute PF_THRESH_ADJ of xpm_fifo_base_inst : label is 505; + attribute PF_THRESH_ADJ of xpm_fifo_base_inst : label is 4089; attribute PF_THRESH_MAX : integer; - attribute PF_THRESH_MAX of xpm_fifo_base_inst : label is 507; + attribute PF_THRESH_MAX of xpm_fifo_base_inst : label is 4091; attribute PF_THRESH_MIN : integer; attribute PF_THRESH_MIN of xpm_fifo_base_inst : label is 5; attribute PROG_EMPTY_THRESH of xpm_fifo_base_inst : label is 5; - attribute PROG_FULL_THRESH of xpm_fifo_base_inst : label is 507; - attribute RD_DATA_COUNT_WIDTH of xpm_fifo_base_inst : label is 10; + attribute PROG_FULL_THRESH of xpm_fifo_base_inst : label is 4091; + attribute RD_DATA_COUNT_WIDTH of xpm_fifo_base_inst : label is 13; attribute RD_DC_WIDTH_EXT : integer; - attribute RD_DC_WIDTH_EXT of xpm_fifo_base_inst : label is 10; + attribute RD_DC_WIDTH_EXT of xpm_fifo_base_inst : label is 13; attribute RD_LATENCY : integer; attribute RD_LATENCY of xpm_fifo_base_inst : label is 2; attribute RD_MODE : integer; attribute RD_MODE of xpm_fifo_base_inst : label is 1; attribute RD_PNTR_WIDTH : integer; - attribute RD_PNTR_WIDTH of xpm_fifo_base_inst : label is 9; + attribute RD_PNTR_WIDTH of xpm_fifo_base_inst : label is 12; attribute READ_DATA_WIDTH : integer; attribute READ_DATA_WIDTH of xpm_fifo_base_inst : label is 53; attribute READ_MODE : integer; @@ -6804,13 +8532,13 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis is attribute WIDTH_RATIO of xpm_fifo_base_inst : label is 1; attribute WRITE_DATA_WIDTH : integer; attribute WRITE_DATA_WIDTH of xpm_fifo_base_inst : label is 53; - attribute WR_DATA_COUNT_WIDTH of xpm_fifo_base_inst : label is 10; + attribute WR_DATA_COUNT_WIDTH of xpm_fifo_base_inst : label is 13; attribute WR_DC_WIDTH_EXT : integer; - attribute WR_DC_WIDTH_EXT of xpm_fifo_base_inst : label is 10; + attribute WR_DC_WIDTH_EXT of xpm_fifo_base_inst : label is 13; attribute WR_DEPTH_LOG : integer; - attribute WR_DEPTH_LOG of xpm_fifo_base_inst : label is 9; + attribute WR_DEPTH_LOG of xpm_fifo_base_inst : label is 12; attribute WR_PNTR_WIDTH : integer; - attribute WR_PNTR_WIDTH of xpm_fifo_base_inst : label is 9; + attribute WR_PNTR_WIDTH of xpm_fifo_base_inst : label is 12; attribute WR_RD_RATIO : integer; attribute WR_RD_RATIO of xpm_fifo_base_inst : label is 0; attribute WR_WIDTH_LOG : integer; @@ -8121,7 +9849,7 @@ xpm_fifo_base_inst: entity work.design_1_axi_fifo_mm_s_0_0_xpm_fifo_base prog_empty => prog_empty_axis, prog_full => prog_full_axis, rd_clk => '0', - rd_data_count(9 downto 0) => rd_data_count_axis(9 downto 0), + rd_data_count(12 downto 0) => rd_data_count_axis(12 downto 0), rd_en => axis_rd_eop1, rd_rst_busy => NLW_xpm_fifo_base_inst_rd_rst_busy_UNCONNECTED, rst => rst_axis, @@ -8130,7 +9858,7 @@ xpm_fifo_base_inst: entity work.design_1_axi_fifo_mm_s_0_0_xpm_fifo_base underflow => NLW_xpm_fifo_base_inst_underflow_UNCONNECTED, wr_ack => NLW_xpm_fifo_base_inst_wr_ack_UNCONNECTED, wr_clk => s_aclk, - wr_data_count(9 downto 0) => wr_data_count_axis(9 downto 0), + wr_data_count(12 downto 0) => wr_data_count_axis(12 downto 0), wr_en => s_axis_tvalid, wr_rst_busy => NLW_xpm_fifo_base_inst_wr_rst_busy_UNCONNECTED ); @@ -8159,23 +9887,21 @@ entity design_1_axi_fifo_mm_s_0_0_axi_lite_ipif is s_axi_rvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 0 to 0 ); - \s_axi_wdata[25]\ : out STD_LOGIC; + \s_axi_wdata[27]\ : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\ : out STD_LOGIC; - sig_tx_channel_reset_reg : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\ : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ : out STD_LOGIC; + E : out STD_LOGIC_VECTOR ( 0 to 0 ); bus2ip_rnw_i_reg : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\ : out STD_LOGIC; - sig_txd_sb_wr_en : out STD_LOGIC; \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\ : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 6 downto 0 ); \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]\ : out STD_LOGIC; - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\ : out STD_LOGIC; + sig_tx_channel_reset_reg : out STD_LOGIC; + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\ : out STD_LOGIC; + \s_axi_wdata[31]\ : out STD_LOGIC_VECTOR ( 12 downto 0 ); bus2ip_rnw_i_reg_0 : out STD_LOGIC; - Bus_RNW_reg_reg : out STD_LOGIC_VECTOR ( 12 downto 0 ); - E : out STD_LOGIC_VECTOR ( 0 to 0 ); - s_axi_rdata : out STD_LOGIC_VECTOR ( 21 downto 0 ); + s_axi_rdata : out STD_LOGIC_VECTOR ( 24 downto 0 ); sig_Bus2IP_Reset : in STD_LOGIC; s_axi_aclk : in STD_LOGIC; cs_ce_clr : in STD_LOGIC; @@ -8186,8 +9912,8 @@ entity design_1_axi_fifo_mm_s_0_0_axi_lite_ipif is s_axi_wvalid : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 12 downto 0 ); - \sig_register_array_reg[0][6]\ : in STD_LOGIC; - sig_txd_sb_wr_en_reg : in STD_LOGIC; + axi_str_txd_tvalid : in STD_LOGIC; + axi_str_txd_tlast : in STD_LOGIC; IP2Bus_Error1_in : in STD_LOGIC; sig_str_rst_reg : in STD_LOGIC; \sig_ip2bus_data_reg[10]\ : in STD_LOGIC; @@ -8198,12 +9924,12 @@ entity design_1_axi_fifo_mm_s_0_0_axi_lite_ipif is \sig_ip2bus_data_reg[6]\ : in STD_LOGIC; \sig_ip2bus_data_reg[4]\ : in STD_LOGIC; \sig_ip2bus_data_reg[3]\ : in STD_LOGIC; - sig_txd_sb_wr_en_reg_0 : in STD_LOGIC; + IP2Bus_Error_reg : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 3 downto 0 ); - \s_axi_rdata_i_reg[31]\ : in STD_LOGIC_VECTOR ( 21 downto 0 ) + \s_axi_rdata_i_reg[31]\ : in STD_LOGIC_VECTOR ( 24 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of design_1_axi_fifo_mm_s_0_0_axi_lite_ipif : entity is "axi_lite_ipif"; @@ -8214,23 +9940,24 @@ begin I_SLAVE_ATTACHMENT: entity work.design_1_axi_fifo_mm_s_0_0_slave_attachment port map ( Bus_RNW_reg_reg => Bus_RNW_reg, - Bus_RNW_reg_reg_0(12 downto 0) => Bus_RNW_reg_reg(12 downto 0), D(6 downto 0) => D(6 downto 0), E(0) => E(0), \FSM_onehot_state_reg[2]_0\ => \FSM_onehot_state_reg[2]\, \FSM_onehot_state_reg[3]_0\ => \FSM_onehot_state_reg[3]\, \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]\ => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\ => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]\, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\ => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_2\ => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\, \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ => \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\ => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\, - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]\ => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]\, - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\ => \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\, + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\ => \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\, + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\, + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ => \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\, \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg[5]\ => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, IP2Bus_Error1_in => IP2Bus_Error1_in, + IP2Bus_Error_reg => IP2Bus_Error_reg, Q(6 downto 0) => Q(6 downto 0), + axi_str_txd_tlast => axi_str_txd_tlast, + axi_str_txd_tvalid => axi_str_txd_tvalid, bus2ip_rnw_i_reg_0 => bus2ip_rnw_i_reg, bus2ip_rnw_i_reg_1 => bus2ip_rnw_i_reg_0, cs_ce_clr => cs_ce_clr, @@ -8243,13 +9970,14 @@ I_SLAVE_ATTACHMENT: entity work.design_1_axi_fifo_mm_s_0_0_slave_attachment s_axi_bready => s_axi_bready, s_axi_bresp(0) => s_axi_bresp(0), s_axi_bvalid => s_axi_bvalid, - s_axi_rdata(21 downto 0) => s_axi_rdata(21 downto 0), - \s_axi_rdata_i_reg[31]_0\(21 downto 0) => \s_axi_rdata_i_reg[31]\(21 downto 0), + s_axi_rdata(24 downto 0) => s_axi_rdata(24 downto 0), + \s_axi_rdata_i_reg[31]_0\(24 downto 0) => \s_axi_rdata_i_reg[31]\(24 downto 0), s_axi_rready => s_axi_rready, s_axi_rresp(0) => s_axi_rresp(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(12 downto 0) => s_axi_wdata(12 downto 0), - \s_axi_wdata[25]\ => \s_axi_wdata[25]\, + \s_axi_wdata[27]\ => \s_axi_wdata[27]\, + \s_axi_wdata[31]\(12 downto 0) => \s_axi_wdata[31]\(12 downto 0), s_axi_wvalid => s_axi_wvalid, sig_Bus2IP_CS => sig_Bus2IP_CS, sig_Bus2IP_Reset => sig_Bus2IP_Reset, @@ -8260,12 +9988,8 @@ I_SLAVE_ATTACHMENT: entity work.design_1_axi_fifo_mm_s_0_0_slave_attachment \sig_ip2bus_data_reg[7]\ => \sig_ip2bus_data_reg[7]\, \sig_ip2bus_data_reg[8]\ => \sig_ip2bus_data_reg[8]\, \sig_ip2bus_data_reg[9]\ => \sig_ip2bus_data_reg[9]\, - \sig_register_array_reg[0][6]\ => \sig_register_array_reg[0][6]\, sig_str_rst_reg => sig_str_rst_reg, - sig_tx_channel_reset_reg => sig_tx_channel_reset_reg, - sig_txd_sb_wr_en => sig_txd_sb_wr_en, - sig_txd_sb_wr_en_reg => sig_txd_sb_wr_en_reg, - sig_txd_sb_wr_en_reg_0 => sig_txd_sb_wr_en_reg_0 + sig_tx_channel_reset_reg => sig_tx_channel_reset_reg ); end STRUCTURE; library IEEE; @@ -8278,38 +10002,34 @@ entity design_1_axi_fifo_mm_s_0_0_axis_fg is axi_str_txd_tdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); axi_str_txd_tlast : out STD_LOGIC; prog_full_axis : out STD_LOGIC; - wr_data_count_axis : out STD_LOGIC_VECTOR ( 0 to 0 ); + wr_data_count_axis : out STD_LOGIC_VECTOR ( 10 downto 0 ); prog_empty_axis : out STD_LOGIC; s_aresetn : out STD_LOGIC; - \gen_wr_a.gen_word_narrow.mem_reg\ : out STD_LOGIC; sig_txd_wr_en : out STD_LOGIC; - \gwdc.wr_data_count_i_reg[9]\ : out STD_LOGIC; - D : out STD_LOGIC_VECTOR ( 7 downto 0 ); + \gwdc.wr_data_count_i_reg[12]\ : out STD_LOGIC; + S : out STD_LOGIC_VECTOR ( 0 to 0 ); + DI : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gwdc.wr_data_count_i_reg[7]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); + \gwdc.wr_data_count_i_reg[3]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); + \gwdc.wr_data_count_i_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\ : out STD_LOGIC; \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\ : out STD_LOGIC; IP2Bus_Error_reg : out STD_LOGIC; - E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aclk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 31 downto 0 ); - \gen_wr_a.gen_word_narrow.mem_reg_0\ : in STD_LOGIC; + \gen_wr_a.gen_word_narrow.mem_reg_5\ : in STD_LOGIC; axi_str_txd_tready : in STD_LOGIC; start_wr : in STD_LOGIC; txd_wr_en : in STD_LOGIC; - \sig_register_array_reg[0][4]\ : in STD_LOGIC; - \sig_register_array_reg[0][4]_0\ : in STD_LOGIC; - s_axi_wdata : in STD_LOGIC_VECTOR ( 0 to 0 ); IP2Bus_Error_reg_0 : in STD_LOGIC; sig_txd_prog_full_d1 : in STD_LOGIC; sig_txd_prog_empty_d1 : in STD_LOGIC; - \gen_wr_a.gen_word_narrow.mem_reg_1\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); + \gen_wr_a.gen_word_narrow.mem_reg_3\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); p_1_in : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aresetn : in STD_LOGIC; IP2Bus_Error_reg_1 : in STD_LOGIC; Axi_Str_RxD_AReset : in STD_LOGIC; - mm2s_prmry_reset_out_n : in STD_LOGIC; - \sig_txd_wr_data_reg[0]\ : in STD_LOGIC; - \sig_txd_wr_data_reg[0]_0\ : in STD_LOGIC; - \sig_txd_wr_data_reg[0]_1\ : in STD_LOGIC + mm2s_prmry_reset_out_n : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of design_1_axi_fifo_mm_s_0_0_axis_fg : entity is "axis_fg"; @@ -8337,23 +10057,22 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axis_fg is signal COMP_FIFO_n_52 : STD_LOGIC; signal COMP_FIFO_n_53 : STD_LOGIC; signal COMP_FIFO_n_54 : STD_LOGIC; - signal \^axi_str_txd_tlast\ : STD_LOGIC; - signal \^axi_str_txd_tvalid\ : STD_LOGIC; - signal \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0\ : STD_LOGIC; - signal \^gwdc.wr_data_count_i_reg[9]\ : STD_LOGIC; + signal \^gwdc.wr_data_count_i_reg[12]\ : STD_LOGIC; signal input_tstrb : STD_LOGIC_VECTOR ( 2 downto 1 ); signal input_tvalid : STD_LOGIC; signal \^prog_empty_axis\ : STD_LOGIC; signal \^prog_full_axis\ : STD_LOGIC; signal \^s_aresetn\ : STD_LOGIC; signal s_axis_tready_i : STD_LOGIC; - signal sig_txd_occupancy : STD_LOGIC_VECTOR ( 9 downto 0 ); - signal \^wr_data_count_axis\ : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \sig_register_array[0][3]_i_4_n_0\ : STD_LOGIC; + signal \sig_register_array[0][3]_i_5_n_0\ : STD_LOGIC; + signal sig_txd_occupancy : STD_LOGIC_VECTOR ( 12 downto 0 ); + signal \^wr_data_count_axis\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal NLW_COMP_FIFO_almost_empty_axis_UNCONNECTED : STD_LOGIC; signal NLW_COMP_FIFO_almost_full_axis_UNCONNECTED : STD_LOGIC; signal NLW_COMP_FIFO_dbiterr_axis_UNCONNECTED : STD_LOGIC; signal NLW_COMP_FIFO_sbiterr_axis_UNCONNECTED : STD_LOGIC; - signal NLW_COMP_FIFO_rd_data_count_axis_UNCONNECTED : STD_LOGIC_VECTOR ( 9 downto 0 ); + signal NLW_COMP_FIFO_rd_data_count_axis_UNCONNECTED : STD_LOGIC_VECTOR ( 12 downto 0 ); attribute AXIS_DATA_WIDTH : integer; attribute AXIS_DATA_WIDTH of COMP_FIFO : label is 53; attribute AXIS_FINAL_DATA_WIDTH : integer; @@ -8377,11 +10096,11 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axis_fg is attribute EN_DATA_VALID_INT : string; attribute EN_DATA_VALID_INT of COMP_FIFO : label is "1'b1"; attribute FIFO_DEPTH : integer; - attribute FIFO_DEPTH of COMP_FIFO : label is 512; + attribute FIFO_DEPTH of COMP_FIFO : label is 4096; attribute FIFO_MEMORY_TYPE : string; attribute FIFO_MEMORY_TYPE of COMP_FIFO : label is "BRAM"; attribute LOG_DEPTH_AXIS : integer; - attribute LOG_DEPTH_AXIS of COMP_FIFO : label is 9; + attribute LOG_DEPTH_AXIS of COMP_FIFO : label is 12; attribute PACKET_FIFO : string; attribute PACKET_FIFO of COMP_FIFO : label is "true"; attribute PKT_SIZE_LT8 : string; @@ -8389,7 +10108,7 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axis_fg is attribute PROG_EMPTY_THRESH : integer; attribute PROG_EMPTY_THRESH of COMP_FIFO : label is 5; attribute PROG_FULL_THRESH : integer; - attribute PROG_FULL_THRESH of COMP_FIFO : label is 507; + attribute PROG_FULL_THRESH of COMP_FIFO : label is 4091; attribute P_COMMON_CLOCK : integer; attribute P_COMMON_CLOCK of COMP_FIFO : label is 1; attribute P_ECC_MODE : integer; @@ -8399,7 +10118,7 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axis_fg is attribute P_PKT_MODE : integer; attribute P_PKT_MODE of COMP_FIFO : label is 1; attribute RD_DATA_COUNT_WIDTH : integer; - attribute RD_DATA_COUNT_WIDTH of COMP_FIFO : label is 10; + attribute RD_DATA_COUNT_WIDTH of COMP_FIFO : label is 13; attribute RELATED_CLOCKS : integer; attribute RELATED_CLOCKS of COMP_FIFO : label is 0; attribute SIM_ASSERT_CHK : integer; @@ -8431,28 +10150,18 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axis_fg is attribute USE_ADV_FEATURES_INT : integer; attribute USE_ADV_FEATURES_INT of COMP_FIFO : label is 826617925; attribute WR_DATA_COUNT_WIDTH : integer; - attribute WR_DATA_COUNT_WIDTH of COMP_FIFO : label is 10; + attribute WR_DATA_COUNT_WIDTH of COMP_FIFO : label is 13; attribute XPM_MODULE : string; attribute XPM_MODULE of COMP_FIFO : label is "TRUE"; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of IP2Bus_Error_i_1 : label is "soft_lutpair26"; - attribute SOFT_HLUTNM of \gfifo_gen.gmm2s.vacancy_i[2]_i_1\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \gfifo_gen.gmm2s.vacancy_i[3]_i_1\ : label is "soft_lutpair30"; - attribute SOFT_HLUTNM of \gfifo_gen.gmm2s.vacancy_i[4]_i_1\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of \gfifo_gen.gmm2s.vacancy_i[5]_i_1\ : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of \gfifo_gen.gmm2s.vacancy_i[6]_i_1\ : label is "soft_lutpair29"; - attribute SOFT_HLUTNM of \gfifo_gen.gmm2s.vacancy_i[7]_i_1\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \gfifo_gen.gmm2s.vacancy_i[8]_i_1\ : label is "soft_lutpair27"; - attribute SOFT_HLUTNM of \gfifo_gen.gmm2s.vacancy_i[9]_i_3\ : label is "soft_lutpair28"; - attribute SOFT_HLUTNM of sig_txd_wr_en_i_1 : label is "soft_lutpair26"; + attribute SOFT_HLUTNM of IP2Bus_Error_i_1 : label is "soft_lutpair6"; + attribute SOFT_HLUTNM of sig_txd_wr_en_i_1 : label is "soft_lutpair6"; begin - axi_str_txd_tlast <= \^axi_str_txd_tlast\; - axi_str_txd_tvalid <= \^axi_str_txd_tvalid\; - \gwdc.wr_data_count_i_reg[9]\ <= \^gwdc.wr_data_count_i_reg[9]\; + \gwdc.wr_data_count_i_reg[12]\ <= \^gwdc.wr_data_count_i_reg[12]\; prog_empty_axis <= \^prog_empty_axis\; prog_full_axis <= \^prog_full_axis\; s_aresetn <= \^s_aresetn\; - wr_data_count_axis(0) <= \^wr_data_count_axis\(0); + wr_data_count_axis(10 downto 0) <= \^wr_data_count_axis\(10 downto 0); COMP_FIFO: entity work.design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis port map ( almost_empty_axis => NLW_COMP_FIFO_almost_empty_axis_UNCONNECTED, @@ -8474,7 +10183,7 @@ COMP_FIFO: entity work.design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis m_axis_tkeep(2) => COMP_FIFO_n_39, m_axis_tkeep(1) => COMP_FIFO_n_40, m_axis_tkeep(0) => COMP_FIFO_n_41, - m_axis_tlast => \^axi_str_txd_tlast\, + m_axis_tlast => axi_str_txd_tlast, m_axis_tready => axi_str_txd_tready, m_axis_tstrb(3) => COMP_FIFO_n_34, m_axis_tstrb(2) => COMP_FIFO_n_35, @@ -8484,17 +10193,17 @@ COMP_FIFO: entity work.design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis m_axis_tuser(2) => COMP_FIFO_n_52, m_axis_tuser(1) => COMP_FIFO_n_53, m_axis_tuser(0) => COMP_FIFO_n_54, - m_axis_tvalid => \^axi_str_txd_tvalid\, + m_axis_tvalid => axi_str_txd_tvalid, prog_empty_axis => \^prog_empty_axis\, prog_full_axis => \^prog_full_axis\, - rd_data_count_axis(9 downto 0) => NLW_COMP_FIFO_rd_data_count_axis_UNCONNECTED(9 downto 0), + rd_data_count_axis(12 downto 0) => NLW_COMP_FIFO_rd_data_count_axis_UNCONNECTED(12 downto 0), s_aclk => s_axi_aclk, s_aresetn => \^s_aresetn\, s_axis_tdata(31 downto 0) => Q(31 downto 0), s_axis_tdest(3 downto 0) => B"0000", s_axis_tid(3 downto 0) => B"0000", s_axis_tkeep(3 downto 0) => B"0000", - s_axis_tlast => \gen_wr_a.gen_word_narrow.mem_reg_0\, + s_axis_tlast => \gen_wr_a.gen_word_narrow.mem_reg_5\, s_axis_tready => s_axis_tready_i, s_axis_tstrb(3) => COMP_FIFO_i_2_n_0, s_axis_tstrb(2 downto 1) => input_tstrb(2 downto 1), @@ -8502,8 +10211,8 @@ COMP_FIFO: entity work.design_1_axi_fifo_mm_s_0_0_xpm_fifo_axis s_axis_tuser(3 downto 0) => B"0000", s_axis_tvalid => input_tvalid, sbiterr_axis => NLW_COMP_FIFO_sbiterr_axis_UNCONNECTED, - wr_data_count_axis(9 downto 2) => sig_txd_occupancy(9 downto 2), - wr_data_count_axis(1) => \^wr_data_count_axis\(0), + wr_data_count_axis(12) => sig_txd_occupancy(12), + wr_data_count_axis(11 downto 1) => \^wr_data_count_axis\(10 downto 0), wr_data_count_axis(0) => sig_txd_occupancy(0) ); COMP_FIFO_i_1: unisim.vcomponents.LUT3 @@ -8513,7 +10222,7 @@ COMP_FIFO_i_1: unisim.vcomponents.LUT3 port map ( I0 => start_wr, I1 => txd_wr_en, - I2 => \gen_wr_a.gen_word_narrow.mem_reg_0\, + I2 => \gen_wr_a.gen_word_narrow.mem_reg_5\, O => input_tvalid ); COMP_FIFO_i_2: unisim.vcomponents.LUT3 @@ -8521,9 +10230,9 @@ COMP_FIFO_i_2: unisim.vcomponents.LUT3 INIT => X"1F" ) port map ( - I0 => \gen_wr_a.gen_word_narrow.mem_reg_1\(0), - I1 => \gen_wr_a.gen_word_narrow.mem_reg_1\(1), - I2 => \gen_wr_a.gen_word_narrow.mem_reg_0\, + I0 => \gen_wr_a.gen_word_narrow.mem_reg_3\(0), + I1 => \gen_wr_a.gen_word_narrow.mem_reg_3\(1), + I2 => \gen_wr_a.gen_word_narrow.mem_reg_5\, O => COMP_FIFO_i_2_n_0 ); COMP_FIFO_i_3: unisim.vcomponents.LUT3 @@ -8531,9 +10240,9 @@ COMP_FIFO_i_3: unisim.vcomponents.LUT3 INIT => X"9F" ) port map ( - I0 => \gen_wr_a.gen_word_narrow.mem_reg_1\(0), - I1 => \gen_wr_a.gen_word_narrow.mem_reg_1\(1), - I2 => \gen_wr_a.gen_word_narrow.mem_reg_0\, + I0 => \gen_wr_a.gen_word_narrow.mem_reg_3\(0), + I1 => \gen_wr_a.gen_word_narrow.mem_reg_3\(1), + I2 => \gen_wr_a.gen_word_narrow.mem_reg_5\, O => input_tstrb(2) ); COMP_FIFO_i_4: unisim.vcomponents.LUT3 @@ -8541,118 +10250,126 @@ COMP_FIFO_i_4: unisim.vcomponents.LUT3 INIT => X"DF" ) port map ( - I0 => \gen_wr_a.gen_word_narrow.mem_reg_1\(0), - I1 => \gen_wr_a.gen_word_narrow.mem_reg_1\(1), - I2 => \gen_wr_a.gen_word_narrow.mem_reg_0\, + I0 => \gen_wr_a.gen_word_narrow.mem_reg_3\(0), + I1 => \gen_wr_a.gen_word_narrow.mem_reg_3\(1), + I2 => \gen_wr_a.gen_word_narrow.mem_reg_5\, O => input_tstrb(1) ); IP2Bus_Error_i_1: unisim.vcomponents.LUT5 generic map( - INIT => X"00AA03AA" + INIT => X"00AA30AA" ) port map ( I0 => p_1_in(0), I1 => IP2Bus_Error_reg_0, - I2 => \^gwdc.wr_data_count_i_reg[9]\, + I2 => \^gwdc.wr_data_count_i_reg[12]\, I3 => s_axi_aresetn, I4 => IP2Bus_Error_reg_1, O => IP2Bus_Error_reg ); -\gfifo_gen.gmm2s.vacancy_i[2]_i_1\: unisim.vcomponents.LUT2 +\minusOp_carry__0_i_1\: unisim.vcomponents.LUT1 generic map( - INIT => X"9" + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(6), + O => \gwdc.wr_data_count_i_reg[7]\(3) + ); +\minusOp_carry__0_i_2\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(5), + O => \gwdc.wr_data_count_i_reg[7]\(2) + ); +\minusOp_carry__0_i_3\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(4), + O => \gwdc.wr_data_count_i_reg[7]\(1) + ); +\minusOp_carry__0_i_4\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(3), + O => \gwdc.wr_data_count_i_reg[7]\(0) + ); +\minusOp_carry__1_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(10), + O => DI(3) + ); +\minusOp_carry__1_i_2\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(9), + O => DI(2) + ); +\minusOp_carry__1_i_3\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(8), + O => DI(1) + ); +\minusOp_carry__1_i_4\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(7), + O => DI(0) + ); +\minusOp_carry__2_i_1\: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => sig_txd_occupancy(12), + O => S(0) + ); +minusOp_carry_i_1: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(2), + O => \gwdc.wr_data_count_i_reg[3]\(2) + ); +minusOp_carry_i_2: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" + ) + port map ( + I0 => \^wr_data_count_axis\(1), + O => \gwdc.wr_data_count_i_reg[3]\(1) + ); +minusOp_carry_i_3: unisim.vcomponents.LUT1 + generic map( + INIT => X"1" ) port map ( I0 => \^wr_data_count_axis\(0), - I1 => sig_txd_occupancy(2), - O => D(0) + O => \gwdc.wr_data_count_i_reg[3]\(0) ); -\gfifo_gen.gmm2s.vacancy_i[3]_i_1\: unisim.vcomponents.LUT3 +minusOp_carry_i_4: unisim.vcomponents.LUT1 generic map( - INIT => X"95" + INIT => X"1" ) port map ( - I0 => sig_txd_occupancy(3), - I1 => \^wr_data_count_axis\(0), - I2 => sig_txd_occupancy(2), - O => D(1) - ); -\gfifo_gen.gmm2s.vacancy_i[4]_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"9555" - ) - port map ( - I0 => sig_txd_occupancy(4), - I1 => sig_txd_occupancy(3), - I2 => sig_txd_occupancy(2), - I3 => \^wr_data_count_axis\(0), - O => D(2) - ); -\gfifo_gen.gmm2s.vacancy_i[5]_i_1\: unisim.vcomponents.LUT2 - generic map( - INIT => X"9" - ) - port map ( - I0 => \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0\, - I1 => sig_txd_occupancy(5), - O => D(3) - ); -\gfifo_gen.gmm2s.vacancy_i[6]_i_1\: unisim.vcomponents.LUT3 - generic map( - INIT => X"87" - ) - port map ( - I0 => sig_txd_occupancy(5), - I1 => \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0\, - I2 => sig_txd_occupancy(6), - O => D(4) - ); -\gfifo_gen.gmm2s.vacancy_i[7]_i_1\: unisim.vcomponents.LUT4 - generic map( - INIT => X"870F" - ) - port map ( - I0 => sig_txd_occupancy(5), - I1 => \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0\, - I2 => sig_txd_occupancy(7), - I3 => sig_txd_occupancy(6), - O => D(5) - ); -\gfifo_gen.gmm2s.vacancy_i[8]_i_1\: unisim.vcomponents.LUT5 - generic map( - INIT => X"870F0F0F" - ) - port map ( - I0 => sig_txd_occupancy(5), - I1 => \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0\, - I2 => sig_txd_occupancy(8), - I3 => sig_txd_occupancy(6), - I4 => sig_txd_occupancy(7), - O => D(6) - ); -\gfifo_gen.gmm2s.vacancy_i[9]_i_2\: unisim.vcomponents.LUT6 - generic map( - INIT => X"78F0F0F0F0F0F0F0" - ) - port map ( - I0 => sig_txd_occupancy(5), - I1 => \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0\, - I2 => sig_txd_occupancy(9), - I3 => sig_txd_occupancy(8), - I4 => sig_txd_occupancy(7), - I5 => sig_txd_occupancy(6), - O => D(7) - ); -\gfifo_gen.gmm2s.vacancy_i[9]_i_3\: unisim.vcomponents.LUT4 - generic map( - INIT => X"8000" - ) - port map ( - I0 => sig_txd_occupancy(4), - I1 => sig_txd_occupancy(3), - I2 => sig_txd_occupancy(2), - I3 => \^wr_data_count_axis\(0), - O => \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0\ + I0 => sig_txd_occupancy(0), + O => \gwdc.wr_data_count_i_reg[0]\(0) ); mm2s_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT3 generic map( @@ -8675,28 +10392,38 @@ mm2s_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT3 ); \sig_register_array[0][3]_i_3\: unisim.vcomponents.LUT6 generic map( - INIT => X"1555555555555555" + INIT => X"ABAAAAAAAAAAAAAA" ) port map ( - I0 => sig_txd_occupancy(9), - I1 => sig_txd_occupancy(6), - I2 => sig_txd_occupancy(5), - I3 => sig_txd_occupancy(8), - I4 => sig_txd_occupancy(7), - I5 => \gfifo_gen.gmm2s.vacancy_i[9]_i_3_n_0\, - O => \^gwdc.wr_data_count_i_reg[9]\ + I0 => sig_txd_occupancy(12), + I1 => \sig_register_array[0][3]_i_4_n_0\, + I2 => \sig_register_array[0][3]_i_5_n_0\, + I3 => \^wr_data_count_axis\(8), + I4 => \^wr_data_count_axis\(7), + I5 => \^wr_data_count_axis\(3), + O => \^gwdc.wr_data_count_i_reg[12]\ ); -\sig_register_array[0][4]_i_3\: unisim.vcomponents.LUT5 +\sig_register_array[0][3]_i_4\: unisim.vcomponents.LUT4 generic map( - INIT => X"F8FFF8F8" + INIT => X"7FFF" ) port map ( - I0 => \^axi_str_txd_tvalid\, - I1 => \^axi_str_txd_tlast\, - I2 => \sig_register_array_reg[0][4]\, - I3 => \sig_register_array_reg[0][4]_0\, - I4 => s_axi_wdata(0), - O => \gen_wr_a.gen_word_narrow.mem_reg\ + I0 => \^wr_data_count_axis\(1), + I1 => \^wr_data_count_axis\(4), + I2 => \^wr_data_count_axis\(6), + I3 => \^wr_data_count_axis\(10), + O => \sig_register_array[0][3]_i_4_n_0\ + ); +\sig_register_array[0][3]_i_5\: unisim.vcomponents.LUT4 + generic map( + INIT => X"7FFF" + ) + port map ( + I0 => \^wr_data_count_axis\(0), + I1 => \^wr_data_count_axis\(2), + I2 => \^wr_data_count_axis\(5), + I3 => \^wr_data_count_axis\(9), + O => \sig_register_array[0][3]_i_5_n_0\ ); \sig_register_array[0][9]_i_2\: unisim.vcomponents.LUT2 generic map( @@ -8707,25 +10434,12 @@ mm2s_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT3 I1 => sig_txd_prog_full_d1, O => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\ ); -\sig_txd_wr_data[31]_i_1\: unisim.vcomponents.LUT6 - generic map( - INIT => X"000000002222F222" - ) - port map ( - I0 => \^gwdc.wr_data_count_i_reg[9]\, - I1 => IP2Bus_Error_reg_0, - I2 => \sig_txd_wr_data_reg[0]\, - I3 => \sig_txd_wr_data_reg[0]_0\, - I4 => \sig_txd_wr_data_reg[0]_1\, - I5 => IP2Bus_Error_reg_1, - O => E(0) - ); sig_txd_wr_en_i_1: unisim.vcomponents.LUT2 generic map( - INIT => X"2" + INIT => X"1" ) port map ( - I0 => \^gwdc.wr_data_count_i_reg[9]\, + I0 => \^gwdc.wr_data_count_i_reg[12]\, I1 => IP2Bus_Error_reg_0, O => sig_txd_wr_en ); @@ -8742,22 +10456,17 @@ entity design_1_axi_fifo_mm_s_0_0_fifo is prog_full_axis : out STD_LOGIC; prog_empty_axis : out STD_LOGIC; s_aresetn : out STD_LOGIC; - \gen_wr_a.gen_word_narrow.mem_reg\ : out STD_LOGIC; - D : out STD_LOGIC_VECTOR ( 8 downto 0 ); + D : out STD_LOGIC_VECTOR ( 11 downto 0 ); sig_txd_wr_en : out STD_LOGIC; - \gwdc.wr_data_count_i_reg[9]\ : out STD_LOGIC; + \gwdc.wr_data_count_i_reg[12]\ : out STD_LOGIC; \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\ : out STD_LOGIC; \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\ : out STD_LOGIC; IP2Bus_Error_reg : out STD_LOGIC; - E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_aclk : in STD_LOGIC; - \gen_wr_a.gen_word_narrow.mem_reg_0\ : in STD_LOGIC; + \gen_wr_a.gen_word_narrow.mem_reg_5\ : in STD_LOGIC; axi_str_txd_tready : in STD_LOGIC; txd_wr_en : in STD_LOGIC; - \sig_register_array_reg[0][4]\ : in STD_LOGIC; - \sig_register_array_reg[0][4]_0\ : in STD_LOGIC; - s_axi_wdata : in STD_LOGIC_VECTOR ( 0 to 0 ); - \sig_ip2bus_data_reg[22]\ : in STD_LOGIC; + \sig_ip2bus_data_reg[19]\ : in STD_LOGIC; IP2Bus_Error_reg_0 : in STD_LOGIC; sig_txd_prog_full_d1 : in STD_LOGIC; sig_txd_prog_empty_d1 : in STD_LOGIC; @@ -8766,57 +10475,98 @@ entity design_1_axi_fifo_mm_s_0_0_fifo is s_axi_aresetn : in STD_LOGIC; IP2Bus_Error_reg_1 : in STD_LOGIC; Axi_Str_RxD_AReset : in STD_LOGIC; - mm2s_prmry_reset_out_n : in STD_LOGIC; - \sig_txd_wr_data_reg[0]\ : in STD_LOGIC; - \sig_txd_wr_data_reg[0]_0\ : in STD_LOGIC; - \sig_txd_wr_data_reg[0]_1\ : in STD_LOGIC + mm2s_prmry_reset_out_n : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of design_1_axi_fifo_mm_s_0_0_fifo : entity is "fifo"; end design_1_axi_fifo_mm_s_0_0_fifo; architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_fifo is - signal data2 : STD_LOGIC_VECTOR ( 9 downto 1 ); - signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_42\ : STD_LOGIC; + signal data2 : STD_LOGIC_VECTOR ( 12 downto 1 ); + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_50\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_51\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_52\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_53\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_54\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_55\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_56\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_57\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_58\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_59\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_60\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_61\ : STD_LOGIC; + signal \gfifo_gen.COMP_AXIS_FG_FIFO_n_62\ : STD_LOGIC; signal \gfifo_gen.gmm2s.start_wr_i_1_n_0\ : STD_LOGIC; - signal minusOp : STD_LOGIC_VECTOR ( 9 downto 2 ); + signal minusOp : STD_LOGIC_VECTOR ( 12 downto 1 ); + signal \minusOp_carry__0_n_0\ : STD_LOGIC; + signal \minusOp_carry__0_n_1\ : STD_LOGIC; + signal \minusOp_carry__0_n_2\ : STD_LOGIC; + signal \minusOp_carry__0_n_3\ : STD_LOGIC; + signal \minusOp_carry__1_n_0\ : STD_LOGIC; + signal \minusOp_carry__1_n_1\ : STD_LOGIC; + signal \minusOp_carry__1_n_2\ : STD_LOGIC; + signal \minusOp_carry__1_n_3\ : STD_LOGIC; + signal minusOp_carry_n_0 : STD_LOGIC; + signal minusOp_carry_n_1 : STD_LOGIC; + signal minusOp_carry_n_2 : STD_LOGIC; + signal minusOp_carry_n_3 : STD_LOGIC; signal \^s_aresetn\ : STD_LOGIC; - signal sig_txd_occupancy : STD_LOGIC_VECTOR ( 1 to 1 ); + signal sig_txd_occupancy : STD_LOGIC_VECTOR ( 11 downto 1 ); signal sig_txd_reset0_out : STD_LOGIC; signal start_wr : STD_LOGIC; signal wr_data_int : STD_LOGIC_VECTOR ( 31 downto 0 ); + signal NLW_minusOp_carry_O_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); + signal \NLW_minusOp_carry__2_CO_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); + signal \NLW_minusOp_carry__2_O_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 1 ); + attribute ADDER_THRESHOLD : integer; + attribute ADDER_THRESHOLD of minusOp_carry : label is 35; + attribute ADDER_THRESHOLD of \minusOp_carry__0\ : label is 35; + attribute ADDER_THRESHOLD of \minusOp_carry__1\ : label is 35; + attribute ADDER_THRESHOLD of \minusOp_carry__2\ : label is 35; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \sig_ip2bus_data[23]_i_1\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[24]_i_1\ : label is "soft_lutpair34"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[25]_i_1\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[26]_i_1\ : label is "soft_lutpair33"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[27]_i_1\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[28]_i_1\ : label is "soft_lutpair32"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[29]_i_1\ : label is "soft_lutpair31"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[30]_i_1\ : label is "soft_lutpair31"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[19]_i_1\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[20]_i_1\ : label is "soft_lutpair12"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[21]_i_1\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[22]_i_1\ : label is "soft_lutpair11"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[23]_i_1\ : label is "soft_lutpair10"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[24]_i_1\ : label is "soft_lutpair10"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[25]_i_1\ : label is "soft_lutpair9"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[26]_i_1\ : label is "soft_lutpair9"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[27]_i_1\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[28]_i_1\ : label is "soft_lutpair8"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[29]_i_1\ : label is "soft_lutpair7"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[30]_i_1\ : label is "soft_lutpair7"; begin s_aresetn <= \^s_aresetn\; \gfifo_gen.COMP_AXIS_FG_FIFO\: entity work.design_1_axi_fifo_mm_s_0_0_axis_fg port map ( Axi_Str_RxD_AReset => Axi_Str_RxD_AReset, - D(7) => minusOp(9), - D(6) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_42\, - D(5 downto 0) => minusOp(7 downto 2), - E(0) => E(0), + DI(3) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_51\, + DI(2) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_52\, + DI(1) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_53\, + DI(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_54\, IP2Bus_Error_reg => IP2Bus_Error_reg, IP2Bus_Error_reg_0 => IP2Bus_Error_reg_0, IP2Bus_Error_reg_1 => IP2Bus_Error_reg_1, Q(31 downto 0) => wr_data_int(31 downto 0), + S(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_50\, axi_str_txd_tdata(31 downto 0) => axi_str_txd_tdata(31 downto 0), axi_str_txd_tlast => axi_str_txd_tlast, axi_str_txd_tready => axi_str_txd_tready, axi_str_txd_tvalid => axi_str_txd_tvalid, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\ => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\, \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\ => \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\, - \gen_wr_a.gen_word_narrow.mem_reg\ => \gen_wr_a.gen_word_narrow.mem_reg\, - \gen_wr_a.gen_word_narrow.mem_reg_0\ => \gen_wr_a.gen_word_narrow.mem_reg_0\, - \gen_wr_a.gen_word_narrow.mem_reg_1\(1 downto 0) => Q(1 downto 0), - \gwdc.wr_data_count_i_reg[9]\ => \gwdc.wr_data_count_i_reg[9]\, + \gen_wr_a.gen_word_narrow.mem_reg_3\(1 downto 0) => Q(1 downto 0), + \gen_wr_a.gen_word_narrow.mem_reg_5\ => \gen_wr_a.gen_word_narrow.mem_reg_5\, + \gwdc.wr_data_count_i_reg[0]\(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_62\, + \gwdc.wr_data_count_i_reg[12]\ => \gwdc.wr_data_count_i_reg[12]\, + \gwdc.wr_data_count_i_reg[3]\(2) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_59\, + \gwdc.wr_data_count_i_reg[3]\(1) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_60\, + \gwdc.wr_data_count_i_reg[3]\(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_61\, + \gwdc.wr_data_count_i_reg[7]\(3) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_55\, + \gwdc.wr_data_count_i_reg[7]\(2) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_56\, + \gwdc.wr_data_count_i_reg[7]\(1) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_57\, + \gwdc.wr_data_count_i_reg[7]\(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_58\, mm2s_prmry_reset_out_n => mm2s_prmry_reset_out_n, p_1_in(0) => p_1_in(0), prog_empty_axis => prog_empty_axis, @@ -8824,18 +10574,12 @@ begin s_aresetn => \^s_aresetn\, s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, - s_axi_wdata(0) => s_axi_wdata(0), - \sig_register_array_reg[0][4]\ => \sig_register_array_reg[0][4]\, - \sig_register_array_reg[0][4]_0\ => \sig_register_array_reg[0][4]_0\, sig_txd_prog_empty_d1 => sig_txd_prog_empty_d1, sig_txd_prog_full_d1 => sig_txd_prog_full_d1, - \sig_txd_wr_data_reg[0]\ => \sig_txd_wr_data_reg[0]\, - \sig_txd_wr_data_reg[0]_0\ => \sig_txd_wr_data_reg[0]_0\, - \sig_txd_wr_data_reg[0]_1\ => \sig_txd_wr_data_reg[0]_1\, sig_txd_wr_en => sig_txd_wr_en, start_wr => start_wr, txd_wr_en => txd_wr_en, - wr_data_count_axis(0) => sig_txd_occupancy(1) + wr_data_count_axis(10 downto 0) => sig_txd_occupancy(11 downto 1) ); \gfifo_gen.gmm2s.start_wr_i_1\: unisim.vcomponents.LUT3 generic map( @@ -8843,7 +10587,7 @@ begin ) port map ( I0 => txd_wr_en, - I1 => \gen_wr_a.gen_word_narrow.mem_reg_0\, + I1 => \gen_wr_a.gen_word_narrow.mem_reg_5\, I2 => start_wr, O => \gfifo_gen.gmm2s.start_wr_i_1_n_0\ ); @@ -8858,7 +10602,7 @@ begin Q => start_wr, R => sig_txd_reset0_out ); -\gfifo_gen.gmm2s.vacancy_i[9]_i_1\: unisim.vcomponents.LUT1 +\gfifo_gen.gmm2s.vacancy_i[12]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) @@ -8866,6 +10610,39 @@ begin I0 => \^s_aresetn\, O => sig_txd_reset0_out ); +\gfifo_gen.gmm2s.vacancy_i_reg[10]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => '1', + D => minusOp(10), + Q => data2(10), + R => sig_txd_reset0_out + ); +\gfifo_gen.gmm2s.vacancy_i_reg[11]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => '1', + D => minusOp(11), + Q => data2(11), + R => sig_txd_reset0_out + ); +\gfifo_gen.gmm2s.vacancy_i_reg[12]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => '1', + D => minusOp(12), + Q => data2(12), + R => sig_txd_reset0_out + ); \gfifo_gen.gmm2s.vacancy_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' @@ -8873,7 +10650,7 @@ begin port map ( C => s_axi_aclk, CE => '1', - D => sig_txd_occupancy(1), + D => minusOp(1), Q => data2(1), R => sig_txd_reset0_out ); @@ -8950,7 +10727,7 @@ begin port map ( C => s_axi_aclk, CE => '1', - D => \gfifo_gen.COMP_AXIS_FG_FIFO_n_42\, + D => minusOp(8), Q => data2(8), R => sig_txd_reset0_out ); @@ -9317,13 +11094,98 @@ begin Q => wr_data_int(9), R => sig_txd_reset0_out ); +minusOp_carry: unisim.vcomponents.CARRY4 + port map ( + CI => '0', + CO(3) => minusOp_carry_n_0, + CO(2) => minusOp_carry_n_1, + CO(1) => minusOp_carry_n_2, + CO(0) => minusOp_carry_n_3, + CYINIT => '0', + DI(3) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_59\, + DI(2) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_60\, + DI(1) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_61\, + DI(0) => '0', + O(3 downto 1) => minusOp(3 downto 1), + O(0) => NLW_minusOp_carry_O_UNCONNECTED(0), + S(3 downto 1) => sig_txd_occupancy(3 downto 1), + S(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_62\ + ); +\minusOp_carry__0\: unisim.vcomponents.CARRY4 + port map ( + CI => minusOp_carry_n_0, + CO(3) => \minusOp_carry__0_n_0\, + CO(2) => \minusOp_carry__0_n_1\, + CO(1) => \minusOp_carry__0_n_2\, + CO(0) => \minusOp_carry__0_n_3\, + CYINIT => '0', + DI(3) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_55\, + DI(2) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_56\, + DI(1) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_57\, + DI(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_58\, + O(3 downto 0) => minusOp(7 downto 4), + S(3 downto 0) => sig_txd_occupancy(7 downto 4) + ); +\minusOp_carry__1\: unisim.vcomponents.CARRY4 + port map ( + CI => \minusOp_carry__0_n_0\, + CO(3) => \minusOp_carry__1_n_0\, + CO(2) => \minusOp_carry__1_n_1\, + CO(1) => \minusOp_carry__1_n_2\, + CO(0) => \minusOp_carry__1_n_3\, + CYINIT => '0', + DI(3) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_51\, + DI(2) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_52\, + DI(1) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_53\, + DI(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_54\, + O(3 downto 0) => minusOp(11 downto 8), + S(3 downto 0) => sig_txd_occupancy(11 downto 8) + ); +\minusOp_carry__2\: unisim.vcomponents.CARRY4 + port map ( + CI => \minusOp_carry__1_n_0\, + CO(3 downto 0) => \NLW_minusOp_carry__2_CO_UNCONNECTED\(3 downto 0), + CYINIT => '0', + DI(3 downto 0) => B"0000", + O(3 downto 1) => \NLW_minusOp_carry__2_O_UNCONNECTED\(3 downto 1), + O(0) => minusOp(12), + S(3 downto 1) => B"000", + S(0) => \gfifo_gen.COMP_AXIS_FG_FIFO_n_50\ + ); +\sig_ip2bus_data[19]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => data2(12), + I1 => \sig_ip2bus_data_reg[19]\, + O => D(11) + ); +\sig_ip2bus_data[20]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => data2(11), + I1 => \sig_ip2bus_data_reg[19]\, + O => D(10) + ); +\sig_ip2bus_data[21]_i_1\: unisim.vcomponents.LUT2 + generic map( + INIT => X"2" + ) + port map ( + I0 => data2(10), + I1 => \sig_ip2bus_data_reg[19]\, + O => D(9) + ); \sig_ip2bus_data[22]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => data2(9), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(8) ); \sig_ip2bus_data[23]_i_1\: unisim.vcomponents.LUT2 @@ -9332,7 +11194,7 @@ begin ) port map ( I0 => data2(8), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(7) ); \sig_ip2bus_data[24]_i_1\: unisim.vcomponents.LUT2 @@ -9341,7 +11203,7 @@ begin ) port map ( I0 => data2(7), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(6) ); \sig_ip2bus_data[25]_i_1\: unisim.vcomponents.LUT2 @@ -9350,7 +11212,7 @@ begin ) port map ( I0 => data2(6), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(5) ); \sig_ip2bus_data[26]_i_1\: unisim.vcomponents.LUT2 @@ -9359,7 +11221,7 @@ begin ) port map ( I0 => data2(5), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(4) ); \sig_ip2bus_data[27]_i_1\: unisim.vcomponents.LUT2 @@ -9368,7 +11230,7 @@ begin ) port map ( I0 => data2(4), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(3) ); \sig_ip2bus_data[28]_i_1\: unisim.vcomponents.LUT2 @@ -9377,7 +11239,7 @@ begin ) port map ( I0 => data2(3), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(2) ); \sig_ip2bus_data[29]_i_1\: unisim.vcomponents.LUT2 @@ -9386,7 +11248,7 @@ begin ) port map ( I0 => data2(2), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(1) ); \sig_ip2bus_data[30]_i_1\: unisim.vcomponents.LUT2 @@ -9395,7 +11257,7 @@ begin ) port map ( I0 => data2(1), - I1 => \sig_ip2bus_data_reg[22]\, + I1 => \sig_ip2bus_data_reg[19]\, O => D(0) ); end STRUCTURE; @@ -9415,43 +11277,39 @@ entity design_1_axi_fifo_mm_s_0_0_ipic2axi_s is p_1_in : out STD_LOGIC_VECTOR ( 0 to 0 ); sig_tx_channel_reset_reg_0 : out STD_LOGIC; cs_ce_clr : out STD_LOGIC; - IPIC_STATE_reg_0 : out STD_LOGIC; IP2Bus_Error1_in : out STD_LOGIC; s2mm_prmry_reset_out_n : out STD_LOGIC; s_axi_wdata_7_sp_1 : out STD_LOGIC; - s_axi_wdata_0_sp_1 : out STD_LOGIC; interrupt : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 6 downto 0 ); - \sig_register_array_reg[0][4]_0\ : out STD_LOGIC; - \sig_register_array_reg[0][3]_0\ : out STD_LOGIC; - \sig_register_array_reg[0][6]_0\ : out STD_LOGIC; \sig_register_array_reg[0][10]_0\ : out STD_LOGIC; + \sig_register_array_reg[0][3]_0\ : out STD_LOGIC; \sig_register_array_reg[0][7]_0\ : out STD_LOGIC; - \sig_register_array_reg[0][9]_0\ : out STD_LOGIC; + \sig_register_array_reg[0][4]_0\ : out STD_LOGIC; \sig_register_array_reg[0][8]_0\ : out STD_LOGIC; - \sig_ip2bus_data_reg[0]_0\ : out STD_LOGIC_VECTOR ( 21 downto 0 ); + \sig_register_array_reg[0][6]_0\ : out STD_LOGIC; + \sig_register_array_reg[0][9]_0\ : out STD_LOGIC; + \sig_ip2bus_data_reg[0]_0\ : out STD_LOGIC_VECTOR ( 24 downto 0 ); s_axi_aclk : in STD_LOGIC; axi_str_txd_tready : in STD_LOGIC; - sig_txd_sb_wr_en : in STD_LOGIC; sig_str_rst_reg_1 : in STD_LOGIC; IP2Bus_WrAck_reg_1 : in STD_LOGIC; IP2Bus_RdAck_reg_1 : in STD_LOGIC; sig_Bus2IP_CS : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; + sig_txd_sb_wr_en_reg_0 : in STD_LOGIC; \sig_register_array_reg[0][3]_1\ : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); - \sig_txd_wr_data_reg[0]_0\ : in STD_LOGIC; D : in STD_LOGIC_VECTOR ( 6 downto 0 ); + \sig_ip2bus_data_reg[19]_0\ : in STD_LOGIC; \sig_ip2bus_data_reg[12]_0\ : in STD_LOGIC; - \sig_ip2bus_data_reg[22]_0\ : in STD_LOGIC; IP2Bus_Error_reg_0 : in STD_LOGIC; sig_tx_channel_reset_reg_1 : in STD_LOGIC; - \sig_txd_wr_data_reg[0]_1\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\ : in STD_LOGIC; \sig_register_array_reg[0][4]_1\ : in STD_LOGIC; + \sig_register_array_reg[0][4]_2\ : in STD_LOGIC; \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\ : in STD_LOGIC; Bus_RNW_reg : in STD_LOGIC; - \sig_register_array_reg[0][6]_1\ : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); \sig_register_array_reg[1][0]_0\ : in STD_LOGIC_VECTOR ( 12 downto 0 ) ); @@ -9549,12 +11407,10 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_ipic2axi_s is signal \eqOp_inferred__2/i__carry_n_1\ : STD_LOGIC; signal \eqOp_inferred__2/i__carry_n_2\ : STD_LOGIC; signal \eqOp_inferred__2/i__carry_n_3\ : STD_LOGIC; - signal \gtxd.COMP_TXD_FIFO_n_37\ : STD_LOGIC; - signal \gtxd.COMP_TXD_FIFO_n_48\ : STD_LOGIC; - signal \gtxd.COMP_TXD_FIFO_n_49\ : STD_LOGIC; signal \gtxd.COMP_TXD_FIFO_n_50\ : STD_LOGIC; signal \gtxd.COMP_TXD_FIFO_n_51\ : STD_LOGIC; signal \gtxd.COMP_TXD_FIFO_n_52\ : STD_LOGIC; + signal \gtxd.COMP_TXD_FIFO_n_53\ : STD_LOGIC; signal \gtxd.sig_txd_packet_size[0]_i_1_n_0\ : STD_LOGIC; signal \gtxd.sig_txd_packet_size[0]_i_3_n_0\ : STD_LOGIC; signal \gtxd.sig_txd_packet_size_reg\ : STD_LOGIC_VECTOR ( 30 downto 0 ); @@ -9644,7 +11500,6 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_ipic2axi_s is signal interrupt_INST_0_i_1_n_0 : STD_LOGIC; signal interrupt_INST_0_i_2_n_0 : STD_LOGIC; signal \^p_1_in\ : STD_LOGIC_VECTOR ( 0 to 0 ); - signal s_axi_wdata_0_sn_1 : STD_LOGIC; signal s_axi_wdata_7_sn_1 : STD_LOGIC; signal \^sig_bus2ip_reset\ : STD_LOGIC; signal sig_ip2bus_data : STD_LOGIC_VECTOR ( 0 to 30 ); @@ -9652,6 +11507,7 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_ipic2axi_s is signal \sig_register_array[0][3]_i_1_n_0\ : STD_LOGIC; signal \sig_register_array[0][4]_i_1_n_0\ : STD_LOGIC; signal \sig_register_array[0][6]_i_1_n_0\ : STD_LOGIC; + signal \sig_register_array[0][6]_i_2_n_0\ : STD_LOGIC; signal \sig_register_array[0][7]_i_1_n_0\ : STD_LOGIC; signal \sig_register_array[0][8]_i_1_n_0\ : STD_LOGIC; signal \sig_register_array[0][9]_i_1_n_0\ : STD_LOGIC; @@ -9676,7 +11532,10 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_ipic2axi_s is signal sig_txd_prog_empty_d1 : STD_LOGIC; signal sig_txd_prog_full : STD_LOGIC; signal sig_txd_prog_full_d1 : STD_LOGIC; + signal sig_txd_sb_wr_en : STD_LOGIC; signal sig_txd_sb_wr_en_reg_n_0 : STD_LOGIC; + signal \sig_txd_wr_data[31]_i_1_n_0\ : STD_LOGIC; + signal \sig_txd_wr_data[31]_i_2_n_0\ : STD_LOGIC; signal sig_txd_wr_en : STD_LOGIC; signal txd_wr_data : STD_LOGIC_VECTOR ( 31 downto 2 ); signal txd_wr_data_0 : STD_LOGIC_VECTOR ( 1 downto 0 ); @@ -9703,19 +11562,20 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_ipic2axi_s is attribute ADDER_THRESHOLD of \R_carry__5\ : label is 35; attribute ADDER_THRESHOLD of \R_carry__6\ : label is 35; attribute SOFT_HLUTNM : string; - attribute SOFT_HLUTNM of \sig_ip2bus_data[0]_i_1\ : label is "soft_lutpair37"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[11]_i_1\ : label is "soft_lutpair35"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[12]_i_1\ : label is "soft_lutpair35"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[1]_i_1\ : label is "soft_lutpair37"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[2]_i_1\ : label is "soft_lutpair36"; - attribute SOFT_HLUTNM of \sig_ip2bus_data[5]_i_1\ : label is "soft_lutpair36"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[0]_i_1\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[11]_i_1\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[12]_i_1\ : label is "soft_lutpair14"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[1]_i_1\ : label is "soft_lutpair16"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[2]_i_1\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \sig_ip2bus_data[5]_i_1\ : label is "soft_lutpair15"; + attribute SOFT_HLUTNM of \sig_register_array[0][6]_i_2\ : label is "soft_lutpair13"; + attribute SOFT_HLUTNM of sig_txd_sb_wr_en_i_1 : label is "soft_lutpair13"; begin IP2Bus_Error1_in <= \^ip2bus_error1_in\; IP2Bus_RdAck_reg_0 <= \^ip2bus_rdack_reg_0\; IP2Bus_WrAck_reg_0 <= \^ip2bus_wrack_reg_0\; Q(6 downto 0) <= \^q\(6 downto 0); p_1_in(0) <= \^p_1_in\(0); - s_axi_wdata_0_sp_1 <= s_axi_wdata_0_sn_1; s_axi_wdata_7_sp_1 <= s_axi_wdata_7_sn_1; sig_Bus2IP_Reset <= \^sig_bus2ip_reset\; \sig_register_array_reg[0][10]_0\ <= \^sig_register_array_reg[0][10]_0\; @@ -9734,7 +11594,7 @@ IP2Bus_Error_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', - D => \gtxd.COMP_TXD_FIFO_n_51\, + D => \gtxd.COMP_TXD_FIFO_n_53\, Q => \^p_1_in\(0), R => '0' ); @@ -10256,6 +12116,9 @@ R_carry_i_4: unisim.vcomponents.LUT1 \gtxd.COMP_TXD_FIFO\: entity work.design_1_axi_fifo_mm_s_0_0_fifo port map ( Axi_Str_RxD_AReset => Axi_Str_RxD_AReset, + D(11) => sig_ip2bus_data(19), + D(10) => sig_ip2bus_data(20), + D(9) => sig_ip2bus_data(21), D(8) => sig_ip2bus_data(22), D(7) => sig_ip2bus_data(23), D(6) => sig_ip2bus_data(24), @@ -10265,8 +12128,7 @@ R_carry_i_4: unisim.vcomponents.LUT1 D(2) => sig_ip2bus_data(28), D(1) => sig_ip2bus_data(29), D(0) => sig_ip2bus_data(30), - E(0) => \gtxd.COMP_TXD_FIFO_n_52\, - IP2Bus_Error_reg => \gtxd.COMP_TXD_FIFO_n_51\, + IP2Bus_Error_reg => \gtxd.COMP_TXD_FIFO_n_53\, IP2Bus_Error_reg_0 => IP2Bus_Error_reg_0, IP2Bus_Error_reg_1 => \^ip2bus_error1_in\, Q(31 downto 2) => txd_wr_data(31 downto 2), @@ -10275,11 +12137,10 @@ R_carry_i_4: unisim.vcomponents.LUT1 axi_str_txd_tlast => axi_str_txd_tlast, axi_str_txd_tready => axi_str_txd_tready, axi_str_txd_tvalid => axi_str_txd_tvalid, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\ => \gtxd.COMP_TXD_FIFO_n_50\, - \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\ => \gtxd.COMP_TXD_FIFO_n_49\, - \gen_wr_a.gen_word_narrow.mem_reg\ => \gtxd.COMP_TXD_FIFO_n_37\, - \gen_wr_a.gen_word_narrow.mem_reg_0\ => sig_txd_sb_wr_en_reg_n_0, - \gwdc.wr_data_count_i_reg[9]\ => \gtxd.COMP_TXD_FIFO_n_48\, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpe_cc_sym.prog_empty_i_reg\ => \gtxd.COMP_TXD_FIFO_n_52\, + \gen_pntr_flags_cc.wrp_eq_rdp_pf_cc.gpf_cc_sym.prog_full_i_reg\ => \gtxd.COMP_TXD_FIFO_n_51\, + \gen_wr_a.gen_word_narrow.mem_reg_5\ => sig_txd_sb_wr_en_reg_n_0, + \gwdc.wr_data_count_i_reg[12]\ => \gtxd.COMP_TXD_FIFO_n_50\, mm2s_prmry_reset_out_n => \^sig_tx_channel_reset_reg_0\, p_1_in(0) => \^p_1_in\(0), prog_empty_axis => sig_txd_prog_empty, @@ -10287,15 +12148,9 @@ R_carry_i_4: unisim.vcomponents.LUT1 s_aresetn => \^sig_str_rst_reg_0\, s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, - s_axi_wdata(0) => s_axi_wdata(27), - \sig_ip2bus_data_reg[22]\ => \sig_ip2bus_data_reg[22]_0\, - \sig_register_array_reg[0][4]\ => sig_str_rst_reg_1, - \sig_register_array_reg[0][4]_0\ => \sig_register_array_reg[0][3]_1\, + \sig_ip2bus_data_reg[19]\ => \sig_ip2bus_data_reg[19]_0\, sig_txd_prog_empty_d1 => sig_txd_prog_empty_d1, sig_txd_prog_full_d1 => sig_txd_prog_full_d1, - \sig_txd_wr_data_reg[0]\ => \sig_txd_wr_data_reg[0]_1\, - \sig_txd_wr_data_reg[0]_0\ => s_axi_wdata_0_sn_1, - \sig_txd_wr_data_reg[0]_1\ => \sig_txd_wr_data_reg[0]_0\, sig_txd_wr_en => sig_txd_wr_en, txd_wr_en => txd_wr_en ); @@ -10712,12 +12567,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => \gtxd.sig_txd_packet_size_reg\(22), - I1 => s_axi_wdata(24), - I2 => s_axi_wdata(25), - I3 => \gtxd.sig_txd_packet_size_reg\(23), - I4 => s_axi_wdata(23), - I5 => \gtxd.sig_txd_packet_size_reg\(21), + I0 => \gtxd.sig_txd_packet_size_reg\(23), + I1 => s_axi_wdata(25), + I2 => s_axi_wdata(23), + I3 => \gtxd.sig_txd_packet_size_reg\(21), + I4 => s_axi_wdata(24), + I5 => \gtxd.sig_txd_packet_size_reg\(22), O => \i__carry__0_i_1_n_0\ ); \i__carry__0_i_1__0\: unisim.vcomponents.LUT6 @@ -10725,12 +12580,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => s_axi_wdata(23), - I1 => R(21), - I2 => s_axi_wdata(24), - I3 => R(22), - I4 => R(23), - I5 => s_axi_wdata(25), + I0 => s_axi_wdata(25), + I1 => R(23), + I2 => s_axi_wdata(23), + I3 => R(21), + I4 => R(22), + I5 => s_axi_wdata(24), O => \i__carry__0_i_1__0_n_0\ ); \i__carry__0_i_2\: unisim.vcomponents.LUT6 @@ -10738,12 +12593,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => \gtxd.sig_txd_packet_size_reg\(19), - I1 => s_axi_wdata(21), - I2 => s_axi_wdata(22), - I3 => \gtxd.sig_txd_packet_size_reg\(20), - I4 => s_axi_wdata(20), - I5 => \gtxd.sig_txd_packet_size_reg\(18), + I0 => \gtxd.sig_txd_packet_size_reg\(20), + I1 => s_axi_wdata(22), + I2 => s_axi_wdata(20), + I3 => \gtxd.sig_txd_packet_size_reg\(18), + I4 => s_axi_wdata(21), + I5 => \gtxd.sig_txd_packet_size_reg\(19), O => \i__carry__0_i_2_n_0\ ); \i__carry__0_i_2__0\: unisim.vcomponents.LUT6 @@ -10751,12 +12606,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => s_axi_wdata(20), - I1 => R(18), - I2 => s_axi_wdata(21), - I3 => R(19), - I4 => R(20), - I5 => s_axi_wdata(22), + I0 => s_axi_wdata(22), + I1 => R(20), + I2 => s_axi_wdata(20), + I3 => R(18), + I4 => R(19), + I5 => s_axi_wdata(21), O => \i__carry__0_i_2__0_n_0\ ); \i__carry__0_i_3\: unisim.vcomponents.LUT6 @@ -10777,12 +12632,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => R(16), - I1 => s_axi_wdata(18), - I2 => s_axi_wdata(19), - I3 => R(17), - I4 => s_axi_wdata(17), - I5 => R(15), + I0 => s_axi_wdata(18), + I1 => R(16), + I2 => s_axi_wdata(17), + I3 => R(15), + I4 => R(17), + I5 => s_axi_wdata(19), O => \i__carry__0_i_3__0_n_0\ ); \i__carry__0_i_4\: unisim.vcomponents.LUT6 @@ -10790,10 +12645,10 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => \gtxd.sig_txd_packet_size_reg\(14), - I1 => s_axi_wdata(16), - I2 => s_axi_wdata(15), - I3 => \gtxd.sig_txd_packet_size_reg\(13), + I0 => \gtxd.sig_txd_packet_size_reg\(13), + I1 => s_axi_wdata(15), + I2 => s_axi_wdata(16), + I3 => \gtxd.sig_txd_packet_size_reg\(14), I4 => s_axi_wdata(14), I5 => \gtxd.sig_txd_packet_size_reg\(12), O => \i__carry__0_i_4_n_0\ @@ -10803,12 +12658,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => s_axi_wdata(16), - I1 => R(14), - I2 => s_axi_wdata(14), - I3 => R(12), - I4 => R(13), - I5 => s_axi_wdata(15), + I0 => s_axi_wdata(14), + I1 => R(12), + I2 => s_axi_wdata(15), + I3 => R(13), + I4 => R(14), + I5 => s_axi_wdata(16), O => \i__carry__0_i_4__0_n_0\ ); \i__carry__1_i_1\: unisim.vcomponents.LUT1 @@ -10832,10 +12687,10 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => \gtxd.sig_txd_packet_size_reg\(29), - I1 => s_axi_wdata(31), - I2 => s_axi_wdata(30), - I3 => \gtxd.sig_txd_packet_size_reg\(28), + I0 => \gtxd.sig_txd_packet_size_reg\(28), + I1 => s_axi_wdata(30), + I2 => s_axi_wdata(31), + I3 => \gtxd.sig_txd_packet_size_reg\(29), I4 => s_axi_wdata(29), I5 => \gtxd.sig_txd_packet_size_reg\(27), O => \i__carry__1_i_2_n_0\ @@ -10845,12 +12700,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => s_axi_wdata(29), - I1 => R(27), - I2 => s_axi_wdata(31), - I3 => R(29), - I4 => R(28), - I5 => s_axi_wdata(30), + I0 => R(28), + I1 => s_axi_wdata(30), + I2 => s_axi_wdata(29), + I3 => R(27), + I4 => s_axi_wdata(31), + I5 => R(29), O => \i__carry__1_i_2__0_n_0\ ); \i__carry__1_i_3\: unisim.vcomponents.LUT6 @@ -10884,10 +12739,10 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => \gtxd.sig_txd_packet_size_reg\(10), - I1 => s_axi_wdata(12), - I2 => s_axi_wdata(13), - I3 => \gtxd.sig_txd_packet_size_reg\(11), + I0 => \gtxd.sig_txd_packet_size_reg\(11), + I1 => s_axi_wdata(13), + I2 => s_axi_wdata(12), + I3 => \gtxd.sig_txd_packet_size_reg\(10), I4 => s_axi_wdata(11), I5 => \gtxd.sig_txd_packet_size_reg\(9), O => \i__carry_i_1_n_0\ @@ -10897,12 +12752,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => R(10), - I1 => s_axi_wdata(12), - I2 => s_axi_wdata(13), - I3 => R(11), - I4 => s_axi_wdata(11), - I5 => R(9), + I0 => s_axi_wdata(13), + I1 => R(11), + I2 => s_axi_wdata(11), + I3 => R(9), + I4 => R(10), + I5 => s_axi_wdata(12), O => \i__carry_i_1__0_n_0\ ); \i__carry_i_2\: unisim.vcomponents.LUT6 @@ -10910,12 +12765,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => \gtxd.sig_txd_packet_size_reg\(8), - I1 => s_axi_wdata(10), + I0 => \gtxd.sig_txd_packet_size_reg\(7), + I1 => s_axi_wdata(9), I2 => s_axi_wdata(8), I3 => \gtxd.sig_txd_packet_size_reg\(6), - I4 => s_axi_wdata(9), - I5 => \gtxd.sig_txd_packet_size_reg\(7), + I4 => s_axi_wdata(10), + I5 => \gtxd.sig_txd_packet_size_reg\(8), O => \i__carry_i_2_n_0\ ); \i__carry_i_2__0\: unisim.vcomponents.LUT6 @@ -10923,12 +12778,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => R(8), - I1 => s_axi_wdata(10), + I0 => s_axi_wdata(9), + I1 => R(7), I2 => s_axi_wdata(8), I3 => R(6), - I4 => s_axi_wdata(9), - I5 => R(7), + I4 => R(8), + I5 => s_axi_wdata(10), O => \i__carry_i_2__0_n_0\ ); \i__carry_i_3\: unisim.vcomponents.LUT6 @@ -10936,10 +12791,10 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => \gtxd.sig_txd_packet_size_reg\(4), - I1 => s_axi_wdata(6), - I2 => s_axi_wdata(7), - I3 => \gtxd.sig_txd_packet_size_reg\(5), + I0 => \gtxd.sig_txd_packet_size_reg\(5), + I1 => s_axi_wdata(7), + I2 => s_axi_wdata(6), + I3 => \gtxd.sig_txd_packet_size_reg\(4), I4 => s_axi_wdata(5), I5 => \gtxd.sig_txd_packet_size_reg\(3), O => \i__carry_i_3_n_0\ @@ -10949,12 +12804,12 @@ R_carry_i_4: unisim.vcomponents.LUT1 INIT => X"9009000000009009" ) port map ( - I0 => s_axi_wdata(6), - I1 => R(4), + I0 => s_axi_wdata(7), + I1 => R(5), I2 => s_axi_wdata(5), I3 => R(3), - I4 => R(5), - I5 => s_axi_wdata(7), + I4 => R(4), + I5 => s_axi_wdata(6), O => \i__carry_i_3__0_n_0\ ); \i__carry_i_4\: unisim.vcomponents.LUT6 @@ -10990,8 +12845,8 @@ interrupt_INST_0: unisim.vcomponents.LUT6 port map ( I0 => interrupt_INST_0_i_1_n_0, I1 => interrupt_INST_0_i_2_n_0, - I2 => \^q\(5), - I3 => \^sig_register_array_reg[0][4]_0\, + I2 => \^q\(0), + I3 => \^sig_register_array_reg[0][10]_0\, I4 => \^q\(6), I5 => \^sig_register_array_reg[0][3]_0\, O => interrupt @@ -11001,12 +12856,12 @@ interrupt_INST_0_i_1: unisim.vcomponents.LUT6 INIT => X"FFFFF888F888F888" ) port map ( - I0 => \^q\(3), - I1 => \^sig_register_array_reg[0][7]_0\, - I2 => \^sig_register_array_reg[0][9]_0\, - I3 => \^q\(1), - I4 => \^sig_register_array_reg[0][8]_0\, - I5 => \^q\(2), + I0 => \^q\(2), + I1 => \^sig_register_array_reg[0][8]_0\, + I2 => \^sig_register_array_reg[0][6]_0\, + I3 => \^q\(4), + I4 => \^sig_register_array_reg[0][9]_0\, + I5 => \^q\(1), O => interrupt_INST_0_i_1_n_0 ); interrupt_INST_0_i_2: unisim.vcomponents.LUT4 @@ -11014,10 +12869,10 @@ interrupt_INST_0_i_2: unisim.vcomponents.LUT4 INIT => X"F888" ) port map ( - I0 => \^q\(4), - I1 => \^sig_register_array_reg[0][6]_0\, - I2 => \^q\(0), - I3 => \^sig_register_array_reg[0][10]_0\, + I0 => \^q\(3), + I1 => \^sig_register_array_reg[0][7]_0\, + I2 => \^q\(5), + I3 => \^sig_register_array_reg[0][4]_0\, O => interrupt_INST_0_i_2_n_0 ); s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 @@ -11090,7 +12945,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => sig_ip2bus_data(0), - Q => \sig_ip2bus_data_reg[0]_0\(21), + Q => \sig_ip2bus_data_reg[0]_0\(24), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[10]\: unisim.vcomponents.FDRE @@ -11101,7 +12956,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => D(0), - Q => \sig_ip2bus_data_reg[0]_0\(11), + Q => \sig_ip2bus_data_reg[0]_0\(14), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[11]\: unisim.vcomponents.FDRE @@ -11112,7 +12967,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => sig_ip2bus_data(11), - Q => \sig_ip2bus_data_reg[0]_0\(10), + Q => \sig_ip2bus_data_reg[0]_0\(13), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[12]\: unisim.vcomponents.FDRE @@ -11123,7 +12978,18 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => sig_ip2bus_data(12), - Q => \sig_ip2bus_data_reg[0]_0\(9), + Q => \sig_ip2bus_data_reg[0]_0\(12), + R => IP2Bus_WrAck_i_1_n_0 + ); +\sig_ip2bus_data_reg[19]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => '1', + D => sig_ip2bus_data(19), + Q => \sig_ip2bus_data_reg[0]_0\(11), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[1]\: unisim.vcomponents.FDRE @@ -11134,7 +13000,29 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => sig_ip2bus_data(1), - Q => \sig_ip2bus_data_reg[0]_0\(20), + Q => \sig_ip2bus_data_reg[0]_0\(23), + R => IP2Bus_WrAck_i_1_n_0 + ); +\sig_ip2bus_data_reg[20]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => '1', + D => sig_ip2bus_data(20), + Q => \sig_ip2bus_data_reg[0]_0\(10), + R => IP2Bus_WrAck_i_1_n_0 + ); +\sig_ip2bus_data_reg[21]\: unisim.vcomponents.FDRE + generic map( + INIT => '0' + ) + port map ( + C => s_axi_aclk, + CE => '1', + D => sig_ip2bus_data(21), + Q => \sig_ip2bus_data_reg[0]_0\(9), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[22]\: unisim.vcomponents.FDRE @@ -11233,7 +13121,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => sig_ip2bus_data(2), - Q => \sig_ip2bus_data_reg[0]_0\(19), + Q => \sig_ip2bus_data_reg[0]_0\(22), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[30]\: unisim.vcomponents.FDRE @@ -11255,7 +13143,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => D(6), - Q => \sig_ip2bus_data_reg[0]_0\(18), + Q => \sig_ip2bus_data_reg[0]_0\(21), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[4]\: unisim.vcomponents.FDRE @@ -11266,7 +13154,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => D(5), - Q => \sig_ip2bus_data_reg[0]_0\(17), + Q => \sig_ip2bus_data_reg[0]_0\(20), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[5]\: unisim.vcomponents.FDRE @@ -11277,7 +13165,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => sig_ip2bus_data(5), - Q => \sig_ip2bus_data_reg[0]_0\(16), + Q => \sig_ip2bus_data_reg[0]_0\(19), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[6]\: unisim.vcomponents.FDRE @@ -11288,7 +13176,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => D(4), - Q => \sig_ip2bus_data_reg[0]_0\(15), + Q => \sig_ip2bus_data_reg[0]_0\(18), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[7]\: unisim.vcomponents.FDRE @@ -11299,7 +13187,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => D(3), - Q => \sig_ip2bus_data_reg[0]_0\(14), + Q => \sig_ip2bus_data_reg[0]_0\(17), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[8]\: unisim.vcomponents.FDRE @@ -11310,7 +13198,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => D(2), - Q => \sig_ip2bus_data_reg[0]_0\(13), + Q => \sig_ip2bus_data_reg[0]_0\(16), R => IP2Bus_WrAck_i_1_n_0 ); \sig_ip2bus_data_reg[9]\: unisim.vcomponents.FDRE @@ -11321,43 +13209,35 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 C => s_axi_aclk, CE => '1', D => D(1), - Q => \sig_ip2bus_data_reg[0]_0\(12), + Q => \sig_ip2bus_data_reg[0]_0\(15), R => IP2Bus_WrAck_i_1_n_0 ); \sig_register_array[0][10]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"0F004F470C004C44" + INIT => X"3705330337050000" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\, - I1 => \gtxd.COMP_TXD_FIFO_n_50\, - I2 => sig_str_rst_reg_1, + I1 => sig_str_rst_reg_1, + I2 => s_axi_wdata(21), I3 => \sig_register_array_reg[0][3]_1\, - I4 => s_axi_wdata(21), + I4 => \gtxd.COMP_TXD_FIFO_n_52\, I5 => \^sig_register_array_reg[0][10]_0\, O => \sig_register_array[0][10]_i_1_n_0\ ); -\sig_register_array[0][3]_i_1\: unisim.vcomponents.LUT5 +\sig_register_array[0][3]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"0D0D000D" + INIT => X"3705330337050000" ) port map ( - I0 => s_axi_wdata(28), - I1 => \sig_register_array_reg[0][3]_1\, - I2 => sig_str_rst_reg_1, - I3 => \gtxd.COMP_TXD_FIFO_n_48\, - I4 => \^sig_register_array_reg[0][3]_0\, + I0 => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\, + I1 => sig_str_rst_reg_1, + I2 => s_axi_wdata(28), + I3 => \sig_register_array_reg[0][3]_1\, + I4 => \gtxd.COMP_TXD_FIFO_n_50\, + I5 => \^sig_register_array_reg[0][3]_0\, O => \sig_register_array[0][3]_i_1_n_0\ ); -\sig_register_array[0][3]_i_4\: unisim.vcomponents.LUT2 - generic map( - INIT => X"E" - ) - port map ( - I0 => \^ip2bus_error1_in\, - I1 => \sig_txd_wr_data_reg[0]_0\, - O => IPIC_STATE_reg_0 - ); \sig_register_array[0][4]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"02AAFFFF02AA0000" @@ -11367,24 +13247,37 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 I1 => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\, I2 => s_axi_wdata(27), I3 => \sig_register_array_reg[0][4]_1\, - I4 => \gtxd.COMP_TXD_FIFO_n_37\, + I4 => \sig_register_array_reg[0][4]_2\, I5 => \^sig_register_array_reg[0][4]_0\, O => \sig_register_array[0][4]_i_1_n_0\ ); -\sig_register_array[0][6]_i_1\: unisim.vcomponents.LUT4 +\sig_register_array[0][6]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"2F20" + INIT => X"222F2220" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, I1 => Bus_RNW_reg, - I2 => \sig_register_array_reg[0][6]_1\, - I3 => \^sig_register_array_reg[0][6]_0\, + I2 => sig_str_rst_reg_1, + I3 => \sig_register_array[0][6]_i_2_n_0\, + I4 => \^sig_register_array_reg[0][6]_0\, O => \sig_register_array[0][6]_i_1_n_0\ ); +\sig_register_array[0][6]_i_2\: unisim.vcomponents.LUT5 + generic map( + INIT => X"10FF1010" + ) + port map ( + I0 => \^ip2bus_error1_in\, + I1 => \sig_txd_wr_data[31]_i_2_n_0\, + I2 => sig_txd_sb_wr_en_reg_0, + I3 => \sig_register_array_reg[0][3]_1\, + I4 => s_axi_wdata(25), + O => \sig_register_array[0][6]_i_2_n_0\ + ); \sig_register_array[0][7]_i_1\: unisim.vcomponents.LUT5 generic map( - INIT => X"F0FFD0DD" + INIT => X"FCFFDCDD" ) port map ( I0 => \^sig_str_rst_reg_0\, @@ -11409,14 +13302,14 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 ); \sig_register_array[0][9]_i_1\: unisim.vcomponents.LUT6 generic map( - INIT => X"0F004F470C004C44" + INIT => X"3705330337050000" ) port map ( I0 => \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\, - I1 => \gtxd.COMP_TXD_FIFO_n_49\, - I2 => sig_str_rst_reg_1, + I1 => sig_str_rst_reg_1, + I2 => s_axi_wdata(22), I3 => \sig_register_array_reg[0][3]_1\, - I4 => s_axi_wdata(22), + I4 => \gtxd.COMP_TXD_FIFO_n_51\, I5 => \^sig_register_array_reg[0][9]_0\, O => \sig_register_array[0][9]_i_1_n_0\ ); @@ -11582,7 +13475,7 @@ s2mm_prmry_reset_out_n_INST_0: unisim.vcomponents.LUT1 ); sig_str_rst_i_2: unisim.vcomponents.LUT5 generic map( - INIT => X"00000008" + INIT => X"FFFFFFF7" ) port map ( I0 => s_axi_wdata(7), @@ -11635,6 +13528,15 @@ sig_tx_channel_reset_reg: unisim.vcomponents.FDRE Q => \^sig_tx_channel_reset_reg_0\, R => \^sig_bus2ip_reset\ ); +sig_txd_sb_wr_en_i_1: unisim.vcomponents.LUT2 + generic map( + INIT => X"8" + ) + port map ( + I0 => \sig_txd_wr_data[31]_i_2_n_0\, + I1 => sig_txd_sb_wr_en_reg_0, + O => sig_txd_sb_wr_en + ); sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE generic map( INIT => '0' @@ -11646,7 +13548,19 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE Q => sig_txd_sb_wr_en_reg_n_0, R => IP2Bus_WrAck_i_1_n_0 ); -\sig_txd_wr_data[31]_i_3\: unisim.vcomponents.LUT4 +\sig_txd_wr_data[31]_i_1\: unisim.vcomponents.LUT5 + generic map( + INIT => X"0000888F" + ) + port map ( + I0 => \sig_txd_wr_data[31]_i_2_n_0\, + I1 => sig_txd_sb_wr_en_reg_0, + I2 => \gtxd.COMP_TXD_FIFO_n_50\, + I3 => IP2Bus_Error_reg_0, + I4 => \^ip2bus_error1_in\, + O => \sig_txd_wr_data[31]_i_1_n_0\ + ); +\sig_txd_wr_data[31]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"FE02" ) @@ -11655,7 +13569,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE I1 => s_axi_wdata(0), I2 => s_axi_wdata(1), I3 => \eqOp_inferred__2/i__carry__1_n_1\, - O => s_axi_wdata_0_sn_1 + O => \sig_txd_wr_data[31]_i_2_n_0\ ); \sig_txd_wr_data_reg[0]\: unisim.vcomponents.FDRE generic map( @@ -11663,7 +13577,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(0), Q => txd_wr_data_0(0), R => \^sig_bus2ip_reset\ @@ -11674,7 +13588,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(10), Q => txd_wr_data(10), R => \^sig_bus2ip_reset\ @@ -11685,7 +13599,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(11), Q => txd_wr_data(11), R => \^sig_bus2ip_reset\ @@ -11696,7 +13610,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(12), Q => txd_wr_data(12), R => \^sig_bus2ip_reset\ @@ -11707,7 +13621,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(13), Q => txd_wr_data(13), R => \^sig_bus2ip_reset\ @@ -11718,7 +13632,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(14), Q => txd_wr_data(14), R => \^sig_bus2ip_reset\ @@ -11729,7 +13643,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(15), Q => txd_wr_data(15), R => \^sig_bus2ip_reset\ @@ -11740,7 +13654,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(16), Q => txd_wr_data(16), R => \^sig_bus2ip_reset\ @@ -11751,7 +13665,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(17), Q => txd_wr_data(17), R => \^sig_bus2ip_reset\ @@ -11762,7 +13676,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(18), Q => txd_wr_data(18), R => \^sig_bus2ip_reset\ @@ -11773,7 +13687,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(19), Q => txd_wr_data(19), R => \^sig_bus2ip_reset\ @@ -11784,7 +13698,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(1), Q => txd_wr_data_0(1), R => \^sig_bus2ip_reset\ @@ -11795,7 +13709,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(20), Q => txd_wr_data(20), R => \^sig_bus2ip_reset\ @@ -11806,7 +13720,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(21), Q => txd_wr_data(21), R => \^sig_bus2ip_reset\ @@ -11817,7 +13731,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(22), Q => txd_wr_data(22), R => \^sig_bus2ip_reset\ @@ -11828,7 +13742,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(23), Q => txd_wr_data(23), R => \^sig_bus2ip_reset\ @@ -11839,7 +13753,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(24), Q => txd_wr_data(24), R => \^sig_bus2ip_reset\ @@ -11850,7 +13764,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(25), Q => txd_wr_data(25), R => \^sig_bus2ip_reset\ @@ -11861,7 +13775,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(26), Q => txd_wr_data(26), R => \^sig_bus2ip_reset\ @@ -11872,7 +13786,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(27), Q => txd_wr_data(27), R => \^sig_bus2ip_reset\ @@ -11883,7 +13797,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(28), Q => txd_wr_data(28), R => \^sig_bus2ip_reset\ @@ -11894,7 +13808,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(29), Q => txd_wr_data(29), R => \^sig_bus2ip_reset\ @@ -11905,7 +13819,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(2), Q => txd_wr_data(2), R => \^sig_bus2ip_reset\ @@ -11916,7 +13830,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(30), Q => txd_wr_data(30), R => \^sig_bus2ip_reset\ @@ -11927,7 +13841,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(31), Q => txd_wr_data(31), R => \^sig_bus2ip_reset\ @@ -11938,7 +13852,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(3), Q => txd_wr_data(3), R => \^sig_bus2ip_reset\ @@ -11949,7 +13863,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(4), Q => txd_wr_data(4), R => \^sig_bus2ip_reset\ @@ -11960,7 +13874,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(5), Q => txd_wr_data(5), R => \^sig_bus2ip_reset\ @@ -11971,7 +13885,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(6), Q => txd_wr_data(6), R => \^sig_bus2ip_reset\ @@ -11982,7 +13896,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(7), Q => txd_wr_data(7), R => \^sig_bus2ip_reset\ @@ -11993,7 +13907,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(8), Q => txd_wr_data(8), R => \^sig_bus2ip_reset\ @@ -12004,7 +13918,7 @@ sig_txd_sb_wr_en_reg: unisim.vcomponents.FDRE ) port map ( C => s_axi_aclk, - CE => \gtxd.COMP_TXD_FIFO_n_52\, + CE => \sig_txd_wr_data[31]_i_1_n_0\, D => s_axi_wdata(9), Q => txd_wr_data(9), R => \^sig_bus2ip_reset\ @@ -12160,11 +14074,11 @@ entity design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s is attribute C_TX_CASCADE_HEIGHT : integer; attribute C_TX_CASCADE_HEIGHT of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s : entity is 0; attribute C_TX_FIFO_DEPTH : integer; - attribute C_TX_FIFO_DEPTH of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s : entity is 512; + attribute C_TX_FIFO_DEPTH of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s : entity is 4096; attribute C_TX_FIFO_PE_THRESHOLD : integer; attribute C_TX_FIFO_PE_THRESHOLD of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s : entity is 5; attribute C_TX_FIFO_PF_THRESHOLD : integer; - attribute C_TX_FIFO_PF_THRESHOLD of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s : entity is 507; + attribute C_TX_FIFO_PF_THRESHOLD of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s : entity is 4091; attribute C_USE_RX_CUT_THROUGH : integer; attribute C_USE_RX_CUT_THROUGH of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s : entity is 0; attribute C_USE_RX_DATA : integer; @@ -12183,9 +14097,9 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s is signal \<const0>\ : STD_LOGIC; signal \<const1>\ : STD_LOGIC; signal COMP_IPIC2AXI_S_n_39 : STD_LOGIC; - signal COMP_IPIC2AXI_S_n_41 : STD_LOGIC; - signal COMP_IPIC2AXI_S_n_44 : STD_LOGIC; + signal COMP_IPIC2AXI_S_n_43 : STD_LOGIC; signal COMP_IPIC2AXI_S_n_45 : STD_LOGIC; + signal COMP_IPIC2AXI_S_n_46 : STD_LOGIC; signal COMP_IPIC2AXI_S_n_47 : STD_LOGIC; signal COMP_IPIC2AXI_S_n_48 : STD_LOGIC; signal COMP_IPIC2AXI_S_n_49 : STD_LOGIC; @@ -12198,19 +14112,16 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s is signal COMP_IPIC2AXI_S_n_56 : STD_LOGIC; signal COMP_IPIC2AXI_S_n_57 : STD_LOGIC; signal COMP_IPIC2AXI_S_n_58 : STD_LOGIC; - signal COMP_IPIC2AXI_S_n_59 : STD_LOGIC; - signal COMP_IPIC2AXI_S_n_60 : STD_LOGIC; signal COMP_IPIF_n_10 : STD_LOGIC; signal COMP_IPIF_n_11 : STD_LOGIC; signal COMP_IPIF_n_12 : STD_LOGIC; signal COMP_IPIF_n_13 : STD_LOGIC; signal COMP_IPIF_n_14 : STD_LOGIC; - signal COMP_IPIF_n_16 : STD_LOGIC; + signal COMP_IPIF_n_15 : STD_LOGIC; + signal COMP_IPIF_n_23 : STD_LOGIC; signal COMP_IPIF_n_24 : STD_LOGIC; signal COMP_IPIF_n_25 : STD_LOGIC; - signal COMP_IPIF_n_26 : STD_LOGIC; - signal COMP_IPIF_n_27 : STD_LOGIC; - signal COMP_IPIF_n_41 : STD_LOGIC; + signal COMP_IPIF_n_39 : STD_LOGIC; signal COMP_IPIF_n_8 : STD_LOGIC; signal COMP_IPIF_n_9 : STD_LOGIC; signal IP2Bus_Error1_in : STD_LOGIC; @@ -12218,6 +14129,8 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s is signal \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\ : STD_LOGIC; signal \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\ : STD_LOGIC; signal \I_SLAVE_ATTACHMENT/I_DECODER/cs_ce_clr\ : STD_LOGIC; + signal \^axi_str_txd_tlast\ : STD_LOGIC; + signal \^axi_str_txd_tvalid\ : STD_LOGIC; signal p_1_in : STD_LOGIC_VECTOR ( 1 to 1 ); signal \^s_axi_arready\ : STD_LOGIC; signal \^s_axi_awready\ : STD_LOGIC; @@ -12229,7 +14142,6 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0_axi_fifo_mm_s is signal sig_ip2bus_data : STD_LOGIC_VECTOR ( 0 to 30 ); signal sig_ip2bus_data_1 : STD_LOGIC_VECTOR ( 3 to 10 ); signal \sig_register_array[1]_0\ : STD_LOGIC_VECTOR ( 0 to 12 ); - signal sig_txd_sb_wr_en : STD_LOGIC; begin axi_str_rxd_tready <= \<const0>\; axi_str_txc_tdata(31) <= \<const0>\; @@ -12298,6 +14210,7 @@ begin axi_str_txd_tkeep(2) <= \<const1>\; axi_str_txd_tkeep(1) <= \<const1>\; axi_str_txd_tkeep(0) <= \<const1>\; + axi_str_txd_tlast <= \^axi_str_txd_tlast\; axi_str_txd_tstrb(3) <= \<const0>\; axi_str_txd_tstrb(2) <= \<const0>\; axi_str_txd_tstrb(1) <= \<const0>\; @@ -12306,6 +14219,7 @@ begin axi_str_txd_tuser(2) <= \<const0>\; axi_str_txd_tuser(1) <= \<const0>\; axi_str_txd_tuser(0) <= \<const0>\; + axi_str_txd_tvalid <= \^axi_str_txd_tvalid\; mm2s_cntrl_reset_out_n <= \<const1>\; s_axi4_arready <= \<const0>\; s_axi4_awready <= \<const0>\; @@ -12368,10 +14282,7 @@ begin s_axi_rdata(15) <= \<const0>\; s_axi_rdata(14) <= \<const0>\; s_axi_rdata(13) <= \<const0>\; - s_axi_rdata(12) <= \<const0>\; - s_axi_rdata(11) <= \<const0>\; - s_axi_rdata(10) <= \<const0>\; - s_axi_rdata(9 downto 1) <= \^s_axi_rdata\(9 downto 1); + s_axi_rdata(12 downto 1) <= \^s_axi_rdata\(12 downto 1); s_axi_rdata(0) <= \<const0>\; s_axi_rresp(1) <= \^s_axi_rresp\(1); s_axi_rresp(0) <= \<const0>\; @@ -12386,27 +14297,26 @@ COMP_IPIC2AXI_S: entity work.design_1_axi_fifo_mm_s_0_0_ipic2axi_s D(2) => sig_ip2bus_data_1(8), D(1) => sig_ip2bus_data_1(9), D(0) => sig_ip2bus_data_1(10), - E(0) => COMP_IPIF_n_41, + E(0) => COMP_IPIF_n_12, \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\, \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, IP2Bus_Error1_in => IP2Bus_Error1_in, - IP2Bus_Error_reg_0 => COMP_IPIF_n_26, + IP2Bus_Error_reg_0 => COMP_IPIF_n_24, IP2Bus_RdAck_reg_0 => \^s_axi_arready\, IP2Bus_RdAck_reg_1 => COMP_IPIF_n_13, IP2Bus_WrAck_reg_0 => \^s_axi_awready\, - IP2Bus_WrAck_reg_1 => COMP_IPIF_n_27, - IPIC_STATE_reg_0 => COMP_IPIC2AXI_S_n_41, - Q(6) => COMP_IPIC2AXI_S_n_47, - Q(5) => COMP_IPIC2AXI_S_n_48, - Q(4) => COMP_IPIC2AXI_S_n_49, - Q(3) => COMP_IPIC2AXI_S_n_50, - Q(2) => COMP_IPIC2AXI_S_n_51, - Q(1) => COMP_IPIC2AXI_S_n_52, - Q(0) => COMP_IPIC2AXI_S_n_53, + IP2Bus_WrAck_reg_1 => COMP_IPIF_n_39, + Q(6) => COMP_IPIC2AXI_S_n_45, + Q(5) => COMP_IPIC2AXI_S_n_46, + Q(4) => COMP_IPIC2AXI_S_n_47, + Q(3) => COMP_IPIC2AXI_S_n_48, + Q(2) => COMP_IPIC2AXI_S_n_49, + Q(1) => COMP_IPIC2AXI_S_n_50, + Q(0) => COMP_IPIC2AXI_S_n_51, axi_str_txd_tdata(31 downto 0) => axi_str_txd_tdata(31 downto 0), - axi_str_txd_tlast => axi_str_txd_tlast, + axi_str_txd_tlast => \^axi_str_txd_tlast\, axi_str_txd_tready => axi_str_txd_tready, - axi_str_txd_tvalid => axi_str_txd_tvalid, + axi_str_txd_tvalid => \^axi_str_txd_tvalid\, cs_ce_clr => \I_SLAVE_ATTACHMENT/I_DECODER/cs_ce_clr\, interrupt => interrupt, p_1_in(0) => p_1_in(1), @@ -12414,23 +14324,25 @@ COMP_IPIC2AXI_S: entity work.design_1_axi_fifo_mm_s_0_0_ipic2axi_s s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), - s_axi_wdata_0_sp_1 => COMP_IPIC2AXI_S_n_45, - s_axi_wdata_7_sp_1 => COMP_IPIC2AXI_S_n_44, + s_axi_wdata_7_sp_1 => COMP_IPIC2AXI_S_n_43, sig_Bus2IP_CS => sig_Bus2IP_CS, sig_Bus2IP_Reset => sig_Bus2IP_Reset, - \sig_ip2bus_data_reg[0]_0\(21) => sig_ip2bus_data(0), - \sig_ip2bus_data_reg[0]_0\(20) => sig_ip2bus_data(1), - \sig_ip2bus_data_reg[0]_0\(19) => sig_ip2bus_data(2), - \sig_ip2bus_data_reg[0]_0\(18) => sig_ip2bus_data(3), - \sig_ip2bus_data_reg[0]_0\(17) => sig_ip2bus_data(4), - \sig_ip2bus_data_reg[0]_0\(16) => sig_ip2bus_data(5), - \sig_ip2bus_data_reg[0]_0\(15) => sig_ip2bus_data(6), - \sig_ip2bus_data_reg[0]_0\(14) => sig_ip2bus_data(7), - \sig_ip2bus_data_reg[0]_0\(13) => sig_ip2bus_data(8), - \sig_ip2bus_data_reg[0]_0\(12) => sig_ip2bus_data(9), - \sig_ip2bus_data_reg[0]_0\(11) => sig_ip2bus_data(10), - \sig_ip2bus_data_reg[0]_0\(10) => sig_ip2bus_data(11), - \sig_ip2bus_data_reg[0]_0\(9) => sig_ip2bus_data(12), + \sig_ip2bus_data_reg[0]_0\(24) => sig_ip2bus_data(0), + \sig_ip2bus_data_reg[0]_0\(23) => sig_ip2bus_data(1), + \sig_ip2bus_data_reg[0]_0\(22) => sig_ip2bus_data(2), + \sig_ip2bus_data_reg[0]_0\(21) => sig_ip2bus_data(3), + \sig_ip2bus_data_reg[0]_0\(20) => sig_ip2bus_data(4), + \sig_ip2bus_data_reg[0]_0\(19) => sig_ip2bus_data(5), + \sig_ip2bus_data_reg[0]_0\(18) => sig_ip2bus_data(6), + \sig_ip2bus_data_reg[0]_0\(17) => sig_ip2bus_data(7), + \sig_ip2bus_data_reg[0]_0\(16) => sig_ip2bus_data(8), + \sig_ip2bus_data_reg[0]_0\(15) => sig_ip2bus_data(9), + \sig_ip2bus_data_reg[0]_0\(14) => sig_ip2bus_data(10), + \sig_ip2bus_data_reg[0]_0\(13) => sig_ip2bus_data(11), + \sig_ip2bus_data_reg[0]_0\(12) => sig_ip2bus_data(12), + \sig_ip2bus_data_reg[0]_0\(11) => sig_ip2bus_data(19), + \sig_ip2bus_data_reg[0]_0\(10) => sig_ip2bus_data(20), + \sig_ip2bus_data_reg[0]_0\(9) => sig_ip2bus_data(21), \sig_ip2bus_data_reg[0]_0\(8) => sig_ip2bus_data(22), \sig_ip2bus_data_reg[0]_0\(7) => sig_ip2bus_data(23), \sig_ip2bus_data_reg[0]_0\(6) => sig_ip2bus_data(24), @@ -12440,18 +14352,18 @@ COMP_IPIC2AXI_S: entity work.design_1_axi_fifo_mm_s_0_0_ipic2axi_s \sig_ip2bus_data_reg[0]_0\(2) => sig_ip2bus_data(28), \sig_ip2bus_data_reg[0]_0\(1) => sig_ip2bus_data(29), \sig_ip2bus_data_reg[0]_0\(0) => sig_ip2bus_data(30), - \sig_ip2bus_data_reg[12]_0\ => COMP_IPIF_n_24, - \sig_ip2bus_data_reg[22]_0\ => COMP_IPIF_n_25, - \sig_register_array_reg[0][10]_0\ => COMP_IPIC2AXI_S_n_57, - \sig_register_array_reg[0][3]_0\ => COMP_IPIC2AXI_S_n_55, + \sig_ip2bus_data_reg[12]_0\ => COMP_IPIF_n_23, + \sig_ip2bus_data_reg[19]_0\ => COMP_IPIF_n_15, + \sig_register_array_reg[0][10]_0\ => COMP_IPIC2AXI_S_n_52, + \sig_register_array_reg[0][3]_0\ => COMP_IPIC2AXI_S_n_53, \sig_register_array_reg[0][3]_1\ => COMP_IPIF_n_10, - \sig_register_array_reg[0][4]_0\ => COMP_IPIC2AXI_S_n_54, - \sig_register_array_reg[0][4]_1\ => COMP_IPIF_n_12, - \sig_register_array_reg[0][6]_0\ => COMP_IPIC2AXI_S_n_56, - \sig_register_array_reg[0][6]_1\ => COMP_IPIF_n_8, - \sig_register_array_reg[0][7]_0\ => COMP_IPIC2AXI_S_n_58, - \sig_register_array_reg[0][8]_0\ => COMP_IPIC2AXI_S_n_60, - \sig_register_array_reg[0][9]_0\ => COMP_IPIC2AXI_S_n_59, + \sig_register_array_reg[0][4]_0\ => COMP_IPIC2AXI_S_n_55, + \sig_register_array_reg[0][4]_1\ => COMP_IPIF_n_11, + \sig_register_array_reg[0][4]_2\ => COMP_IPIF_n_8, + \sig_register_array_reg[0][6]_0\ => COMP_IPIC2AXI_S_n_57, + \sig_register_array_reg[0][7]_0\ => COMP_IPIC2AXI_S_n_54, + \sig_register_array_reg[0][8]_0\ => COMP_IPIC2AXI_S_n_56, + \sig_register_array_reg[0][9]_0\ => COMP_IPIC2AXI_S_n_58, \sig_register_array_reg[1][0]_0\(12) => \sig_register_array[1]_0\(0), \sig_register_array_reg[1][0]_0\(11) => \sig_register_array[1]_0\(1), \sig_register_array_reg[1][0]_0\(10) => \sig_register_array[1]_0\(2), @@ -12469,26 +14381,11 @@ COMP_IPIC2AXI_S: entity work.design_1_axi_fifo_mm_s_0_0_ipic2axi_s sig_str_rst_reg_1 => COMP_IPIF_n_9, sig_tx_channel_reset_reg_0 => COMP_IPIC2AXI_S_n_39, sig_tx_channel_reset_reg_1 => COMP_IPIF_n_14, - sig_txd_sb_wr_en => sig_txd_sb_wr_en, - \sig_txd_wr_data_reg[0]_0\ => COMP_IPIF_n_16, - \sig_txd_wr_data_reg[0]_1\ => COMP_IPIF_n_11 + sig_txd_sb_wr_en_reg_0 => COMP_IPIF_n_25 ); COMP_IPIF: entity work.design_1_axi_fifo_mm_s_0_0_axi_lite_ipif port map ( Bus_RNW_reg => \I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg\, - Bus_RNW_reg_reg(12) => \sig_register_array[1]_0\(0), - Bus_RNW_reg_reg(11) => \sig_register_array[1]_0\(1), - Bus_RNW_reg_reg(10) => \sig_register_array[1]_0\(2), - Bus_RNW_reg_reg(9) => \sig_register_array[1]_0\(3), - Bus_RNW_reg_reg(8) => \sig_register_array[1]_0\(4), - Bus_RNW_reg_reg(7) => \sig_register_array[1]_0\(5), - Bus_RNW_reg_reg(6) => \sig_register_array[1]_0\(6), - Bus_RNW_reg_reg(5) => \sig_register_array[1]_0\(7), - Bus_RNW_reg_reg(4) => \sig_register_array[1]_0\(8), - Bus_RNW_reg_reg(3) => \sig_register_array[1]_0\(9), - Bus_RNW_reg_reg(2) => \sig_register_array[1]_0\(10), - Bus_RNW_reg_reg(1) => \sig_register_array[1]_0\(11), - Bus_RNW_reg_reg(0) => \sig_register_array[1]_0\(12), D(6) => sig_ip2bus_data_1(3), D(5) => sig_ip2bus_data_1(4), D(4) => sig_ip2bus_data_1(6), @@ -12496,29 +14393,31 @@ COMP_IPIF: entity work.design_1_axi_fifo_mm_s_0_0_axi_lite_ipif D(2) => sig_ip2bus_data_1(8), D(1) => sig_ip2bus_data_1(9), D(0) => sig_ip2bus_data_1(10), - E(0) => COMP_IPIF_n_41, + E(0) => COMP_IPIF_n_12, \FSM_onehot_state_reg[2]\ => \^s_axi_awready\, \FSM_onehot_state_reg[3]\ => \^s_axi_arready\, \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg\, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]\ => COMP_IPIF_n_9, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_0\ => COMP_IPIF_n_10, - \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]_1\ => COMP_IPIF_n_12, - \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\ => COMP_IPIF_n_16, - \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ => COMP_IPIF_n_24, + \GEN_BKEND_CE_REGISTERS[10].ce_out_i_reg[10]\ => COMP_IPIF_n_10, + \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]\ => COMP_IPIF_n_15, + \GEN_BKEND_CE_REGISTERS[1].ce_out_i_reg[1]_0\ => COMP_IPIF_n_23, \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]\ => COMP_IPIF_n_14, - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]\ => COMP_IPIF_n_25, - \GEN_BKEND_CE_REGISTERS[3].ce_out_i_reg[3]_0\ => COMP_IPIF_n_26, + \GEN_BKEND_CE_REGISTERS[2].ce_out_i_reg[2]_0\ => COMP_IPIF_n_25, + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]\ => COMP_IPIF_n_9, + \GEN_BKEND_CE_REGISTERS[4].ce_out_i_reg[4]_0\ => COMP_IPIF_n_11, \GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\ => \I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS[5].ce_out_i_reg\, IP2Bus_Error1_in => IP2Bus_Error1_in, - Q(6) => COMP_IPIC2AXI_S_n_47, - Q(5) => COMP_IPIC2AXI_S_n_48, - Q(4) => COMP_IPIC2AXI_S_n_49, - Q(3) => COMP_IPIC2AXI_S_n_50, - Q(2) => COMP_IPIC2AXI_S_n_51, - Q(1) => COMP_IPIC2AXI_S_n_52, - Q(0) => COMP_IPIC2AXI_S_n_53, + IP2Bus_Error_reg => COMP_IPIC2AXI_S_n_39, + Q(6) => COMP_IPIC2AXI_S_n_45, + Q(5) => COMP_IPIC2AXI_S_n_46, + Q(4) => COMP_IPIC2AXI_S_n_47, + Q(3) => COMP_IPIC2AXI_S_n_48, + Q(2) => COMP_IPIC2AXI_S_n_49, + Q(1) => COMP_IPIC2AXI_S_n_50, + Q(0) => COMP_IPIC2AXI_S_n_51, + axi_str_txd_tlast => \^axi_str_txd_tlast\, + axi_str_txd_tvalid => \^axi_str_txd_tvalid\, bus2ip_rnw_i_reg => COMP_IPIF_n_13, - bus2ip_rnw_i_reg_0 => COMP_IPIF_n_27, + bus2ip_rnw_i_reg_0 => COMP_IPIF_n_39, cs_ce_clr => \I_SLAVE_ATTACHMENT/I_DECODER/cs_ce_clr\, p_1_in(0) => p_1_in(1), s_axi_aclk => s_axi_aclk, @@ -12529,21 +14428,24 @@ COMP_IPIF: entity work.design_1_axi_fifo_mm_s_0_0_axi_lite_ipif s_axi_bready => s_axi_bready, s_axi_bresp(0) => \^s_axi_bresp\(1), s_axi_bvalid => s_axi_bvalid, - s_axi_rdata(21 downto 9) => \^s_axi_rdata\(31 downto 19), - s_axi_rdata(8 downto 0) => \^s_axi_rdata\(9 downto 1), - \s_axi_rdata_i_reg[31]\(21) => sig_ip2bus_data(0), - \s_axi_rdata_i_reg[31]\(20) => sig_ip2bus_data(1), - \s_axi_rdata_i_reg[31]\(19) => sig_ip2bus_data(2), - \s_axi_rdata_i_reg[31]\(18) => sig_ip2bus_data(3), - \s_axi_rdata_i_reg[31]\(17) => sig_ip2bus_data(4), - \s_axi_rdata_i_reg[31]\(16) => sig_ip2bus_data(5), - \s_axi_rdata_i_reg[31]\(15) => sig_ip2bus_data(6), - \s_axi_rdata_i_reg[31]\(14) => sig_ip2bus_data(7), - \s_axi_rdata_i_reg[31]\(13) => sig_ip2bus_data(8), - \s_axi_rdata_i_reg[31]\(12) => sig_ip2bus_data(9), - \s_axi_rdata_i_reg[31]\(11) => sig_ip2bus_data(10), - \s_axi_rdata_i_reg[31]\(10) => sig_ip2bus_data(11), - \s_axi_rdata_i_reg[31]\(9) => sig_ip2bus_data(12), + s_axi_rdata(24 downto 12) => \^s_axi_rdata\(31 downto 19), + s_axi_rdata(11 downto 0) => \^s_axi_rdata\(12 downto 1), + \s_axi_rdata_i_reg[31]\(24) => sig_ip2bus_data(0), + \s_axi_rdata_i_reg[31]\(23) => sig_ip2bus_data(1), + \s_axi_rdata_i_reg[31]\(22) => sig_ip2bus_data(2), + \s_axi_rdata_i_reg[31]\(21) => sig_ip2bus_data(3), + \s_axi_rdata_i_reg[31]\(20) => sig_ip2bus_data(4), + \s_axi_rdata_i_reg[31]\(19) => sig_ip2bus_data(5), + \s_axi_rdata_i_reg[31]\(18) => sig_ip2bus_data(6), + \s_axi_rdata_i_reg[31]\(17) => sig_ip2bus_data(7), + \s_axi_rdata_i_reg[31]\(16) => sig_ip2bus_data(8), + \s_axi_rdata_i_reg[31]\(15) => sig_ip2bus_data(9), + \s_axi_rdata_i_reg[31]\(14) => sig_ip2bus_data(10), + \s_axi_rdata_i_reg[31]\(13) => sig_ip2bus_data(11), + \s_axi_rdata_i_reg[31]\(12) => sig_ip2bus_data(12), + \s_axi_rdata_i_reg[31]\(11) => sig_ip2bus_data(19), + \s_axi_rdata_i_reg[31]\(10) => sig_ip2bus_data(20), + \s_axi_rdata_i_reg[31]\(9) => sig_ip2bus_data(21), \s_axi_rdata_i_reg[31]\(8) => sig_ip2bus_data(22), \s_axi_rdata_i_reg[31]\(7) => sig_ip2bus_data(23), \s_axi_rdata_i_reg[31]\(6) => sig_ip2bus_data(24), @@ -12557,23 +14459,32 @@ COMP_IPIF: entity work.design_1_axi_fifo_mm_s_0_0_axi_lite_ipif s_axi_rresp(0) => \^s_axi_rresp\(1), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(12 downto 0) => s_axi_wdata(31 downto 19), - \s_axi_wdata[25]\ => COMP_IPIF_n_8, + \s_axi_wdata[27]\ => COMP_IPIF_n_8, + \s_axi_wdata[31]\(12) => \sig_register_array[1]_0\(0), + \s_axi_wdata[31]\(11) => \sig_register_array[1]_0\(1), + \s_axi_wdata[31]\(10) => \sig_register_array[1]_0\(2), + \s_axi_wdata[31]\(9) => \sig_register_array[1]_0\(3), + \s_axi_wdata[31]\(8) => \sig_register_array[1]_0\(4), + \s_axi_wdata[31]\(7) => \sig_register_array[1]_0\(5), + \s_axi_wdata[31]\(6) => \sig_register_array[1]_0\(6), + \s_axi_wdata[31]\(5) => \sig_register_array[1]_0\(7), + \s_axi_wdata[31]\(4) => \sig_register_array[1]_0\(8), + \s_axi_wdata[31]\(3) => \sig_register_array[1]_0\(9), + \s_axi_wdata[31]\(2) => \sig_register_array[1]_0\(10), + \s_axi_wdata[31]\(1) => \sig_register_array[1]_0\(11), + \s_axi_wdata[31]\(0) => \sig_register_array[1]_0\(12), s_axi_wvalid => s_axi_wvalid, sig_Bus2IP_CS => sig_Bus2IP_CS, sig_Bus2IP_Reset => sig_Bus2IP_Reset, - \sig_ip2bus_data_reg[10]\ => COMP_IPIC2AXI_S_n_57, - \sig_ip2bus_data_reg[3]\ => COMP_IPIC2AXI_S_n_55, - \sig_ip2bus_data_reg[4]\ => COMP_IPIC2AXI_S_n_54, - \sig_ip2bus_data_reg[6]\ => COMP_IPIC2AXI_S_n_56, - \sig_ip2bus_data_reg[7]\ => COMP_IPIC2AXI_S_n_58, - \sig_ip2bus_data_reg[8]\ => COMP_IPIC2AXI_S_n_60, - \sig_ip2bus_data_reg[9]\ => COMP_IPIC2AXI_S_n_59, - \sig_register_array_reg[0][6]\ => COMP_IPIC2AXI_S_n_41, - sig_str_rst_reg => COMP_IPIC2AXI_S_n_44, - sig_tx_channel_reset_reg => COMP_IPIF_n_11, - sig_txd_sb_wr_en => sig_txd_sb_wr_en, - sig_txd_sb_wr_en_reg => COMP_IPIC2AXI_S_n_45, - sig_txd_sb_wr_en_reg_0 => COMP_IPIC2AXI_S_n_39 + \sig_ip2bus_data_reg[10]\ => COMP_IPIC2AXI_S_n_52, + \sig_ip2bus_data_reg[3]\ => COMP_IPIC2AXI_S_n_53, + \sig_ip2bus_data_reg[4]\ => COMP_IPIC2AXI_S_n_55, + \sig_ip2bus_data_reg[6]\ => COMP_IPIC2AXI_S_n_57, + \sig_ip2bus_data_reg[7]\ => COMP_IPIC2AXI_S_n_54, + \sig_ip2bus_data_reg[8]\ => COMP_IPIC2AXI_S_n_56, + \sig_ip2bus_data_reg[9]\ => COMP_IPIC2AXI_S_n_58, + sig_str_rst_reg => COMP_IPIC2AXI_S_n_43, + sig_tx_channel_reset_reg => COMP_IPIF_n_24 ); GND: unisim.vcomponents.GND port map ( @@ -12701,11 +14612,11 @@ architecture STRUCTURE of design_1_axi_fifo_mm_s_0_0 is attribute C_TX_CASCADE_HEIGHT : integer; attribute C_TX_CASCADE_HEIGHT of U0 : label is 0; attribute C_TX_FIFO_DEPTH : integer; - attribute C_TX_FIFO_DEPTH of U0 : label is 512; + attribute C_TX_FIFO_DEPTH of U0 : label is 4096; attribute C_TX_FIFO_PE_THRESHOLD : integer; attribute C_TX_FIFO_PE_THRESHOLD of U0 : label is 5; attribute C_TX_FIFO_PF_THRESHOLD : integer; - attribute C_TX_FIFO_PF_THRESHOLD of U0 : label is 507; + attribute C_TX_FIFO_PF_THRESHOLD of U0 : label is 4091; attribute C_USE_RX_CUT_THROUGH : integer; attribute C_USE_RX_CUT_THROUGH of U0 : label is 0; attribute C_USE_RX_DATA : integer; diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.v b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.v index fd196f6f..b211920c 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.v +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.v @@ -1,10 +1,10 @@ // Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. // -------------------------------------------------------------------------------- // Tool Version: Vivado v.2020.1 (win64) Build 2902540 Wed May 27 19:54:49 MDT 2020 -// Date : Thu Jan 20 22:00:03 2022 +// Date : Wed May 11 18:46:03 2022 // Host : DESKTOP-J72MK93 running 64-bit major release (build 9200) // Command : write_verilog -force -mode synth_stub -// c:/Users/Aleksa/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.v +// c:/Users/Aleksa/Documents/FPGA_Dev/Artix7_PCIe/dso_top_Rev2/dso_top_Rev2.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.v // Design : design_1_axi_fifo_mm_s_0_0 // Purpose : Stub declaration of top-level module interface // Device : xc7a35tcsg325-2 diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.vhdl b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.vhdl index 7c0dcc8c..618d3d2d 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.vhdl +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.vhdl @@ -1,10 +1,10 @@ -- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2020.1 (win64) Build 2902540 Wed May 27 19:54:49 MDT 2020 --- Date : Thu Jan 20 22:00:03 2022 +-- Date : Wed May 11 18:46:03 2022 -- Host : DESKTOP-J72MK93 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub --- c:/Users/Aleksa/project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.vhdl +-- c:/Users/Aleksa/Documents/FPGA_Dev/Artix7_PCIe/dso_top_Rev2/dso_top_Rev2.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0_stub.vhdl -- Design : design_1_axi_fifo_mm_s_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7a35tcsg325-2 diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/sim/design_1_axi_fifo_mm_s_0_0.vhd b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/sim/design_1_axi_fifo_mm_s_0_0.vhd index 13628cf7..b27c4809 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/sim/design_1_axi_fifo_mm_s_0_0.vhd +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/sim/design_1_axi_fifo_mm_s_0_0.vhd @@ -253,11 +253,11 @@ BEGIN C_S_AXI_ADDR_WIDTH => 32, C_S_AXI_DATA_WIDTH => 32, C_S_AXI4_DATA_WIDTH => 32, - C_TX_FIFO_DEPTH => 512, + C_TX_FIFO_DEPTH => 4096, C_RX_FIFO_DEPTH => 512, C_TX_CASCADE_HEIGHT => 0, C_RX_CASCADE_HEIGHT => 0, - C_TX_FIFO_PF_THRESHOLD => 507, + C_TX_FIFO_PF_THRESHOLD => 4091, C_TX_FIFO_PE_THRESHOLD => 5, C_RX_FIFO_PF_THRESHOLD => 507, C_RX_FIFO_PE_THRESHOLD => 5, diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/synth/design_1_axi_fifo_mm_s_0_0.vhd b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/synth/design_1_axi_fifo_mm_s_0_0.vhd index 686781b4..d67dd82b 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/synth/design_1_axi_fifo_mm_s_0_0.vhd +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ip/design_1_axi_fifo_mm_s_0_0/synth/design_1_axi_fifo_mm_s_0_0.vhd @@ -216,8 +216,8 @@ ARCHITECTURE design_1_axi_fifo_mm_s_0_0_arch OF design_1_axi_fifo_mm_s_0_0 IS ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF design_1_axi_fifo_mm_s_0_0_arch : ARCHITECTURE IS "design_1_axi_fifo_mm_s_0_0,axi_fifo_mm_s,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; - ATTRIBUTE CORE_GENERATION_INFO OF design_1_axi_fifo_mm_s_0_0_arch: ARCHITECTURE IS "design_1_axi_fifo_mm_s_0_0,axi_fifo_mm_s,{x_ipProduct=Vivado 2020.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_fifo_mm_s,x_ipVersion=4.2,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_S_AXI_ID_WIDTH=4,C_S_AXI_ADDR_WIDTH=32,C_S_AXI_DATA_WIDTH=32,C_S_AXI4_DATA_WIDTH=32,C_TX_FIFO_DEPTH=512,C_RX_FIFO_DEPTH=512,C_TX_CASCADE_HEIGHT=0,C_RX_CASCADE_HEIGHT=0,C_TX_FIFO_PF_THRESHOLD=507,C_TX_FIFO_PE_THRESHOLD=5,C_RX_FIFO_PF_THRESHOLD=507,C_RX_FIFO_PE_THRESHOLD=5,C_US" & -"E_TX_CUT_THROUGH=0,C_DATA_INTERFACE_TYPE=0,C_BASEADDR=0x40020000,C_HIGHADDR=0x4002FFFF,C_AXI4_BASEADDR=0x80001000,C_AXI4_HIGHADDR=0x80002FFF,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TID_WIDTH=4,C_AXIS_TDEST_WIDTH=4,C_AXIS_TUSER_WIDTH=4,C_USE_RX_CUT_THROUGH=0,C_USE_TX_DATA=1,C_USE_TX_CTRL=0,C_USE_RX_DATA=0}"; + ATTRIBUTE CORE_GENERATION_INFO OF design_1_axi_fifo_mm_s_0_0_arch: ARCHITECTURE IS "design_1_axi_fifo_mm_s_0_0,axi_fifo_mm_s,{x_ipProduct=Vivado 2020.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_fifo_mm_s,x_ipVersion=4.2,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_S_AXI_ID_WIDTH=4,C_S_AXI_ADDR_WIDTH=32,C_S_AXI_DATA_WIDTH=32,C_S_AXI4_DATA_WIDTH=32,C_TX_FIFO_DEPTH=4096,C_RX_FIFO_DEPTH=512,C_TX_CASCADE_HEIGHT=0,C_RX_CASCADE_HEIGHT=0,C_TX_FIFO_PF_THRESHOLD=4091,C_TX_FIFO_PE_THRESHOLD=5,C_RX_FIFO_PF_THRESHOLD=507,C_RX_FIFO_PE_THRESHOLD=5,C_" & +"USE_TX_CUT_THROUGH=0,C_DATA_INTERFACE_TYPE=0,C_BASEADDR=0x40020000,C_HIGHADDR=0x4002FFFF,C_AXI4_BASEADDR=0x80001000,C_AXI4_HIGHADDR=0x80002FFF,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TID_WIDTH=4,C_AXIS_TDEST_WIDTH=4,C_AXIS_TUSER_WIDTH=4,C_USE_RX_CUT_THROUGH=0,C_USE_TX_DATA=1,C_USE_TX_CTRL=0,C_USE_RX_DATA=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_PARAMETER : STRING; ATTRIBUTE X_INTERFACE_INFO OF axi_str_txd_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 AXI_STR_TXD TDATA"; @@ -260,11 +260,11 @@ BEGIN C_S_AXI_ADDR_WIDTH => 32, C_S_AXI_DATA_WIDTH => 32, C_S_AXI4_DATA_WIDTH => 32, - C_TX_FIFO_DEPTH => 512, + C_TX_FIFO_DEPTH => 4096, C_RX_FIFO_DEPTH => 512, C_TX_CASCADE_HEIGHT => 0, C_RX_CASCADE_HEIGHT => 0, - C_TX_FIFO_PF_THRESHOLD => 507, + C_TX_FIFO_PF_THRESHOLD => 4091, C_TX_FIFO_PE_THRESHOLD => 5, C_RX_FIFO_PF_THRESHOLD => 507, C_RX_FIFO_PE_THRESHOLD => 5, diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/sim/design_1.v b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/sim/design_1.v index 7780bf27..bab2d244 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/sim/design_1.v +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/sim/design_1.v @@ -1,7 +1,7 @@ //Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2020.1 (win64) Build 2902540 Wed May 27 19:54:49 MDT 2020 -//Date : Sun Feb 13 11:02:18 2022 +//Date : Wed May 11 18:45:19 2022 //Host : DESKTOP-J72MK93 running 64-bit major release (build 9200) //Command : generate_target design_1.bd //Design : design_1 diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/synth/design_1.hwdef b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/synth/design_1.hwdef index ad821328cdb700acc58c75b76d2a99345e45f2d6..255e23f7bbe138f23ba337fed9e066f2089dc6a4 100644 GIT binary patch delta 57544 zcmdqIbyOT(vpyOixFsY=aCZwHJh;0%1b2662*KT50t9yr9vp(ZySwYaaEJGM&pE$q zYu$VQylYL*uCA_KyPn$Bv%2?wrX%d_b=X@}1!<VKAK$!rgYc##v9uah4GI;~s*JcY z)lW{md2<wR55)&)AKMHL=cE)m9uDVg941$NOjVlF!snJuQ~}M6c0cEXkms=9`KP># zZ-H+avrS5EE~T#6@$2@1^0y}jcf4G^w7*nlbFO)I*fpTfxi$9lfS7JwJ=2$lT1t<W zD*Ji%N~iQ!kFN;{=Ni?I?A#jDw=$PnGFO4;2l=yrjY?ohen^%10Jb|ky3^=OnykOT z{6V=`yg}2U{BA!Fc6#Zm@w2!c(9!s^yRtFS6418VxeE0>*+0<_w%_%;?ry^d@c`hd zY|pm4BzHEx%$vvX&V`FnPmufb)s37TjyFE)_pQviqh#@Do;C|W=*6Ml<2Tq7@AT|? z_qnt389=|z8w##uLXUFw9P)UFB0&Er{J6jb&(o5`e{X|HU+{e4-JrqnA_F%_4sPSb zXNYnW`+WAib3@rmHO9M&abvx#AEA~V<@Ojbv;XZ~lo@u9z$3F3;n{d)3eb3h=*w^0 z(0F9G@nj3Se^+2p_L+q<MYa&?{YZf#j0{;^HgGGZe7XM7Clg&P{d{j`gggJ|SJr4Z zLa;l+ps9N}A(Ui93nJI)6r*p;c!%b4M{q!Tx_#|Rv#obW+oHFmi}7z?owa2}>mNti za~p}E%C_;ZnGfGxri!);i_sD%n@@XDKir-6b38o+E&?7-FC%F0gGx;VYROk_xU7OF z+<>rU2YacDi#W&5zRfw%QA@!2BV+680fQLP=spuoSZ>t_hy?pL4SnI%^P1R(dmU2O z#BdM#e%w2`SXR$NBOL#xRa-)i`Y&l`*0kj^S4LQJ>dHC}D1~@^`#B$e?yUOG>%Sc` zU{4HDNDG?kUd(9wEXUUT&TG<zC(q61#~&buU!%UMwaJs1ogs!3n}1Jv>TgFm-A9sS zM8upAYZTX`CXt@(I4_>f2w+D-8F)6P6C(VRRKPr~hc{^?cE}EJ^B{U@NeodrUljSH z@AQ~T`qvohe$j>AwnKh|*i=m1a%Y%H@aF_cOcnP5RU|0gzVXQ3mi&b4G*+oUs|pal zdFCcJ6A~eV9gEsFc5-TbCTnd1<F@<GTUT<TZ|IqSE^B|h-^>!LJ83>R8R;f!Og3fz zmc-elI{Rrvv!ZdqB&pIx(XKnCHqVyosTI8Ry8ygs*w)_Wi^4RwRv{3a>{h3=z-!Cg zR}V$#u@2h0X*@q9d3t(Aj=URuH~@m}DG%I(m<Z288gzlu?nMUm46<RRPw#!t4tzLf zBj=5^aHEPMH|#%+YGyKF^y$jGXBXXF|5n5S^|fWYPJ@aix>w%G-`)zD@9X^rJNWDz znEPg8!G4)lPF`vW4jwyV@IP_vR<JLiWwPL1r8#wlAso{7U6i`>@Np4E*8u`IZ04+l zCp9}zTk`j=b8G_{Rxa%D^felfIJvlwI0h)%3iu+8Dv2syyvPV`|25n?HDu*m&hAKG z(8AeI`c+$@?J2p|)_i+%8i%#iRe={b>S;SqK>!?t-wxgEtZi@DYH}Yn|4P1INk6z- z;#oArKx-yBDBBCt1tuNNa_l(uEsivnZ$b)cN5!8GS6=#80)C&Z@0V>6tDoJtdA5Ia zTZ(a>wd-a)_^rMK6!x<{)Xda4&$>vD?R#Cs((@JCaUPWl^yIw`)AGygI`ekuxa)a6 zWEFoW*-_y9QFz{MXhLy}p(%fDP&>eQ9N^`(6_9SR;c5mB8%UC!m*RH?+RHD*<k?cS zqbfXYaEn%~P;EdH#dV^`5mD+iOX#SE;S$Zb_6=pKE>E@Yv@pWrx^VTzIegK-vJYf8 z+L$XD#MON`P!p~f^GvhZFS$t%O4g1s!_(`12<8T?aP7)Gn-95oDoeTBSHnImDL7AC zbdMl7&Y1vfQYLLP^IG-fnKv!*<um17vn3n*WlOr&<w~7t-c~bl&a-E74Qh?F+NJNM z&2-O9tz1g0>n$a@k36WfOSKcrXF?$PcdM;${?|+EtC!JPOPMLnJ)T9a6<X_Z+^A7* z#ucrbGR-;d$QjS!NzJi^qnncc33gGSqzmm^&!pzsg7j@k`;hvv!G6(Lj%nGPF3`qP zq8&(}Iy9kLDGNjKd~5wx!9J{!m|k4H?bHa_sI=;*<(K9|b~oXf-rwRws`<RHn5{|N z(6`#7toGd-SqQ78YnIwjIZeVj^@+Mq#wgD^m0c#e@ITu6uHuoKg+P8M9ssR0r53L{ zR1SG%St*yZEOyRczr$8q_J$=Ht;6%~1_=3x6UEUJA|^_Y3Ei#<fs$xK>Q5_9mMy?~ z7)o*xm2S{bz#zG|_3r|l=348(cpEO5_XJ<6tuy=?cB1oA&}z1aLs~cVG|VzC?nVj* zW0E;IhU+G)T+UFw1?{1LMgjgU=$2FJFK4q=TS0lCC?|E|gv+cban`D`y`+3lg>+UC zuTOMVj)_kr`N~~p+Z!jX`$ay}d|`n36YUU5=AUpJo;xX{u%B;Ne=whpOCU|vQAtH4 zFa!}%3x*9EKIOy`7ud)rkke?R2#{NmCR20y*XS^G&DaTZ%S<}Y!~g=IyPd}&T?w;& zhhelM1X@ufB0wrGze}jqks5cisV7Km^nK!#iRf0%ikW;0CRXdpo?I#;tK&-LY;f4D z3200`80mu^yoPibltMWQ=E#tzUnMdoWh-G-5)L7bhp{1-PAf_!cw(Jo&g(uQ%JmbM zndkNUK7uF@leP%60H7$|`EzJhHe}jMbJR|4;xj+35&ww2Bie6B9QCC0nq6~)R~nvg zC=D3Q!s5(if17!&s`C<ea<GSmxsc_H(HgIZ!9?c1QZs|H=^E)5KK77|AAfy4xXFEx z^b70mIBtP8{3zcnNo%>52yZs#lLpl@%kZY{1oBVR(=<ybctEWwuFpEtnTyhHZtu_v zX_?!Qi8Vlvqv==9GAi6^C_2j36xSclLQ^K%B4<JI542wdIkI=*n|ou`qDl3^K2sxX zFq^Jh8ErYlbF!>!Y<!oSM`mVp`O?hNo`<V9^Yv$u)*D_^BldbLA&)5pBQ2#^d&#^3 zh~89(Z;kpCnt>6yIoaB|g-6_2YxNNjs@MBaS2N}5xYJ!dr&O@i?43g`x8|l9<Y#cS zeTg;GR(0!?$V9=JeRvqj4SV*qi4yV1_2vZ3h!<7yI9zV)mg%}wEm^2N+W_*ik!(KX zXsKB_;ig=v-#MmWDrvPU<myMMDP?q&o?jVgM&vxO1csnpUk0?|Dw;<a_1&It^Br0? zU4TYZ$W6AJRM8^m1=pgw)6g-NvtKea+-xHct$voQZpsWqFN@=yVfH`%S;cl+Qjk1U z>%h9G?^BB!{~Pu~+asQSMh?0O4qs6*aa>+Y;^e!}Rrj6{4q{ufy5&Q!7#lp0oZE42 z<Tcg;uu<#VsB_bQ)GxQfnjE5Xm6PR#6D-RA-dw_G;U9`Dx@RxI6K}vDth6aQ%*n#( zRcB`wtCFS(OZ)Xccf#XJjps$}dWUL;u8*12?7HeVfE#R8dPK!y6D}g|4iX7sNsk{M zqSDbvj^^0z;Mn75kq!5<qHd1WSmIsXH>KbJ+HG=ug8o*f47f9J=2)XTUYR-^70>)E zm)Y8cjXNJ#3u0X|Jf%RcXvr!sOj4&e*4p!2-kFWJAtsB^tqj+eKdDeZzia9)r<&rG zj1C_wW2jU+cWW9dr&<z}EJS{6we>3h-Z7VH%O@(keZx~ad9+U%cuPw_(vliP>Zpef z<XJUtHP&6wep|Ucefu)t+I;hoU2)P*9+%FVFSJs2fQwZ?*rn=b`gGDy=4csZW@9$q zg3vDI3USzXR{1wR@mAwgQH{D*Z+YQ<0_Bz=b-Q#9U1pp$pNV+fn)n0aLYTugQH%@v z*Fe}>_qOE~$4|o%t<F9-*5nPX>%^>BKrB}18RtNZ>4zCd=|>$65yfE%B1Z;MPED&O z55CbI>z%KNYqKuAImfl{`6rkC9Pi#EH{<E+jQhG!ca-PQ8Z8aepSd|@KlB8PZV^Qm zT*Hn}fr&!|5<MK-odu32fcXvAY=69!Nh-dMGNuEsoNL8#_dtsmpBoD~{R5pF0GsH$ z>Sdqhs{VMt<e-!@oYi=CQ1|-w6O7M8od7>oPx4J;urri8p}%}fpc&xO(Q(#}lqAB; zfBxlQY-;UYr0+D|7=HxZ^Th?4D(56stmjp&yfg@gZOL1lUiF)N`vr8No<RXF-BQq9 zi-r0kuWvS3adTyQ!xt2*(kw&*oGgw%HM*Rcb8AIDmM)O)Y{2<&VzX>}66#N{x9%T- zl12;nbWQ!)<yeyu-04k6uR!#hBCTUar}?}~OIaEm@)8q+9^BYCp!V}E)A#MTx9ZRK zp8SaN{N>+&g)cQ0d$1F2m6G^SbG722s$VBIQZwC__yTO!S4(?C1{0gW-Pq%heLccs zw|vEC5N71(4!J@E`DHtG_LZmG%CCaO^Er9Djs4F%B@=eo`CUFpldun<)}uXRQi*x} zm}Zr0Oj4E%*~dJKUZzM;_IFWc6v?|s1h{_NXr_e5Kz>(zdbN#y#ZV_)<L1zIXXu?= zF7EAv#dYaU_v83$hL~+2=~)j8clAAmGOV;A;WsmvUWYGNsrCCYRvC-;E^eoZ{L?lC zjM)vlrj<3z{AD3Qd&?X|y9J@_d&5Sy>czuOwr3w5c|P<-vMfni#KnCHI{v$mJ~Pp( zAl0c9q{Djc{L`}`dWV?8t{P{${M%51!OKkTM;UWf{fynxIFKe1FsVqExqHHgHly6C z>rbJXA?1Jm+3PMw9qv*nuG7hwT=)-0EJ{Guk9!V$A^e=5l}b~aqx6f>ZmT_7MlS^y zVY$y&=ay`UyPZaCctKa&2hjR_^8E_76`6127dDkcOq*u*4LDNA3Ob$Z%it%M+e+>k zt_I?LygigJjsi?@02vU~mS0lvgz%rOTdx^Pzt7AEOn?otPL$bTZTIEl?NK8wYrxfx zB?scf5^muSAKd+zTYMdKtlDsUX1r|qf(=RF(Mt4AeiZw<juWkbNGaM&72I__ub&a2 z77n&#OAi|A)MS=~b7ap@(ZCy@TK?UER1h$|rIUyQV_%H}lz+$7fJwy#Q?!8_3rE4R zbu)$Z-5u*gGsT5L2#HNdKT%Q^3LO4Sb3~$t#M0voXN})=%I!BXPRM_w_gP<o*Nia> zmmSWex`X;mE^2W4y8+$(y}SLw);Rw>sRkS!dX0U>JUrp{?LvfAO`(IdK^CLwXw{=( z0z#9+KnB7M@V)hP7{BtmlX~p3V6qU?CuSjLn`*9gc|qV-*p7{LgED`bsxvQo*2J_h zAW!|`X}jG5yV6-w)xwLTK076yn|Be7yRns;cWwl`b|xZWoQ7;reFlbQZ?K~3MU}!_ ztfaPfYA7HK?V5=f&s3FfpNZG6<Y3+`=kRXna!SkzC?yc!ofb_KNJWiLrFifNY^ieR zR8GS?FX{Ya7i|`xkN3jTReZsO{>aA80Y2#%j)PT61WoLUp8FT*iR<1SVW*-_a0h3^ ztW(m3Hm~WiflqcG@DGJ7=kyJH;s^>#_zhkbBf!Vr^*T3&-4kpcCd&0{P~#PZi=<S~ zYt}Hpm2}>70ByiA>azQR$G`99!2*@Gv(8*ydPa?XuxLA^dr!680qJpECAh6UoHhy^ z4;DA#$Yg&lTUE_J2B{e@Zhdt9d7+Y!b-SGZ4Ly~o@zHb`Eb99;No=li=n=cFhLJVv zOZIVNJN`3!ZLWm&7~##$Rvy0e?i1Tr;B>q!yYp{eHnA7^Omwu!xO@!H@_|`Z+7Z<N zR_Vh<wZ`M8%eBD^Cme^{55}Vmj}s|xhQ1SnM6Ctd&o4>&!kR{41z?Q*vwlDEnOAi> z_y;BvW%Gb`Yl%h#_>Y>t8S6RwdQly;@j<Djc4A|W4gpQGR&8A_4<E$%pCnW70b{vZ z_gGic8xICImY(p6eK+T#yh*z{Bx=;urzW!OXK-%W)`IXmU+cib-KY=u7ds{C3?p}W zbSj+cJE#5X#kTEw%VP>Zn;Rrr9giQwX=g^}*g@K_3h?g&*u)ZPQGNZ&v%>}<tk<3k zH+MOtP@9%Zi~I=%f;xY-fI)>c=+rJT{Uhuh&vd%?*SSN9Wa_qiqRB_)&&%>I*>uZ< zn7OK3bk4!J(eklho<O>{uA&PqzwIlnE4z;Ow<=FuA03xpaGvOFRPJdf99yU+Bg6iR z6P<~P&M2>3iHY7K{ysE3(Razqtr)qW7tHm${99r#h@H(z+Xak@0isgMSBamCX=}SE zMGF{HI2hWecF(Tl0s-OtPP~#QIZ?Kqs#_Wi`ftgkP^`Dq7|d3|r2e(HR2W=VZm$9* zhI@Qw=<*{66z9A$HGM4xo1Hju=boBoJG+sg0I`^pD-8bZvip{rn_kO9eR20}vd2d^ zX#C&){ftOQO2L5bdgbPnbA;_Beddy|{rL3-b#`NJ=94jeC&@@^j+A_M0-Q$tO2E?; zV4ZSxoNa(dy+C@mo$Ys&XdMfj%Kt%4uvT<GlwX%kfSm8jucIcE-S=ewNr#xdv}XUo zqt*mV@<GkfE#$I||CT=py@5-WJgam>fB4M%Qg<)}R4spK4}jd=8xN}_mHTudgO<Zq ztS`=IRX3V#)Kl`a@olUbQ)5p~Uv9c)y%j^1yu-%9))U#j2%hsN$Vo#VjQ?DWj_mf4 zd!GG36P{<)hbb}An&kS)0r!F>Aot{q-quz-5nOwpd~tT0d|@}pl)VVJdS0uA>XD)C zhxVug3gSQqN;Q-)q}=ze!GYipA-xZ8Fy$}gZOVlnab_;$wqr91Z{|)v%tuX$lU3=j zKkOKnt({?ITocy2T-6#(5Sc~AH)YS>^holehQjpj9U&EV#cg?<E)zU6j_yx{-(OYp zq?o;wa;7lsn-BI+Omgk`G4gQn5k;R6ALjxx))CF+6V{yCA8V#~TJCXT=DQN%nfCu! zvf$GsJh&?=NeOkZHxg1%rIA#tdiC>&2%_RD7&Aq?4eL(=i~6#71*#A3j~DKHdpC_o zOW90}XSS=lYaP-reLQTGQDb-A3+vwBzxUizZ3o?(we1{x`=387ZEZcbtgH|T__hKP z?ruySdM}p`kFIxzr<aCzk6GZe4#sH116*+|rZZbR&gAHq2hhbC{011%BUl4gcsxPK z&(BN;9e@LHLqULFZ8MjZKim5plBVJAjVup}pWvct)V3q41lr|N+HhL&Io?aZ@Z?=~ z-w!g~wCab|dc?vMNa?f+qIl2T0xC2J9$7qcNfm`Oy&kloxEsv!rbuCjo^a}EVz}j2 zr&2<(@;R<>r$Gi|p{s^vz7sD~0MWX>Ro^Uz*Ao_Nf3ViwQA*ijD&?k$JZYU`fF-Wc zM#Ezc*S&+4B?yMIX%R!&N<=)rFh4~y+!7ZW?(Eh?_cvZnC1DBTxVqa6@V38Rd{U#R zyQJ3aTW``his_VvAnSVn1g&CxTlPf$A-J+{F6n(ZBdx+Lu}+F5wKB&(X;lF#$N9ef zL$JfU#d~QZ3b{(|ISq`XG_b+D;gPWKL*MX8e@aGAn>X>wCrdP`IHu}u<xCTYHkD-; z8S!RJeSxCgk%N~f|L7w04Ops0f!hmLX;S1>a@lvz2(06fP*i#R*=Ct;5noXro>0a8 z<9sN7JGpf4eYDP;jC!5kFCh?mXk)3ia>|^<5?Zxisq_19Sh5s8uFOf#J5`e!hCT{> z=X#=*{`YnDxSPrsmLgtw{5uuZ6KWq7m22|_cDi&3_^~>edWaA%m~aE{g-O5?Apk{B z2iE2q!iAm5y^rD33G$X*ExQB?v^ZYtpBFf$0U!YTer+B0!R}n?1^IGq9(%i^6VgI7 z=)BK{bJ@79%wX~dyJO@n`M8(9c5-l$uLR3W{B77S5Rkkra2&6LhaaCWbtCC8BVCL9 z=Eqz3Nvzfc416iXsQkB;kk7BTcKL7Z0^jDptq~-pd50lE7TY5@4{bpnhmW8o2nuE4 zd*g5ZQIHrH_Fae=)Z1LecqW2Rbfo2|#)ITjFwS3bAr?8$qN#*_f^0~{?;@cQL_FU> zPf+^_=ED=eJAgunmmng7zQv0lA>e{p1QOy~2svRa1eD?xWw@ZP2IC0{zCf!d{i8j2 zs)^5#<AUBxg&1>)hKk@qr4r&m4^rbmhyE|^1+~QWpE|)@_@I9e;v9h|OJZT-NRe2= z6f6lc#eDbz*jxz_%~bP`@mX?3@w#%(z}r{^293<rB{T8vb0apL%#&;W+y1@Ii_z85 z)9jb&aIlBK8Pj9D0Vcht^5e@ELC70yk3VAV#$@OV17sYRn0OCqk>1^6?K`A2;i<8L z2ScJY-$F2wtl|lT6LMwJZ7WXE;X1u%veBTEb7nZ>$!pnQaL2|_%*%pN%z3F;ft+eM zmYfUTVZT0BX2_K`i1w%<xcMOoD$+~~E`dna*40E~M?5}VaY_4^H+wA&%%_#j9zn6Y z;LkA=BeE#Q&Sp3e)$FV42SkNx2vKpqs*q+0B{ly>kfg~sNw}gYhB?~2MwVdaw7EMq z;poAi=4;NC3dk*!*=g&P`PevIuq|R?m+EQj@cK9O_STv~1Q&>KWeO228hV;O)iN+< zF)nSUTx?SQZMcOr1p`U9n-A3t^cW2dRT49cU8Is$$l=#z5Jhc6l{tqsa(F=(L?DC+ zl$}Xc?r_$~TcU_3P^k;~<?MP1<G$#aL%4KORUK3M89%Oi%^Psa`I`<ZeB*}|=mA-K z6e|sVxNuP}$g`kN+M!POWDWav4&Szk*MOndfTGtx>21v135ngJ@M=Sl0%1LY)Zd@8 zF}Hd0O;d|fpozi^3k%q*sW`&!zOQv(4=IZtM`Q&mxEIcMV}tXAQ0#b)jwg_{v7+>j ztL&WxGXwJi%INV4+`nz?8=%08e**ft6@M}e5whx0Z@5Fy3KjQP2R~STLK(%jF)dAc zb7{bXyxr{j=2DaA&6iRFznT&PzsX_(zsn*5Ka3*GH>p!-f;3ZT<F5I!<R|oX1Qz+C zc*rTya*spA_^2x~b$3ookA=5^?lg6_96Z#Go(kV?Ouk&3pk7zuduqV&(E`DQGlKew z!upW!WMTa|!L<b8wQ0e%B;hqRVVXTDVIy6b6{cX{3V$_`DHGH>(zFW89L$Nj;@9(J zDeB!y-;CPl4f~D3#qPE?7;<}<+=0C>xlBy}?mJO3Vydt{VY!cyQ5n>@bYB$nVH|TM zFt<fw#Rfu2d*6NNeIx;>Cc~^3>Da?4?PAr8r+iD4!c|Dq8+s0J2Fj=`jo*sU=E0FB zD#FD6E%KKznHJ3Fu%z|;fmOW!K1AgjL4?**M1<S(Eou3{>;zez1YM2GH3EZDsP&>1 z(?s5KNrCN8*nfJ55&oxlt~jw09y=zVQ22j(zXeD!`AA6#_@E)9AML-_%Ew&be)TWH z(bh9HLH4dV0{1@yLBha<SS=PohSth|_49gl|NZ*|NGNrr+TzjU{}lyAO)<Bic(h<X zi{*c;{`Fy*SCA`i9{U=LDCBCcxJZ5;AWjTP00AVRe|;nl6x5Kt#`6mJ)$G5VM5cui z{uHH!fPIZ4jPQMI6vWYg5dZ4}lDQH+NCy5%+N;%n`S`z28t}hnjka*^|1;!+jHuUm zUZ?0aIiJ{HlM^y6CMya_%zq+&#S<d&nwbA2*+R4-3V6j7D+~YT|6SPs9ra|0HST8u zJ{A$&Jkt=i>w$L`5osio<7C2+Lc#Sk*2@1W9wZ?gjS?F~=pO<eu!=^7Omyjfti`_# z5G!S@Z20T%V~FXy%$rye$gI}=H;DgTrTllHyv`q8jq0rU0Q>7a{nP)yp#Rro{Xc>J z4{|^@F!8m3UrYS|wn+bb=>KDlVyYd!-Uwo-kQ?EDeArAwiksoz`h-+(NQg6}CbVM9 z^B~ptuM3O?6^-INabn=VX6nD3)GI2#rr<vX`dYrElaQKtohnGY|N0O!j>q~MZBHP5 zdZi1RNw!!>k2l9F4Y77q#^AHN^s8guhLY^`5OicmmtZgDCB{s?@Kb^9f|)$h!ivGj zLfjTZqhOmN<#ePrOjW_sj1`VDq$H1_Z-gFjr1Sf?v2Luvz`)W(L?osofik8bhw^}k z&lXHjZyd>jO;rj+ZMVlG2CF2Vuc(Yyc;ShMO5fD9BK_OMd(#l%e;}u?Aq`F&91?${ zsRtXAwxmZ0l`p_cOh;rG8(%?%M*yxO4Ni`(8VJJ=Q`X~$9=N3SEAxIEv!nXv0P;9n zO2vtw0D_!@&;8;78X~ysLZ5Ag>3C+Ek75+ec3c_{Aq6#;?*-NPF=CRVU!!{U6^XCM z-$hF2XnyYTfbdT=5R)WzDB~S6KkLfLn7T1>jsFf}Lk$ZWS2%t{{UcE3{X-OY=oZrF zc>_xGL1NY&B62!HzQdOXHg?Efp#*)0jPnYTjtI)w0~0bb^uR6cE1bmWH%jjyuHPJ> zBM%IT*YrU!H^p`jiEBVoO-Hn$sK$>=Ohtqmv!x2Ny`2Z;*lOvQ;msx}_pdtMA{uUB zQ2fnBp$jP<WLS*{b9xA%#OOW<l!4E!|H+Ex{~&5mhn$4JW(zWO&1J0Ls|mPH-uQLc zG@=Qa*L;TI9}+SBC)9s40O=54PDPHQ!1`}?l$@60%V@s7wGhC66R)6&7k{dT2tZ%_ zPtNEop(W5Pv!mZk5)neisv7tUnN3I>bVP^{p#KAs36ceHU1Ub*%E<G|$?omvj0!sr zp0?=c3lIBNr~g`Dd1VXi+@5Z1TtTQ1fiq_O-#02Z%-bK?(D5e*A6Om~K%f;50GP;r zTWV1Kbd~M7n|*h+V6+1+onw7j!HWR+bl(DB&<g_OA%qWj@!j|{FP^pybB9*e#RJ*U zU3W8|hm$j&ww?WMbC1>!FD=id4+eFJfZj@3@yz~@hnab5`VOMuf+>S4+>rvBtEP^F z$bunNfSXvGrYn;WS9bT?>Iw>QQIVX=EuXWEZ6ogVya1sYL^rMz-C^fp-n7XxJmLJ< zS_nMazulO4@{$xMi|UEf(<ig^aO>}+c{tng<&8mijqok2tZ3kA>)r4E^vsUx##Xmx zSIMET<a7GMfz+R;`Yy`!0bzuh2DA>>*B)rKB*Vajzny8{(Uu1_YyTZEJp79oq%(xb zHp`K*bpi5uK6#YtM@}lC<J55dNo2~ymyQ7|VEwxF?EGX<+<X~eg8k^9u)>5YP%#^A zh03XsYnx%vG@}zE8%l&8y);Hk$SgwO@yFiEgE$#QV497@=x_i&leP<;{cA`Hx8wIP zd@jvlhL8MHLfd*|*z|xRCu7xemySK*z)qK0y$;0Y(qD@4w<gKi+j^ax>MpjW*bgd> zonL-dS+16pr!gpV>S<^@8uD{7ZL5!H*Q?f=KmcS`q%~TWR7DjvI|hU?CWLNzmQtd8 zR#o>^h=tn=Ze_EsR~tzx!lTl#{mICMy{(4y5s{k?R(d)X9*`lc=Z#4xzhV2SEEzHo zC}%$<yozY~{hg$=nZKV&$T%V+wq9EV5y{A?YO4dqqSjw#$<~;)Zjj^i4r6N*B9VFg zmqq=^yYIt~1)<7|XU@CY7dPNXxpBWRSv^i#@rSR)I!*b_nTwxYjpa@^9TYX7jEl3( zBhr}=nsK*x8Gs@j6Dq+#e?^5HcLVyF52!L%m{RI6Q?0O=Fk38?Okqeo;jTK{JmDUn zX%l;P@gi3uB%MIAV%sii?=U&I^Pt|_Idc%j^ntPnP$j;BWZ}pQb#Xxz6CoOrJ{UV; zTA67AgEwIHT7pQ)!Vh76{Pt|r@?4oB<zOnbu)oHeroh88w6>xCVpOQNesi@m`&z*% zrEJ<#Weg)H@t1?idCF+9ZNy)6mNx=S(pqdeMheSubOO{I@R)?cG_Ltew>Fpg2elq% zp_6q`vTzg~Xi>L&m|_%0o^hJ(z1o4cV!uRT(jugMopK)Q#iU5mtkKM^VYS$&-TB73 zu8h7FuL6@A{5wh&vdFYzP1Z?a`Yc7;s~@C{mQR7*Kl>GXm$^mf(7luqPq0#3&K6+) zUwER{wjGis+iLSjXHL0LpU-r%jH7C7z<U(0X@MheOqoho{;0>qJ{G$>wo5=Ec?G9} zBDH|T<0<)zIa@?VK9Ncm`!@k9k{e64@OIRDptVpLJ&z{Sk?RB<`cB!>>2Z(mG1>_B zg*@V0$|(1>`g8b%y^C1lWyP{dXt#35gcNi?eUw|$7Fax^0Y_ed@Jzws@#bU<JK2r% ztiq0nbBXC_XoQDWv!ltQ@x_Qqdu3bBNW^81ISKR@>(fY>8X>Fxuv%@W^|73nLN}Ts zkgOljJrQ+&T#1&HaaPp8iMy+n@+C?LJi<BdgkR90{2YE|67zzqQT`Jarss1{wXpwe zvv7Eh{Ad9g_1D+8m@D4FF{{4&vJMo;{=iTo-VQer^ye&8UmI9K1nxh+0UW500k!!& zvWwTr3zM4Wm!R-<m`oZ=*d~n%EGjf$A|H<`+~A8{phle#{n*~Ffg0Bd6J+NhN%#w2 z_76%W(>=P3Y}}{<B3w=^13VA>>-?8=8*47eE`*v{<j5!uSjqq5yIjW1j}HV&$icOe zKmtkw4<~jeuXH9~X%fd?^~@*yWDy-*X7W|b@H!<&7A=PEF9+R&RFfz7Px`vRT)Fc_ zm2*C$Mp;*M9=R{_?tcDqzJR=J=B^GQX`Ju!e|FwJ6GXN6btyLuEEN8Fmh>1zC<rE9 zJrsYhPd|0Nb<nzPzHjAc=Cfz^#~!%+?2bjoAA~%-EZVw<S&nU!NOkrGgvRlqM$cLD z&gX2k^*(MCr1#<BJQitJ8#wd$wJw@mx7r9g=}oTVUremHA<PWC5w9#%S~TnEpbph* zv6%nk8w%69qzlGM`2DAab^DvfecoJc@Ve;I7X|@v7Q7x)b3UkDNrb6A|7wC&x$or` zk)+h(%x!86W{^y6@SD(+!TOhL%XYEwVCXZl0FX9l0I~ygF!3WF3_PSl_5aDv{^YIa z?rR#76@H;|`7~_67+9no#xKCiE5K@yY5PKhaMW?kDts+9<y0DAH+!bPt*-ky>v{Oy zhx6=DMsTOB0liMypGcjn;U@Mv!n;c7zH=TwiyF>gZs6Uvoevvq9Ge9ptXAC^^WFX4 z8^*qE_gSUmXVqw}L;*UZnL_oztisEFL4-Na<Q>TOV<-)<%Mg2A-hiE}wOn$~hcT2< zZ^Ne+U(+1AYTB$%xg9<bv5`LTx%eKp)I9e7DGRF6f$yJa>AUytP!=MypTJ#LUO_L2 zXP<IK$F5kruULgCSA4Ac;^WxKUibnc`N3X6)Se4xz$YW`ZmQK9(b{c3;pHDe@;-rg zC|ECcPs1@jpm%MSJ{{6CgnL_m+9TZUUh*L8tKUV>9qRI%;Yvgo4>Gf&`x{&>IB(R9 zu<w4Fj+SNVdgqtwp&p*YCNfhr78e-o!i}K}uaZcsSHOi&Jke`h7k8Q0p#)gG7|R^V zlnCYr0jPb?6f2KuV1mbDADuzAp1XoALK8(v?X{mS7sx69EUjY5ur^Ni2^=w)?IG*H zgBtt$L2fNA0=`e~u?e~-dcJMoovWLZ)0vf>?$aB-=QTi=&`joe@2tOrC<y+=!^Qi> z<!oe?={mV1=c;3Q`RVd}6MQJG<b3YS*#I2s{h&&>JQY{SSl${S<~@-Y`{r3H^Ecqg zdv2-!D7*55DU+#%)EE-GT5zO6y}V$Sm{vf2pqa@)cMOc~GgelVoY@9*N@18V+TCh< z$-!P1GVkKp$3c%pDLDA&og4v_uG%-)OU;UdysDlt#<8IhJYNJ*6)|gg(<6%|NRR-y zLn~9NwQma>FzDc-pLwl)(YJ?P%(qt=-_mJUgt(r(1B1p!1;}p%Tvj<cw@WyL5kj3b z36iFdnR$Ocl7NH+9Isc$IIo2a1n!jnY}vvH<jtS|L6?)4x5AH7R}ra3QKuFSjpT$w z4X7dIlUM$rO-*a8F{IKw^T1wxYxNFDNI>?${lr72&Y)Fjj0NXb<(EY}#2t>HZv2Hz zCr?6rx>>PE`UrtlgCQ<%rI{ooEu~sHxVkGUa(D62;AKDXBSk`gK-Hg%C3EWnn#aH# z0mOhAP4nh>tsm-2Xn2Zgs~mYcPX(e2>y+SW+TvYW@YiFCt-i$foXXOt-zl^K1l=vR z_fR@Lkd4f5&5*@C8-9oK3N*ekcm$=R?n9`XfxC^>Rj6XO`jM~uinhd(zm@;WraxTJ zjxemqe9Z+_?oUkkZu-f&&cim)Y_Iut6yj|pcRGK`t%OQBgN_J@?wn6?ZQM>Dv~u9r zH~EzvMwsp^Pg0T<(UE^Huw9A-C|2&nj$JikevEoseo~<R^!rESvrWgZudFtMcX&lH ziJLd>RGzJO$CZirPxVYgabmwlg_U(P?v|iW1Q_2p{%t>$iEuf98nc?YWwdw1m=j;J z`OtUAZiKDzz0kqmEV{4_d3odLiK5sQUYX=G{e<%Gp4tOi2MG=VaNyaR6woe`FoP)H z#63i0ks4yxwpk+b1BkQV7b4q4E|`A?xC74#u+0U)z1?+c^fo3j-}yCi3lR|HKYth^ zI`9rzRjVqDKzJcvQ6CImt|9SU>N<Ow+7X_fp1wk3P<XogrNWf{w6+;)Ir5Q()W|a| z<s5UM+B<GE^d1(AhuY|SA#mX*rL~<LccBZj>_N&1^1{U~qNiAqiPe`{oC`$?H4M$_ zqR8URIhpGE(xZ!JR4C{5PP&`uu(3XYfr8JhUjr;LB;qZO#3{=C`_r#F5G`(OI`=P( z_Z!*d1a)t_qFzQuI)&Xk+7`g?(@qM{PeI@2w?SFOO+5AS8+F)~2|)2OzxR^|CjTOd z6*v5^yBIz;462r?vpLSY3mDu6&tqF~OnVP*J^KvL?%$qf&M99WM<dRGWDC^g96Xax zoZi~v*}=W1HY>r((gB@S&iKfELChbQhvQ{>KiM%0_$eP^ch6PpM?~KGR!e)_ag#WO z%|9nhGWhIsHT@{^mIgSS_ku65irq=xud?y!i~nFr9}XwItn=Es@|JnC_7v56Zs#PE z!-$_1jny*Oocb45ewUYsVYDsi_J03?n{-xHEN$+6D3db{eq_X&t`}AWf`3<_Sg+Di zO6Qfqp2<7?VwG9=HO96MSd}iyW|d<1f!UL7Y&6YBEd?&p<8|Pgp>w!vIGoYKcgpca zsJOZQbfM@%lPRkravhb7n!Ei*zFA4!Jylh5pFOg5AGh6mjn59-CyR;Dx-sicH^^k} zuw)ME7m=nC`pQMi%5b!G;*+`5jL7p$*)3yE@Z*uNjSn_sUN@iP;EhWvl7u@0<M%ME z06O_5la=PszdC>uY+5}+iIKI}FDf-NYL=cIYeiX`7200GzsNd#E1V&hi?b|m34A2} z4jgIqw%Ocddnq_9zlfO01#OUP+_3f*x=NGfbp;kFWlYH$6jsjB*)ka~ZYl>Q5>$$) zv*7pIykipoy2L_`I^(07lFmY(#V+LZ%ZP}YyNF-$BM=9$x}@y;*|Jt}$$TVJ8GY{` z@iE6<GmTH^M~6@FZjYBxW(MJROniK9guCI+pU>UGPWA`_FW6kH+h3lpINIcBxA%y* zv!5TBiAEeR^|k+^41d*D0C>^Fr@pKh98vlW^KKoeLL(m3rQ6L?LrusweiHs9TiKUS zKf^wTiJ<%*@D`lu8N^o###e$l7?`$r@y>vL7I9z$7k@gQG1`X8<HvoO_B+rSI>)Da zgV)TuGF@kf6FKt7=G#%)Qcehwq;#cFNia@7qnzn;FEcN}7^BW!M_C7-H7$YZWH;%G zAtgMKH{jmdQrt@<QlR<vk^Np)Xjng>BRP^OZD?}`C|r7XH@7~ehm<6{*Xev7ik3l$ zpUN?w&-(f|0LMzsxv`r|qGQnS*LT~z(#q;84RQ9ni|v78OnHaCvyW5LslDnT&KtIb zhJgdwryOIV#avRiz{$W6$<6PVZmiYfn<dA6`cjQU&H0MO$-@u(NmyMK*;N=E4HOYb zcUb@*8eB`O?W64%nhJz1|LV7)9TuSikiQQ&w}#5~^L!4om6HJ%7{#XJ48r^GX$r>R z42I8`^8`Fdv0K<}C+s;s{KNldfVGa9w<#z@cs~||MJG7PE~k{}E)*Lgb70xy)btcJ z1F!8>!R(-wTQ~r3CCN#cHMBEQ=&_(|3aE60MY{Ff8G0s#U!*2iYw}~>jm=!jYarmM z?;e#1=^T&Bg{Q33T#`0WxcR@}4?5L*-=<zQX|3wO-s&(grGI&`D@z(f{;@#z%SOrb zs^(9oW>L9yN$LdHQix>Y?>d!__?7E3L&lm75z!`<O@C%vU?AZkyWE2RGjaZ^KES!} z)8KE2v?SY@7gV49;W#RO>2M%{q$N8J{?pE&Dt2l*w(Qu>;PB=4uoZ7>Qq<kpR+#B1 zrwk|~I>iyi;h0yQN?aJElX<L{ZlX8iQM2B}{pAjAek*V9a2xUgJGrp<)ZI35rzTA_ zq2G#)(Wk0Xh>+{Afps!<>@)WOlc+H#d7VuBps597lr2;=m0vyK=cXq8?lBh$1WSGr zd`u~E--R-AHbYP-4R^EVCwrN;3s(@*jD}}FQ_3q+$WvxzDV?aNBUyQ<A0+xTl!6QE z3VcR9i5+F*OE;VnGrDiK9(PagMrM~$qVM{4JNADzCDZQmdVXybBj@Y}cw!b%{`#pL zejy-ZIFkzFUa4#qUfM+1&##$E74q0q{B3s@D8Mz~s#o6U#6}P?c0hSKxSivny4ABx zfehiN)%`Z&4y02#HXDWgNJs~7h;&rUSk7=S)A>bx4flT1J=D#~W^v5<xf4Tuw530^ z*->)K0veT4<$15El%K&6;GZ+hA?3o9zfI0A2<U5IMI~ZP)AzT<^>J*^8LCar>!AGo z-0XG3U|)|^y#2YUz4g=Zk3Me^^<J~eD?oEA_jmG8fTUx+{VvVep1RjBmajq?-|dc- z@WPofu_kjOWgpeNmoRn{EaY+V@n<3<7kKjwgqD0bxz31MEjA8>0MhB3)%hJNA>2{9 zx5AT@?@|6FBh<Io49un)w0Q9MAIrfWbsJ!2l_{w%vXdFFn}n)RO=X-#r2KIE<i5xi zEhEhLyP3KsJF=SSB4~i^#q#S9HdH+mISckWi?m^3u#}dAW0t!a(aSW7$@HqZh(O#s zz<$*xgx%)^y>`AC5kUT+dh7Tw$F47Gp+I@2CZ<BHzT!7@{&;)2bXO`Ha+IVKZN@nl zoru=L)**jK&8M@f6F8^Retq75@~eApZt;V^W?`y!*7)jq|IN(S8ouxd3&nSVc~f3T zgh>MQ$_6~MX2mUsFEe{*OTqo;8;>0ytVfp9T{xG6^9oNG!~tK<J+(@XJDLvFQaLv3 zY$BrWGxT&iv)>I1rYQ;}1grXMc3ov7hZMr5`KY2pu~G1c8|%A$_4n7HMmzTRVQcCk z3c_26Rm~KCOw7X`35A5$ktdod1Th#IP#L~W`+0xXVol#2-VL~R0JS~hpt|txc!)(T z1~o=0!qA0JECQ{}mI(@V5sFJ&XLonkC6JXM%PSnExF&NOdK$*rj=C<~D?;mlYN^5V z8&$a<>0d@HyKlK;<D0+qFV;nlE?N8QP7#0MoY~-LJQ`c$*w}fzw8INon<Ys{k^3<! z6Nk!>n?9;`qqNB)vw%3K-Z8a(Ib*0jPiJs+?CAV(2m(e%h$>uMum~&cI^teNI5peL znBr+_o3&A+dy0wVr33e0>R2rgDn9cc$CajOo+=_DM)gz_*tSGFsWC|7sU!bdV1+DC z&j?NYL>`s{v;i^?#wJycB`luIPlB!4#dBZDz6WW~^DUAMxiGc1HZeJ~m{7RbG9a&7 zOX5rGuma9J6I_xZCW>`THf?Xs#pR$~N^abtV)1YPwg&u}@}5Lk8VM>?lC>3)=J2ff zSug(^d?9cgQBoQGlEm#tq0cgV0oxKWaQA_NM56i-btWSse|7u*v{Uws+Gt5|PiUR| z=BllTAF`hJ);D)_<)@eHmCG&vt#gLp4Q@hm!#NORc)a|z4@qBW<N@-aV1el`<5@W= z_epw$<21q9zZ=`p7tJ!5!P}Q$%nP>WuVIPduemZ;4u&eQPi+$u!IRP~2FqCBlrPdA zORb&;0CK$xn7ywfs9kx=>(qI^TH0AT>E3$0jdgunxligcL7w^6HH}lR=F_7Jla}1{ z0yR*(63LCby2i(AG)G&@A(<-Gn-Z?vef|v({8Y2xsV-tr+Z}&B)Y32*CsD)R(jGyu zXJJud=g8^Xf@BBq2d!~9hx)Rj@3PR_<``0c(T=fnaAmvf|0rX$Ao^_!Lg0fh8le1? zJ-E9Y#n0nMEfKZaV#p#8y_j*x=;Y_fKMFYK|DBNSY7m@lQXV&A$X1|HkmA@<s9rju zn3!9n2`YE0#G%u&bvTL}-cR#co%ATK*QeLri>fxQ<K03}0i6)=9azCe7#=M%^@SyA z>v>)cSpPVD=$&*q#jz^T9-ALAEcg3toeApIh;8Z3Yn;&^u;3!ojvH-TZwr_LZ38RY zXoV5&k6AKWr6m*x%c!*IU8hh->-z&_#IhYvOVonfbD!8Xs*Anv=R7Ey7HKdk8?tmP zDq`0xrh90vDayu>=o-G;Kb`X~HVk7+PdGGakP%Rujr@`ux!ja=R^F4NY7~wgg@>Jq zU<^LwMp0pl_ckEf^R~J!NakS=LjfLO<xzXS?$}c4>rCsMPY=V$$Kkc3%h!3HC9I4} z?T9%`eqfupt8X&pcaMV=omToOM%m=B-e8RktCYJJOPVgicDVW{<vZiSa4J`Cc{NL0 z(XzEIOD5I=_f4opC9lG<CFzvwu4C@NgvCqv8ksp0mK-yyO{3+U9s@6VrY9h{^PYa8 zRlZHidz+Dxg)xTCw48aLkz)BYa>1wL@rm7g-kWY_P~}wBu7jtcv?Mt-O3oEV_Q5zQ zqvj{5GhW^}w}E5vN%kd(?-Tb=rr#;?2!UiFjm{hIEQeMnMxRF__Yka4k+8g-_KZGj z!IG>@bo_@TN&_03-k3TCe8JGkkKeu$j(dOB9)YXfmh)}S;Z`<1=(O(;Qs!bwq^ZBc zDdA(>@4mN9E<T#*7-8p7be8SUc9ahEX5RRV<8(QwuW;bN`)+GtT^+s%Pxs9`U$hvS z1^Q~{ee_W!Dh#~qO{Utot!fG^tWNmm{w4MjNv7QB$cJ`IMI-V@fL<3_#93G9N0F6k z@Z9|L;5cM`FEYa(Uq+y8G=s{iADK7nVb8Y!=`fs$HL#TRNgspV#UXXTReKxSY`*Km ztP6|Up1VeGwB-#lQK}4|%Hyikx8;)=^tOxRZu9X%FO^5^*jaLQB4Z8Svz;flSl)zj z_tn{Z3u?!Mo932zfP5;ci_SzKP_+RgY7@jJAh{uIn408{<?4&;71ol^(3{-pi{Z6g z^CSPhy<cAFGVvMTyg)dsw>i4N{YWmXw~<Fds3s#BrN%68P+D;YZ%r;TI{!R%SVf<= z5~20ugWcpWny_d;y|5CAZ-5i)O4Mdwdn17=<Bc5(XB%Q5F#K?q=R6~y=iX*3U}1_r z)PDq4skic`7%u6!e`oNZ)O3#R?ZE1Vf&u=^$IJ^jvX*hhojBmkW=v4w=OwDFY>)cP zKkQYQfK3FNQWu_>#~x2p31{~BWkbZcQavCqAPoFW;(Da4_#<qNs^bGFlHCix?-xc< z!p)FWe$STxTAM;8t9Jt#&($ki`#Oh*wuL@w%^8z3d{9`46=)Y_&a3H(C$&<Cg6g4C zh9HKvESW-f#$V^HITy65zy9KoV*dIpvr;5`ipy8~4R?iCg!4^rX`!hb{HBD;bDzZm z)zA^;otf%ju~cSvScy`wyBemd>gQ;ci47*F;n1cafcBhuP7#*yRI5a=N397uUSg*e z+dR%*{`;Wyg~eoc%gqtUqY7ta?7Jw?8sR&?ohw_y{|~W|XIJ;m(vs6FiN<Ac*LQ&; zUGv9%(8!O`yAZDz#s@D9^R<;Unz5d-@?wj+b7twlYUeG3+sopJ<^<yXucIfBKNy#N zon8ji60bwi3RKs~@_j#u=%js<ZdeW#aPlpeMKvox4+}nW3?5&8Ak)#0;epy0TP;gW z%LuRZ{<%b&j<!E8N}Fk|n%Y#$;p0e~C=0(}O-myj9v|u&v;Td`#JtihZ%p#+nzDAo zFN-nR8IKDb2cF_*`6X}l@PYan?`%BkH%2ZHe`GNtOXYlg>FV?lf@g`oiDwzT3Kn8` zpm@HsOrCaR#Oygr*!lhPIp-zQunp&A+E|Ylv!3|vXnXh=lofgBGOU(6=gM0ZkniG@ zl=quvu&fVa)p-N|mCPPgCsjdWIQUvP=Dw!Ft>N!RzI=8C{BmUHsyyiCdK;LsGvEVI zt3(LJdu2fC>?o#N>};}<Yty)##saodWVoR3749BZ%V{arm7~=-Vs~*ft-Y-MuKgpr zC_%n9)!+Mn!I`h&H>A<aW`Iy;VPQ}lPafJkmCeTbA{bOd<cGZR%lR?$s^l=}KV%hk zTjn-XGVG5q&-5;GXM=xN<=>&iM=k*rs^<{_`-zU#FpR6XqLnU^05ig_3O<{}A+gRq zp~w%HsOm`8sEHiu==p!Rjtor=Gb>CPxbz&sC+dKMP$EU%r$i->?*+wUHeN}c>%2a0 zu4Ozf(6LdCF<7vJ2CDs9UiBPT6si^+X_O=6sZWjp4aV#RyM)s_mJ|B00FjbjCCYJ^ zx0q6V@eJ)YrrBYJY+<JX`}ZHl;zkRFk!RCd^#<iTC4MA(H;HC}Vh`l4+_*lQx{>FD zuI#z>LUOmaT@=qW>U)Rlb@$Im-Yx+Q;2h|+9<VeCSRq6JV^bgTqB8KFQ|L+ildnDw z08+h}Blf)wyl7;K=<qSbd^(Zdw9`*{`6K;=-rDnT6CW-?2?v8K5DJElx4~t88+Y#| z8Gj_f2^AdQ{)rRXP2~0DZKe4WdHlH)8{8RtWH$Lr{5lRB?9Yq%ZGm`S309~^h$*`q z?1gwc_6~$c`DAzg{fX1v{{>Yq212&H=^%cI^!W<LYbE|i^Jj|4^|`q7Uuxxlc$U(e z(TBn0R{|E5=3q{DNpN?2JhLP|QQXTPh#AWZ8CdiIz4ad!X2So3e;IEnN%I!M%uI;a zmt^}t2`rk#VCuWr7uCB~6}{1S`fn7zj<3Jvpz(`Y|CO+=AZ1TZ;Z8x}&OqT#M&V9J zPD~Ng3nl3vBZwPH4%Y?YJH~DcjzcfXm(2z=JH!3|o2;p~(+mF&it*Af(tA*huqIaA zdYg{pk{$0MDAIdKR0)ff%zrXD+_?W81^pZ)PY|_eD#wb>3K7cphY|sTw~SxWEk8`R zml+CfLAH1zZ(^V#_l)7#W(DEcvVuR2yTh3ZZZ#!CMM{~i+$wOcLHL?7Lw=WegCi9v zrBCLLtd?G-36-WCCd7H#88`#`U}iX$=B7~ph*Okr#Cc0;5LMQziX;M}f`h2EI~U_o z6+QtxG*L-IR}<F(=`w37as?mm2GaWpX4j2Qtir<!CmMch+$?TxBGy4@mwZc`o5ipE zOHPO&SxYfGk^&)YIxk@LM__X*Hq^g1q78M}W6>TOK98wC#<~w{qhZ6z%ggH}#vr`R z&k$awX*Yz5NeiK3?sh^13~NNXaI-%U=@D{mx4nV+A3i1(<rYn)E?M>e1tXIM=rnpS zXWDI?UBEq8RcDR*#T?yZfzWZD&~cjZvV?Ej#cLq_Hm0$hBthIJIL@(%J^y)M`LwQK z()XIiOiQctsV=|2%Hf?&OhZbJI~Q%0(E@~q3E^MP*Vtbh1qbHA976b)#wHv4fAEmS z;lFWl{beSMLbB0(C0`=;*Y*Pu*iw8^d`><d%v}0)spUy0Z?-#K-#F^>ym=@i@XMG% z6V!wp2h(VR9*_fZ8p&_i0zN0f0^XcwQX<Y3YcYy#GB*--Se)wF<Xu$Ipv?6v2h*_> zovBCq=S*@?9$~>pt-GYNS01Kp0Q%6+89cfoUmBZp#mE`;opC^&eSB_be2#sbb7%ap zjY_2{;r7O+UiEL2(tb0X)_d7(D+mG8Sreu_q@WbnT$Ih`m5_P;3nT2IlxXjN<LoS; z>S(q^9SH935-hm8ySuvv*97-Qf(LhZcL=Tt5F7#ocXxMxC%@deGjreAT7BrQI!*Pi zsx7rnf4$ol;L>xiYgE3``3Hyjl?o4xTbYf>G0)O@OKd`GFpQKi23@=i1ZT2|C}2<r zr%=rxbA!Mz)s75hHV*(qBBtM{Ch8QR&3~oP$dly8EJf$|6NLN?n*GIN{?9;2t7`rK zAqe>o4ifk`5Hi{Q|Bl9~L+}0H&^Q3pZ#CBf5F7V@LE}I);B@)lG~oXRg#43(+`i%g z{)y!Ko2LBNAmV?d0W+s2K&amTjac1(lk=C<!2gQh1c{9oD^FIG55g=z{Q8Yq;*v%x zvx<S(%ir*)XtZ3!A5`XE>~AU)WrEn_xRwNjt77uA(Eqgq;kq;xYTCpT2zm7aEMmYP zeD8mO%>Ieqf{4VS2bdPnh2Q<rz^}i%{ud1SzkqdXRQ_2<f&cQ-{|VOpF7tnfb>V3L z1@#L2PY`Di_Bu(rhg$Vv(5Le-=fS@0?mHQB-ASiTO2O`E-r##79h?ilf;GN(EosmN zY3f8V;lu7iW#H>z`!4kGQn2F?lTcLSzX?qLRIHX*QP`mxsi<>GQ+?Mr0|1DF1Yvi- zame4he-MWM1UpV<|0Wgx2~WI^{tHd~jbZ*9z4s45@c)NNmZbj07M8XgZ=(u5^t`RR z07u1&Kc~hg-bbeWgMX|T$mQAw;gi3G`Az?USk9NP#7ObN)L8L3+V9{S{@@;wLEmY` z&uicVQow>Fk~rdD<l%2f@-MLSSRMQ_I508V62wgY1~S2ZLx}&>iQkMRRQA7OED@z2 zL44*P(xGC3=uDyCc;la_=b!%%l974qPZ=@(7V<Y4*)ar?s-V_3KAnnBNB9q#v<AdV zlH2_5Ha>Sds8#!|nhR7SvuzNSc`@;Z+kpKKDzm@*H<0-!togh8-Vy|CLZARTlyzW# zk(qyDnjo18dgA@5u$le?8VO=p#h^gfsJKTR{Vf_u#FxLp%0J-Icz$X;&;TMMu*d(^ zXZEI!cq>XFi0qplFc3mZ4v?Vy`%&D=`3Ir;pXkrOdvkVyinXt}IDosfytKM(Z%^E_ z|6pD}^*BeI4c*VHnI3Xj-L&r8{Nh1qV1G(PBmgQIG<VfM?h0P#0W0H=5ijIgkP#~h zFR$(ZCC&?8)K0nLWjAVO1|#~wqkf3i54O|Y`o5uO&1#F6HqL)bkpxOcb%PvrGa~pm zkr{?i#n|=iQ`|)0gF_$fwgEKRrT&#}DorO+A;mB<GVkpvbp1XXPK89h)sXjzgJ=G} zBj_2L5~n|KOdp_q(_K}8WJ8~k)g?<v8s7GQ%VRnS67@s#iV0R#yLv`Ugs?ue@y{-w zHfg3aN~83Vpm~j{ba<xj>KN+V$<Cv&&iMS}#t7T`(DSST;Qqn);^Rlr*NdJSX+0fl zN~EGZZgx)MZJ$d!QD%*ey_qVo`6&;mnXd$@XTv?$Ks=N+_m3L>Op7nv^s^X~`<HHH zygHq=&WgJPHj2Z%kn0bwZqn$W=kirF>hl~54_yR!8E2CLRRmGlTj#3A8<k9Rg|Hdk zAcBt7m5D1&VB=tB!)z{VjPplEk`0{yWprDJ%6P#S4!G~9$oPHxQ=m(wN4BU%i=%2u z-K@^8(qPe4ivFDB&vdHb&vi5B?TBMva;@)t$Y(>)_38)JyZx@;1EWx>e%8Bs>V{Jt z=1n>(_5M(4<?+7D==J=p`MF{TNA$)tiW$@?ARalz!G`Z-f5c4?VLtx8PwQ}qv5e+v z(@LC+h0<9*73x(faV_+CFhD}wZ&>fL#biSvQo=fDE5%V!EZd54%Yc@VeqOa@PDj=y zxt`C_cW{5&V!HjyT-FFHjSU&+<F0;wS~6R>mL-3xjoL-s2>YSkVXw2=ePXZY;>SY= zpsuB5@x|EnUPX6l!k~a6)_v9%=R?F@_zgSpE0)2^@+8M5*)iMXO3|`VqnEF8U=Zy7 zQ*Ab2y27z|Qtle!oLn8m*}Al`$g#9h?i%cF0V?t=&n$8*&y?SUcym?<iMB2eEo#_r zQ$$x!BQcXJk`w(%N^;-2O=y(oBr6A=Nmc`GQQs}w3)$n_3u*9*wV%#?C(g9zu`lN! zOfQ1x%A(RWlA+ahJZ!se++S$o;n*6_qYn@@>(jkqs#)RCztHd!>-=T6j+z)`pDMXf z?L;`G?92FR@grmHP*c*TwBvXh+D_J`#L1@&@XCoz_d-U5{;9j~DU%oC3L%XEViN+b z56KF(ZN{g@7X5n>(Ks@FAZ<Vib!*F*>CsVJJen-7_)|y~-c)=O{bN{GdpS+i0Tv@Q zTo|oh>GCz=G39~}*yShxRS7D6QTPVO%|i6>=HtA~I0Wfr2BykijsafZ!_pb&38kH! z_rZebQ8}H6ww#2%QlNPWwNt17$<TO@@5Z2m#A1#Edlh0%1Alo4n_c#_<h~2Z8;zcW z-x!VNp|m`5=OS(nGF|eXkCIF(l$BSS-}z`yRT5yCPIeREo}SNu!FI!ufee>hv+Ui` zX`@1OXeiTf5Ng?q5IpdChFtsXvuQG=YoK#-F#{GxqwA1pt}(x!%e&BFVC_IJNBM5Y zTPcOmbX?^Vj#d&wyznh**&5V*mc|j(eU^qk)1CkiAwkP+cq6>L?0lJuh`~3FV~E5w z_GFa%-Qps4n?xd%<g#gZp?t1U5dRF{m{rlqRXGW^ky(TXZK;-<$Ri|*h8aF?t@pF^ z9R~wv`ImsIZ6@o&kN#UXz_eqSlDg)MEio*@@@SaR?1AUWe2W!{-t^w^;c6MWP~k?U z^AS6nQ0~vlACNS7N=1Rn%xSjoL#22|z?U(V72eLMpWdW}`Q`3ay#^aly=4Huh#)bZ z*Z)eFl)S87?5|Ln=_KOIs;y>?-6o`Ct-0&Zz!`6L?NSTfx+2$D3H%!Ry!5#l3v0Sh zVb7bD+qyUjAI`C)Hr00cyfvX$fipg6+vyY4!0_$?TkhhhsrIPeQBW0Uyd7=BBuQ70 zj05PG>G%(6461pXqo&G(Mg$0i4)4=Btb;^^aoMwQHmrLX-U@H0<{q_3>k!~bip=st zf8q_AP}+gISAC1q8UcXU*tm4mgwW9%e?@)AXk3v+pnib0ytj)LLB1Q%+BX2U(b@+Z zMGRUV=&fEQjS3v@oK1SuM7TZ`XiGULILvT%<{TEx=G`4{6fMMLJ7VetikUmVHXqY! zU#F&#j$+w!3Ds7P)31UsON#p__J4rBzaSl6oIbCUAH7Nd{_IE957_zZ2S@I^YkTT? zs|~&U>323u18(j01h;}4CY|S3o9AQWhYqt%f`)o4%k6EQg{)zQGs)?0rdvBZ%P$cf zN6s4z#}U?)#`J7EOYA^RS|<5L&b>)v8lw3J=-ed28KaMoM(#J7(9$Ph6^-n8J88)+ zjWGn74jH?^LT@rOd*q>E24kT3n38_<13a>2^^dr5CBonjA*5A5b9H!yvoqv1F`?E? zIpj`!^wxIk_wQ8YSH~WP&$hg4^(X?D-uy-WUNwLt{KkGcUCsjyp3E9;&5TjKbIK_R z3&tjxKGo{cD-h&K=PO`+b6kO|wG7hA8dnBt+YySN`%GLX9I1+T<9|X8-NVh=f<PAJ zs#=$O=o-ZKROsiT_u=nBDJ?$qd}4a8;gKDgp~XcMN5k`C+%uoV`{0~z#q~Q;jA`?- z9J;=8T5G{qoyClC4U3QZmnpJO(^yswTc%<?e3brN_g`gPx{3}p+T68Tz1V-lzd$~D z0DM-_TKd&)sq`<sweXYz;@cnf$oay6TvN%Uvv}XNMRw9nmnwFY`BG#{ZfM`tnke?+ z<g+^*GjV$j@~I@FBtQXRFB;H=-fWV2(4)Cqhdm=`*hfJ0RjLXKpiPnbTg*<38(p_a zDbc-NZANlouLp$-3*ueoIY5L@1FpV&`ejGRg5!A$<9=$dTQ&g}(gz=!yVEK|ZxbXv zCR|qrAIFmfr+3EtnxpqS{1|4o-R+XO#@&MAunjkO4EaNmferi?5$+&tD~n0*F8&4> z5OLg<FXFFLJvDNQn7T*dMW0obeM4<-%sDXY+KRPQopN(*|8~*0kIg|7z|WDzn7W)9 zUwvTdyXs)NWKEzd@=2f0fi?@r?NGGtR?17sawB*ly2Gq*razHPhHz-NSk8H-SU+6; za^3I^zcVt=ZGBc|oFiwpO7QSlz|gJ>+qd${R>;FHxtV`~u&l{o<I2*4BCyE|Z@yvn zarp{KqK-npu6Df|CSptgNV2nT?rKcOLr>FX;ubu$<~y}E+Bdukc&G~Y*sJh3y3cN@ zRCa$D@_BvR@xnPbQ{oipCAMoTM;^UT;Jn}X<3)HmYT(I3ck}hZE^;r?eSPfBs!1*o zYgo{4xzi|tU}105`|+kxLauvdCKPsV5sw+nOPB1uWospBgx$p#0GIVn#{Ee-Mt83t zVx%$_XKV#84E9c!jQOpbP47mFE*Wa8`@zue^Y<C4&pY;!VrRjfZB%r(WZt6V+l>-* zDNXEX#t}hK0@axqL{6mcJ-*mY5*Z##CFvd`=kwzZky_5R%`4IL%K9fWQnu}>2MiaW zw%c<L7~nvAVO3ySf2&EtBp|q~jmkE%7eZd$Ep`<o0bUtH2T8rd30#@%_+U|Qy)eYR zdU8hxnp>_h)X(A8rxT-*pG>z-i?mpyiw0peJ1lKF<KoqnmsPv=)nbk5`^R}=Zg-2c zu^!Rmn2nk;U0fFB65qGKnW{7n*YzfAWXnpHSQnkp^8#CZnh+OyJSLqaI=3kZuosC@ zVvN_>Hj+<|+OO}^>0CTCTLwwX2}?zj?d#Y|0=!mC@4I~Cw_994+ZWolE_Dqs-hMb` z*E=!9NP1)zp4tYbhiM^3X9HDiB^@wlv<Rd1%;S@TzA5xQrxr9nqvQ37t{8718=MMu zS#~-GLbcPxUN=iqhWQC4z-IT(&stmy#F#+>q|q3Baw0?O4i4W%T$Px3)Z>ktmC-(y zYRn_2xdZ{)l89sd{`5>9{|soCJ!}%TmY-aC^EFahy4n>Eo@_UDg$P!?;;*jqfKp)m zG^g^t#>{lvSFU8n%t7As7X->=q@PbL?QP^s?mXB8;jw&pdRcUWzkLN*ICvrWt-t%x zxFz-T?yu%%>lpQJm#ee@*w5R%Y-9|n9)cN&W0c>V6KQN+|HQPvUO7ZZ-=4a<0Z(`5 zq}*fmUia4z$>Xz4ZJKV$$ZfN?SHP=_2LbN=_-Zr&V4ghhP6Ox9vmKg}T|c7%l92Z1 zu7@~VudY>kEk&e}0{jF)aaNeppP4H<#GloeWcvcY>bok8jvT!umzyxo1M~F&GY#Do zV8cMQ1)^mR<28)U;%GT>K0jYh(`7J9#7|h8j&W#5#19&&1diYovZm61G0$<fW5AZd zhWP5YU`Zx8F#%xnShEsVnp1gM?`*&jG-TkQan80hzmd<F#!KTWXJ`AQL4W1?e82cU zBf6iSXP;@*s|iLy|5vNOCEC|ZaV933#2o8@>7wajS1U8&5Q3km&{yGaJ(rQhzzD2H zeBCD<h+bh&{KC8jdkGu6^(QGw9ZpW|Ih&%_j~~o8H+ld<tZ>tePI%>H$jeWF3y<zk zD1aPwcgnE1KEg`A;G6Q!ukDK|HWw<IdfeR!<8HZUB`Hcm0Xb$T?j9Gd0XMF+;8(A& zb%`0V1s!vX)rVUwI3q<pFB|CS4)Vdn@3<3rI^bV#ugM5Q?bb?Q8$1Qtn6`^YopF4@ ztu^-S(47EhQ@dU;Btd1~+H)L+af#x=fnP1ym4x!ltc;8j<=^JNB+1!prTZDD^xAXq z6H@Fxq`gTlh`n+&;zoul@AE+Gm9HsQLnUC4jCUIHn|zy;g(E>=(vk!VO~-_Xfaope z5sZ$GXtBk05NnGhwwr!g3@eKl?q?YJ9xN%nzV`s|U1!UKR#b}L*!>zT6@{F&Y9J`^ zIllh2YTy3-Ze3-60KFI1<Olx1UDtIsm|#jD9hmvT8mG1Wvn|bfs*3&3=e|g?sh~6} z$&3xD4lIM@DmT5CkeOAS;pv_s`tz?DpoGfDnmSFk_SGDk>lBTWJ;T=>+QUnRt254_ z;Ad9=gm5kSeW8$CW`1Egl)#rjJU*37BnF5uu3v+V_s1Xk+CGj|i*`e4RF_HyzK@ae z*Qmee3$o3Fn4y-1nk?ZVoG-kuvl7X7yMk%qQB*0D#-|PhCpfjG!goIuSJcvipm05C zm+F#BdH&d(6H)-->gfBB`<{;O@B16T-#0kk;`Xseb&oT|NhVGlKi~GRyt!9=EH7Rh z74&=+E_>>#nYVr&t5WoQ{Uq>U$ljC89LqQVdHwn3!CXFDAo?}zeb$}e`D?*;!y)ML zl8cXSUf2S*dp5h8OrIiOwD`-d==-Spbeq5MiS(=I7o_+ApB2v(4-CD4%dSddj=S}< z$4%mnZ^X%~XNH$uuNUsO!vtgbuie#OS<{!QQKE%iF<v&UFJ0Q^`BK2{qhI@<3>k^y zyq>t`>`xmzUUL8kGj3esii^`u!IO}6|4fyABJ9iPRKw#gqKU3WU~$#!abqpUH}%Ei z@t|+9M=rO^0&3`io7EOL6MVh~8;Kv*ZFnujXT*CA-J=NE`zh)?K$6GbO%)J_MffRn zPa+e1f))u?A6xI8=kk{UnR9{BNzg;0dn5SERU(%{=3o*NDy$|+TRGvqM@DSqo~7c> zCF0Hn;#V>edkt91o_jW92kvp5To-y#S2<4HlbfU4QpoJdWc)(_sOAl*<^wOEp${B% zHq=-1=ss|hRVIq57Wxk|(e+=b`4?D<u2P0mCdn8<6<uGfR%!Qf9)1KGrl2njw!rvm z+4|xiO0wM1;yyJXbUJX(>HTPw)~d2>H(lg2C5xUL(6rsM;fN#hcs5!1n5q_FpAva> zcG$Fnq8Qyx3h?p6M4Vl5(S4s1Wkz?nQF$nKpABrv{90M3l{p^2Rfa3jO-7D2JSFVO zTQQI3k?rb_$OdCIyiMM4x@=p&Effk+_Y%XMONu<vlDx3vN4veQJ2%SMIm_=S>c|h^ z{DNH;O6iz!<|rn#ZuPaBgHVt?nnEARxjOLA8qsU+1gvV@S#QFO*a}n0+lp6_d}Bg3 z6NP$aR2PwZgaPioVulO8<LLdyo&)~llIwx#`gtx7!U+Q2^pU`QmdEcq)xqWd+v|H| zEer*(_=R`|$t6V-?qLqA;O`e1w`vwLs|mQ~#jFsb#OT}iqT}RmcaT_hTfLOCRlm(_ zL8=hg0&FX6qAcxLf^rwNY@Bt+lkEdPCNn@EZ_q~ybN71(wq%2>|B+T0w<WFN$h!XQ z*P{qGyQ#RIwoa?Tb^Xn+>owu4zOdPNv;03EI3RcVJPX#?jMcKGFKpizu4RP8U}Rj9 z#|1bMNveDtW0gi|dK+E1vk!vh83(-@W=Mf5p}J@u8Y@}3<)VaMwNhzmx#={}K`rP& z9Y5kT918s5&z0ll&7E9xcF1=CH5{9U=%=vHy1|pk@*^BIM6YGqgFFGPkm{zX;V6!@ zS`Rrw$9xvuo$6~B=p9EXpVgu{xO7K~SUtN8I>;f=<$s+C58vYrm<sPbESpMW1J{`v zYUgPq-|$-_>v3c&Cfir+?c#)V8T-&I4->V(?K)6obB-F+MAnyatXYmJF^&?|M7|kF zQD7Xo{63Hn$5_HtngkyMrxJFW5rj>vf0F*0F|8P|L+6XeW^s(3Npx2OqrAUIZoN=F zp{C|LnM*}u&^H)<*PyoC86XNM=i>(|rG<nW==Gcmm4r5TR;o@GeEs=$jNst5!iw1t z2a&-@Ib3-&8%FM1f&;rhzD|+Wg`Xiuy<F}Vm_?_A>WW1;Ir3des+o?cS9fYPSfXxG zr4RC*OQe~uXNvG-pK*GBE2*@ucM5wLPr3ZgTep{)g@d6l@^C|p+0=A^jgAeLD%EI) z1%3b7Stm7E*=W7A@lnXAj?lN`9#ub%I)^n12*kEGa1O*Jhz*%iGA#9x&0UYR^TC>K zf(PwPY@FAoeC~-|`;)9U&NZjA6@9dLEqKX@*gY8m`gZl4!}oOhKR=j)au@slveTV{ zc5;ka_(*`Yu~sGk5iGm{j1`S7Uz&aUbc=kQmCkrsbV7_bmnYL}%rx+@@v;sAa;=@T zSv4-VKL$hJece4Jt~yx7%>mR##fkBUjh3TrjuuST={RWKRrz-NgQ<B!Z_=e?$XbgP zCa+r4MHe{@iTxC7n9$B@Hn}`q@7Y{__7QctQqhjIkqc}ho=D9Ae)$fiKXBswN}jmt z4zzP6Vg!6K4igWUwHWIAUf=SZixq2)gEHphkz7U(gj_2Q%oK26ug{2YrFOJR6Wxj< z2KNYqmsyecwxpmXYicIT#|3oXSsT8m@GBJA;c45+gvulyZ@t;fIf01c#fxBZ`_6e% z`Upc77Z!!rz|HU#=zVt2-MwjgZtcqx_Y}xW>EIk=6`kXbsmhk~)FS3gz~4&hV7d+{ z=cc+gDW|r~{$M3&s>QSRd-EKuy^8y=AM?i+`e7fmVfIg!&m<j5h%GWTm1s;@xM44D z<xqdHnq~>KoTdqs3O}RPKg=3el>8v4Q@Ma?tOGQR*vn4_<#Ea3IuQLTEr2966^3qT zK)||*gXZ1(7Uf=}$v1b{$fPs6Rx<nU-742DpbeDs;|7ir5wX~@z*fKKm>M7-SRjpO zvy6~K%4QQb=lfNak89bMWu9}~n&uDpkVa5xbzHQ?ByVHs=v@T+i^2P*M>>0~YRV%O zk{$@0O!OP&M#A7Sp!rAu+NeLatE{<Kb_-{75?72pZhmJj!D7E;qxaxiz^IxcpfJtZ zml+UZO^)$@&rI@m)WS9xR=mf--qF)#ZY`2oSY=hUC{g&>(;|i0@vae<+^k?Q;z;`k zjE`%nKj!zZUqz7na7WT5+!%4xhQ=Qq41kHs4=%n=Zj*B2Zj(y>aHnb22u!J_hfS_5 z-KODouyXI`JaZPqgs5#_;;J6*i$2b3IMQW+Dd_dRp*%71Lr-RZ(^lT3<1&-Ga24la zrnv~;b_U1lMWVkPOo|fJW?^s7u>Xjn*`?`_p4#6j{StZd@VKc@F_sWvoX_AjF$I8f zqh9kuuMOGnmJ-|M$hYL~W|c@3MQAdxv+B4)syI2X6XknSJ$h0*@gv%uTPV13wh&N3 zU7SpZ(FJEj_>Yu0I`onB#8!J3jY}rRw!?g!nCjmvqiG7xAx=*xDNV{ji;DSjQcGpv zs4n<JdQ{lB9Zzl$m(AfPTKG{?n+JfartcXebX`Rn{sR@-!hEU_KQfJ(?bM+pxWT<c z`&k>|@gU@FWPM-bd_zO$3gf4Yh$XDOlKR+UosK=U_MPyPH+dfw$98|oRQY@!Ti(^l z#=uHytFEJ_bNgVXs&z6pR8+H6nJ91E;zfICoDq?}2T5<IZ7S2&gPb6Jj%5vWsN=yX znZmVsV5HXB6Nwj<q)Wz9@9!v^!nJx}n4fK?oh4!XBrx#MB|wBsExw3M8@=L!^Zt22 zO$zr;!!E61s7$V2Nkcy^sIF|1E<rW^M;X0|8W!_Gc(7f0xXvsFGY#l3dTm)4PJ@_J zD}AiCbZ;eD_<Qrg4h6{Y8A+fO4k7D9fV_P!Gv7Y1rRB{a{|`1Ttp4GsUdnc)LVVJF zr3V@U;gCr-PzjfuP-b<2Y!CFpi`iVZzyi_z2!9AdnW;0L1oIeYDj&hv98oJggOEvt zIHbaAX*6dl`JO|iH2+x=Tii8#zo6pj2gnxxNzo7M;AfsCnBo(MBY;#UB%>H&;GTMs zF-pe(8$#Y}O0e)1+7y$NfpJ<#$Wo(h4}M9c-4DA?sQ%{RS<tH!bQTu_5u=bwYCk&D zL<W6WTDMu4e}P)P^P|5+a-0uZX6AVnn_UwM58SbK&Yz-lvEVjwKN0e)yehi5OmhN* zLVCXa+I~YI0?y#iX$|mIc<CAf2tn8Ch^;^8_%0YV;b{b=Z^Sq`Z=-=<C-R%m3gz@| zFYZE|$%^q)KBGsb4nXxXZm(Dp!Jt(w1*DPlNbbD=mQYLj<RPV;UkzY9X8G0D_)6lP zn~V2{bY~qp;Mki#$*p4aha?9v9)Y#&4+eNU&kULy8?4OcHsIh6;&uyuzMv5Ob}8bd z6q`)^J1gUoDEWkR%*f)m!2APi!<Bthw*YJq;-&)AWzhVTw}ZwJn2V;~8&kRA0#)9` zi5TN$91IpOtqYaa)l#XFS^u*UnN0gDYV#65%XK)aQ;mJ3Z1?f$mxx-nL@b9dHcKbp zeLl&@c6x~%H~<l>kqRfbQgD(7hIiXJ(x2o+si=wUYeo!iX1+F=``{VU#&sT4Dmzc2 zFf)!wAv!+d<FOcNJF6n=7^o(*jJ5BR^>oYIO?~{ds%vl@XU%;X9)}Q^bDG)|r7)~3 z?latGr9yA9QW<BAa>akynlO8tlzdpAGuDprzUSSZ*ABqXSba+G04>vy;gK#MEd29! z1m4;J)D~+hs4bSBKic9vq<=WUN-c@AeUX#uT6PVCg>lP;u{F=!OVjar!y9OGxC}GW zK7wdD7Qj;{Gp^GTv2<WFkNB&J&&DGX-#xA{&B^82k=D9R|N3hS8vWa?rP#FhMo~_W zi3)&8Ld#ES^fTRM$H+JwGX~{Jh$oFEJ$-VC)QAW+u&!zPS;#Quow|4O-3~6pxctmR z89%2W>~!roH&{3eCI;Up;A}c2tMlq=9Wf3I>M3>IKnm{{!yl}(3F9If`?h!I%x5z& zS>A-eBcwggg9n-eUw>E8F<G8K!!xZ>0`)H@I9Wwqg$tG?R-6d}f%e@e@6kEckvgXu zcsUa%!ZSJa(#djgq7)QI-?!CJQxhqBeeClCapYND-_V*SF*&v&qe2yZ3mF%zm_h@} zU7N9wd+FJY(>v*lg`Gag9i+Ra>hEbrMzn22Wa+g_WY<;@6l$$}$q8|$*tT6i1LOsK ztkQ@L(YIs1me?Axw^FfLG~nKtIky)U4(_=sCk={bNteyA6NisKG-@+OyT8x(lGE<+ z?qkJWejH=DPqSco2vwJjzsa07O?s#p#BP;3eB8OIdl;=vN9N<yg}gZ;*Edwhy=h*t zZkFn7RbxcvaJH47w)NzZsVXh&2cSgLB6d>Qv55qBExzStw|+T(K56gTQv`2qGQZyy zOm%p=S;<f6e%2g+adY6(Avpc19Y+0pbFSblXz3t;56YP{UA&q3E4)gQSe}@EKbeTg z?d%kbWqxUC;x+>C&1b|F<O5~s=;3cQkFy{Ca{T?_@tZAA17}E~PRwKa3ScS2_@?Ns zbw~&(4RC`N;ptYjG5Li)Bk#T68);g(SFgErrt7*Xu<Ix!_zm!PJPVmlpF7B7R(!;K zie442Jp^#<zGrK4+8i7{?7B4nFr-XcxaAbbxt%&M!kWzMoV0K_7F0Iek0Rj>*emBI zSU<I8!@8>^o_&?)ckN=_{{-}UIz6*{KiA9~I1q{8LGbn>1AI7Sv-`a^^KR&~RS^^E zFU^5}<n}>x#HVIpTFuT-_24ZWvjR})$Zoq<DLlA|p&Q3fkAW+wdhr?<fv6<s@aR0N zVmD6#BHikf1)`H3Pj^>gH?c)SL2qHk0XXLDN1ybge%?~L)5s(sS3eUc{#U}c=B|R? z42XDKeOUgKsvm6YQ{%bl{k+r?Y1;i$(fz!F)m{3lFW#R#+%8WZUi1&JS8|oln>&fe zpmxz)>zG}^BZZYUCk4NdT6=OnvNX5(EUoxFHqHw)-?u+NUK??6=eo6t>exz-xpf~T zLLd7XM`kJaQOkG%Dy8vkeAZ;#v=KN+*wal?Q@5Bq&6V%STeRuT2j5p%ow8MS5oPzr z9Xuqc%#^uK8s$3;*T0aeud2PCV=PEtaRr@}mR-SR)iZ7OJq}NkVIjmFvRD*Pk9>6C zdXi0fcGMfyk3zLf6;*ej!2fCD8vFKGGrCH6qUyGqTk9<bpl@>i+H~u3Y_&bmdV5Lx zbhoi2-*3d5dXjl`Y4pX;^+OI=|KL&u^oNX(3s86;kFpE_85L>SNi^AVnYH@ytdoe7 zsLduB8XxuPa&<>9b$j+wSo?Bjp-vJSsx;0JQo&5b92?9Kb?xT`8$-zao~TWK8aAaJ z$DGKITB-|_fYI(OU5e#<bwV}t(X@Qw&OO>OH?0o+RjTb(G@8SvF?)t(2@NF}D-*!~ zIp4V@kinlsk@n7{q`5N>9YO``%eDIW-l43~z#+U4g6P={w$`$t;Y6XzIL@K$G6Ra& z8!I1b91qaP$0v;OC+JN1<&B3jqd}*Khl8t64eo%h-aQ;E)xs|k9FIhjsP-`wNj)nl zaa|j{A1tsRI&uXz!bLHj-Ru0mLYjhw$-=~LiGSw$1g?}E8$5}PCW-%{<Gb}U8V#R8 zrvZgE(xK3u+?o)6GP$QEFbLi-ls}YHL{f6qnBaLo#qfq}OvLU>R`;4erv##+?h<s! zWMm&8A<?FR+{kP#IX4|)N2eL=6uTtV8ziT_BFBGUiilZSd~}d)t|DB@H!_$I<fmqW z75?<K^9vNBc<hehWN)B^N56DCdCsOFRf^VukWO-5)D!X?nYTY=<^9hxo<qGgW^DKG zkVL{`KT;Ytq`F_;^0{P2R@BD!+it-k&X4>8c#%mPht?u-IAa)Ae-hXlO@-RpBJtI@ zDXo#Fv)R6~zNS^UI$uOjA=ln^sI9^;Gq@SKw7uo8%GQ{|*|Y2Lj+mB#C#>vx?H@ef zmlivBI7_u|%MQCx*o|QfYA@4<-_8^_%BgO+fFfi1HI*&fgbk5(f1fXNfa4oJ<Hm{u zMAbz#NGIT%|KxUP!i7@jYURg7dLg`kJlKA(KDYYBrrjcr8Hy82g%V?WBB0Wj(0e9i z1qY$Co%J?tgLN);Laeo({<?M-Rw-Q^o3idqiR>waS9Jl`qU>v_2dOQUMV+6%<v#we zzH|m7<QZ>&jk@<E$rT=m)eRnCO3sLoz}uWVQ&=dgbHr^~s#F|(FT((4>BK7LAL$kn zbJP1FNg0OioejbyHtmox^OGF;rK9;@#^%97WplvTdUL~Q-3wpdu6bis@&$vx36csl zOon}9QYIKOF=>w{sUU7`WHe5@`-?5c{c4v<hhuWh-PL%DtU+P}H_uoNOK5X1)kqFs zTGnhq%7j5xbZ}4(acc`3G0E46reuwjFS=@}W7IgqqyOF7SCJi0@~MwH#ED_7Tv_^a zhp}>l`@t78WFaY2c>7CDk*1ZBP@=^y7qestur~Y$S9BiYc<($;NaEtbA{YT37rD%K z3M7V)Odl&8_kshvFXoi(%)g;Dg%7<O)kKuCC|1)A)HB3PL}*lWxL#^x;Sy8|t7k_E zlxPc6((t+_r8fVOXZ`lc@H0iAy~@6z-x;pZ8*Hg=JUzl_&Z&*d615zSvO5Mm{5=z^ z&p8o=p8^<?B;Z@MNLlv+!nSe%`1coq3@K8mtwuDm?g>`l;o=ycXF@8x5;q=b;9f|| zBK}h4y*N9gR58J*Rcw;)uLVjsmjuYfB`lDQCrLPd?n_zo^g}%m3@Ywwkw&Jx^{_{c zmxE}a2^?Xm4XC55$T@S6ct6jUHh_goz;F9KYjRIKKbok6%Ey0z>7arJAW!8SS{#A{ zE6_k%EV*`s=_i0cK;J=4bsfQEwLrT{;!GTP`mmyQV`@?p)1t9r54AbCPMWx2RDrd6 zS*S1*#TYAh87w;KXfWv<FCl**Y#ieYHPnF26r3AVE+go{R%p*0QaVyw!Qmu$XY+j# zbISo8tapdoMlMUWquByrqQ_b1CRuvt%>)B-w;50pBTA%ES>MEsjJ74+6(CfQk>8T- z*t3IPF{rSD#jf&96mS0-U+Cj0dBT_z9*)JzCwB$40ljGFEcEMG4~0lja=Z?reLhN# zgeD?wK2QePvka`b1|n`g%7d+HNuqJ>DcFw(qi^HSLb*(S%*K6B-KO3=&v2B5gHDY7 zg-ZH%z8$8G!k-`u-9PtTxr{D1U$NTYIydTo9c{=iTbs+fy(lDD1?S(>PI8$M-hJ8W z^aVAPoo5^tjOtNV64fkX*6k>ou>33oMpcd?HU4u1C3t;Od`1W<FoWi<5Quoi{lf{5 zE^(JlC`-3@o7=`aOI7sk6wMDBORD(n$&WPSrJt^9VDaEeJ!!ZLuP5@c3mH*xB?yIr z3NAB>D(R~Atj*3dN|O6Pjg;)3Wk8K*NNq9FeH#>!WiCd`+-1X?j}Pl{!mDgwM)=aZ z!Ck0>H6A7fnj~Fdn9YHUJn{@^O&7~ThYsp-vZk69;LAd9a1`ht(ZuT-q_fBR&QXDB z2gjpEXS-10<UYoez55xP7o1&rbJeK~fy00IvsTb|ClQr30yrM!`sV*MSIa2>VXi*M zdSLc4J9c2jrNn!DMgSb!@rFTDhw&o*<T=vKbs5#;ln1h2P~S?TN1Ut)#u<*TVXVWD z-u~<9L+RFu=0TS?h=r2M@(SI`h=bX#ko`QVDD##)I}vZN0mZ>5PvZej{N3SEea+U? z`1i?K`~YsscOt1a3i;aQQ9x;YQ*Y|3(-1v1ftrMhWGI5^C22t#gd<4NSem)SSQdxY zxeFx}z%)Y-jHuQPO=oJVs_P+0=k{XO#4P4D7||pma95o+-dera31*}vW7qgr0Lw=y zHV@JlW4Wv;VRR1#XxS}pLb}*p=NhrBsc$Nv3b}1=LZ-xB=WaFltSK;64~0+x6}YbW zrpR}|4En#&36k~?Ga*4!62iar0yIl7YiFu>3WddNQA8ZZiebd-Rz6pI;`{Jo!O9lu zB>Q~DR<yJbF*+b^KLF_l)F0OS?4^*O!X3Yt&@wTQA#3M}sn*fW&1bQqcj62(G8OuW zT`_W-ejsGNpNge?1RqJ7jIa3QJ-1yc2ec=uCO;+`7VfT>0&Bz_y}8f0)m8BK-o3dR zkFgI(;~pcs+|j9gyUY#t^kN7YS?E+_PEg}kM!g6W8+*8V5Ks#HFymoD2kXX0y-Srp z&X2wiF6K!bXa_N|R0nWLRLzQ@v4Zp=ZC9L%9~h1h(&$wGfV*4!S)?dxpbQ6O(BH7+ ze7Neu;8G7!H%#-qq8|qMihnm_5X}@I76OJpq#I3_h0f06t^hx%6V0?<!YF$H+iw`n zL|$=S!aA{qY2~-o5+XHLCPrnUPnyulf*9kiVNE_O(taJLvrmiRUr|5)Jx);&Ue-{> z0cNLy>od!2hD5yvp(>?A7^{au3u18KkkkQ8tiP?nXSH}Cr17wBr5N?X$~Tm(pHwJ9 zcE#Q`RT5-fri?q`QI&Ui#xhk5uW?83uR4jm8G4O(3}dGFgg3tb?JfR?FNIg+5NNq# zuzX{T4p51^BeBvQiU(Xx<K9`9T=5eT7yzgdYfB@YK6eE~vkTBHZ*#MQW|=y`?*TPy zMl#wUp;O2d$K?%X+?Z2ZW1YyG(!@TeJOiw8tD2lF(Qi0>PNWlEAfr!J4SV4)o*2lI zBgmSv+&(sE%A(6sEd!}TsOj-tUBe$98Ya1@O<F;B$ZY673%#G!IQEk}wZgBVhpZ{1 z7Ezjm?Y}1(9W=?`cexc)_;z6vcOi<izdL1}#ruZyg5PVzw}ta!@lE{UL*YS2n3hJm zD!?SeeTdc&j}l3vps=hnN9I1{?qai@gn>juR!SxYRp)`4r3w!6z~G|78i|b{V=Im8 zaUzXb)Ib`y;usTxz$Zk@*sHiX5Et3u#>ADV(Df48<tBNveHpJB!~`f#x+@?P&Gmku zE2Jc;*+ro^<LYY1Rm)=iI}~1ejKxEMI`P&g3Z(wB+uVpTyI7w*#?S@EOLP6LFgLl` z69yHnxDvA_xjg3zV8H(eo>htIw`X+*4W^l?0tL^w6<Zx8Iw%6@c(mbup^Vr~um+hM zsWxw?E&WvcdJNn5!hL%*4+R1YB+xbW9?!T$e+_MLBPOkyVvWNZ<2TtKQ-|#GZC_>- z%Vk|A3^`>@x{s+6{cSdUl<_nHT=9&49B`@(<5pmUPRQ}A@oc_~k}K2$>)giCYyT-o zmA?NYNFgvF#g6<jq_OHvSg{$68@7mIH4`MlRKty)u`wQFQ(w;ccd6rjA~^s)`Au$q zccc2S+Jvmn-C3du-J4Gr=1Lx81gXCs3qZekfGk__UpXYjd%oges3P?*yT6p)3l^@c zdCF|=8Kbi$oC_5Ho04k$rKIhS|E#2-SoB*-JrweR*deDKA<`BzzoWt4b?(SNmlFRR zqW+3d8LjE<rQPe?pZL&0UT9J3&ynOU7&+x8vE97LIOXQs^%5Ar&l+^<ECv;=K*fIy z<!=w9;rkh6q|=DZOeQB9o9?dbTXxE1)4-`PPO7Fb0okk#Nm!}@y!7ZLX+qYv_&eJE z@>+yj1rd-Z_5ZX(2r}@Xk!z`4Ze`-s-Cut$D94;kL_H2;LAECW@^vKIhq0i*rSRgp zb)IqB!dDDQKF%-a>!cw4*>Q$KWS_DSEN)yTa!V()NkEzQxmWCuc%=6q1CmGayO7<_ z`~k&Z`v1F#^gD<v=zeO1`W=eiC79`UNDY8`jRYEmvEOcIH=ZGo3FuV(?<aVb60~T* z-*fu1%cey$Zso_<6guqGxqF#`hnJ$k3|fq&J|zUJ?o&tRhsgg_f*LRV#~LJ4{a>y@ z{>@T!3obIaW2EeH-+8fsR%Q8#P3hm<>p<3?)aOJR*RVM}!!r7Jq;a76=&nF8{#pFT zI=3=y1W1^Z7#0r&n8jwkU1(6Z{KuVqjV>~XOF%_DP%+*#m=EkcJ~x;T4*K6&h}!?c zLVk-erIAtT55vJj4rBH^p0j}3?s4+Xxy(TEy8uPzI*^<Co)Qn~PX!SF>33{R{tLf@ z49i#i4Yc;&1eyAf6W+UV`VElKcmE%A`Q~K(pCb1#ZSp@wZf=Y(-&iUCSjPdSd=^OL zak^0djZ+^lZ5vF711&)Q^ynam{@d^H7RY6xf9H5Ve!txs-_Z7)uIxH-@)ZeLfRl%_ zQZYoa-eG=Oad`Tb+xs>f;OzzkZtw$txY+!{BXC~t%0_~&GzM%EGOzIholw99yDZt9 za7TBq&Ck_n)>?g1GjCh-ZGW{{8JMYbT8TootI!w3<c{`DIWlLDaca9gv9ZgX79Y+x zd1wrOh7_nME}wVKBsAN$$%n+ZX%l={80TGeKwNk(pUIh^X7>|9daN|Z_f=dZdYV~T zvzZ}49I72XssNa6!&1$2B&#Lw6ia8!U8g(SFJiF|vI*|bGjY#yvml8dJH8D(?XL;F z+^@Cs-#O0-^jg)vIAvDOr1!k#rxqmSNXx(3)tK2|CL%&uOg>&O7QM$md$p#~SIovL z7p$M{A2jJ)`C_bPJ^#Gn;Z%Yd!F^Xdf9R_Fu57j;Z4G!H6>j4tU~iRA$p*{KQx2Rc z7NuTHTYUGVuf&4`_Qr$u2<a9HnWqvy##L|1r>o15(Bc*#AVTQ=e#R+T)HQzjxF7fw zKlQ;a%gVhfdc}q{$U;$eSwDrmPP^@}c6Qwbsx|I`j{K-}QRIrqOF;4D-W%Z0F1}fk zyU<z2y#$y?rVFBE!Mt!kQFDLlmTy`%SdEN-Ksf8T!r3ai)jXDUgx86j#g(&JDl2!; zhigh^pqb!3-u^r<8?-3Pn7EgDb73}wCj+}EOI)~>>H3LXUy)OTF|bp7tzf#j!&wzx z@wC*Dr8}`kV{hwrEsWRWsUt3$WZi4OF`>OlAOslG5y`%F{wb2}<~H`QiSSK2-~;=; zPir=rB+SYb({Mdy;kZb*@B5~h_HqMaUigO>YO4&e&Sav%CH?E!%()1!P!x11?X}y< z4<uY2!`9`#S!Ol7W`}~i@$@9Mp}mp$>g!7v6h$dH*R82lJDdF#U0QZCJQ`PQrjoET zZ-M~>wZu*<mvlox!WlVN5i^AD{>D+$5hY_$%}BYlH-#OF`(MX`-TQBN@Vmz9&WDe( zcu(nJse6cIP!Q^WBy*6rnqHz=2$Bb=%^nh8y*W%OhKP*%@(g<Q=ePV?p>J=Zr(&R0 zsk<kiu}N=ceMo#PHcydXJ#QErIrG~X&5o*pSCywK1f$7-)^oRU$B11*ud0~mR3Ubj zWhiTh*-BqMIW<etxUR3Tj4_XgRkm854$SCBiuZ%=7yKS$v?PzL{&8Kj_$gmfi5|AC zzujCD;6B#-*=~TEB=B0k6U186FQoS*F#(pbC#Sql8SaQTCD#v>977ij;99#*Xzqb9 zLXMSk`)g~S&e!-^W5{L0ki@56B>d_kU(W-3C&t@NL|*2qkz%o<VQ`s;s)y_;pShcB zbC=}CZo`7m4i`fi#naA?ZbPE}fXzZi2goH|O|yE}CG{JPo4VCZgg(lfnZ*Hvxknt* zTaK@73w=jc-u)-^q_@+$7})}i-`Id&eQPWcm^s~9;hU<5;~*oWY4*pWfQ944(2i?; z4yKOVF#?NIL$}A}E+uahhe$&cw2{5oN)^g`EvdO#DcSY515c9a#s%Rr`N28E*V{B} z-NNF9g3xCdAiK128cD@Y=EuuJ*R0y2HFhZnMx7w~5yf<)N$~=PBe1+|QwG>IM5y7z z&1b{jq+9DAznd;HJsJo1l5eP-RJBv-eQE6qQCkc@UX4Tr4OdwaMJu<#XC8xEP;zU~ zNZq0qfU;T-__$#A6(c(^Ny*==-tKq8&$k`8k>s|@D3;Kmdf~gzck5rT!cQ7&6hiIG z^sabzg}n3W8!e_;TWJM7eBfQy1YC}n^Al@Q3Ha!g9}Q1UZBe5Ooc`MVMu&J1NP3&6 zw%kSqiHkI{+g+@_Au>Ngz7ptG*jwE`@&bA5(fj(%Lam{I4$4>3tl%KsM51|`a4ba4 z+;e27UY-MN<%}V{`_?0R3Q=hnBbbEzotc@@cs6H!|B-LzGQVKA3&8dC`RHh8gT<ye z3(VZIzouA@^CRybu%hX7fX{O|xYA#ro_nwN>j~q1)br)ryTG(dE~t}hpL5~Gb^j9Z zPm2P?`aV2QAqJ!e4!!*;XVNB3{MMWj9bn!h^ZULp&UZXs8KZ_kz~J?;EmATu@sId@ z#<RZRL&k>es3mrjPQa(PaMspY{hH~rVY}z<)A6eRjdK2ti>x>N0`K^PXkf*(;xl}( z07@6QivW$?P1R$EeK{|lXT3c<jxS&MlX*^5u<96`L?8AFfqA9<X2lc_=kt6;)`#Gj z@GATsL+&->VosK!;QITf{*>08(T~5{u(BaFnUfxRpIRq4WdJd&KJ#iPr{$n(+qN!= z3U^mloTxg5axd<H;r2U3myxKA?`9u9&JZEuZA8r2&b3?XHz9aC`w~tp*do*`d>}uW z!BZQ(SK(&!eA7z!Rq~A49s`cJGeEuqlSZ%sbG7GtG%`=euxtIqy|eoZzO9a?VaS2v z1G>hp910@!_h0}Qr`?avyRrpw2{EAKFoLA!;bLA@Pfj8v$09O7<#n+Q#rBN`BM0Z1 zv@Q(Yj}{mtZ)z;?)kg5S4Qwrvl85%L5^W;27dI3VFr|wkuI|3mz(%2n@QCdB&$%_B z?ceCVE6^aIM)mGC$RL6m4$7|tGhn{uXjy<^-#xuaj%ol<1Uu`;hn9Ng9Y3d)pV;~A znYphoa*G}opI%ebygr->5$5+OY}U`EG!o~2tbg98_6-hq0IMqpcG?opoidm6K5juM zP*2P_Dgi(7uZ@s77mRxN!;Iiu#!MEiN`6W@Z*~QN*9OY*Fcf`a26C8<{J6roJdIf5 zc-j0WG2H<){oAoiC&pI~(~2r1-2~|BT4UQ9h`cGA7a8zSD=~03{Esp5C|%cdvo#{s zxoV7D2omD92ch<>NRol9fh8s-taC3;Kna|Q5a=yf4<?3z{7uX#D@nWwwE`{<Rjk~q zo}6ULC!6id9c;@H^g>`70w~Zv%XfKrhrHemo^rq^;$YHjyjlSfg*RoJdYlXQO6iJj zOO_=`>$ghVym`zEdopuX4+X=B65?gc5E*)B*G3Usk33~7K!ewLjS@r=JC0fQ;yngK zN?V>6EvejN!jEB!4PJ)+rQEljpAH|>@bpKQZI?upP*n_?c~NErY+uojGI>RHykb3( zH$MT&Sdb8*hcyrlt5}d2rE5$?Zc}-FZ({M1ayZWN{78{;e+)0Tz%ZV<8?!+KuJ5D2 z`|%yES0(68^<2Q4DU7&}wC%;D0v{=OLN%a6s`ZyOqsCqr6+Y}WH(P_3(RP2j!rBa~ z>VR78>~nTkWG^F*>dx60)npZY7#AU9WKhrmCg_k1R}T&jj0rmW>~jxYb6q*1a)Yr? z%Y$llUHM#6=@xE&yldYaY}3O0`MV;y6x??)Dn!$tMry8Zv$TyJ^K8+TuRTpg_<Ite zqW)2dAM&aDg@G94RnlN_HJ(~bjoG}_i2w~4!NgiCV(oM;H$lb=WG81R!f8WzL?tkJ z^0Q1+&zyj8#RiifN74bek%>Zsoos!Fn#uqCoDjmBGc!p>*INzXvb=$+6h3tFg2^2% z?&0wkbN@88PX)J@x$$PjgKuQ|cz5Ov@JhKB1E2WXzN*QNCrGH%hl{+xla9Czi-ep* zFV95goooGy^rS{1Lg#^Ei!n(uYzR~s-W4LrPo}0u?{@5rHViDK`wzquxEd74*oG)= zk~(R<`Nlg>Da9bgikZ3qVJs$2uSn?(|5O(<YiqW9JpSIV41AD5^32q@$x0{+ApFT{ zYxvgxqQx!|2D4{&&lHiW5iUQwA(*+3-}2}lMXgDJ#3GyX4tPFqpL^<`hy@-TdU*n8 zf?z5aMo&uOBRf-&EJ<P#%19|ygnp&LO@QZZe0!%pd?k`J&>KsOA_rNX)x^laGLO4P zednS@i^WuFfby%b{<)R75B|{v^j<!lCkZSn^T9XCO8#CO-kC7?dd#6%f`q}&shITh zs4|!nj<jI3C(Ge+8Ue)Eo#X(L&S0ir=rn$uCfoEvKzmm$hkCrY>rfwr+;<vWRT;GW z$np#VCC7aCk6JEf(MZS@PWf1ToJ)p^0vwK?)>X+~y`*waH-7p(G?OuQ5zK2-fHxA> z;}Ug9pu`?Co}wT5ZcFxzxe;so6*qgEj;?NO%&SCv-|w!HLB+26xmE}uKM-AL3`1s+ z4mqM4q*Q!9_EaKgq-}cY+IOS|95%b29q0A8Pu6fNh9h`wpaN>!y_;OjgBXzad-PVD z9U;_d-gcJvX@+c@5NbS|dp#&%z_x{KUF`5K`KQ08RIR1OH9MPEilAz1`Cu?<>(W6? zm7`MeblbwZZW*RgQT_q~&SVgtcaoYZ-T36_oSBZ65yUcYPSZy(lHE=X3=DjS7%e-} zz0;qc?KuFqu9S+^(WJBNSN?l)wxM)gqx5^{y8CG2Y~Nq?3&0Yf<IBtQe1Cd&3pBmH z6mLI^5+QkeTWl~bXDt;rdgnZayf0|A)NA6s=TASkX7zfGkp-{@-<-O9E}|>dFv=Ys z|Nb8B5|XslTk%aw(UjOhmB|*R!@F-j+~ew@9rVHS2r(B#Z?TvL8`xyt9Gu^Sl~`8p z7Z#k#Nq*pP$sy%7jX|Y^Ht1{KGpk`~tkLi{FN?rLL`@is&`(sSo`UeJ&Bg8rOr(!R zRFPsR88Pmf3YG-;bP$`VEZ#>sHIe=t^v%DgWvc4-^R?d=_%M=^XQvrxfGt%j3{`P# zW<R|-S*WHZ_S0;aMlv_dB&KPFVb8^wLbuHqjIencRU3mK5TWN(v4zet*KSr=&Gz1p zVI!%|&c2sTSrfryVW%GER6aZ`VSM=_6+_FQ!H^W54s9R!j3lfuLWX3_N<CkS)vQhT z>K~zhl22FmP%?%QO6vXas%|gtiCTTD_`$MmZ&K^2L4cy8z|Td^6WDB{kjXi3{;D^n z2wS`*7tmp%m&xdiZFZF3fAkKS8j3Z6h8SWj8;`~GvfSsr<_3j%QV!wmtMq6gxQEK% zD3aT1btgMerE`!M4!PCvg?~QBeVgnXoUhq@_%=h?oX`^ed^{}NtOgq0T5@WI&P850 z?ACM2@6&GIe}f6?$g!S}pwUpOyD<&!M$CiY74kbe2`ht=AW=P`d@M^54qu4J44=(G z`||M!Ki69P%irgkRYCA#xCV!-GlNb7{y2+s677pp3PSmQ)4U0hQS`608a<ZBNM3mn z%-%%Ig*lpG{rqh++OjXfaW%#3FiSu4qeJc+)gQIC3u()ob)@H1i{B>0X=fs}mbG1| z1!Z(x0nol>>zUTR@{MKUgd0xpIvN9itwUK1sUz#DFRb5*l7C%leH+HuQ3+@{%j#9m zD0}*Z9Am{qer;re5gkA+YdQj%n;OnX?I2@8)t6&<KDE75BF>w$$i@u*x;Xss-NDfl zqAxeb!J6<*n6xE7H~CKva=oG}s4F?H`QFS<bbPU;Wj!=8R@8{Ney~RvNs<;I4_O(w zZrN}-kS6YGgZVy+<q+`E4hBG7Em|O9f2uMKCWP4d0rz8hMk5B*zU=?e^^W10HqF{- zY}>YN+nm_8%{$HnlVoDswr$&)*tRh{&-1Re_ObT&?LUp`?z5`vxc*$#1?+gy8yWXi zaVC2>qaY`{pXGg?wPof;h2guxuV3S<(n9vOMj+01QIWu2wPnL-V|kuRoemLtV28s< z6pr&@r8tR@8P;^a31T1*--}nJ4ef1}SE*?rj{1smRLQ~*gm1ga-H|hKMFD6O;Iv%Z z4Apd8Gn*HNJ-@U<qyIbtQ*xb<*c}6c=KU%#y7Nt5$;VL_U@Q5mkZhArUE8;@6R$md zD9gZAd1O2~`I?YyQ%^nH-~XZdQl5gl0REI`l-eq>4<a<9+x;fcg)HhwUX@O@yV&6- zpy5(*>756?piy5HFTMPBzP(f8U8Ul`854F_YUjM^fNJ?<xcBJ9NBdDZf0}jS;rM3_ z*N^M~fkQU-A>+dRqPF9~+sdEJf%?gWyqU$TWU}m*wE83u`&o6L(y?Wx1B1%a@4DLb zAxHyAm5Rc&y1TWF*FfWyzce8K#I6=8Ox62%yJ9#N0Ly4N)Fbl&2(iDjXHL}F8)-x7 z0N+i1DGPdWQ2n0OW1i^i*O`})`*%*n0uSj&<mBwI==)bFLdbskli9;K(B20>-&W#! z9r|n-p!<JO*j9eckyBQMbicrU?so<p8ay(<BuNZ^dnhru^0W8+iKOBpzLw*PV&Dt@ z>ZwUXrg>G#9S{Zh5q{__c0xU6Dp`oVKG<{XweU!=kNCsV>bpJ+DTl@gd=-@&0kfw{ zRss8-DN`Bb*#v)q@Ra|!hx2?xpO%zRo6hojt1Eb6RQ!u0FtXvru9#dxh+FZOFw#r? zG+4lQcA*C3bz;Gx4<jsNBb)CNh8wme_1GZ0d*9m!I{Pnxp@|RD-;HM~3+*~IMBzik zjR&6bPYG1P=b0;xerFicFlPCJ6)^Cf09{{=LJ2q;_cc?uQkDWN6w{Cq^!GvfT&dcy zTknC%E;stX%PJdSa1NF~Agkqi4qE%5R#&wlLHniTU@vuXAwe@$H^A!DKh;Ci{Xl8P zDRIu>K6n5wr5#eXU~Ue~`X}o8NIgTYyQc3tD`lu{&u409ro~&-3yr~N)XAN334b}* z&&+RB=0D#y%+C%^VXOdDIz+H&;q{q;1{my>U*$g_3El)~m$(rujgY=Z)XEpOQGJS* z*O^vWO4(Ksb&uhEIqm3qTIbS(?^6k$SoS`#RnGx_PhcKLYM*U~rW{EQ?etxREU!WB zZM=pIXQ%3-UAO%fE=e7K<l9+(teagM5zdPfTNm+L!5Sj079*`D_O(dq@;~KY{l<bk z4%q5JH$;BO<)G5tgq?<2NN1a0U&NR+C`ESBv#14=oDX|md5B^w7~ZKT&puqu9&FFy z=L-OI?K}>>bg*@{Y{FPkt9j)~z5MXC6mms*%J@USKwEzu<26c;qIsML^y{*H^~_3& zlRE-WCJ7`u&i%ng`xNn4C5}2}AddRmit7|j2gG&ryxP-sDvY{_lBF{p02y!}HCu&Q zQYK+mCw63!38N+wTq@%hq*i7vnDeD4ci00MnDJ2iCDQ{6<xrx@IGp!78Hsj32s`ke zby19n{;Bip{33s@kx>42`-avqPt(4{ZOzg@=`rpGTd=mioCU8&4OR!|M_Z^D3^A3Q z+F()#?(CLA$Ggq4?E|ZFoWxh3A6Ho?f|62i?RGr@Bb|qGo@OmwEiH!6kVFpR8wv}c zQHC_h12v?jzVAC1hb6LF4#?}-^WhUxsnFKeggg4MezFCIPxL~s^TZ>sk{)*UE}J^- zU$@r~H07b%O{-uHa7N(6qTKh2)9v?)q0f6$QUMPJJ@`w1SP~@9j;cE{dp~TmDO%-s z9Er35)P%pOKe-*U1`;A~R7fwJ_R!%0wx+Im2t5dWBm>J-3yNbnwy$iR7!z_`m(<ot zxIZun@afqLYhf1eaiZ<tv;ec+VHz5T!A?mnVNnGaE)_moxI#%Z1sF231Wn`U&%mYD zksZB=l8<+8L;>yVov?1P*Znd`y}MJ`tDcMPN1QyEyRKM#KkxE`M7k=K5YZO^m-((W zl7v7y`T<n{<$aD;jK;ee_UE>_fI4ek+Lie#+o)Xawq(OY?^Vsm(z-KUlO=i+WgnQ1 zc)IcH>Y$w1f-3%_@A}P~+FV_^nntj?z<ov*@T*vooHvdE3F187{5*`CReaQr0nrf4 ztiL5|4s}LS?$3wamjqMFlUMTr{R`hUEiuQva{Mj_2GBOpCEqhAs5o*zbdfS*d~1Ci z7s5q{yki;E$#scp@}6=Zw(WUtaGq{Zz67`TWO=tj<geOuA~+k(=wcuZUl~>wdl26n zF9W)gbI2edI>JCZezGC!r09u0-}!eXrx4qkcTIb_Lm*D+HoN+`IF=0qcGXN~c_1lq z^bO2~LM*Heok}*BAsFQ}XLL~OQVKeoTrHn=C&*2SXgaJE8bVdmf-pCWVJzKPJy$w- zZJT>h<A)R^IpgHf5cN^ofrV?lmq581{#vtFy{hqu3$=M>7A*n){8ajO#sQsCO!gXt zN%(X(Ng561oNCz49(mRR&`AY=16}J5B<!?J5U!*K*ZWH@mJTz=kO~4u8Fchs;_F#N zF2ueT9t-;9+oX3~6ZemXSq^cFc}T>xwysV{I;KIR@2X_`O;bO<z_PnSn$xGpR`tyR z+c|%xIItJ7sc<DXfzBJ*^7HwZ4P6YeEPa*8+=;GC*=pL=XhCHGv-FUKvCf|&O)y+E z9I8~E*dn9MqonUJpkzLfydDA?6QCQN9tfjew9Px;+3rhtcM`pZ+9uq_()TTX2}Ag? z7N~EPP>=<c7EPI@guEV@hQ4)3XcWq^T*xt*t^;fguykEjK<?D#sFh&|F@4?Od<nI@ z5||?I?kNOv;C|Kv@Uds1OT0?(kPggG!vbh1(Pjgf<zPhdD8NY&5<rl{r2~Hs6bcO* zsSxckQ6rh99)JiCaxj+@!7<s&?cHTjeRW?#-}RewynrwtmA3x{>A>p5tWvO6Spbs@ z!O@eFdXxkW4vk}qU$zq2q5&@dgehR|Hal3Jf$*BH@U3YEFtw;(?^X9Ib@p6x<=jF9 zwLBE<Fbo;B7>-nsGSm)(FSqrYKsY)QT$nrv#d9fOlE*EGLm#--K_lMj8R*BdoX5o= ze(i8V@%p1~NkTMz?8r+t5RK!T=gE`(mL8A$Wo%^BHK1WoP{R~cWln%3sa+<F<niM& zHB1Ohga2|4V9nvAuKokGdeH0q;wZjzRB-#_j|Ow`92%in6RX8v@E8Mk|72c3((kM} zN2u69=iE!y(bu%*VOHpsl{7en-fRdpfpL;9i%;j*7+bunKlDlAzq(<F{_3;~;zGAQ z4<yBPi0DGM84M=H@k)OWMh24{zaLrR7cJj%v6N2%1twxehzZC^0{Rj^-g>c>$4E6X zor<7L5+G-#WC#RFsl8sJ3lHX4<KrP`q>N+n@q|elH8QH)VkEQjDNM)Gvoc&-@#e7} z7#P5u;5|O3?7wS=ECuU?ib-=v6l`muW=FOv+c&DoPLTEcvz{5B;w^FKP5a$edb1`t zre#b6=m}x4nHp<$$KhL@i^H8tgye8v8B|MF&U5-EjKM02QVrMf?2d<Uib`twFuCjQ zphJ4s-G>efv->d7Q#vT2Dk*8IL0gXQvy;#WDQN_bXauBx`1Y&8DNZ?%rqlkqrIvL% zmnD25&2^|3MikiDS`L!Z7oEh=K;f>+pD|tqgiQ8FcO#ZgU-Qsi+Ks$Gw~rQ0p!TzA zsPr>mNy~5z^GidIv{F*<Vm*`9#oh)D-RqLg;fDueKBx*9A6nplc?-}TV&(~OI#@RW z;zTtb*U3XX&1ptvC~v1=|Ja{ra}s5cTnqOuX`K)CwSpn5ELXh;ISo3_UipQoD(e;k zCIk%N<Xz574$Uv3cz-#{t8=V*8#ds9pQE9K=;R)b1hqcLJ?@%d$BP3obv7{s4bqdi zvz!|B@{2xK&nvgFl2v!v0Vf+Q2g0@mg?3p2n3rQYQK7_BNsMQv?%|yl&-Xvrb>s=_ zJBB>n92VU$@2yV1Jh|KL{-Pd{WiqJ)s;mCU2WMb8=Dwufz0O@B><&Zg3I?$)i*Jy; z;66X!Y&kEZRWXnjGTOKI;;m|6OyE*OOqHBX9Or48oGn*)tFLzrtFCk9RW3$UCh3gq zL@}sMMkGecW{##lN516mIhz<oeNSW}MHNijYy$pSkcs40C}dNMK-H3V0*ppfF7BgH z*2f#iphC-j*P$q6jJOi(N4eKUbGMhABvw?vGDXTt45<7ADxEUq4i9>1%o`4@W&6xT z6m?0|A4o(c;iMabW094d<;J+(O=iQ~jvN8uqCSkM#cXi^DK)J@eVCpuFB*ixCcXH2 zY((30kL8g4@e@Q~6KMr~2#|7x<`?gD9;lc^ODAY>yM>AschnN0J9X@C(2Dq@)Rhrk z)@+W}siSm40tr$y-EkI$u$XPIcVV4Av^TWb{W*UVU1Jm&0S{L>5-XoECnF6@%T*Do zV9~O_2;%lL?sYs2DD0s;vxhHTk?XWa&A^~PrQFv>`J?{POU0DG450tO7<Pj!WS}cu z+dUX1tfpitpY04JkvM;Z#E)e%(5&ChrJL*1oX8W;Fv~9mCG@;l3Wk<Zx-#OtqROcT zjP3VBNP-YOZdUU5XBg7zr9qjRbI4L%BvgeYI<mUPx0T24CHneaJPa?KRhP|hNgtg} z{ZbcLvnh6#pTA*H9dP`B%wUw{-Vo5#E<LQxPi|5*jZzy(uGu}M`3YYsLb80yh<yIg zf(M&(uvk;rBHX&YIaz%sPa`FCP<BZb)Wv%zjKCHPj0#<goLTq%qq@?^*%dPRJ~i6j z50(J@MV&R^$$qr1Ffyo@dH<xu(`y0x!eH<s{hg9$y5j5w1EAaUwr-~n@2yu_!A2xC zdlfB}_h1j9WCkt3(w^rp0P>u#P_N#xgljH2@F8Fg4x@RSd4d9$SKVhg+X2qG`gPM5 ze>j9byKCpO*h%sz5N^h~`Q*TfM3s5mvS4B(@<S|vn(K6<3?<mpOxt%*cgxY0GF0P) zbhj#|zHq(P13+BrES##NpzPb;YrlXq>hNml@3W0L*bYOi1M%#&ODd#eQ5nuMOWSWe zo||U?vOaF4z2YV7OJdi;Vvl`lJfH@0{w0D37RpSN^^119yG_YHX&Cc%jpk2pm}TJ{ zn24~h7loX*s9EoE&893=&iiY~FHUcu5w_;ET-0iLU4X&%>LuNE!B=z<vNuAJuQTq6 zkb0P7l>cDv8AfF5>hCVWz|Bz>Td!b79=r;=?Buwz9EbX=7c1*Yt8%TfemcjrGFxUr zz8SNj$Xk=A#@3l4Ay2hP)Sk?$l2}!>YY{;C+;<N9Y{lY3t=IR{*frC1ddv#>=2w?A z+EBN+CV+m*4c`in&D3>D6t=6vr@NKO0j9M_Y>$PKhm}3t?cs@y*LF(m+P>|V4+l15 zL&<H$+rY$y|2=<-GQl($d1Z<!Q^0oxFh$85t%D9XD@ZU%Q1Rya@TCXD;1GPij_x-N z>Kp&2L?JBb;Dn~i?+0KNBFl91ieqlQA}Vmkalo>9brA`=p(*g|t@%aX&i*u<03Dqj zjnGO)+4j8mD>>AQU!L<P*BA4YIpQ$a918`MFHZ0@I&@nmy^-`}c69X%yO9!y-==B8 z^%`gDNd-APO$k4|n_yRt{4lPj@4}L|$)b1_NqKKyeWtzPl0b|`!&DALl&w>VhYnAq zBEUFF{&>G*<P`Nn3xa?gm;A=))sBv0+#9sWI;Wm+)?3+Jl98ozQMvn1Xwk~I)$qP^ zGPz=H!It&?_cgy7ge;pt#_ERYBI{q~tZha^f<s)9#`ej(T_{d|T#PDuQbXF+ZyS!S z;UFSf?c+T@+>JR6+=R6~L+=^YYVik4C_u@tA=_NObhl$VeNXI^4x?w_hHcqK^WMZJ zeK1^7sslH;H3AJX;bLtvmKd!eQS|xYfe#Z_Xw|Yl)j>UKL^4&jh*bkhon>fN7GJ_K zlpx3s387@o^^qy8V8%-K@^g&ZGGGC3o!orYyFI<nE3+kbo=+*IrP^266Q6Zz0&siC zP!lzye48#*%Pu%=h}o~F&SwxCDC<gjmwPtn0hYqKBB?MO`+C=t?T@?t*_M{92!5)f ztW687fJ=H@2*M@*?)KFBlM~*%Wy{n9z%Zm;pN?g~g)nbrvzXmB+_Z3Dy^MCevgxT7 z4$%u3^nzC?<@1<w4JhYoZAqyC257`H<&%r#AiVXUDK|T<k{E&hj<R{|3;}gbo^k)l zHnC}2H<-Q5%ExstO4csNru5c46PvNN@P_+sp%#H}ej78dqiG6VO<)O7!2I^|@$-K8 z(Jh4pG!l83xIB(;HMpoN*A^9?dI8;T@#dzxo;S4b=MFg|>z9Gij&49X0Jz>?dLW1? zb!do~)94nng~D^`!Nro-ac{0QMj?4}PH0I+m=frmm)X&jK;c*DkFr+<50LHB`gMFh zhSu4U<oLodV}or|4ZWPQabx}U0oMJ>hgdZ(sS~8nMO60~ajz6cyJ!v*I%9Lgtf79P z*$#KN)u%l=rQ*Lycbx@F1DJ^z&5w&Kx-b?eP6I`I)|98rm^q)?D{E{!gAku-gOu$X zNDR0sPp$k}0}(p*6~H4VVRYCpMsmB_Be6BrJE6zY3%45vv_k_ji9U9<vJF?L-t8n( zc3cSxKHdFbhOyE+-f}W#%uatmrC>5Qv|o_0`wBnapsp!aOE4Jm3W(!BoNH_a4XUv* zh)tQ0ijkgHZ*f*O!*qd<Le6wRmdD;eI_r>GdOnBdF_94SZ5LMhs@3F=fJ+x1QuMy@ z6T<)|;pEEV*mr=y(P;G!m2v@%3FymIBplx3qo2Tr*%qOgc68AbWFQJBw$6@9Jv2&g zAzFX=BbVj|jE&cH2aq=I(^oIP?C+tqYsE`@4D-j!<$Tn>8Q7!XP}+^KGll58tuwJh z*ug|ZjEit;$G`Lzn%t!0%#xZQ{Hx**#6^<qu}{~AF9Owt&c2I$9|n@Q5tgs6aK}Ih z^AZ5<9}{aS9PJQtXLZqNG9mQgJ4XVO*JN~eL+|tU)L6$_2)G?$%BUfhL<FYkY=2~G z=)W$<e5=Ajroy0;F5!G?^>?Z^{5dIl4usCb<d<qsu{{*TLCd@q@SzC<^Ybc29Wn@H zj~)q>k|j@Ffe*nu<YM$-COTAa+?Bc2AY;n*i~gCTAY%K}R7BP^Ajd<n%jZkt>8Ys0 z=~@}}Fk?6N7?6{)Wx?vi3ZntF{PiGxM7`S+O9J~VJTguExS=Uk?GP^=#cU=pNH<+5 zDShS(kqc?<Q_nwS#3yugWXk(<FnIc^xZ;B^$|mF%1)3DfSB`Mv6C8{yg?Z?=)u-wi z7tvN1wt3(#pkgO$LxrIeWvh*Os5b}81-Xa0_p9Oh8bJE>ezD;pjbDrqf}F@hBB69P zizQrUVhUD-kc^zjW$h-na95FEI>+gZGBP<TjmJVt=`<LfIS9<^yt>bxp-7NJE(*St z1cgi#G{t#W>fp4D)jySVAJ`(@%N}w!C5^dr>x7AUc=e#o^1%A{&o5JAmdC3SDeo>I z#4KKeLqJ4nL?~)aR{HR~AplFa&4+31$Mwn7q*I7_`mEed<`sQvGZDT?i?Cs+xykQ8 zUb=Kdxv5GhOCp?^!;+z^Ts*sQ^U}y=c#5Y^)&UA+__S!*1$fovI9=wwEcQ$dL{}A9 zxtA4lw@pD_v=!BDDV60Ln5(I4J$K;h-Ar{k0f1edmSSU`7`ORKonxJr=_dOuBfZ|6 zDxG8Pmg!&aP6~MURX&qVSIh(L0lPZSsWzMYV&~a6RX+VqSJ3~Vh1hH+eADi#e8!uu zsQ*JtwAoZ`cH4x-z3`mv5a~yt=i|PZ?N1o^--Fm|alpDcM~a8i?p1`sADE{+zTr3o zb7alzM_?uTT>A2|X<&R8AxgYiYDltb%!7vZ5B=iNf-PYT$M)>XVZ}zU=h(3Zs<=Z8 zw8)O~jFImrADt@HrsUXKLlI34b(=uk=B9##*5(hA(zGu0ZJ|Gh@+<4z_U+3roO<|F zrx-MYZ~&hk((}d`-vzZr!ZAUEhMfE;0hLHo{DEIcGD98?d#L=%(tUuT>Ung5ylmVo z(s+U_(%A?CQpJZ<_jY@^p{T<4gohoxjBx})@@}k5jZr_Mcq=_dj@V-Xr7WAR@-{>; z)+rqmp9pK+s5vjSd*>jRH4R3t8cTh9&lnoLdw}}2$@;;e_;LMM<_TWl^p?_G=bslm zwf3W5?_{my<b%g5PRs%|JoTA3cxuj*6Y#UjMK)V!esURIoiDl^X{b4*aVGlqAu%*- z=H=mfn|D-}uSzX^eSentKP98#&kR;)L@;wSV!sXKU>EZm)eetIY80Js9_r1~g-R0) z$bjzt!1d`{!M%MXw-}#{YsUrr>I6D<N68W2B?&8)UQz~!RIKluUAl<=3n<&aL+9~5 z2aP3eUTDPT{W!o-p5cEPn>`_2*iSg&MkO&$CDiVY4o0<OB%)TKD}a@yiE0pki^pIT zN|SaZ?-)^JoGcqHb#y`AF<2Ud=|q%j1=s=`mZ4#I8&tDk93huT%3Papi%=$C=!9md z`!VSpfjpMkMPPy+%cZ2(e{txjUdnEFP5)oJljWbNDk6^#+XqYI?TYquWqoz^Qvk1y zlkO3*c^4<xzObCu!t!J4&z;Ae<Vt<y0$XLWzMZTos~pgC<nFm-DOem`0L|{yV-#L_ z<JQ3u-;|fsOBBNEesT=fpkox$_-=aAIL|XHv<Y$vrq4xD!~U=Vw}>M17};o{7_T-4 z=5o_?&OY+wNG%3tlC*}D3zPz$Y}G)+VlPx(<FeGlZv-p-)#J<e@85cmCGyl1mnhh# zVmk!76iw?R(+K2IW=2kcY&1<;1LrPI%75S1GzDvk{5$u6Tje9lRk*49Ql@AAsG(uf zbdT^_xtYEhV+@A4&R`N^tEq8)sS_H|GNU?r2F%Z;y^QJ@Vwo+Wz`RZ<1-x#Q9KPw4 z?FPu#A?BhoSgM%DFBb%Kxm*gO*}q^HtUrKIhu1pkOw|Bn$~a(nQ0Yj(!w!1Dva<*) z0D^Plj_t}j8i123bo*on#c<EEM&eM<x<+D>K?sRIB<2a}-$;Wxy$>6IajlPv@8;s% zpCqlbgIhT+iHQ=X9_2_(SiL`!YE&i_6<iD21w_TaqrD#13r$hIp9{m3Jlf=Y`M(AL z3?IB6JAL!NLFWa%DAmP|bm^*jAA1Fe6N<CWcwA_XX{x?ITlM*Ba<7W?kP*1H)Hsj; zIx;^ZCrXLT`BsuP<TCapQ4HOd@=tkkOr3J+4q?gdbBAvDeZQc8yh;LY&^K|$--Xe4 zfEqIuk`BQ*W@5jyam)%jtvxmRr$<-=Cdr7fB$E0_sRm{~C=;}{20i;c#5VtGD&DiW zq8sL`GcMv`Y?E#A3mzEM4UZtm<^>8{b{D-s+>O{$t3#sGRCrH{uWi1Xl271@zN#l9 z-C$cN30pDn2{`>tr#sw$h~&_w<ufQhbip(qTp(-&66%UV_f)47kjMubJCBtHP?&>n zMUzhs-kQR@2ga)w=d%IZc8`epub;bv7lR3Upc~~u#-LAewws|{1n#S|I`S^{rjUTj zBkDhjP^}A*A@M=q()}7tr)?nUtVjQ$uqf)WJv31^E@wLr)S4z!108}uh8WNecEO&z z2bAhs23hmR->qd?R09v_18oTufcoRw7<|W0uLijgJr|`nJ0ud+5T*PdtVK0YL_H@U zrkT?~=yDHcAWyG88!#)NACapGN=`s><4eIB%|YXx-Y1cu0V$w-Ou~;05I`<%`lM2z zKqRXc0n+2%@TIokZhC$HFyquX8zX8Y@<P?{GOhu>rKYO^&&bCm0CWan274xB25Vjs zN8Su#N2{n@)QCHp*A5nYGE)&T0o`IpuNDGJJsH;`kAe0+7#F^wF+zafKn7e7<(}zP z2Cy+g;P$T%kaG-02?Kus@Axp6dSimd9Ss?ZzJSK<4M`o!F+$Wy>%>i*d0S{Ev0~x= z?gVG<!YUK^_dvpSCmcY28jKO*78rEch56^<v|$LPJuwye(3dvYNYwAuf7pRR5M2!s zaaaMxcVXFz-2pNE&-wpiNJ}&o)fZNapd2B8YpetW0D94fE8iLdD)eGrMfw6N^ki1y zUWgL5oySPL7&0V{Zy_qiBwZ@`zG6TR2;d)7;d<~i{pilNl${|W0mI(be>4Io;kkzq z^dF6MV*Lv(WC*mU4`-YJL9`atCqae?v=H_COtljWt(Op}8#B1vqYh-g6U!Fr94YBv z$^TnXa6;*r!SK6?LXnuHNvvI1gA{hcWBPC$Bwnz_0B>d$zevnrkQds2P%+4K;tq%8 zFeU_dr@j2mc*lvQ2ff*KK5};l*^^JtQMOLdY8-2J+T#sq@@39uQizAAbU`C?)&+EN zHg_sibL}MumyJRO&x(Qv_A~=mK?$ds^BIFJLW9sE(R*#A>VgoDHTzQf8bpL)hF~0B zOEdv};VzXs6FXXYM4`suo-Eq-l#b#PVyfoc;?JaYHJY9l+(Y|RkhF>_1#Ro@re)1~ z*xhIrtkgYSr*2uCuF2qr;xkLQrtM;^a}ZmY6KYQ;CW2eENEp7DM;d`~*nB~+z+-P* zn=FF1<W@(|XZrDzvA+{fd7KV*3sAQfeoh1M)G^QHaG{PyuqK5n2oKF6`UsNE{cE6* z#y+ql)1FYL5Vs>QdOyyzv#db-KCl9@sRlkMB3VR!awsV$3MWj?P_LU*>2gN0$P;-4 zbUcgRB(}e%VnuFv*Zlm3=uDxS9Cs6C@`r3a3LlL!^XFoX$0LMPboIrf+q`w!xd3#H z{1&P2j*@E<n7OT67jpN-vyFe<BxA9<<NdXhP8d>{o^$-7Sw+b!fWR^K0UZ;&09F0k z786^PdHli!k~u}${m8pYc2CJApg`Jsbj%}=?3}&754Dq#v;V^N{YgAm{Ha-MH})?n z5cIm=<rYxK9gT?%mQVlu7wQUd@qMJ$ikw>jVJq$9Haa%ANM}2+fwG4*)YK1ijK_-} znQvqSc_&ryfAI-NPqg;W-ZyE=zIfsKf7wG4mmM8{^Ee;FCWOo|{Q?^qu>xE9&>b03 znRfBT1OAu_|1YUf@d+gXcNfQi|3jjG1+xA}OV@H=t^50ir^_BY7h~5_#9G_p_09gW zU^BN)U|dJB|96eN933m^#hmF)`mcuB#_(a>^SpWK^pFSrqpkm5OC<zjnL-?0oC-e% zyl8R|sp=tcSlvtKwr5H>PR$gx(;fbE=iZ!8yz&X<uBf$|Y8Z8eR_-^g2Es@w^M-fZ z<Q140%wSYb&`H{vEsg%U7FMybWiUs6aNkMDXU3TN`{iTzhNPyME`h(kKNx#-sPqxG zAi|#C#|{2s#8%pYYRrCDycZaUm^}s<b*#`oy_I$b<)65Kv}S_{C;$_NZiU@S@bOHD zUxO-6&JKYQIuu4h3wVlRnrsx;!qH5cZcyQM+QB1iae(48K6Q=Cre;f5{9!G-6gGbt zQjp*+P$XbBjhqiYrxw_MV9OHpVJT${BG6(Kp`Noxo+?<FUvD-Jpp4yTU*rbp{SmFw z@?07nk~Dw}t&r5o7P%w4B@lB?w;&L^6O-gHuSu|njNL;VFSkhb;I?MpIB^zv`4t(R zP8z^$jl_BFvY2^PCpq<d50~l<uGU+^HKpK)FK+^yT@uJ-kw|I#xRiU!BsQHil-YU@ zw@@!8{k{;#Vi6BGS~)n*ET;f)#1_kM9fNjPS|&1ydD-Wf=+`~!jfpw1JUZxlNWMOF zRmn1!pMDo>^`#`mtuRRG1$^TEkDE77?q=yPuX7xKrv*Ea(b*ZE%c~l0p0CMP<l3Tb zHC!MJ)?Eb2zc<C(*YFZm_m$hNwq^rQf;us&1q%!N^Y>zJSHbSrE))Q3D}X&b93KbR z&K3@y2^5>}#$<%n>`n{3(bCiPY{;KEFvacEcor~@7-le>X?&32Z4R)*Q&Z&g3jb?E zs79(QB%xZ<umB?&Tm@|5U;7AweGa%mt7j`9?o43XXbw<=T1@&=sRliKRfnircMZ&p zBBdC~6fmVxm_H2M_OSu<af-Ef;6G7sy;r|TJZ_cuD+{JUYKU)Ls+v)$AG4%>J4{eK zml|Ro=AqGp4o83`>{UKQXOdJJ7NHq|n-oFOu3l8~-@v&qW0~HPt~X#P%JnJmF@wY2 z4r(@^=CIFhKSq<5pjdGfMb_IU(u~)umQ&5B^9AeJC^Uvs4mJP~6@OY_#~^7Ut)*11 z5CTsyu~oZ!Fy|zDpFZDUAqteVw$EV9;DY|L*T#4-6Azxw$*C92E}2=TO*>R*dUIyS z6?DK@J9B#rw>lhpanjoo4T)@7+qul^IR2O`;!@}HYYOBHF-P<S8Zi2RxAx(4xbwz( zM8w3zEaHFw-a`izmryz|OA3BU5_M)DK+HIefbxElZHWet6i2u1jZT?B#PV;>aGcvZ zJ2*DoMc2T;>7$boC=GDtB}#xsx7_(RV-R5TJ+u4AJ0wJujA6Z;67DfCj6E+IBdn0$ z(Fu2gTN-&nDs%xua`<H1=03pYp(ohFnXAW3)fPEfK-U5+JlCJF7psUBc|S$zgqv=l zNfytoZ(cSz>loC}ZEtUg!hZh&Z`^7*<pT&Ywc`<BIx>@Q$gR9mpc7K&kz`C4N4dE- zei^>usBw%fJ1<q|q)ZIKgjosbS79*T*lt7?68g|Ucz?2N$lV<x_YmhEg$J3<++*9O zt!?`GkK_V;XEJToW7pn1Jl`*^I;UF#EN9F*O^?jFAqVZ~<6ajFiAK&3QBB#3%BHZ~ zFttopdl)u#%uIHN#9uAfP1(l`I}a-&6RsD=uJ=ZabC^i>{g6CgSMz+-2LsQC1|V`m z$+{xpcJcA7a#0CRCOBhX4&scAkhyUFG|JEyJ3Rwb$EJU~icq|;&#s;%#`9$HifjDp z^Ri;qn7QZm?8GG~rGVRdQPb@R@`K;P##5wF%_XY(8X7^uJm1I49@Uj6w(BGiL|G*L zu<Cr<bYb5sH~Q5d1B3d+tTRbS&G^Hn>gM4^PC!`J@>Zs+M_!lIcutxOk3>T2)tu}^ zfu#_j5RSJ%{Bp8w>+itNp-m7713C)%tgG}2PX@NMi!H08N<PaB>DmvRg(7@c6iSMS z){qUh#HTcggd*0I4W?X0Dg5JH8fnS9zMpl;`~O4xXIe=o{lE78*OqXf)|*T*Gaf+0 zZ^EuKx`hEywCVqQpx~5nfXLlQsSEKOUl60|hU#%)vaQd4CK*|*JW6}RrP{re>`kj2 zF1`koi>og2<eXC|ljI0`Ki<EDSyt))zZK|z&j9|9LpHA2$>&34Is)bu<otGE(rG0n z7QDr@f;miV+JZ5Fp8@v1IbDKUl~d9h#5AbfxgBz36Z-0VkKWJcad)Ybl+-<TEK+*N zjRFH|O^@b$J%pkPTYtLq8*#HcXU;0fg-h(|PQs|r*57##+Awheq{KM;-zmaQKqEof z^uD;qD7GzRlTeF8K4u)JY!I+VSIn~cB4TaMKUOL?3H>gQQ_|n6ggXFBIBYzxV;{F! zx1M3^@NZPr;%S2Tc%y=ZY`i`CaN0eajqOu4IS4lE!909i4u}Hy&4_bG+JB*~+<wOr zHZyJvD2BiNP2ybwI5d~6-J5?q(bN#ny~N?0T{hshzPYpVES`nZKK&c4C@=lED(LZb zl)H%^gR@D|Y9-zl4w;WR%S69*cPBL7kqc4_5#StJemsOA62unVck;~hOV|hO$WlmE zu%%w%df8Q%Lb(sBe81}3LNiJHIG0kUKDI<h{9d0%`3TrRuXwkWRlIOljIGdRkG<b+ zu+VhjYMwzl5<GB`o<Xx>1ajBLWCE(qD4^vJ#HFd3u)T*tfw-KYeUZHx!`IqVT$b}f zufSue#$X}DvtZC%SjrGwrfbWow%9(x4v|ot1!EU1tjab7MV*r2TwWF!a5Z}3whI$` z<5Rq3r2~A>`{~z>Xc0YgOpAE#aiu(_@lIE)@W(VDO<y;(+^;AuZN>8TtPz8O@-1(C zCRY(+Hoh(;Di1sOhg6PP*Xc)zF<wwwYfXf4>A5$<+NjRvAn<=>cTIjJ$p&NxSnRzB zZg?c$-<p3k2DrAHE?!OL$oXWWEvZ9Bc6u+AO9Q~y<$q@JZPo-X;Pm_?E?vC8*)<w2 zj*tG1FVqDh>>H`(UmHmLePhNyNr17Z0DP0~t%+`;wHees>M*eu#3=8L-7oOW*zi8( z@$zDWAj%;1%M$byA-tnHAT%eaM#zcV)aDXAoaL$INJ+RTLY3u}%J>5qVX_C!X`{q2 zauKjYR|r)pfGnzV>N1Dt$uyJX10gKUq4Nn$d`zf=k84Aq8Pn(l2U|ak3WeLuC9Pvt zb_5lvC9a(<+J;_|i7~2i0OBu4nK1;T0Iaz&7?ye?d3nE&i5v+!bjsDT+w7@?qw)x% z!nq3D2Dv@8V+=ADWA5uG&E%Kts-<A!9}OTB_c=)p*q{O?^+p!)<Q&eR*A^L%k<_#< zZ(F8f-Jjgat(JjEGDamnmcJlIyPU*uYh5v&DNk~&YgUkp=PxX5sr&h(i8aF$gIceg zEJ{k+!34RCW|L%kG2r@+xa|0Xv+zpxW5d;;eBJ3;^qs!!uT1X-me8q8W56v{=K{b6 zj`DSxzkeRvSz#-qF-c%<<oTJln$6)Er-v_6R;Q43-Ic{d>%d6RU)inIvmXXyU?PXl zDzunhgQQ0R-Mr@LR@PF$0iO?(#`$AQp%(p=yzZe?aoBMxehZwh|I_5W=wUUo5#GL( z*J5YFyP>^+bBbe5*0AQ!It=6}K@y-NPPIkNViq0edK@!8Xv?%9A-z)HnNPF<6z!>4 z?Q~0Q?PEk{5qC|D!$(8?_IXupjX<^`BJM$g0=eAX3>m<ypOlTpmLR3<V2WC1qakst z*s1m!A=wZa|0rRuJnn9m(r-%5-(h9`JAZ4GMn$7t`C`Dn6DG@@4V4Po!4v>mWV<2( z<*CYsD+TOe3Q|V931U>^sCI=uUzu;(^;;{k-nC<Of|Of69As+{tn_=Ci}la`0rE*p zWcRB3o(LslQXyJ}?aJ=0mCRCg^d13uKLz?s{N*J(hqQo9^ZAWk{d<B~YW@e++7^#V z;6s9G2g)`WFSnF#kmxEA8UW-d<LK!K8<z^$p@Gdkeu=Ygqprs&u>=fCT{&p0pF(D# z+8vg2?kP2?gq;fF_v>#?=AVm+_DJVHz<J58(0&3EzOK!3q&6v#{!&1!j#2D7Bzi=! z0^K?o0sTm71#6z2ja33Ghz_T?fR>rf&@{zd#K6Oy&PI~Uo^NNYCI@sX{|zl4#IF1N zGbne+;T&j~h=0J>(m|IkSu|hK!6MT)r++y)ryjp$oFAQ3?wY|xOP<`YCSbfiHdr{b zk!r{YS=#+YvK-VJTT%U8gJT$%XT2k0atc|+v9UrQJ7OA+{Dl4}bUv|_C0hJr6ckS1 zxh;xGJ~5Y*d6WS`Z5uEZY3i|mFHFD1dHPr333|JtH)&++KbMIpu=|e176?R~GxZaC zy*<d;BWY>S(T<vFr!Zdrp)j<bzpPXwT?ib?Hh&oYQ@3>|hl;Z&&aeejO448T-UhmP z6dG;P<Uv1cw>ftN+;^ef?Z+ad&(VHOtOe(QJ7zhjE?YW){$7=6<1&Y^moSmwJ?z5` z0v2Du$|@SC<??<G-FHv(Z@7EUE#uHiVh%zLuIMaK&M)`&96|i<>0GAmbH#+A1;!)Z zWrH2{vxS9!;yQITHhirk^!YJj2+TK!zx^bprtNpgf$sUs?{^s=`Xmk5!^A>PbSZo+ z5`QXayYK;Wiv>@9c~9w&2=yvV)0zWEzbLVFyp;qlPI8JKw(pdYw|FU_KLq3tzrOYc zcgC_;JNdBMzLuue;etZ^EcjUfNx{}88mtZ)4R27>Tz|gh_4V8F>wdHoX`$vjjxZh3 z89X3Wj!dXwu5%ps*zXs*E=td1n1rb94{<_>Dp@K3m2A+T|AZ6Hmo^C7tWpv~kcmAX z;qZWv!Vs!NIpoi5Y|0ieH2(zCoC-nWX%^Jw>@KyVI&W-GDX7rBJbfRHJ_-K3@8f@i z?xu|<+EE?6==9jMJO(uva2!(1%0=t`LaOs^VCKNpgI+jnpy0@D>nfFMYMogxFoGc5 z2Uu7bNUA@R>S<&^Bf=7nw?Nzs%kky}gUb$tU$6Y?cC#F>!T;9&&3>@Lb^WHT>yGSm z;HnF8mD8hJseh0LIcOPtoe}1(=Quhz7(-(S`A)G?B2sS40LuDt9>I$NT}U0%l;}?X zR298tn;AxierGMxa0PzjPsaKrqt4VbfPv^GqUW*^^jTh}F>K^YWB<#{FNM{Qz#R&z zUH1t%xY|{tk7n!_6*q`2`;0(FH4CCv?m%HA%;hY3+CN`6aEU476}bbfH${7JotrG6 z=wk-Lf19y?`pSbt>zL=ZLmL41*g=q(VI(<X;PBlp>EX2%-KVbB1Y!Dz^t_-|0gxpf zPCb8iK~HrCLJ>u-gIbjn@t8LCYZwcgLW)!eZ0#Mh3O`_En5>gnvp)>_W>i#ad`BxD zrMgA#ISg<hI^N`UZ->#BTz!tXIn?PcB}MwzJ8%!(j5K}EER)Ll0?vEB!>}Yjz1+*K zS%j9^9a%s|!W@4?1Am0-S%60a3~=s-E8dIoT(w0=;`D){xc7B`t_H1vD=U!%ZD@t% z?Lhi~3xQ&XS(bc_GyR+|GrpIaW|~zsR!|_}XeR-VOHC-v-`i%PtYc?J+8Fqff&GY; zhV8YR8TSe_)FlQh3~d$>Ib7wqaE3y*>Z6t_#mlm95H$`UPghz(XwkU@AUSu7#88s) ziF!eJB~>^wT1Ev36&BP4aVv-;byq=J)ghp{(PKD4ordQU|M^?)!i?t@@I?Ynu-H@e zSB=t{>ngyeZ0QZq@jW{><Z_=~2`<?0af1k_xQQu%)KgJu2!G8{E6v>+#eW><7K?bh zNf)*o2TIUn)}e*@tF;CIiKCWv#5e;ED~_w&P93r5c0YYPBEF!g)cD}yajh4yRCdS} zprlBt%4BCgny9z0Ni*}#t$h9h1Bb6{z^PR>aik_Rf5tYTqVDv?`<n)Rwwr=CMabxf z4SeF_^T$?1m-$?o)>`^)x|hT0?K-a|$t?0m3wy=Ia#;n~5;-uSqem^#_=|QzC43{< zeXOVOL3w+3b(!b$xqI@=CxTaFitNjCv;7LbTZCaiMyq!Q;@6egxll+!)$Wa)7&nr! z{BG3<E79DdUzj<?mx0;H(BD36N6<jcuf~DhKJ>-Sr5?I3gU|Yxo{yB>Cdu2GJUz1e z6RAJ3NV56-lVZ7mzo$yw1LR*+<4lP5rgi3@s&10~(>=Xi&~5`D?fL3SMEXnekwzuA zZHJ%r%9g)+nB*g|6>2Tr$XS0HJWcIvgD-@B%-aTe6<UX3%h0Mb!7Q?e&XqH9=N7mb zrIxFgja2TT$$tPW(qpfC^RHyyQd5LD&*kw9ikaHk4_l%|o9td?xHfPg;5tI)BIpB; zo~9jm=<CiN{|*>@UheZ!9SVHF&>p@C{(S-fE6IX_(E~vMK>?K~Rs7(FHg;HL0s?YR za9{8S@mOxSTOfi5+?WFk0RC(ZbLoeSZ!dn>9_tLgONSD65N2Rv(O=`&h|HY4<_}E7 zdsWxGklwixIH>J)?O^LmJmidY80f+h`eOR}6D{y(|4Q-Xb!6$TyWt1vrqo^sL}ndk zeY<zo)BB<_dE7<6)FfiKoHK9f4ajnN)_^lI?U2iH3c>^eO0^LL_lh9pc2in{XBcJQ zKVVIJ4LrG8UBgH_XS;jeL2$EWJ6E~=wY;07vPO$scn9GXn3AVSNcMyXrW{Y{oF3O0 zn*8*6j%G4bs2_MegS_z+vlh^`heP>02Htm%F5&&e(`1Vhj;$rLeqFz+ILUEs2zT#& zrG&m3Uz(Kxq7Z#=+Zj<2Pbxmtw>jqrWKTU~{rFPB)Tt6|3IrS(Z?xWRUxsLTyF=Bs zlIEeedeV6Eq^dbOqpmQM0m(Q1Ecd!fuz=$|+=K{!vsGHz{XGzey@KF;5}MoVW14mx z@kkMN-x)$e$sHGS{|<}&4baZ^s%o5~1OkI;hpkw^?cVAlb1U9sGs90WRX!CJm%M{6 z5r5s7$U~b!*eK7L`rT;3HP2Z3_4G;nA_wkrcD>aC;2zvZ?~Zxt=N5x;&s(%7mBa%b z``9&HFb6I#_Peb-l_Lf$<vWA%g=CamU)o3g`5+B{-<lvW;{DLOH)Gd#W<rU8SM~PK zZVi9H*W-X~jDQu>D>B^0<PQ_uitfuBlx-FbL06nl!e8$<5x{>S<+>v(xb=GoL-qTg z=|+`z5>CR`!GG-i;w@#3f5qW-yN7?8n8!8G0V)w(L-o{srKj=5jc2aryi?=wKlLKN zxL4ExEbVll<0C)pcz0*pm!71&UfO-<jE+766oA$QNDzv<pebb0Z2O2&s<~wLVS;^N z5aJrb?0IBvZQ}c7!0%yvtbi0HG@!>zp5T(5QHZ~i3wac8UPw;5u3bDmgqvF%w-3yZ z<uxZD&8!&c&>x?(?=Ojk%DFz4D#-`jRf*9(+>!%Qa#XyK0qhc2<obgzk%K}nouqpJ z$xzH*lTT-MdDU(|r9*kn!t_u=-tt@UX*@*MRtzb&w-?<rbsx=&@jB1Y2l_Xi7@a}` zp0X}GBX6vZ#7_uHpr8n7eeNoqO^7qorS=l_%e~G8yGMg2MaRqXjr#T}m#7GBea@<= zZ@<tL#<F5kmZ(rnPNQ;*JXdx29867sgZ8hlii9(lD#uH2BfVeRJxAwv`g!c*B%Cm` zHhqZgnx5ypRCbPawdq@r=z=sCS}E*>G~Omz`W`-hPYHq3t`TyeBZvKgp?N#^qf>V9 zRpY)&(8!-vk0I2f#@kMN9cv>e&v73Y%_k{kf*(Hz88==Cp6YVg&3z13^I2*DaRhcd zJN%xN!f2?acx4sd6C8xw-Uc3kfM|tk<68`YCh_B-Q*-?JcGO&i&)x6RLu4Y%T7b?F z%ZHNJd2SH)HqEd1o+Z?$er;(we%NjXOv+(z<O#D~%3+zR5yVU^HaC3?IY<rF#S_Tq zksjhE)Naft7v5cmO)P>67hf0vU(ejyuLm|3M_@4~=||uBwpae&F-Ovx`_IsfN?6=| zH(M<!<GUHwohf&l9;e!|uOE94?$Jlq<GK4$H6H^gS+<o70v(bBj0i*$>1IPKO|~#+ z=6B5MR$T<Qtkh|PWS<5#>Eo<mmi0&GdchYQi_d;bv4<A7p#DV#s6WsF<Xm&xwCBcM zaMkDH^9)CSVJn}45nW@oN>PtqWbB~$&5Q2lVRt$#{cHIf|HhQTbVCJpa0NT^JriLQ z#e+2x738l)`^K#=ZAS?XV_mio_C$n6z~|D$PY?x!b(6QmY06L<Ga(<%$H}w&KuKJn z#j>%ST2tq@_FPL?oQ|gjDBvFkB*E!hBm2_Vp`0)daVu4T!^m33k$|+=)9b47sxqM8 znjz^HxeLgf=PdH0@J(NN)ImC<S$>-YV@p&gv=m})=u@m+DhsPFtp}O59L&ov<+1Lf zomu=M*$+6|gpDu<>axn%dY*n5@prTodrYKi>-WZ?M7*V!G$o_~E^_z9UAbOMcjRpX z1dR!F6AmDPlLsgN=ugjw>SSxfoErx{GK3U_-esKqR_A=1jGeB9WEWi)3E5nKmoC9w z)GG<Dgwe!awPk4E%`ZF$GPQ>yoyi-g1yhebuhXi%t)%8Izf$Kwlce+wa5{2h^;<<) zK<K4X#V7;hTYhK8XydcVx(-`lf2=k5#Od%@<~i5AR46DTc-r$|vVG=#L6YXr#U>oQ zcFSO&=9)v|uk4;}>wHn0=+aP}w>BZR(k?^~L9l55VRN9(S+OA}IC2nj^~<nHgS4lL zKall26+T<5-NfH=cShp6bNj&tU$s52{<+|ks3BQGNRvMZ5Q8wQ&Bw;uXI!;^W;<ie zX3%mb56gOZN~lywpilH$nD0QtV#(O}U3}1cb-rG5dFaEQuTkN*4GjK>mm2>cgg7k* zd(9Ry-)Ji<iSlrn$2K=s{c2cZ&@#$+%l%oVy5jcd=4=8W8)Dc|2-QHMr%iji1MVd+ zJ`QNs&*G9)0L||J+pZg_Z@-RRT%Us$Kg$h|;0(r5&o=dlR3_Fdfgj7JOfXY)7pxz` z26)2`hz{4Yn+hoy7B$q<cx|HRM-#?7d(eGQiQ5~pC$Uhz68eTvWbNPxp~>fzf<nhk zZ-dZ7->+GlW9!dU)XtHbFXJptY>DmITxwuYf9pt`06~ZEpg1Ff&{NI9uXOd~CW8u2 zFMIBY(jKXay&^|z;TATRsUWHz(G<BoYnz3jB+s7Hb+s6rplqD2dyUIYPq8TS6AR{I zZqq4ryAh$%1CmwlJguC9f526%`0%c-w2nWW^Tp}rk@QIc8AK<>EOQBY;Re+V3@FM= zSwS(<fYq-RTpKEMhYwsb{6dP_Oy+uZL9)(#bUy?K!4=}cQbV2+v5}v1YBN1d%Xicm z9K4TglOM*@3jBx}MnSEpA8I`>YyIIB@L95BGbN`pD{)g0@aKNDwa_&qZ^!jDVv1O- zQ%?9+r>~jWenplD4#jKij|`F_v;01fn@Xaf0A>l!HCfi8j)|tRDCR7KxzZ(>$Tj%& z#~68St9*1uTRqMnayY6N?=390;3ReC#i}$8wnptvw+1}3m1JR*a5)XRP?_}-$7x2u zLA>Xzz4a3UQ!7aUp4AaBgSg^KRM%eB>`_hbb{ZPXa^?$5kgFljpoh#dnwfK&S?B(p zfGy^<dd7w|B8tn5NiTT0mGlJPoQ^<D?tMke;v4hh>Iq5yil}^xtgj%>Hnie}flS}8 z!XNz5m;;jnDed>B0z2Ea&!+ZYycYs#=^ssh+0wonQ__S_gLg%|22$ELK+-2=;Z7@J zvwkW_q^Myl<nByxx0|4igQDQ)T*V9Em$nOM&TNJx$C$T-mAUW`4Q(5*gG!SB(4&+J zr%wuAz46x&c&I#9=M&P}P`OcZ8vT$w^<|iS!^(w0F|3J+oW<$z6*tG&bFFau69GoE zM*bXv4C<z;4sp}Qfk`%*?16N<fw^e6T(i@4Sn&O9S_gxMqgRG$Uaq4LsWmxZ6)nng z-?d=XU2@r6Gvn9qzp&X3##Z+v-Ct}h=;vrwFHJ-#<t-6_c_KA#Qv(F<I8lVdxDw}4 zR_=o~ygL!NvWqd|yAzFrxth@egWr-pB+&xx%V5GN@2r>nq$GQg#3CgqB(607-EHsu zATF{Lm!cL4NhA@IqE0BiK!u>m#3OWBPGG;}1n)tlJXT6TxZnW!3zT4g$pcKB5O~1@ zR^sT4kdSr7DU{PU#+}GQLL!6wFX;btckl}6Dv|2{0sY1X5tE@7IhafNrv0Z4nFApF z4>b5UD3>yZpC@gsO7_nX6&w<m@~}~=B4U;j>z~moINxYV$}&2?m1RUt6X%hzi=g`c z7S3U+e3K*%q^Rx03H+#wZd|!65`#RbNSwcK_pcxc_E&^-u#(gqveZRT-#cZf`S7O; z5|NRWBa!k{p^;T0k%)_+ka?2+?Y?ITtyggnSbqQPN^rVl2Sk1yx@}muEVIPiI3uRW z$3T)f{q&D&x@}<cH+%B>Fi0~90cYut?c@71r%JX4<A(MZ49?)7iGg-mP}>jB*Iw^& zap1mdbCCC0yBH^_?4k&ISLZcy>7-eoGY^7rCTB$OGYE&?$hNP=hta(PGU5WSdv=M| zU$xk!pBQvz;XCsjB!!bi+~^qQ1qYzb{^@NBLU5^N`UZ7ZnnH%TUur!;mFwVq>^IkF z64zvsXFBce|5Mg=M#I5%YoZg;MeiZHK@cI@7+v%hy<`x*moTr0=yk$`F?!GF22rC% z7=%%xm*_Qm=gRwiKki-k{CM_$_Bm&*^Y1z9?6vn1P|BODXsG7#oBBB=JFc`|mS|qo z{*2Mn{VibZYUfj^la<xC=Y(!e<nV8XwKH4dY&Fek;NHpcCM3RXDvEYdql2a9Dax{e zK`TSk9<mD1L!25O)fjI#NW-?48jKOKz|G|P9>t~P39ft-)y){L3P-ms?8AeM1D4vn z&-h`p^4q9Uj@BXHhDUfX?>DneC`2Q}4SiV;Zpl`MeTQnZyQuZ}mO!lUNFWt#^I38* zQg{2-`Jy+dk3v>K6oMe{Tgg%iVqROciD{LBTr!9|T68`(+SmGG1sM;V+W}D#=28&{ zunrt9f!(F_Fb9YeG>VzaX<#SZ)~hr0>3ij^a_3oYy_hAq!P-(LyB#m3gRmT1MDQ7F zUqvFdj^>XkHw3)WD7D!~fUjA3h{&EUuDHm>BG<-Ox9$a3Fr%>fekI#39JW%PfT4q| ziE-hfgpv_s1!1I2IrB$%DHA&6v89^69qxXQhxVN)W3dy60}jcBe@}X?#8j$)S;hlP zwOj*2?3;f#ryGtKzdtmgIpNY#1u*2c$AokRPnWVc1Fpdq<4vggppwcYv=@Uruw`z; z{IooDz3G-uV^lmKzs@%ru!IB!6+n1HX4>QjT5Kz4n*Mp1UnE=Xnr{J`?(VGyq-j6l zEVtIw{w2ZrtGuw8<fG)6SRTYqcArPJvFly_d5}zghAzNHQ0b|t8^@VNch0cfzTHg~ zIEv6PCY3lkiNlndBal_X-s_<tmxiG!|G4CncEyUax)n|IIeF30L9J}#K`5jYStyU% zIo9|6Rf0bisAzF{<X?cbpdm(`O`j$^1>|EZ*C=KszRjz?p|+ES`mqlh#DN_IKf8a| zkO-Uq{^|v_5xN=nhF@3EN^~+(7Ri+^Bs-VcX55#V97pPdhcQ(iRR^kfbkmH)QQ5E` zBY?iGnkGd1_!s%_!%TS6K0_+gY+O<Gi=pX|gV0WMnH03Ka>oI8Fu=3m<7<s@if$u# zVl+&@o2oGS<gLSLfYBEdUCqw8Mqjx(P^W5(4oKCu`>@ul!0gB!^gLrZ7Wh6<>(uU% z%^t@43zZ~%dF&YmAlO@u(4rO7{{TR!cfx<1#;ie;q8d65kToMd5PPg@kR$RS<6{uI zMaqVI=d8R{bOaA=poi{;M-I0K=q`&|rZo(%mIkaUWS^Ma5T*ZQQ2i;5;50n^Xz$o@ z1Bra+sNis`9(`)8E}r%JFBVAMPHIMf>|jrEMRQs28qT;56}9n4(o;SS4!k{CsRiEz znw1AN>xzekibGE52zm#JjziSAuSQeeXvSXPVep|N$oyHp>!^G>%zgv<hAjMtsKqz^ zKU39v)l2rIo<3ZU@Kgu!hdbl054gNnKPOQOVQe?@mE<s?j#CQ&-XvRyW4sY&6NVZ* z%7uZaGqk_-K{J2ZKiYF%e+0@Fa7ioJpd0=Or5SZ&lzD=161}=0KU&o3^n&f}p8K)P z0Z8hTA}0*i3&v?TSJ}XqOPKRpHBC`;d7BX)Enn4sQ86>C+4MYVA~g7T3<CachO-id z^6&%Z;p#>}4)u~>*vfSFIr~Zo&~j4VJsRjy^}RI{xkXuDzwMx_XyzE!yEXK3%-??q z^DA(xTtHpAdye+`3)6Fw=<d^ZX1AA#*@;J%Et)i2>qgS#4Dq%miV^whZQfVNTB3Tr z-)27g1J(JC^(osuGurzpG2}=bEaM(a656FV)NmNGnR_69Q}YAHV0L5GT00novRu}R zuag-`?LPbgi*5}m85Zko_o$<opHyqBwqvU~8$Ks4__M1-n%oL0Vy|f+dj>qdO)qWR z*;R5?K0VF-*f;-jjC^V)@&n<*y%TsG;m+#Jf4D(%&y2c9CW|42^+B!M6RQ`~5`^%` z=UyJQxLKb@y|_ZIqy^*A+-A-ndnFY$L2qrW9hFb@L|AD_tcWMb*#(`H>F5+c)Nuh- zHU4Yl3+~yy%H2=h$L=Mxl^Wfftu&x6BzQn-_C77zqFbU-F`15^3d}dlZK@U}BJ_dK zl;l75w_;RMDwO4%lNh;H<HhP3Lzeay3Oti0IP*4*7G64p_TKv}D>am?m{lmjxir2E z+TlqJJrw_31}|ekoeKz6x%UKMsePr;sB;-epb&p@+VjLz5U^Wa@q;Hju<!pFB-g(| zBrdRD{qJ#WQvT}xJ$8=_+pNaQ7<DcMB9DPw$T4zLb8%p=I%#r`vXcG(qSbo;QhI)( zf3?0w@2}SPso!foRR}x3%@cSkd0*gHg82c5LJEo=D9ITUZwfg(KemEc3dpKo-Ryq# zf3d&CKX?%?W3)UMkx4JmqD-L+)j4N|a-BUU0wd8O4Fo6s4p6o8=LG3jZe+yi1JIaz zU-WE_#RUCtoIU-_EipTGo(n-%Gx?|1wEU}4rDWwLN@HzP%U-`&lZ+MWaVZ6XoN(!n z)INy0E?!NK$$G4_BDXkTaD3l~@Gc#g#&N9h)s<EBf|OELzl{U3&QlA-Gn2Tid+>zs zXUzzPM#mt>A^(m)r$l}LRQb8GWTE^7zTSR`AlCzbZ}&-D<sq&$(2r7UNjf44tgaaa z^Ki8<4P1On7_!YC`_3fZGg<?gOy<E392u52!a*GIpLkTAaU2-Fd+O!Tw9YcDVDdtQ zox`sv*z?sxVyf1Vxn&#dxdx;BiOTXPCSv}&WkK!1OA9B8<YUre{@D5)D@_Z8A>r#p zz_$0Yh<}DL90&fSDxeLYW7#iT4OP}v$k#3LP~M~pmPjb_`QCNecy$W|=h-P0j_aKB z39{FhR9j4H*r_NkTH>nwVsKP6q({{4gIY|dfpzqnX#y7IREjuvmgB5OGsO=$4d3aW zYt3dcOy1ebN;C_LuZL-XVOapNxai8?2c(%!Ai<BuNUDO&+(yvro;Xj5vV^JmqiJVX zs4+~}ir-ihQ(^9R!vl~yTIsqL_GYs&IqBjY?KRp7cGc-M8aWOsGADuc42t?=$mc^Y z!UUto=9U^ET9d)|<z_&Q_$dJWYYr{(r+d9XS6!G3wfaFn$h5L0%TA`6v@I8@#sC%Y z4glBgbBY!jZ%#|~cU6yjtV&4@0xy&}%HbMl@og)^S0482A&}KNkD+ePOu562>L#x> zrQo{v#4B|GQ+I0Wc+U<d(4l|KJBCD;XC8Y-`V3(!K7U5=8NQbVIDWVMDwFlb809wp zz_bxO&?K8)QvF4}FneqraBKOs-fQh>)lC3OUl~A<-F*ZLF(gB-6o-iwy;*&PII!Jh zzM^bhLS%GynL-HZIKLDHQSyf05cKDiXdkdX93G*(jARsPPWjUB;&SOo%L1x3ID#+i zF6m{<Q#pT7Eh+Fm3jWy`pAhBh9Ge!|DQwjnBgP~U$vW2M@e(lvR#6cex~|?!Qt;WD zFJ+z_R~gEbNJzLmAwh|;ly1$(%Fb3LzkJtnou__@i-e@`Sq#^~$TMdSTvo<)HZyg< zCsQJ>%a6y;JE~#)U|OSw_|JSWt&Xuz4$9{~Wu@>R-%Sdy`UV`FnKP03iBg9Tu``fg zL1-7lyf#(ww%kkAZ*`V8{BvuctPDRb0z>E;)gNy=cp!StCHv&#t#QK%@If<QnIBR# ze697lzbq<)g5C;COo`&~0>_7Z9$7XJ2vL_HUO}gLeC4v@z-P^l@hV~SoId@GHSdU0 zZVxjBx5wQ-a~P8O&ePpp1!|_*Mm&jB6J}{WMBu4h_Fl0a)lC!+r|0>(2UU|U07X+H z%%*N_isdC%93Nz@pi_=~AQPrqYfQb^0TaT^nh>LqS3!}l4}uy3D_&`9%r0vuTMpXh z&2%N`D6KzIU!g5ZGA(mZv+#X!qum<*TsY5vv5-+g0O#?!=Z@#0z3A4PkF}EY?`lXS zyGkaO+va}}a#n2L&AM1#h+SBR$QPdqJIgX1rI|2pyzp_Za)}R5qTKfp1U*Js!7Z57 zez`$T-@XCVcbd9KBLzd4LqGmLo_v|8sksK;lJuy`U3f{EyVt+~-?OIpr8Td#!Xcy; z&0NcV_xu2parcRi+nh4mW*Tw#0`QJv7=2V6kz^Sk0Vw{$uh_2os+f_)fHv`UUA9J% zSfwv!x<I9W8XgBPra$uv9@b}i1JgdiZ0|wv%O>BR7;j%~xR^agTn?AE;xzY<F*coP zZ)!^%&x?=FnXE__<*SvD1c!CU`|S!oda5W`9=o>sTr%gn`#|B$Fre<IQcPfIRhz$_ zixg3z#HJdvM+<iziFGg1uQ=?_hsVo4V!Jza<NF7*I&+m3CxfQ0)&3zpwJ(Dw9Li@P zyDb0~bBr~EOMU7XX!or14NOZ|^rIcRj+00YifJ&PPv~uEkb2_s@|sRFg4TdRGva(8 zV}9wp=%Ls}EwFrTO7>aLL#J^OT0|`fTz0uA8B(_5fW!^bw+D;+865wKFR7b6`;7BE z2{#mnDcS^PUa9l`ie#2B`S^9KW$`?uv&{!*WDn(G?JCkU;z|NILIsH#-(YrdTm<wC zdMi~LTNbbe`V_W}Rdu6b4u0Wj$nLtZj4|(iFKSzhGO^!9b~k2Qel=B|jXf^mZH_JZ zqiy(d?Kk)V0oUp}a5mUAzUm4vO^Q3MI}}H1w=PXS7E&??a``2JquZVptpr)8#0lsl zYY#i}$ncF@3$#b|iGd<dlb7=bUJ0_=%dBn9E*FKpj2&q%pD%Rf-fc@PjT)U<@Q~Ic zz1zW`Jx$?@<bDrlB*mqyp64`Js4w<j*T``52It$7eYV`Ve+bvc{McrE=B#6$-7a+Y zh@AfSJL9rJ8Eq~~8s_(GxqkXpKd2vKRh&ZD$lVwjhyr*SayI7W>MguUI≶WhC?h zRs8rk>($WW&|@Xj1@tot;3RRPoSFeNm9V=%*CfM%N?*o9r1{U+wfL5v5Ny3z9uTY_ z8`};tbUhZP7M)R<V3pD0S~w1fdmE}MYZt^c0qyq9IzN-hAjY+wYh?K*aT?!Ym*tp9 z2cHeV1DMCp62<1S;w%4IEisVE4EvgcjSv0<lqRqn$cAt|#Ow27(?Nq=Qj*;Sxl>#v zg_?44Eh|60)IVxg)Sod(2U4_f!-O&{K3Ly>0oAZ&r7cN4D_HPC=C`DEZ0Yp0Juk+r zgu5dnXLln(Qo1&AlGlsJ=IJzuH}w(;=zm*lsqQ#Zm%uQ!i0EVBn|MuM4BX>BjwEgQ zheB^Kc$6NYK*zW=_#wK4lS7e_k5Y46zl>s5!-SBZLfu6#@i!_Ee?dUGb#D~S$87_V zS78qd4nK|Okv!tnuF}zZns})~5C+bUD3^X|G0nD!%Ky}r+l3EARS#vT*i2Q#f*JhZ z5u&4azV%?oi4CP+!(SZDymm9&nS=Al1e;Juhfm%2yP(;poQMiYiTj#HP&12{ZE>MF zqT;rxQ8C&Ba1+&UuPOqe+q}C7p07eGLe5ws>(B^x@V;r`(JN{qjmXZ;2cfSvkDd9- zqkiNlTM7N7f{7~D7`N<9L+=v%D^zq^#=A-*zWsjfL^kc{Lrmj@7sPIoz<Ml;D#mo= z#og(M{Hb)BTsYXmHJdE%u$N)@9R6<bilA|08Jl)<(c(Ntk}D&CTCV*B)|QO#&m4N5 zyblw>#ePiVibs8Nhoz%|{QwJ#8Vl<`sa%*jF19%7-<<BCpD=241Pf~$hQP%ZWc@#B zUOsFrvilu>2lik1#|gHHi_Iba9v2%+2unm1pd9c_7~rn04Ybivwow+-Q&9AFRt+$I Y73AbDVeBWR$<Hqm-~=|a3s95&A1lZAYXATM delta 57595 zcmb5V1yEc~xAz+)5G+8j;1Zkw3GNcyJvfBm?k<}^2(E*>yGw8g5Zv7%xI=IlnBh+H zJnuQ@t6TT0d#dPKyZ^nqd#%+|Gn?7Jxe7z<3`4|FmP0_qefI3xi)ZRu3N;uX;V@uU zot~)3Y+&-)vjdO=oFKq_^WDTuc|?uZ)lBv6wVYO%e2FFVOMaOIb%^!ehTjw<=m<@O zg7)V#cf{85wYTi9nkst3aKR7o@EanJz|-E7?^BkreaE%mx(#{dnO(H|jp6ah6<1@D zyXJhOVYK_0W>II`;(?$*rG3@h`l%gvKYyb;fBVzpaMqesk0Fqhl~`sm75<HYJg?V@ zBMly?p4N?|ophSb8i{sKT(|cwN*iy7B(*~z?%v2}FK@k(y^*iv$fd|1dQzTGVcr@X zpFQp<0f*OX)|-=8E^|k$Nap?gM<-j?<MaIOMpup;AyMuIRfc4Or&om#6iEN<?gseC zwykqI?H0N71`wWzW+CtB5a3xlVBS;Vc&y&XJTUJhIX<=m?>B1HC*Sm6E`Dx!L?fgI z-QBJ^)bp$n(zM+s&8OXE3S4@~&D1#p?{$3n)($W~=0&RrWa?u*?e|!CwuyD-LiHQz z!1qmyYn7e7m#rsTV(A5weFH0>xH2TgInq**iY4=ffs@F>?Jfo|A9)1V`FL5HtGrmC z8QGb@<2e>+^bTJTKJK-<n!{9){-aw7P?ry2B6D+}o3%Eq-uZbq+{YaZI6#^@n)4Py z=7p=am>vwhYl3txX^u)&4wTqQOY<96<%nocSEFqoFVPyFE_R`5WK`%$=E9fMtJggC z!ISPl*ovcz?D=_|lW70u{KHXe;2oHyW9@)hl6-8Rl_5-i0Ux6LVg)^M`T%O5=OLgY zac)t#iR@ze!hC>Gu;G2g4?TNs%(OldW;ZU-$5Kxew4yS?O6qTQ7{)FWVG~aF!l$gc zruC_^;`DMo2S&zYYxH!C`qBcHtr^q&<dn)lKBkT>i+v@Tw1V9BNzgc0z2V~Qh)9Co z<OAydPj`lPSM4$bjK0oHmfX%vscE=Txd&t^??dub+V{~r&f6Eu!ZvGkPn9%OIBk5` zzvt8a%tD;Bp9ytF(VV!K5dM@ukj_hQeop_95AoGUy48FcK+Uf6C4r=B^cER|>n4gI zpuS#Z>_jU*L+{~7O_!F|dP06adOd%4-DBq6?iq6XInwBQwm0c&W&-Rwy_gm(Y{xol zCCO}$T2{`UT4!T_Is8`hNZx+yYh9jQ(PJC*sHqToXw=@(?iay2zg{WapX6Szx+q}x zt}hbKPT)7>9IWeejRioVL46O~k5^)V0LVq4KPjRy$MkBxQq~4YdS7e7YVg$5VYNQ$ zqctt>UqX&fQsMMmX=)a|A-@&*sx7yl`{WFK7?!wfi#)e#+&ig}h1Z-vAEgK^Ph5h^ z$FuiKhkqqrC1;w3_dGg4#v|*8!}7|6n4DyaP7oxCma{sUd=oM1X%9-5Q!{}1v(48) zYpcAbdT%gVQrpkaN_rDbef8}&veem+yy>j-NdQ5A-Ct+@DSIiRb^9}mX%YMh*pdc+ zmNZO6e&{?U%lAc+(9}obuIleagl6FD)jt+5pp}e%!Z;}nPtBN=dH9(WcHvgn0S=s( zfoY&WT5Gxh50Hzo_LvR*L00hAi&KKTeQqUAsEF8m5bMdqW5o~OO<Tof#<X|z$jQj2 zvtpE`)f%mlRv)1MB(<uxBHGfQm`AQ(8cS(@RZT4^yr5$eLyD0j9(q9uPFWQTJT46W zmd^bxs3J_<O-L?lMRY>NJyd&Yeku>+{%pg5wk9<<4}g~Lo_pzq7=B0cJyi`v=gkXt zt59C^T9QTZ!1!h-sjec|a<t&JgtXu_MWTP*99U6Q;d3OT;xfDQTUSZFk8<t5blZ>$ zI<YB@Mg%)S+eKX^qD2h?e%Q=!3tnv0`mU|v6-BoG6?CJM8dZPfp~g&HSjB*s->>UU ztLo;EmNW=ldtS>$Pff3R4g0;8&DM8iTR|Z2j7C~iAi&_Yf6YX8_w#7yG;#P-;?-<T zY*T_gwN+RYk`|}Z)ZUaBOK-##rKXz8%+@E&X5CYvTqL&{!Be5nGVb@zaz$$4l;&TL zLs~4><d?EZUlOoxl|5~q4k}{<-vWZkhQDgg4R05GJD|pkL3=>?7S)1!_@mu)KJ4|G zu(F1adAq4b*ut~5#<S$v1z9%}b#pvXI^Uhs-i69tZ*U4kRq-11E8{B8gRsk*MiXPx zEz<weE4&<>@0j5trxxz*Hh7%U=Q_o%z<jzGjbS~2TMqJLFmr6Q2(9k|QYf<OWXEm` z`9v^u=|~k*59jy#7!SJZ!eu9h0Uo*u{DkQt4AiwyOx(+9o*$e=UOd@(C<!DKX-X%$ zr0f&NM4aqXX?BGv!+=flWdwWXtWOV<>@?)qCddWFtTRMW5iuFh&+o+hjqcr=3wydI zcdpQG;LT~6zELp}&YdDKgYq*Xr`}%Aa5<P$gRMFu2^l0Kkc__7pXkjb=Dk+$0>*84 zI;eTqGvFvz5V@l5AII>`__(xYI|}SOHH3fYv}rTVF*)5tIYDN{_4bgvSMc#il|(Uz zD&fmGjLU!)rJEbAz0WbENz1Ll!Q)5Fq@|O{?+cKPFY;w>*;m>C+;?pD4V25~o&6g= z2)0!P(LX(xK6my;_ujb3f8R2YK)pv=@(T<E-J3zO%$eQNv<sEj&<Ados+1|$MV4(P zrnEbo3sAprjq%*R{aC!;Y<5t7bnNte*}Zja@MTM-o<*xi#eNIbLHXVaBhs>?cOliX z<2c{9uuSX3v6it)z@*x@aoKON<6)Lf-9<mvWzN}-Gw`Izd7?3`%B4i{Avig#k~npY z&;Cw=-Rt64BB^_oQ&d<-U|wAmI~w01GK4>)jR3P5w!wOsKHG|-E%%XoPSYj9Sw$k- z@pX%Dwd>8QKo82p7~pR`>wd$YhH)ofAfCFP8C;nj$|3}~CYX=1ep>9WwCS8S)=aT` zAZ;7so_PD|dZQxhLJx$j=<J(ZdYz)>UR8ixdr=<Lg|DuvE)<idrrd4k&S?7>sN*`6 zn7;T5CGGL<<B5NrX+`Ch!Cu<MD0?%rz_0kdk$#?HLQmU=BagS&0$cI+`cuXld9$&p z2S~DBC&^8~=pdE0!SJ}yPP_JN>zlCNF@wseV6Ezjm|qT_?g%g4`a<Si^++q?A{(!M zX|7s0EBP(5w0>l?9<MXJN22w%-Rv>+T=I!eqm@NkZfH1khcdyA<&35AqXq&^yPIv3 zM<!g^zFU!QSxabK6#s5@!x?XNSf+bxgB20OL8}-rF~6&vY>{Io&G*WshvlHjI%)Ja zM5{OZKOXGUVm1|F<Dol(I=DBA-#;D{i-uIpel4sEIkT{yJ<Heg^Eqyt+nFo1%BEh* zcvY0tJvFOa6umJBCn&jovXxSIMu~q6_i}E>xFq=~Lm<pMLtwaZ{AbJWeeF#4b#q{M zk)3~Tj8tQM+Wh{8#<!zeLyHt8%`Tg|k93%O9LM6+z`gl-4l(^f!<)KMM~=xB1s^u% zv*8b4ow?O*BU-&kKMd_4&sjaJ4)&q-#<avJajj+8wI$Pndp&(E{djR_q*NS|?%TKY zEju{$^_j9-L40JBwln7rgyY)qnzVpCmC%lF?pkXYg3hhqlFC_8x&k-<&l^GojPud? zevgOg+0o5}=9DVrUm)J|-M#h?PKDLznr!vjvYlNTG=~kV&b<z;r{^!t=G>xPDcDzq z<xzQ$_XTUEFb96*A<Opd&$>nPdqoQeMw8JKuGV!m8#dhy$7=>xG|k(jf4K%2tLDta z*9O)x(|ESX(-hJut88p4e?wJjT+h%=zwJegkOHA-GjWxNBUZyvb%vXo7j&u>r!w5g z#AMB7O0u3({iBCvn`3)Ji95mRo#0ZAU&k%qacm|=<8<fTp}V1m>pS6RR|>C%xN7y7 zH)rj|_{b+eqKA`%@0}n!EQJ8(?nWA)hh6qX*4_DD!mr;dkwMgCL*HI+d{WE#6{3Sz zY^m4rU_)A5^Xphh6UD-9Mpk*>uN{A;o@E{AAeu>b;PbCQ)__y4dciq5?CoEL!bzJ> z_FE<Bwl!$nXR{}FFj`U#48Ly)V9{g^3Gf;&WnJ$JyZl@j{}E|-0Llam=aQ}jmBI8D zuav>-npwM731b?-Ii*g)(W)mPN=`?^M0<w>u@s0<921^MQhL~O{@|kxcn^@FvrzrE zY`i<Rzq!3V(d<&i_<<}$2l2ci&fS%2KR@oVm>?%Vo5<r8q`%!Y&q@R%TUl0RwKL<~ z_o1ZoeQB2P=)gF0uLCM)(DH5<$qUv-1^V`*^QU?~&o$J5?N8PwUFwOhHR~k$dYHkL zWP)gdN@OzD4;=*w9+Rd-$=W%s>4juTB>2usEOyz;pEpwcPi&>$5=7<FcCbR4^uJbp zgJ=k6W-4uhl*%kDw{C2AV+&tzl~MZA^K`t%(7a0E1`&9>b^^jg;K!SLHXV;gs5U@Y zg|7nK4RV=v7QR26w={D64764r95w=xRI<JJq#B)qr>vS4ey!AaH)=6l1M39(!gM$) zL(qVkg2F1S*bSR0a#QZ$u=p)#kp(t}@l?A|Kv(V2Xw2dFiT<d(UPdTSse@v;yyj$* z!r}+qG}lyHAdu-fs77hJQCu}SFyk#pTb_}vn(XCk{xWMbW!L0|a&OQ~U)6HKJKthm z1$`D<<`DypW?wBFw(;f)p%Dcf>#mfYl}fdgy_GeF9XFN;UDNN0QyevD==bJm<{#5M zbK(LD(1XmrP6vS(g<=CE+Gsvc)JIjQ20%2&Ro~@k0KT57RdT213<HvpE~%_Gg#6Q5 zRX?WQj^&G#b2}d;y^x?B+~8%4d-Ew&I*Ky=D^Jz89tGVi4J)0M5{ZZNu{3eHtMz&V zl{;a~DoKz98M9=ak$_Sb%kSAs8+5$gB^izsW}#k-ME%H@W}tf;A_dK1Gm6pGveb3e zXo36wB!HW<Q%I|CvL0ZIvhcJZgC}cTKjMOm{~`n2m$5qVW)_UU96nmET0a~?A4@7* zq?WWNPJeZ4nQgq}TrH?I!m`<A<0F*PM+Z5m;#?KxHJq4U<4N@!5ICK^N1HYV9NBc3 z!DW*+M6Af>lhmXGvkt1pwjxT-TTsT-mu%6_fa_=UAHARJ!-zgHn^@h=AA=3`m89nJ z<{5{7R~4HN9P_?bhuthB<-;W}7uNh3;fh2HiG52rV(7RPkTKz`Uz#<fbwi~=U?Q5y z%w6N4RDu>l$$)@1@89B25Q~u1X*T^_SA5uFM<nsI%;gJmkT2Ix>LYVB-a9D*XZJTP zMnL?FAjg4Kj(tSl)s)un<InR1m{Nz<0`>w%zEKMY?c$xr8w+3YDYf?RTDaM{@$q;i zj40h~yVXw7XcU|*j`)2xI&`q$-?w>{lzFrpzGx*WYmpGUU3LnWa%rNu^i+z&K{I>5 zc(5a?fD9&x!l-K@yCQfgvg&forhNO*@d(sU9ye?S2lM6KgBIUqXisI$H=%{Qa~3SZ zRS}~<-gt1`HC(g4N!NM0$W~G_K3az!z10_)Z6>!h5GS`dY?x8#^ow1_vUM6jL9uIz zvjCq+9IYE<j_0uCyFs4M(cK%B9wFRR&F+tnX%1@ud?X6fnq02dc+aj6y9js>o`6DP zEj^+W@)<%C`(Lzj<7J~g*YnFB!cb4wy28dKaQh9>b?_{eIi!v+Fl~ybl(=n%TZlg6 zu^yZkgl)>X##oOk#>@t7de%DO!8oMo?KTxU8x%2DQAt<_sOZI*PL3YcLZ4TZmqqMV z{&v<ijDjZWs+d&l*>^i{DMS@G1Ad9w4q54GqQiM<6aaG?vAy_&f^yT!$Y?g_yh4od zz-}Mi=L0kPOeAM#dPg^$ZI*JUy!UV)pNm4*=(szsE~xm!f<~WnBba>2Y+4btsOfV2 z5|l)2*fiL0x;`SzaukH-n7+SxqqyYld#PZHWH`f9<slqC?G<@6Lc`c_11OdLq;oe4 z8LmwU);D0%YugZ?ziPcZH=ye%T;GigUGlpn&>$UOFZxxh5Dx7&cHrCY)kRskvzi^v zI(5&pR&R8WUW=?!TisM~<`#=QJQUl(==jrZHg`v}Qr9F`w6hScIGl3CcU5otlBeT( zX|BC0SS;f|6{^fFrhp1i_j6f$E2qFW<Akv+hg-EXr(ZhfUZ<`UkOW;iDY`VQ9g5jr z`&K%7p9dN%9&swNW(9n<*Hmv055FzFVVsE)g6h~8vGzlvCp)JlgUVi2RC`mc78m<o zIoheu2+j)Ht8ZudACb*L^|={Sm(oYzsZr^x%F&Qr&OP&}p!0x@`iu>WN$H4e8ZP&| z;nuuM<LNaL-rd8wJNU5cQZdL|EWekybW<@X#YyV{mm#r6E;fMB8<!!uK`gdg`vHd` zy@4Ng;f=%4HaaG5<eL_td(04@sLhbt_$FqP_W=$iwT}2x&Ed`3((I0eqpelVs43Y_ z#xpd#jhgVO7GNe<_bxnh2aWh0O3P@SixFnmhdRxumbs|{Qp9j|O{W<za6a3QrDHPO zEGSM-y7?3C@`pkEAi5{qm2#8tO=kerF2^K%N)14@&x7^ZVfIfS=imON-`_qXjj>=+ zQK9ZOO}kvFY=ztSe!}m~=|ZL+09ENuW*Cxn#(aUQi8yOk=(&|Bw5n_4{E@Zv3UqB^ zk3rCP0I`EMrwbHWcNB{=@FrFO-}jY-#RZRVB!+iT*nWBJnK|dZYIpw-OnH@31*h?z z*)my$I1rE{qw`w|URzt-@6o;(zH^5R-!)z(DP0Tm3wo7_S79SxiElCj#d)2EeGC3M zI40rFUQZvMP=0Ru_=gp37qxt(^o}_6==U%wl7h@WAhv8>z5jenz~jeDdUV@LL)R{4 zyZZQ6=c>PX%jBj=L9phFxY%dW!TXk}lIkmuOhI?4&Tw|GD=Mgu4d(8_(;<c#8lTRE zW4*c}Z}u>j(s;kI;EPsz0Ealw&YEMH+2Fw0?fgAeO%M~N!9<1HfrE+ip@1<MgA-iQ zd_&Kxf)QG|#J@%fZ#Cgh<?Y#)2aSWOJz34Ys~GB^j_&6duIkiZhx#~rx?i4xq53!6 zYdP;_d^*U-koItJscD5Nxv7PZn9cXlzK*ZM?n~BBPN8?_TM|P+tM~miIB9&ou~pMO z3A1(n_6m6N^hB4N=c)DZboXv;_IbQK>+dB0`2ckAbv(7WU)>OZ9}H`Or%mXg92d{4 z<?n))72yzU&Ki$uBdn|&x;#f6yT&ykgD0O1Hvab>yyaAhP<P3l;6ae#u4m_~qRZT8 zBk@f<UtZs}oRk2vSQl*$>2GzF)Iy!rUo~_aWsczCa$ciXsz<8p%&#SUrOFaH!CiUT zm5JBhBMupPng+;!84~w>#NmHTd`B0obDNf2zf?lIX{y9nUnq)!G3lW1t4#Ko&lw5% zl4fHYTFRMwgj<-OY#B~O1dp_LW2)A~lwC$viZP+#K8pnOH%LusmG)TG78y$<O`w}i zTZ*&)>YrrP0JUeCsWv>#|FRwpks!dM52ny7wxZYIvUpRSgTeQE-{C&k5%=g$&X`7~ zigw=O)k!M!6V~W#Sio=|302Nu)Qm;5z<8qcqIzx0>aXk(iqPiztm4lCnbW**j5}jU z(b!?MIg=-Vwq)R?MBxfOVF|4Z*VtzrViS5R$gip;tEK!_=7?8w!B~EtaZo3Sz-G+R zw}Y^`GIfh5%4;`YYuQU8%VkP@hX$6<Be^O0<CuN5og{NaOcj`i$ZIA07+6W)=fw0F z4WfTHZm6Cj5qIQ<z=zX7O7M5VzbEf}DWM`E0K-o%a@2FF506Zg&<3n0$q<04=L~Jj z-taZ>(0#W|(6`?44thg#-pD!v{Gx#(8*Kh-K&V8t&*37o!~syA3<q33XhDV>P7L&( zh#Q_i_islNgeA*~NOOLh3i?6F4Zk)4x+mm@(}lTkDhAxWSr5N3+;|Sv^bmzIwf%TP zWgP&5lE8*BPeUCPPk06~f0bVcn5|Itji7V7LBJFiQ{1B_EEuV4vAFxJdQFZerZ(y) zBSTQEGzs}2@C)Xa@`U}A`-p7t3Q!lO9{TT)??I<TL?CxUOvFDr=)DZb|LcMczyjhQ z2A)#O43_ktQBd>5eE(Gt|4UicfttpHhQ@=L#^Vi*2NN{~P0aIGGGAguh(f86y78so z#BPgBz%MDqAHcm3&wB<xN$)Shfl7fD3IF0}9>o+wy+}SX1=gQIFA+pG!DnAMURl~w z$kC>dWur|=!)BeZ4qZJ%%vHgC_Qe8M1U3UJL=p~>3g#(|DFVVICr7AXrw6?!=SG-< z=7GjZdEg&nL2YC_2pLL=pj{Gf_=7gk7%3lI5~z%X2Z3+=EzFlwLWX+k#lV#awzQ-u zw)Fq7AyT5b$feI;2`VH0)1JXWHZ&0!;4P`cu0$GOSy2fpGh<0m4GoFsfhtJ25Ew1u z{%nI#k}U(GAmt$rfQ9}~ivMOD3+oD?QVEKnfx<}05l~Z2K;<NyK!~_S*P;BX5I<2L zLEgrpP0?+QuuycA`;D91(?wC{{T`&Pb6+V}qkdoE;3?Xj0$y($j`%(<Qo!U*^umCq z&9*hy1qShbVtD>rGfDUYR)WDON?EN+1vhk+FgDwz<`&`C;+L>Hst}uixpn!tu_<&5 zHevScP%^gc!SoS-fR<>$pZ4+{Lh8s5*vKtREkD9+xMYS2_2~4%smI4d)kD#={CQyA z0w0Y!1_Vnx$Ild_5F_;b=H}2Z9PZ!V$LxNmMVeZ{=@UsM?tU%p%>5eX^!!pvHIdCY zWLJ$Pj#Ml@S1;}ObuQx0V*0|pOTAuZbRoHpVj<20FmMzuS3gdWB%jyOB!2DVIEZ){ zE@!4>sK@3C-i-5{hK;0V^6(qOItNn({SgHGD&QsKzVHkx!4sOxJl2sHX{;l~sjMT? zu(qGVIuZhFBi}mSvEzZeM+ElK*g@HJZ&ToWjoRpQ1SvSv?sT>!P)=^E%WHBGANT=C zuZ)0Ugjx9HAt>WCmD^pb8X>bGNnn8z)9q(q`(~HH<tKZO>c+RPcZkiKESm=d&U3#O z@+5K^#7`jhSAV-Ut=IYqyCq&NDjDZ|%iK<h42?;G#)ts}vBKL4!rKkP+mXWC72IDh z2Hfcxg4-yec}mS&w!Od=gSkM(CqF=E%6Nf?jX2*ez-n#9W$O@xVNd4C!}!zTd)**e zd$sAte(y^(DdZV#9=?I0?#VCQ_)#zW930|}1W53fYLDM<1-*(gk0xY|_agC5u1cKz z<+H)A>j^&)=!ZYx>i6s-h1@@V2RXa^0zsMY9*#cx@maix!84O6a(~r6%wPP#l=LfM zw2n8C^}lQU@AvaNBQ_@|#>BmaZ%2e9S${I+DAX;AHp~Vhm9duPuo7nn&rb9p?W=HJ z6~lo`iNK|B;1(iq3mmwR2wVsU{!Rq`PA1E%N%-mrY2T0Y${C@aHkE}qn{(1-_E|+} zp~9bj$IOTKz%?lS1Tz>-3Ya51Jt3v|qKieCMG(?~3$+wAkrYuh=@U~lk(7d2Sw#~U zSYKUK(S+lJq6w~;eEfcJI=#Bdv_Xj~hiCW)39geOBrBDefkNgQGeOm(P$WV)l^7L- z|D#o_pyf(gs9*`g|J$f?-+3YCD#rq(lF<_|``1v!DtMpZd8up7axmA6;F`>c;EG58 zx3!rG*vHoSEB%qhqeJK;$iBcr37&jY{~sf5GA-BkXKji+q+E*sVL#>Ji^I11EB%qZ z#Kn!SUI5}bRQwTSITHUGQL403gzkOpkbnrH5ZHGAZ6kHC1V4oTmHx>4*w{gnZ?J;G zj^BBG#sAkl54B<BN6nL>KxMO{K+XLhmdi@?>IL&(>5rT%C5|fz%YhN7?fH`v<3EPF zw&alWPfi2HU+6>rhg~Rs{wK#j(mxGb<u$C9#RD(%U}gQ+z>dHP{Qp1oznKI7N9tn- zUtu{tC*;I}ZTH`nxPbze<6r5IJo$#|Z;p72umUop{%c+>x;%ULzm6UFPw*iFh1h6$ zQepHziy3mUyz)anM5I!o=D>u7;-HB)v;S!Ov41p*T;Yo)V+mN*0{3IB{;ZHIRVo2H zI#i~>@@NYrlsX|*@xS%{&oTUelwUj=fSo}tY*LIhEEn;=OTZ4~pHu(OE8tK6|L^IS zqyKMCzqSYXKTp4!?SEat{}d2*`rSkS%hM;z0siyCr=&`&f8q7@NDDRVY^kbKUT!^I zd|AuXBrPp&-xGwKA4G{MjXH)9SLP{Fs=WhO(iDN5&xl19V=!OqAOBmPg4>BcCk4Mb zRt#mhejtp*37wtti%Bz{NTM#>e@tsaLQM65tn@Q&6ch?3N|XUP*yTZVC*re#NRm{Q zzq8qCd#J9*Gh!4jN&Med!4F(8{>Ru<)m~uZYu~@1U?PVz*?9x!3A^NW^0<EyzA~_( z09!eX#EO;`Hs*;ZVpZ7*64n-hCw~H^(s93t0fgYC0S^&S79BYfc#E7DmlH(6fcpYv zg!tu0q1+PC5d#rCkq^j$9#@2e8VXzTDi|5I$J^SASpPO3#28}UHlME&cH6)Igb*uc zJTNTvOxxgjOhWXt+BVd`5qT#hL|022AAp#(1gyUN-B3%!Kq!vWKnNa|pMg*}6}OYt z{fp?@3l%ut`(l5RDn<%Aa=e%=jpy6jdGJpE%IQApB8o!rA;N2+<^C%JMmu@6u<0L# z7{kj4DEnanh$L$M$^UO%hNM73n)tNft*n7(PH%!ag>zH>gp0>JBm8IEf2%hH3l>%^ zkc>7lC`<bVdXCw}Kg;Crf<fsFqHyOR0Zks@e(#O{f8~uW@)5SckQDqM*MAakj_nzi z(#jX^h-GhTZSHJcT@mV=z8*FE&n;6W7v{X7{T9Rna>>`RvL-0tV_?74-UJ<y;&|jF z8-;yq9ZSQ<YgkBb1$6SCv4TMsc+82wy_yo_(oaaY95@E9y$(CQ1JA39!uPB8CS86X zc$h!^lJ>puwJ+i(VUBW_<Zow~BsnW*?SvQ?HIn$gW{!4`1LgtFf3XbC2BHk*&eYdI zJGX(0E<_j~^A<UbJAQMwPr5W8Dj}ONi8W1&<qi6Dx2C;Wb7fQ8Ly3pxo?7GxFOT-@ z0_XUTThPz-xgED9LF6!qqP3cIRY;%|sM;^ykY)}#D$7Z9cPGh+donnsL%7fG-|q(> zTD4B=MhK-(8csW;<v{*{J)EB!RRqW?ay>YhBrT#Csxj?89qYEujiT=a1?J+MHo9~C zpiIwBZgC2r!rVb5vL+oHyn}Q=0XJW~KD5+QCT<J29C9;$!Hicl!ZzGTWy0NeqH3-? z$fGP*2n?;dM1Fb|<nxp(*`Y0t0lP1E1AJs3|2&0%xd|sR6N`-NPLGKjw;}aT;hj9` zeYTp!9kx6cx|)>Y3o7J~_-JTB<Mmk0n6YT7#l!>_c1A2(n7B?G&eeMF0GK<4G|Pf^ z&i>a=%_7D@mfzpdAr3x2`Z`tWNo+G)p3-vOtph^4?DEQKvRXsZ<+LuWOrJP_4a(!q zeS^h~I2pv~sAnIDBKBc6fjmGu@LEiT=zGq`QP^H|7Irx-S_HTsQE^d>KBA@;zrie) z{B|K?6o+1fk|7&FNdWM5DPl^{A>tsuVa|9CpGf(EZb8rEn$7d8I=|*%UxI}-LqGNT z2S?1a;p?Q<yIoqpYz4Er&eY@QCD#hXMR#W|_`2uUP_W{Jf2x83KciHyXo-HaNlV7! z`|l<nPvaa>s^JIJ1SelN8e+L{4xH#Jvk!grmqox4`4abKQe6q)cz2>nua6=BfIovC zF`Ar9kF=mYvqiP8{WeUcfcpEo#j%<E(y~1}Rn=v{>rZ*p=pi0?brAphwcBG05gph7 z#q$%?nlmd&aqD9ceUhcC8I*dy)o|2}gEm8vp7Q3)XtuzH%V!-3D@i;BC^TaS4ej{Z z!<3i&_QO;~K^Xwya^<$1TJwu^S%hPd)(o+AVy9A~*DsuXYI%EZAu^i1K%?oX?D7)H z1HE7&)^7P>TV~n(a_m)sEy5DItRtz-%^pHTn2}eU_S#m(2kyMhNq??9)fJLrpdwZ! zgewh!@;iJ3(Kipl3BJo$#wlx4T0%RjV@1z7^Yl37XjlQlfbBIL+0Rp_z|N1a`r~*K z?|-1-X1u!~ps$!NfIP(8;nuW6xJp#DjF3IDjFH6Kojv1tWgG7elc-Nl8VO^%KeMc) zzxs8p`aoj&WV5CcS8opsTD_urRIc>dWvG!?DU2ujabls|1PUdi@{af=nBnzW;UK;T z_S9=JOBG0~6F!>+;+gK~9AEXg%;j_*8b*98V=s+w++mR;$plA!e8pqt*j7h!E4*3X z>j6IZ2o9UBvIjbv+FCu`eIJ?@{j?43)>H<;qob`50`L1nTJKjqGx|MR8#r1f9IQGL zBR=nSN0I7k1W!aU_{H`85ME00Z`O5cYk76#tpRHmS{6p9JgE1L3nKlF$$g5~tYb+k z=hmMhzu}`}^<(wFe4gqajIWZFt{|nH>%m4`xaT>Q#T{`Ddm@#U&YH-?Kcpap_*sDX z@$k9G3!NW+;anKtz`A;V+oh}d#VH+&%ZKoNgbap@0KK=G;W*(I(~%&F`gCtfe1njk zdp&@3B&-P1``K-SH-7q3rku*ut)u(CWWxfS<7x~y7uzqY1nxZO>)Wyb8@bLc9j9-y z;=E?v|EVp;GfCOt!IO3+b?|A~*?D(cd-qtoy<R)IV(eEF$7X5(<#i&fs@&k9MMK11 zJ|<n3bR*~zP|%;B>g}0|ZLz2c6ONj?V+K<4Ku#d20K03cvuB7j*wJ3#;T_RczYEVP zJgU+T)t{$>bh9*XlF5cVD#%TJn&qb>i!S5xa?0SMt~1i$dLV<nzqBHnUbDP&PCAd$ ztXHi6n3;=k#lN68z&DAv>fY|{yvf9O=B2RRO^o;U``Wo|S7@b0yY=mIXq83#B9LHr zMV1ldDpi%Ix@6kfSsY@}Zn@Cy7le?$tbdBH-t?oHefzUkQ{H?{@GtQr-U=RQ6tV$b zOYTFZsyJOo?&WR@!yW+n8Z%#i+q$^-<qErO^F5{KI|mXk4a2-%u`idgUC;_YljN(U zD~k`RPmd%1#=pkL(OFmpgxQn#J_7;?u!~|j%GAVMm<;>VDmnsWyTSGkBcBW``RrUB zM!}Hq%~GbWx-7z1@KAQK?jOEp6CS7Rfuc#)So+Xb`id6xHq$d!*)o<u`^DQS_P}p2 zSD17(=(XWEp2~XS+uEk-2b-fw7(i@@-Tr9)Egz0qP~<_zjsW<bMd*UoG>(p>FBG_Q zv7Sribw7?i=VSTU>SvbCRAZG%II=Dz%s!!;I0aRAicHX^7V0$rVXOB~u)}xvf?|GK zMNOT*A2T;Pd%ThA_C5W~Jp9ZC3R<m4JydJVwmZ!yCi{W$Pq|5uOv<iCpUny#4!JdU zY6Xk?8BM@zJp249FpKcQo_wTi?zUC^#8D{fYB=N;EbJAyNTnlAE+toLovo9fv379p zQEr{&#%@pJ=16XJI&!$!E7fwSk@M0}8AZ)2%?hc|?)OO76=|)@j#d5Z(eYe~EXD}Q zVV=|*rM~*Hd{l9(g-<JnQx#Q<>p5A6{Rw(vdiDU{sS19=_iIv}q&9y~;dG2Kx=9Xq zCfkd;H7B=@AFkB^;L`>)wmxk2M-x~s&Ytef9~lZ6uAdsL>I)qktWQ5d_I4b{X0iZb zDCC{c-R0~#WWJr-yK}-28Zh?c<a{~Y6aG-fYB|~Uu==yq7dz9gbdg-t5jFZv;9b2i zArN^`!N!1Dd8uztUIlb0req`+FbXowYLR{q;1q)6B`U$-!q3#8#58{Ca;@vb$CuID zW0A@5l6Pr*wuM)oC7Gv|d!H2<VuYI|(KJ8zQuZ`w$~HDs9?T;gse)T8kQP}Y+4Zw~ z(m;(u%VRr$`>WU{U7;=7-a;W?<7=ppA&|3CcZq3vtpuvmpkGWKea-e+aPBJ&Gk=6q zv6pn`7`NZr!~Hh{<YNK@6rMiqu5o$}lHY;T)6+=7ThH-S-frQcs=V5&5bY^06(66R znZcJuC7g3|wNvm@sDKbj;PrlplB6A@p9$kSNdDGE`ZhbSuXd2CJ7F^er;>IGy8&>+ zb*kDU@q3@#WfhBm%!TpA^7EJ?j^r@k_Y`95K+=kQqYwsJ`e<aw(5=QvEd|vpG!@{L zR9T&tD_tF8RRPKOT=jNaspdOoT+?vxJ9g{*Z{c^yp-J(?nzAeEG|d=^K7=lT)8dE@ z*x{cDBM-cwca8Tyzqbfokz|n%l)nUykY^~@gT1|x^VhDqkZZ)6zff<C(R17G&{=b| zI;ic0+;olv=0ShJWgJZmTWj=nLj06&yIAuMoW$4Rl?|W2mLkuqVY59O&;-qI`g9D* z2`31AholCSWXN~q{a)WrLoL+2^4hx}MumHcSaF@Gc~Sk<9nxVq<^Qv_b4>&=Eyu0u z=il8}t7-k{c&ypUC`X*Yi=m+5IL3;02k1~)CiqRJ5FJe+L?x9%S*o4l)TNFTaQfys zUlCXY6ghq|4(?xnezWg-9;V(75K_G7m{e=}S+m3FC@mxmjkI5v?G%fjN0F-G8z$FI zkv?tPEdAOgEW_iHgFSeydJF}GGd?uEM7xUy?&&M=<@5<~MaW@GAb!n@58a8j5lVK) zJ7(zlm6iV>dXZCY^X^RN4g$3Jyi*tzIGcd)_0^Sy)DA*u$kZ(HDc+z@tNr|1CJ?m) zvQeb2s#*|@#S(}_4#<~qWJp=z_>n;um)lw7C>m3cpTQ6&Cj()7F9LkF$SraR-GUSW zI$FUCWujLzCDBGfzomY+>XatNMS+ap0w$(Z&6GiDRHQ56s*4Z`B9&ymf>%brmEvCJ zAbyB`8XfHt^XY6~gkqPS6y2RZ7_aOeWu`Rq*MW>02w3Aw7KHpBJ@JGODXsaDO>bjJ zIbP8%*PYFC-wq<^v;b+s`DfLs-_5-G^-?TucbBT96pxYa*(!pFyCt>9FxKaGx2hM~ zZNWBHrtjzzql`rtvAzXlcutk@>|yNg*)u|N@Az)QYd6ja+T$CmaGcCTPLvo{Yo(&5 znL<h%O^m(0UfahG&0}vUOkbLc)7K8R(^Dxea|?|JBmVBS-vWZ3-UlP5c5mE&s`0Hz zE7Nl@8N2M-?6$TDj?QF#^I6{n_kxY;+?AyuFm|xjx<3G$Qn_WUSkEHk9S_-YI{GGB zLMiyyQh|G;zwCmzCSyYM!cxZL6t<iunk@2H=JXEgWZh)mNxV^e-D5*W_4zqcVosK$ zow^33HMW3}jS2vm4C3o9D`)&rWxJgscNgaC-lp&3+2K>J@4e=VmuOIi+>TEFFc9at zuef%y>z8l6Eu~gUdst-_$qgsSVMtbb)armoY8NZVS~aX8(rqn+9j}dENwSlua74@K z%#3TnMO7R&dHX@Bd`#ISq-;@M!FHNaLbMc3k91Wt<PcbzV?-qNkuDoZ)9G!uzBcrh zcU*ZAr&IiPPOWq8+*{-&_ok@3pcs{>sO$%fztdbZ7-;A%KxYy(D5>1$8Cs@LjEeid zBdt^VP|Cr*qeWu<^+vW=NYO<Z$fUogFyE!0gj+S$bB?DMMdX!0WK;E@(y#<S!-n3F zrh<-9TmdJy7atvL_9@oX`oG;^V$Rl3K0dDh`WdseKfZj-R}!BUm<(L(_4sfw4FJXr z?C+2}vY{R%(S^<}opXxMnmzj_%Wz*!Yje++tH9-Y+c|%8N;QZc)UvY5WX39_x(g`{ zo#xF);mt>y`cg6tz2`vyhi+OtPQP0y>m7iT^aVJemd~b}6E}Fro*G=tzn5sQzavTu zJnG}(Y?Qzjj!QHMn!$V@rJp_m4ma}Q%hX>O17L{N!VI5$L9pafk37E69rU+{`*eu# zyFzWm4eQ^_Uz1yr09JBCjwG64rB=$*%C4ez+_!0Cc?Z96NVx@hRBf|m%z1Q3&TtLv zD}k(|9pU|3$IC7YoV4(&nrf}I)CUu%?U^uh9*}#O_TkFr@RNcdMgfbH7f68qAec*S zn=IR<>z$0AGQ^JdTv|0@70iF&rJcmZcp2CHdUfi<!ZpsvZrbl(RgBi_=(@6#=55!R zi+b@K+1{m6Tyry@Bi9|oM{!RsdN4`ut^q%<?5*&dOJYA`@nk+n42|v3;#?*i(JZy} zj#SGW-XSLws%osqoe<4!Ov$7YCn44;e>OPBBcrj2BGH|UT82HobHT4e?sDSkAF75U zC?RAaXSB7^tY_exoFr(PN_0a<1f8;`OeAa3i~~m%JVQCxV}AM?R4rrbr==kswhAm- z!rr7L45+_vvp8hE@vKZ77j_oX94-t0ky*B5%D(p$IeQ`TMcF&QUP0F00KbV1ts%jd zbNaZd5cgF@gx{1VhyDEe=Kbo;Ii=^+_mz8Ht^FLKpA7uf<UeN~j_}*Zx!;X_YCZUF znf#QV?Vf)8QK}Ms5gIQrK(AEU+NTG!TX?Z3nI+Qg8EkDdxYPof>Kx7;pO*G~y5o&Q zjQ5)P7ST_fU2A=96TLO|1_JgDx4Zd2!QLCnKv`v=hw<6LtHGtcLlYfI0-<^9Ol_w! z*%0ZP4oEX!^EO}qFiFy0W)mN8R8gHM2^}#>v8)XK#>r6BImH$|{~TPbrvac$^SppZ zv=^IDzGp<J#x&e;K&cfe)o5h?eW8*Ho)T?Trs_$OQcy!%+0~HHy2h=V&T_}D;3vK5 z-OqO)X!id8#N@%ZPUE(FtGx!y>Sb|i8paz9drXgg(Eb}gpDWNQo8me>F*ea1Pa@++ zb1lbK2GK=z(NZC&?UH_N9%$?)C)wsFFYj~aAdMJ5pt=~`&i2*V5?`f3lU_0O(0iZJ zmB4u8yaz8Eoe;<q=&GK?h3{kbE4|Df&!?pAXKORpk$KCAM=09f$(FcUbN&U#7bKig z7-_4%zR!mq|3&TG1h}{4v#{l#|Gkk5!P_sLq}xMl5%A^(>xL}@K!S$eymVeOU73bF zP4vO_&dDc6j@>*@^`?<0)4<XEq~SmruLg%xhVfZt-vTz#&*=g7C#t03@9>hQe)QA& zGORUz<uzW4MM9QRFwWx|r%#@6o5vV?K(-eU(3kyMxW?4_C_d1=7@95Z^GXy>FLYv` zDe`Izadq^P*UX(!3m}(d%2zx3t^T`I+-izwOj9ymbpc)A+PWBF9#;aK_a2P1hLv(- z$ox)v_Ls(K{71R8p+<r2s(d`Uy%P7Eb0x9bl$%s+i1dfYF0V$KkJk;tBgSd8Z{^kR zhj$FQedG%yQrh$hRlex?BCu>7Ef3qTS8=_s)U6fFT-6hv;{%RWAwsKpR}P-ec!p~C zQ$6I3N%-q})=G$08HIM9AH287=QX2&Ms$o}Tm)cZ)MFdVgrCgNmV3^ntZ&PybbP%L zGy1&eT3F4dXC=*@dnGup2$~qVKHEH7HtO4Fe_d+)?c{RH_z_~{Y>L6nrHg^Xn7{RK z^q`R5sNHEY07Ta3{w6sv$tHVgXJ-?x)CoMyVddOGo?(|-kigB=BQ`~7sH}^XztE#x zsz;R0lW6Q>m&6;u%fqO%?6P?;O%6i>qbHU7*)z?57TYzsM=)w>L~!i}spiFZvrD>0 zcdoBbm)XV5l#Lq8%b4XgG~_R6J#7YQ?2<0v8z;nW07qp3FB+Xw=f0h|GSVqHM}03L z)~`SKqCZWc&CR*N)p#_%&UL;6zBnTeS)Zd?MvoHRqa94dpH#3e^J8!%G(Y}%RJLyE zbZ=Edb|bq$+e)I}0rqpg47Sw=1qH+4#BJbOA(8cH*k!2v?Bnno$FAri(+^jj%Y+R! z^RLO>00#BZx3|S#5v4wBs<p26^OhwRDU=4)9yfE7lv`0c@59$kug|YbXENC(j5bOr znWM%Wn}0jXekIz=d`xx{i`PP6xVDnSmr@hQ+n9)K|D8Gtuaa;n!y=vfXYIhToHZ-l zQY=U$!&1;dBtN*_>5SqxM;6xy_qv@D^#o$GK|oT8tNtc*UKpB|q2hXd4&=Z5G?*0S z>eR*Z=3-Uc@`Y+SpI8IMn-p%|N^gfc{;&nE7tWLpso-9N8S<5KY<O;!z0()oZkMi5 zp&OfYk!zj!Brgx?_im>Yra`PZhmRc?N#$W8v>BR~<`Jf5#NM(kKQ~Z$xse;h2!uKn zcY*d-+9&TgtEa3#3Z^A$J=H9(XukBLv3{Pi)osZg<eH+xTHfi~`XFlUvlO*x2QBN> zgIq4}te*62fp22n5Ucj7TqmhBklYfvwQCu`@~i@Mhd%KKr>RkWx9*tH3k^dR3T%aJ z@6EQ<-#;$&33u9jAH&05xcZ$BajLYvpa$Y>MyptH`gwIy57Jg5*DE)dv!c%%G4ylS z#{z@U<%o)3Gmhb#=%kZJZoYq>pyf5W0PYSsgk!9e=`*iwZq6HjNr5_*ck7lsGp>-v zzH0hXxvRf9YKFH(VIgsV&mC2VWQa~3H9mHfTK&q+TjI)-U!unK6wj0<UiY|#nj2`b z%4&2nxVQ-~JX(3bBu_uygs|)9Eu{p`ftQ3i68v#A;JcKz{rc_lU!TUz$+VvT3`?Bi z2d~Wmltnuc<uZJ?0hcco_dxDx{OL~MLoc@Tj~WV7&BOr$FP4$Z1M}Vl``1G*?8~0T z8k*01?#18k0XpZH4rUco-c4R_f7vCv&yciD|6te+Ci&F+@l_Ogl$7qc&m=CXc{tb9 z7bh;g!x<LVOmXg>l>-xZ`r3Oe5zf&aW&L1LtWj$6{eIqgqV|&=#R@7hzb-X2#}`hG zASM<f77wevFLZ+#^TBj&Yy3Nnb!^Q>ES3SZEgf9*V*s*JZT356JS&q_Vq~}B@9GpZ zUSte)GU9m$7!i#7abxKvYR5!O^WjUQyIj+jDHUp2#WzC;5>>QLw&pB!LnIkDosWX> zKuvW(igoKpexSi2#^vw}Mf9hGUEf`e%{T7vW1YX~y(bQ$JXY;KT`OL_EgLu73Lb5g z%^2A>fB-kGrmdykDV7H&+!N|bW(N$!E#v|aaat?u(Ovr+?yJEG6yGk13~_o=(Y6A# zU}fE#D7RC4I})i+Xqwf(()bC&CmF*IqM$pa!lY4!mWx2;%PhCsaDpZdax^FZKh!N( za)JBB^z+$fHaX;P4|u6lu_byX89mBdj-mv8fNQI~S~Rp@&)7KEnh&b3#fTe-qS;pr zQaTNg4c_|ldK{@!M`U^*-v4)<zuur(P>?9#kjNXmkV^n$Xplr#R9A-xO$e@iSF!an z65`qgmr4X-UuyGVer;yyqDa-5-QeU>VB<J=DttpnCN+&&#(p%|eGVUN4sFOP2>@>b z!}8~c=ub(K3Tk?VwI|_vztDAkx?2<_k4P|yf9L5yrle@uF%v2qr(V1JUW@(n?c4!b z0yJnc_3AK?Bz&tqJ*{s*?0MvtZDiUvsw9J|<!}WjTZVR3H#G~>vS0L_O3t8oA>2gk zP-COL!pWj0rX^KB!eiRWer6`=A>hn=g__#%e*DWW-?mnHtHn^`sfm_eD*_(%uxBp? zKUZoxu4}vF$xM^9Y}Vk&RquJ&4;ht5TK&Y_#~1tg#o-(`+}w31^!CU6%PM=Sw|x%F zY03lX4??oK5DwY7BQVGeT<uePI<DSItJz%+4#&h!WNknLx(K*0`zbc6fNq4IOFHhY z)HjPSgN;Tlg*Gfcsw#l^Z;kd0TNCdaA=_qlmtulP%jRC{S*;vh5e|Hpuzx#reH5c| z#dmp@EVoPZV|n8e>m2XvGI;U(abN%XT;>%TUgEV*=?w1oiq3N`v2Mw@(LO+xoxeiV z`q)DIvu=vl{^Q&@V+w*k9>5rM(s=B}mNwTE-+dm?SEAm)m=_X2ANl!E(iU}ozl2oH z`4VgOS$uis(kf}l8<kPwB8{xK_Ngmb8U@*m3NM+;WN|jNH3CY*yz<$CJ7?b0?Gly# z?9r1-@My9wd4;XJBP∓B1gB(=8ZETi0781K7=1o$XD2<`53YhXChOkJY0RY3jZX zUP5F??jx-?vom(kw`#j_qmWWLuFDta7-<X{N7%Peeef;yL}e={d!|gLF8j2_fl(r= z@j+kL;|}Zx;w2&zf$6KpYY&V57EKk5Cpe_?Wt~#=cM4cCmQgH=wRF&#d(JGPF+Fm4 zvPveB4|RLd4!)urh(I=}XTwJ;XO@neph_t&(utgKdA@^EHdk}on{9R4w3io)>MGw7 zsc}vyCZ9JBYZ;D9W`WiY6wG?y1oc@CxEvm7AVq#ALz#0<bjp9oPEr{fak}~G(lo{W zg`Jb#3vBKgGHO_BhXm<d$laU;>Z=Ynt7BEEC2m3cL!f~Bx9i-+lEHQlMr%>@bUy;a zXI#<&Eok*ub}bmi=aBlmgu7=hmuX<sPY1TXrzvA_0ZQsj1dxMZ`fF3QGna%OnJgiF z%qG&n_AKzx!NHT+`VT&$>`;;@+2orlqSrHh*5#>j?IoO+1AbHSA34C!_3tm{@;Ol! z4M4{4BW?hiGOzHWsFSGW*4OBezR%Bt<?xg{d9PC6YNWTKb3I2ucRFeA=$bZB>W^U2 zkX9V_A*m2b$g7rl#etJqyycZ!NXxuG`fj%OkS`0`RG;sT4vJc)(fA$l=2EtK1&-Lh zB3H9DABKZDGrlCscg5oJ!9+I#8M|!78-JKEm9ha~SeE&4qRn#ZDZv;zewx^AStn1< zP~2pMYzc|z^xR&H;IM^5W;;LsWCrb*fj(XN@Bo+UL*r4yidi2Sjns-l8BUqP&Xrtd z&q&KAWY=b$E6vH^h<R&-R*tOLnQf~ES<l`SKJ#fy4y{NE%KKQY8_O;Jx{u7-mwI^b zw%g>IFUn`=lfKSN<;k@jVY4h&N_jACo7l4&Ol4WQR$?kfu2*%<b8Bs3TK%>??!?{1 z47z(m2~EIy(g^|H{OnBFxD7gQG$a6+H_hu(UZcZ{{TDJxa0jC(@2h^RKA~M0E)r~a zcEc=8!4!ZP&1W&Ty@OKUR~@5mDaFCSN@{4apETPO=q79z2&&sB!h-|c$K_6T&<CGC z<3wau`~-r3fnp$w^0EL?N;N=JHDF#ffLk@7@dLanyf#S;?5Tc!tn_CJ8yLbU^AeFc z8}idj&_dSyFRz%Z@(;d9^c)L@80I5e{Gk{B!i-n`FHF3i!T7?dC6PpVHbD`B{8;*F zge5~5`$+I#$mAbL5{68Q!#rU;AqYxB0pF?emp6n+{oi;)3b;4_aF1DkxJPc1DNob? zn>#te80#?TmW&(0B~u%=Jf~!wbUt>>!56BShybdX{r|RD(E;L^>S)wn*)RTS&)~s5 zRY<CcQ_{ExU#ws?knqaZp$HR?PY9KS1KpDqff~tN0j$u8fZ3E5UpI~jR>_{(Ma45? zz=w?V?0D9|=Na}dD$Ip}B%5tYV$YwF9iQJA*2UT{3^eLdo4#j%Meo}z4TBrIoos83 zLsa7}r^jX?u#dMY@U*B2&Q<n%(d%I%B#x9U!U=Iq!U+>aGZ7pN6A_SSGMs|hC)j{D zOa$hxgO~0TVTuk?Jh_6Ko2k~06evRlQlZ*Wx)}e3qmc(jHA3IPsK%9_BB}g6Fse}( z2f^|b#h79g;}7~s9079*xPQYSm-1fxlHo6Psq&Y)47jk_Y+(^(h)Nv3oV*ImkYAtq z13C`q{v_r4_3GrZmu9*cU}aGegdvV!U{vGcAH*@Eqse6Ls+R^-NH;c?4C}O9^}gTg zRgCYrNk0EvB(3B<_^9=jvnWgUj;fsZLF?Tc%Z|B-%=_+$<ooUs8yMxNmIK2c17X-B zidT0W;RJzMp|D|c%7f1z>=8nyC{L@FY51n5bJ{Td=VdR7#rM}0LW>8Lo}d+FHem8I zwvt(oOID%Ke0BZRH<CLs1F+aj2&EJ>MiUw{4~^l5#x$;B4ZT^<$tSt^b{8$Q6V-_K zG+C5>ULSC8DHCn9HDD9tC9e!)618fMRVb0rq*!gVdAw?Rx+mdWVZ>r>t%J+2$e_Hy z_ar2yuQ8>9zQ6Sz@yPkQwP@Uj1{9F>XSQ?*5T&#Fn3WZf`wQ$NQ_SDP4Ve2qb4n!l zuN}e^IoL+d7JxB~@c$2cZyi;~vn_rGcL^SX6C}91yF0<%A-HSf5Znpw5S-vnfZ*=# z?(Q}x-z&en*1PY`n>+u^AG6je`t&|^`gGT>y?4>|som~iF`Pc{q(1Qbo=K2OKGWPs zu}pULf$!$UzcPi1cRP_kHkrK^uN-zGTu7O}6m0wmDGp?7+ws&u@?IEU@3u65>4h)R zqx$GIt$(A+daVj^?F_J9J40N{vex>X!Ku<EdV6cxCOTB0HQV^kicIZ6o6EB3unAb@ z9+ZG-qRXcJ+4n9EGJHLx;9Md^#*QkpPHao<zi$<|E;yGD$4Yr0gPw8$Dig8jpnt{C zKj6ope^3RLNKolPMJIbCrT{T(D)dDdva2BSQ1%HZ_S3}pRBa($CKL@%HTmi5-|)RZ z?ASlbf5VUc9ozdSy=PI$`ycRIz~3l75Wtn}_7{aFhV+MC6A}FTwo{BY6ol#hCHf=2 z4h{W7C<=+X;DCt4zi<3S*i>QuGkOpBL-C<4sJQ%vB>sogrSgo`A0qJ&`1yzO{Kxjc z1wa3V`vh`9;OEZu|C>wCn1cI%#@+oZb_Y}+ywm?rPd*nlR+bb?EE+>GR8d)k_*&w9 z5Du#-h7d>qy7n9pm-v@#ob-=OKm^#X>k9+1sxT8Eq!EO@ibSh~10daDeu35?oIpe~ z_0IppmTLYJe*JHt*FO{}=qMV5#B+c8!e2)S{s*)94}SB{{{MpA1pd;#`iDP2>VK+z z1C#%_0f~TE*`UP#So=aEY!>gYVds8g@U1y30PLy`8?g`zPI|O5lUJq-#vh4j5#0rp z><D7CM<A3&s8A$&On8V?{my-_o0FjO1I@rKOd%4?Vn1>w{E`8YggKBV>Yw@=(W^gm zzxzWH{(<fOzWIyr10ivL!F1GwaDO1cgJ=-x_fNdf?Lp#Y>+fhDh`lQVp?Ev0f3FS} z52$@a`Trrs|4%}SZh+YxJ#d%;td2c}zkbpb4PQ-oeRBiNSl~wQmdaoCqh+MiEB8O? z0O9K7EN6Q)J%g`ml|`>D>^N^8&7rRz1hOz$phY7nQMc3l+-)1?_N~l|jL>t*Yp*}2 zw4(STlKQv@I*#gxVe(|3uMSOR%f%?BE6K$s{QfPdINgM}A%_ylxB9d7LlNplMSKdE ze0D8Vb6ybe`W2MNsZZ-X`YC)O>~XaS%O_&<;<(Gj>FNB(Yg=w!Flik|MP;z&>Ix`f z)a#Ob?}Z@BMD$T<#;W1<M#QVln9JSM$;_@au83slr_3ppN?oj`Sv9pKDYoAcE^Ggs zLy&E(eyhV?`;o<iw>;kQtN>cL{AE$nzZm#R_dP8-to@-r2dC7ow!uo^)+y7kP9f^^ z!_LNIR=!^jUfz7>DD$8;<y^w#!Idi+caB%yLFOpuqAulGLg3NT3Yn}3=IDU>NO*fv z#az#EtG3=NuRe}tbCF|ReewZ|qtrBLjr{)0ZB$_gyqaftvY{u}8l_{7wlaMBTQlJK z<65TIyp-tqwF-{Io2tIZv4$!6;3p-x^uX)Wqt=K}4>u(1$`q&g61s<3{Zy%XHz?If zDL+;B_!4*O=|OAW30#{n3Z+=vEyBbV1og1O>V&cU&PQw71hX)NTSAoRrLj)Dy#CB# z#z9TWJ`9N6CERFFgWVRwu;YQ}+%mv<CpnwydCNj{{yTbep;Q0>nW*1=Yb=N^;#;bH z)nvLk8X;yHWSjyoFOp?Jzs;wj3tF@1@UuSYfX>X__(f^FbWHGSvWhpw%)c!1_*l<L zcDyxT@wd>?fHwLk`cmzhRS$~70;-Bnvr9=@K>Wza$}LLWQ1Zein`dmQ$R?muXAnWV zNxeAd_3Y{8?kc?$5nVea;hE+Ep>g(*0*!z^`DoN}-`{H8u%dQ`d_b2K!rr{Rxm3Tr zS?U_>W;WLSF~jD_ddcQ!=1I6cZEqvSrs1-_PRspZ%c@NnV*veINHwMuDF2AmQhi$N z&1=k=pa-Nx!^fDVq>nK-$nSwOZjbxCDcL^jl|rK>C$K(r69kzcsidw)n>Oo~+wy3n zhngSac90quUw9GA8myCBZwO-Poq2iCjB~Vh-oH6lm~c^@lG$jTlFdGRapaLawNUO_ zu34qziBit4^T4aT3EFhS)uU9-@lqVmu^yuB*3=7ih1W7mx3N)chb0CE#OAWdnfzfv zD;>TAO?V>FNCQ)iq-D5M@eOoOjPjs~a&g)4WW=D~nDx`AkHNR-H|@TUjlXP36Do3o zavZh_FvIdsax>!aB$MeB$`N-Up914q^s2ezcJ?PB!0JVghusy1!6uR<Ho-2EB$NpP z=V5;GQIh$8CH!RX??njY4<HD#@t1v+a-dv%mS`nfeKvOx3dKh`f@q16Nu^)9=&x}q zh7+vk1XU>^THO6pW!D@&2-WlBEKGsIyiL3ATHWVFXZiwkdYn|cfX?r*tddDQ#0xu; z%S0<X7GXi}w76$-#;XY`_)veF*vZntD&CuVE2QEZk10vw0Om<_@x;fZDg#K>yyd@< zE_urf^<kg(o$QR)s1NCCX-!I{;oPCL+R*){%N7DD`S|O~HA-)M8&%3XA&A4K%3$D| zvX2H*!>26qiB>Hv?F2%pYC(8H%<p$SW=M4VDyUk?X)|Jia}MU3pS6h=yz7KWre_lC zmc+q@T^&j00b%F1^W)`u)C!yHog3R5IMn>ja))DKa{*tW254-^312FFmLBu!lwUDU z-=PfDM4*t==h~L6D8R#VTVAO>auDZXDd~a(O<YYiXy{u?<pUV%TxQ{VMvN7UbvD5* zign^)nwMpgX6DEU_C1(28kkhbG01<{RsEo|7uri3017j5mKEZ<QPT#R@hYVykDZt` z)cKMF{ad{%B+;8!K;IlD)BXE5xiA_O4$9p_N45hChZ6SPm^D<GzC#N4r45us<_P8F zM-XS?#94OJK@j&d3(q*l*K#m?3#@QOj&p&}%NazvEP`fm!iLgEnYo!Hs4fds6!&cf z0)P5AASyMOi+5D1f8xZRrp1K`J;M5khnlNC!Ie!ETx}@2<r~!y6QBahW=Hhf7&Tky zCo#0Y4AgB?6Aq+ix5<(?*dW?RuU{*ClWH9cTDz-L1#Wqtb<$iH2}N#;oM73Xt_WVl zcm3J#IJ?}??tr{sxVLIA;S+YwF0GDsz%^CzndWAu>@%y)HutJV)DxjS<Jun<c5m;d z;b<v~p6<+MRcCE7<u_=iYlNE#guU6k_2~L={Y<`1S(H={PvrC*AuQXa_(o#h{=M8Z zU}0Y9he-$$jy4!h*2{>{r02UM>&W1s-JJ^BPvY1g_ZmTSZi2#FkJx)d=86o!^mN{9 z7ucdz)i%>~;KqDTD7EvC3At$svnkaeMV=I-DNjP|`-iVwuhZiVHLpy3oO(2B2Sg15 z5oQ5;ZSO+)=znGNb4YtmVes$w=$T2=BY==t9bOA!*{I-e;X9W0hVQL$ovTBW9O-{| zA^haY$}@ETApaEJbKK=fS+o}qsKX3Cz|Va}2Hilf|0(+bQ-$1`0`XEv97g`K=47;G z&+<~mB|XAM{Q*f77uS=1-*gh%$SKW&Ctdb2&#Cc5#;AgFL|aL-(t6gcl)16Od${2B zQq+=`^TPzgm?V)LUpdo??SAtt9YNBmZX{Oe{T5~9dR)=xd-4}|Q#4g<ppk_9pzrUA zbEarZSacNaUlxiAUeAVu@;F_MY$%Nwc^k)fnw{7)g@&Q%Pr6$sh9=hf*LLcY5pq}D zNGnai#c6+n5?NTMWVbpW_I_lfMdxtAUqRID7=qlnlxNrd=J-Lk1ji|lk-k|hTibfC zcdB6U^xToAWCc;;29U2H=Sa$@3q~kg7<3bNPe3o<%gB9mdQdic)sA@jzAC-%pc6Ia z5_F}lM#*`BS>ZSn(0EQ!5lfw@%n|EBPJ6qg7``r|cD;lF&*G6`>SNabJ^47&t=VU> z;CsOek=oLMrd@;KVM7b;*A`6WHXUof3I&-yEq>RdQjDpaE?{^>A?N#}^_Or0mCuFl zS=0VGLX7xl9Lv0R)TZuQ%aIkZtHxu^qEFOab4?$PECZOmv_#Lk$`hlk1&VZBXOFzw zdwuAaH4o-}9TqF>S{Cpv>vcDrYHH%Tk9Z@W7mr?STwq97;wt9n?bHHOYNA!saBnMb z3VQ>0v1CTaS^(U!7F_km<Tg|<HDO*SHlEw(yX9F*UT@6yH$UAsl=)e6hX8Mpy{|I) z(Fb@=JN3P<f>Tlb&+b}VZ;#eUS5mD`X1-QG$2%zLCec|OwMhM#8(7geJhe!P^=+*_ zK^|L22Ku&@$D-D*%u4)b^X`?w(I(?LrKF^}MhD-Wl>oraY$HEGK9|P^`n9m;UM!ct z51`9xc5v^gWi=cJ?LpWcw1iJOtgUN#R8+C%cD8~l&|>2@wz?>J3^Sf5z0lc4s$VrK zvfq8*s@7Y^o!ED<Z~Lf~)4W_B``e9sMhBxo49!h?Mkk?O49&m3q=nK3^n0|bu8NDe zlCX<F$!TsQYaD8=ijIeH;o5SdN^)kr>Nm4+Scg(NPfxM~p&`WVWaplh&cGa#Q|x** zmehte!>U837O}CExp>hz#|E{C6Bmz`ALID1w_%KD=kC5IiG%c`2PLs??hGj^C)G3; z1v7h-?@LlGJcf`t=`s9xlB0guhO+UJ3;SyW1*E$LuZ}07p8Q8ZKe@E>>WocwzomHU zcw!n2ZOM8jyT)tfnz4HYeva1XCAvHMu%VaVp0vqN*abU2LgOw?pmZ;%6p()eK?NS* zW;QXO>~5hYL7x=s`3%bA;0?*mksdjoNIcf&=-g>@=O&Tw0(h8?K}EvocI5;pK$ev_ z6~<MQOMdxgB0GEByRXG=qvzI`ZDVz$qU8xQQQOo~GAU<II$V}^d{It*1D-F0ZJl4= z)8<C!k*UsrQeEjd7wv8R<P)qZrIvL8EhHj+wSSTK0*;P1jYQIN?q}4TRtv=IdR2V+ zvSECb6XPCMCbZ{L6)vvKeXwXB0jE%t+K4=hH{&uFSdZyIFx69<XxHivVg4C`?wt~) zCcy0V5<fLIVYu~AQj2)==0Oa$rL3Eznky*H$Gg71C)bu1exGNzSc1KUwr7u*p*_%$ zxU^*`WaY^7vE!|khfVDD`RU@Ua<h$J4}T3<@pQgMc)7=R=>Nkm9{}}tPb+aZ*S}=y z1$&fP0=~nq9F*S(%0Shq@JK9~>`f0Z^9c+ZBcmgo4<Qg4;oarrFjT$pQkBbV1tm)6 zEbF7*jj7eRwd2T&mBw-{<<rWM2S`FNnI()Gd*F-+9xQ6pCD6g1`yQH;@=r`)b6GOu zmzz?0TJ9M_u+dTkPXi;ebaaBsX70j&E387cb6FkMn-6Zs6O!Q;Yb~NqmU*+tB5Bzf z^tpaY#TYZFWnAUDj|GX)_znW%c;nQ_`*nn&;Pd9gK3<cygm0fueZP4Q{KofKr#nE8 zWxsQ6NL%W=ck!<Id0~Kp@Zm$znGlOfr`NJx#wb>8r!DYl)9dlb$%WB6l!ZeoqgmT0 z)f8EDhiwaw3-NmiVc0=_cgSZ`I;%!Fgoh~cse2&$OLN?m#A0~cOQ9THUguY?V|qTu zi$&wsh)Lz*sg`>{kAKo{9xEzUp>(eXLDgqbE@Xou+q7V{o>5$URt#_b9K8<xWAw%4 zSEtk{nRvi+VsQe4`RdZJ7B_vwe84!Q4hbh%^4N50O3|aD63I>ySCSqk>B_uHGrdS~ z8QN0{v-84%g|i6p2ao<isKIaIB&#O7U*LH?<G;ic%s3b)9K_^u2?k6Bo!Xn+Qmb-v zVDD$l(F~e6rOQu~<w&{CAyq&7$XSJ6->*NM+-w2DJe*HvprIINvMn#x*N2)I<Rea= z281F-7M_DCAqo1RatQelijERd17V7UI|TK?=dra8FN<NXL`kaHONvbTeWy01<zepQ z#aHZO`byi5$z~Hr&$^~C`k%bGdKG6gn!B%$^*O>AzC{$UVPpgJP=>pBv^uQk26(LN zv4?<@9kEnTj%59eZQs^Hkh2duI9rv+2oG+p9}bk}$nF(lbtIa35zPmQf<q_c<lUiq zxX9HB((OYY*<v>9e8e!j)|!H^>*d(I1_tjF7A-DGUYHDSw>JC<;*{nG9u7i+tH%2w z*e=OFy7!9_{EV%f@1H}=|7L~fm!*>*O_c{MJ)K3jfn8?Zs>wH`y&b;56kon|nU=nC zxA7gk^gZkYtcZG}B9F%CeRoSoX{_Flp!8)jJOjYyo8-{PIX%wT>y6V}I-mLRxzS4f zP286WkJ)j}FvYM2Hwzx2K2=$z;dcHmihGKy%C?8KIh2pjcK0tA(W~pxL$>#o5Bz|S zd+U=4^4<inuJ$}!ct`!oD26cTt#Q$7#fA;VQs8y#P4R6`I(VCaKdOGI#rlkYf31zC zXe&VVb>*(_g@bQgnQCP#_f_$#^mUH((e=gl$<tO34{wrwir-eR&CT;W^|kA;fII(Q zFw$#L2cNIILzCK*-r_~>1-l46rw9;&oGXm{{sb%)R!Yn1H*xMqXDN|N4iP6EyKno> z+$6L;Pb|`JH$1t_woWbZBM?ncIHJ<sp%!rgTxfLx6LI?{l)2psBH}rrx<Mo!_+gaX z*GOj0c#?Vq?sVcV<4t%A7rPF|A*n;L_$DBMFG%ncKeLjrCqN!TvxdSD0Q+g161T9N z#Awo|(VKv8{XyAf*hFR_HW?()>#aRYa6<Gx>ZBim^Kqc_CrnB^GkTGL2FL<Bk~;5h zpwmXwvjTU*dZp_}gxM}bBfWaW+D%ChV&`ZJX_F-}_mdVRP&#amXfLi|X}YEYs$k`# zyGeL>F_<qb=?j1`S6;wvNpguQeCB~iwjy`~VwvctdM0x9lEFz*|5GhOS+=5#79B;3 z_nAu?EsW5!H1VrKC_mb!9^6Icf?MlTbWx3}X`Yo-<utC4SdQF2bk}oTVGZYr!qkmb zH=CWNVOFL-bb%VmwXtdUjcj;NTD3f6-sh1|54_&|_k!=E)W88+EqQDH2$+|h?5w8# zt6KiTCFG;KTG^x6QnMQSN3L967q%4fDU;Wrku?l8grV*UaR(ilH}*l6*3XKnc^7DU z9Z)h2di@5G+<H)U&EF<*zlzYd??+F{-rXWAYc+coj-}{ZU4egwW5cygCH|l*O+fyj zp89dY#X{HE$U+VP{ow<Z{6WW!)$qLD0s)`0-*~l&^t*NyRy<Fe+DmQ@9CPfMc%!UQ zC?Q|G-pIU6weN6_mJrjg_GA5~0u=%BfuAV}evSk}Om?I3640aWcmuyU$3DSnqwpl9 z7Ug|b?Z`iyX%*#pH^6uJBcE2$IZX*owSb&VQPoNb4N&d*^Uj(EjY@!~T-dY0H99RM zcm~7wxqxz99f^z#&E<m>zY610m&awPC7BgmDwaww6DBdnm#S+)zg~AaKb_KFI}{!Z zjNgh0tnKQ*%bH#LwE0NFlBfRIfEatg?ROG1e_An>G<%ezE-{uh-Jo6R)rctgVxfDd zm6opnoE50U-{^iTP&f-xhc~G#P=ep<RY<eoFVL^dSAxG4q5{3i1HEAyRIpPMut4BI z$i^7ac*{2*y`&Iz{KEe^wdP)OBE2q}llR=<ect4R)O77ycJYtrd|^eE*06ZY0juId zZ>aEGu?FZI78K03#^5!7h4=Q}OZoX07C8V!I`{OM`jlb20<LyfE=FD_KeSAz%2!rD zEzLr82VcGV8kwB!cYEz($}47JpJ48PWSD3QbN^}rW&F}AEcb@FcMdSo@%S!0*<+B_ zS4bkM<Mo~W8&|39DTM3k?BU^Hx-9%4eb#51kD8@e%9JDNd$bhi_wBS`B_mgoImdm# ziKam3Ntd#3eRbtJCM0dkJ9sm~A4riI64Fdpk&PWsKNot{T=`R58C2MBwt3uCTMs4~ zZ=I^n=30BGahsr%k+8e{{dKIb*oT^EbjFR0U3@EjSgf_CU>xCNdhPI%HrGoyA%hhs zMhgd4u1p5(?@&fE)9AwsPYE^WbESR*1}yzgo39&>x0jZV3k+YbcI<*-?#uSh2rE+8 zK4b$bBcg;KhYU`lKOP?%Zt%=vpw98H|9VH&mS;~OGfKvqJ2_;Flq5dIX+mNyW9^hl zQ9S=F{tTk#fi)%k3>D2S&8hX15YG7c1$p<WXXt=Orc?rss*}+z%&}<0HYI)lddQ^x z9uT0&+{ZZjlQNCk_~QLT)dQSHno1GhadRk7%^b_XR{M`mI}Qm!B--Ef$;z9Thlka& zN~kz`Cqo60RVw|<#%gU-*;iZcb3S-18NYst8!7I}-OuvY5gLdvO(>FPZ3#&)M`Lr_ z086%-G?w{Wq+t)9TX@WYnGnFi<3Mf5h`n%=pv^)!GkxP?026)vqW>*t(ydW&0YucF zS2CV7J!cZ~U#}>RB+yIOo~G@xKA)Y$t6Mv-HCO(O?r}St%ILx}MaZpAig=R5OKPrg z?`moSCq7B71+ll(#KS6%4x`6l(D*7_l8kkq2!+eM%w)U@_}A1pPhIA$0eQLsp<YHS zZzeD07siNeo^JhR11znJ^TQ-lM4*&i7VF_MC|R|FyFA--6L`~=MVvqM)EpHA^``Pz zS8b$Frrd<5wwSH6JvM3x<sY3~GDe4J%s(WQLw+5zNA=w@c^B3F4o@76Ll<h@4c|8a z;IrjZ&$#O=Fj633y<(x|<nV%@vxq`t8h0Wy&Q6i;7l{8J<G0Jq)*YC;!OqRu#`X20 z_d8L_+2^UjZ2LCm;SZc%OUQAIle?m~G-iQ(Ez@1_20Z2C!uH5lg)=J%@QD{!uW4#d zN9yrw#~Ccj6B#Tj*<CHW)G#s>3%lhR03JhzA+O&7<Pu^n_j}49THVPRp0$M2MXBf@ z=3m97IP23oh<1n7So)rz1zu*fgGI^1)Gc20$6eIJ&p}SIAHxI^PBWXDn)1(twO@2Q ztP2UA$a~nEkItf9>o`>Df+L%sMdhQH&Th`|d`p`%irlOGzU%}?dFE=S(CIZz1Ec0M zm=Y%w1)dS9Imbr@(>E-&Jz3l<E4vQako_%ced-aM*bv2vJF8*B!I72Pg=6A%v8|zY z6I0@js);(n<IyuCF)Gpu2;vf{o-~8W+N{y`nvM#%l~~FS$Ri(X6G%FCDs-V|k_qz1 z?bP0<*#GWKS#Yut&oe-rdHPsl0MP2$&U#@9cRX$g#DATzuD7<XxRb^=Bb|e==Cb11 zxyhA2PaRqY6WPej9J5H!eu33#9INB}%u;5jXQHLP(K}dQ)s>NGZ4jhIz0?JN_l2#S z1DkyUL36RXKhdR+kTO1wcG|R*1vR@L)8&fN!DNLeOk0sXnnZ1KB(ERS3Am!1UZ-H) z$ix`O({<NELn2Elx{M4Sx&8#NJ^uK)3lg=IO;*c9wa|jHlulMyL)8LzuvpS)28)p@ z9LHHyc&&uwER{q|G}e{(eQ9c_qBKj<5?V^4$TAlRGo$pWGnJwn;Q<vRM-*IrQ;^t& z@mY4xLeV+Sl%YmC4YyL(Ilz@*9hxt^P-+K>KR9{Lm0%L%MCnNyoh__^s~b{{7>8^^ z4~^yodWyx7LXzNQ&hd+gKeCC18~z}Z1Xn^Ex#V~b4N|4B2DENSm5HzIyQIu7Y1rHF zQYeuH2*1*DG%-XgnIpLnbVI42CrV{{h&*D8aYQN^F$4C=3Jqggy8snWlpyQmBz6Vr zrHCaj%qaWh%B~3w*0rSo%qZj^Kkb~TB7=;UH%b=|fB;*EUrFvTO~+lQkUCzuR`x_a zLI|wQyDZXE8c~qC9H`mUZN<;68`{49!f*Jrwmcy*{?zN`xF|=Xv+RVz2HvUxbSz(@ zpND4r2jwSuCq_nIwgAFN&ndT#tvZuALX`d8OAC+Y%8ZQXle0+ffbad2q?`m=O7BvQ zkWcP@zN1=BSmiMQ4}3vz7VEAF+Km+0IJ}=_JE&E^SbQ+0Ia5!IiuU5G<(N*2(ji#9 z<xt(6<Kc34UAcfe9l)-Zl%_asO0Fu>O~8N~Yqdj({T@%$)Bps)h~Lb#w@#7XLed{X z^D4o1=r%v+ZlJLR<)Eqlj;YwJgFb3t$Bgkb2nLUr)PdG*Z7Tngaiy>p63e3rr@cXt zV%j6Yq2AD2p#R3bg+?P=u=8<Cv!TUFPg^VhC^KevX;UR{>WO!<D|Q##2g+O%ZwgH+ zEaW52RdQS1NjadIMLrj1T<KwjnZY75jKItka100wBh!T29Qy6CI)B(2PUCYxT%P`d zgnhSiWtl89l%kffjRWaD*5+KRd5U?H={jYsIohg4)<?AOdFuSR@+>p?=#K=0{4WVF zJxJ03VFHqj{7nMI3X;spn1Uq30K;FEMw-RfPDs#I<4&^R4jR0Zzx&!@hyB`}{Z?Om z15@S5p?8MMaK*%)&52-Xl$coukKM#NS55uH%6->8@}t{iL8`O!mBUc2PNj!eg~$*X zr)$0`-1n{Hj!DT#CTuPZaGz4%Im%+iT{JT3U$%97ha{|n+S<l&_vOH?Hg>*P%8)+| zSjS8zvxj8@XO0=1msUCZrUQHa!~l5$&X!}cGq;xdF?}h&_IT?pl;BPgLh1&K;AbQQ zpH_jKg)BM+^V<*vjMSF}@E}v5_K%20!Tb~kfnlAZ=G71<%e13l(ej4{djelT#jjg( zbZ%AT_Nh8<_PU8MAcIXijWio4N=|-;yrt^%2LeS;yB=Svr?IS#PPB$uOs*ZMC<!?q z6Z%C9#!&xKmqzT9PqeBAX>GJcqK@b?yJ;>>I{Rwn;Vp6DnO|E|$JA$|lt^r)#*Lce z9O|p?*|OSIM&v8LRZD29)K^*3!PBZ+hj?2=HMpg+G=x{n00fsZN_7>F3SO%XCm!y< znJrEkO>QnR$MT!*GE6R6$MPRS6N@BYMlG3!yk@r%bn<V!9X&PPcIQW7qSDGqyj|id zDoc<&wQX6o^YwHrlVI?<nhP!2c<~G7R9KDjh(|=PmQxW>vaV{(C&sjUZ+%=>^{y%2 zuV~eP9)&c`4)}PUDN5<Tl@`6V{FRbszwCE{&y!o<GRk7wSno@Z`OSeFMNRI}g!q)W z^kYFtAO3SounZf!>-EcK*4n;wFG}>$QlDFNMN0k>6M9S4s}Dn(+|7tV3Kqs|A$#g1 zg71Ov;s()5ju`EiKJFeXoNr!p19E&s0*ml7Zv?jhxk}rylR#Tuw}0ci&?pydyc~MC zd{@H4vFo)IzOjEyFMI2}wMNa|A5F&r0CS)uKdr4PG3_C>_wAQAqem%M#Dn)DdNUia zu4W_Z>kU5IZ}A>q0li71ZV$&7R-c!u1zlSLLtIGS-zWeNCF$J4@2lgMFsmiet3rVP zVEt~XbR;=JTRaSD_>N*vWmT@&5j1xpz4dz6@`-+LOXBXK?KOH;zdjUeqHiKj?n05} z<XR4tNcLn#XQg{Z2xW|JKg#^&D1?3=O5^h`LPur%iyKA!PTK_2Y$>`)Tq+aJU2?+r zcyp#a>KvG<neXIYkDN}MZWP@T%tyd~o$BZqdhX(8q53d)*S)arC8WkiE|<+nc_eik zLY`abT0<Yuveb97(qNl*5|A-=q^E#l8jtSLz@6VyZ{H3zTghV%gJ^Y~P9>+f7%!Po zyHOaqUB+X`p*_7uBD<#ceXHL8`?hJ__ky&llD4Tb;FZfOPNL*QSxn<-Q8FNMly>Yf zT*h1Zs!!RRVqycMxu|58+HJHeBKeqpE?S*MqH-ubW0twQ?c%)B{&mP6$(0j#yxO;9 zP3lW_&vEX%#Y#e*pj@3ZJ%lPO%I4oBYo#8QpQTmGd*5N=Pjro+tiRcw9W71`#yX$v zsoh`n08MF8oi0o(+zWf1I7`R90uWL0O&Tb@92>PrEbH^U9j^)baY^}PNna9wnPu^= zV6Wi!*(RzymXxTKvS2(Me0`@=%276WIH&tWg@f;choX|>$CM0V9oU^Z$p^;WVcIFe zTzr_FYCemHbSbK5-`;(A@+kKCElEM6!`a300AQ>37m`4KLO3QY7{YggLv+{d#1`(k z!OS3vsn##6^bph20~glSduNE_-_ZW+@bxi_F43b`UGLDvZyrM8NV>BhRQ8Xgz5GBm zEf2Bg)S#p@r{>g(qDy<0HV4(d3r-Ybb@i7b+HX4tb8Jd6Q!Z*wF(gUi93kDjSHlBx z_xs|Z@@a_>B(#M>Khcx?{)X<`9Kn*%9>cMSbA<WjC|P0S;_`+{(NP_y%M2W5vGLP{ z@RKyw4^;wHXjUY_f`)?Wp)41$U-np*M%R$gD6Nc^Zi}0I90@iwY)p%F9#xTw++7vA zUyYiW(!;mKNa&0QFHH{z<gI^5@S3OrZ!GcfQac86g*1@)Xf+DkMK>la=9FP9Z*k-V zEQ8!~n`B`4Z-Sk_m0%{DF`T!7Uj!QnH0C2AUuHD+z@wXfq0^niI<WPYVTyy_60R2? zeKn4Z<S`|cH}5wsTy;nNsS0iTyV1|-A$V6GB2CQL$Z_4TEdU&QA>ZIB>tW6qsLP87 z(tSeP&I%rN_g$JGN|*5W?O$qBdj)KdcC^aAXzrW8yzt9K$;*?30RdwwOD{4Bu3RBr zpSM*<G%3$$z#+WL6WMY6ax!1Fo7;%ahsOw2mHN(=YunR0?Gl{JWOJ7p0!H0iMi_o{ zO`H_lou9?fE6}qScfIZw4Ghu(B?7-gMf`j}Io`!m6@Chaky0iar@F%%$H?l8iXflN zur7|~mG@nwY37Ix3c=mrUrw1E<xnn=9YHq`=8T6r7Ls1erdxFa^Q_uMsEuPaXg40Q zaw{J}@XfWN#Cg|4A<ZBWZcC{rH1K<W@d+(LvcxHpag~R;t3r;ycKdk~xG6`1*Vv-< z9ZstMAZtxv;xvjZpbd_cO^ht7-AR2z_-?Ppe1{R^9i<5FbF<7lROJ`Wh$NRO4hJeF z2nbJI&Z0uU-+BBY6V<WMeKiQAgvG3AW2i`Z)$ee{$BY*xG^wV<&>T~6rQ}R4i6}bE ztF9rG1);Dv+?{q#t&z8edAXs%iZGexNK=BR9)HNBcY@d(-DqPeIrfAG0?yy*vl4sl z)}O3+nQ*wu<2wipB-D=-i_8Y7C*KV?qQu61qX%Y7j1ISH?d|*m{EQNX+3_Hg`l2kE z5rP|4RiG`}Ed{u^3l?#=A4`6K9{J-@x>ujr`QRs&Uhap(vM3u^y;zDZ$;gbQ`f>e@ zgyw@&ywn?5;MhCxMgj2I^+UJT6s#=q0`NMe9@mL^0c>efNFAC;bf=#zn&UlaDT3HC zpI`tThFC(UPLZ&2C}oYVJQ;Kan%waKn7Bp8>alp#dB;#Rco9vqUItq+Kb8o)0#2sl zJ4yV#+Yg_axIAIwk$?Khi7QQUvW@Ra_;B^1S^UQ9cMSh-O!7nZgK^R~%mfnys33ru zX`paIq`rTB-vIqKL5v>P`+8sW06`>J(M%N}9%xlu{qhBtsLo+ttUOtmV^>`viy^wd z)~Mn;XMA=;Yq7{K;Z<QZ1$scxaNVT~GmbnmcJVZ{QQdtey>qpT*Ei!-cD%TxtGL26 z7E2`*_<(C>g$i$9M#La()yILn&(7hTGA2<bbtzAsdg!YaL_iuW5!;xC(PjE!5APGP zRVVBi+y?YUfZ#q__#O*`VKAEgH6k521T}w`BT0;hTYOw71(<C-=vD%dD(9<gOQ0@r zx!k?YzLy|EX{JMx;G={~^iVb}Q4!>JgkCo(F9TUU%7-A$_d-MC-A4S~5u7BUX_qC{ z6`rX*31gH-{zLR$f|e4;kBIyz)DQi;qVu$H+vn-9h)^1;i-Q}S1yaak-vn&5IC+W| z${_?@oh0mXYd~s-OW7k<fy}(iFp3Z;n{bzd6<E;Y3Zv9&Z8rjr)r0m#z8g{v#3x2l z0(C5zQvon+7dX-flCZ~|B5*vT^tRB6d&9AkZSsd44P#!JHA%l5aldAdF=kHb7xNT> zT`rT*jcIIMrQ_m$|HAl1P&nl|Az0a6E;27fc3eSXMtR_Kc{D*ArMF){!{IxsPZ@hG z)+X*dO4Q+dB5gFFg9!45?6J7*ijV*X0Gu3_W*BGUK2Om^f2Isx=9Kx)iK+f4tg+8C z)oyYkAeAj>7P@y6TLivMt$eyn=b*&PR2FW!-MC2qkqBCQ-9C9QYieJET5nKpt~{P& zXfS1((d{_<z(dhG|Nh4}+_y|+lPWkKir-WindougdkW*<QhQkcskr!Wq3pmp{pOqz zbZ?3)UMBh<^UT;2@ixv>-g0v>s1#R_cEYL3X}#&+<WwXL*sF~xyXoKHRHOiS{ol=c zOsAM%hOvNjEd1%eQL!2&xQ1C)5LuQFR#N*a>M$qR`$26-+!0M@nT%Gl(dSHMSsTfX zY^FFaA!DL6Y~q<uG2Ni9)Y(HEx9|md>@%wae4~&Gj4<3ANlmb`cLo`1r&tIi1=mo$ zM=XQp@O>sap#<{;lb6Pa;-B#3vg`3d;S7M#b*$3;3`nnO|C3%b|BYVZHt=isXwuo> z|L7Lf(t__ovL@}Qcnj>WtKdAPo?+#W^2F(-^oW=uxJuzA_c&#>G>Cnt2SKev53-2A z%yA!BbHwc#P7x^@juhL~wwx->K7<<G;Jn&(C_)e4OR&`7p&-%V<{Rb{i`6h$wUfZz z;B;mmu#m3f91m|iEC!|H<XCe|)%b18c;qRU$BscR))zeDNZ{x;YQ#6@KD^BtUF>W{ zY?ytXE?}R@>?Q|6YD)QDd~BVB!A?rtO%4)G2?Yg$bk~M8$_!g>6^m7Aj4EE%9~-zV z#~tE`Cc|KI7WvZii{lEpUjMK=R#v57I~tV&eZ_NHe3$djcWylb-+$sloCiH5&=}Ou zVmlo*C1yPW;l#Z)l;k;HJpzdh%EdFjkylDUd>Z2wari<e#Ce34bDe16yIrG1+Jv=P zE<Y`$mji2@;f<B#^{Ao#=poEtCf`H+mvz@2e&=$RP9Ja_v}V9l5mOQmMKr!5$xnrJ z0G)fVe;JiE6i3Rpcq&dF3h*ZDo%8fQugoa{jgy)1Km#4<F?X4_OmuLC*SmP95C$N6 z%1sW1U>?VarhtMt29``}-QLHY`3H|#l+2;GQeTtz?p1mp98Xav^dsIDCsNX&BQDVx z?dIj5gDML{Oi}eZT2jXU(eYR@NXL@zA*Z9yYxz8`bFZODx_?0Yh;hoCs!hZMmnf&n zM85$UWdPI-9(?b53i`KK-Xg<^{&cD9GtNk{TjS01bTMjM_J9jeqbbpXto@T!Uvjz0 zACD{6$g(2qu&6|*JbIVTkqH+b(e0S~W%e!|dI(=hk^Ubl&a`tIMF*+4YnxO42M-0u z3r6NuV0?504_HI|a0CzV?Vmn%Y8vC=)=zOzBl$<-|JtcK9L{zTbSn3jYEd`j+bMjI z#3yEo83{j6M+2GkdmQ-clG?;>N2x@RAMjhQ2Wg|m9-3r+KW1ITtWJ#{jY(jvxSzpr zWf7fg3=WJkYcG&84P>hk1CCtgBx48q-*}1w$S%{7llmRG)Tzb}d_mq4q7WqbKIq7$ zPc`Nq7ti5G0%U$VPlx`v?-&6x7pgG}U!I20A;-*fcR3^^nNpmw;v5+tsqW(Igx-m6 za#2);|Aja8X9RiE+^cjkQb#I~x6g~^P2m7J)WM|@eI5;-B9);{&i4b07baFIyGS8G z{(CxE*Z6+N&1^e2Ii#J%kiHMOU$Dk3s-DSf+~i=F8lA1=IeGRzXYKKrT&5SPWL_oo zI%Zz}!_4+TEv5eO9@IoC?7x47HfcqI=5pg3L?cx?U<{0Pq3k2HD}z3ndeDAi9Sc|R zNW%6j!>jCNTIPl;xJn0kS(bn6X1T_`A?=ilV~u^o{lHTcWLz!|ifmjDN3ng5nGWu9 z@y@;+pjZdz3He__q6F(-A<@{*KGeAWkNP6Zn(IQhYcb8Ni2Ngw;e<5mL54Hzm}weu z#wmZmLjjrX6|Wt{2w32b9dtsZ$wm}he}cTl%Y`J^OJ)xkblmOFU!`zPUor!A#MFP| zBHah~I9XX3$_;snf|(vZBNa_5<BFrCW#>fPan}9QaB52aW;i>E(*J5WnNxoZXVeil zQd=dH83t{D7Q$Gb9C^&5_OH>(zlnQ$(<|GuP@vY>O8Mg##r~$NWnvSbF*t*d$$MU7 z8=Pxb?xS}ynOD4tdtUNepxt<zU~X_u-T2aAZUnEf9Zp&z_fvz*w0J%rdKGRckYhQ1 z&tt-qsr)~O9+0t%I4p+Mu>KWua%h$gMTK@b*Fb*w&gqW};v0igWDD67!HJJY@uL8) zy#Mc8^nuTBk7ZsU%LwF=l-%VYwwpw?LFb*dH$DEVHtZrDcW}FiC0$D10+08re=w7q z-2WL$l>Pgm#6LSfKnfbR_=z|Sy<3R?*6oN}-nxR=8{gw7RR?lhv1Ryr^+^{02sZA@ ze%+3nZsasfpoY~1*+{2DNWy@l(0uO>CqKvup?GCpLB#Jp3xIaVLA#)5n*0j=AoY8K zxe*qz>=_m`>MHqYsQ-JpycaZxA6Uv2D_PSBU|_2B=Mbxy6GCHd!-`AY6Q$%G3K#ei zrDpWuG$U{lbh%r@+@K$XPdSPmb<E{s0=ZmJrr<v#j^DwTv!o!G8Ht!VB~(323ToAi z=bQd5(AoU0_Y41Td;gz{O|ReliX>7sK`co5XPgk@K8k|(=Ym|mu|Yn^^g6~{2M_^1 z66>5upuso}$n;e*r{1~SyieYH{`KE<Zp2LG{~GyqoBk#8kLBniW^%^<8OllQa5@sj zE;9-I4?zI{MFlsx71>pl_4EG|68`uhP~W~xN3CacAElqmC|fyMi>MBFDROo@Z<=Vb z@aFPL^&UF)(X4nK+h}=vUIKf)`niU~QlXVMyPl2k_Tt20j--`$TsDW39)NoPAexsl zWX@N~9$usbHg_^~+&YJElD8^*MFqrP%6_C;PqZWsLbRsKObUN+Y9DCcDk@g=;MW;z zAF0tKr#Uy^)fp)~I;N!7`$#*lzVtFN=d=3YV>N!NR|g#_R}2Q}FmKDcbndx$yWVgM ztyi;at`KEyY8GiAJ<I~Z>%rRvNY$~`l-}+nMz<=wZTCl?4f~?p(A>|TApv5$@-Q%$ zV=#LsokiWZ#B$*`Sw~;%R`Ce-B_9r|Ch+R`8>;2bZqN1S+rOgl;}5R+zNsl6cs$ms zzofMB$zfgHm?Cy74V_|-r3sg-4|hh7=r$~YE4Ee4K%UtmFRpC-n6U18Muf$T#Esy? zms*%zhTb^ypX`Kv*RN&Z+6cJ1ja%sW)^4SqKE1pga<sf{o$J5D3hv-!dhyO&lPBEH zN+)Q!lIeEzzSd3q%;Twfc-r+Tc4Sc}x(&IKc|392jMzYa1uOS%{>t59Z13slfv0ZI zG;Z#2^n0{H1-Y{}{QOX;^uRX=o92_-N1OC%GvBj<J|gzE4okf_t#QDzbyQz->x+)} zky2xulj65k3wnxSU8-eWk7ALt+GKj=Sp}(i-A78Yv)b^|1CQpP9v`l0!70jnPYTjB z-8|$I<H0Hd>x3veVC0tvw$2j05aHIO8w$4DZaAH0(H>ii0<a!iTO4Nz&0yuw@Ja{H zre5$kh0ZgBH8OcWdt(9Mx6DmJ+d4Oo^BUuK@{(JK=WW+G+ovTRr@wWVg#*7c^n-UK z6CiXR=zP(-xuOYJ`K<NEne`R!o9HJSymI)xBzndk$nv=nCssm!)0ZC0n%SSZMa0}v zRnQFAxh2=KrAyC`2UVuk=u}4U`0B06je_AnHd`7`LQ<Z1OBFc-Y`?kd=N9grlOpv@ z@0Q(dB_%%GD){SvXKk+~o*+w0=6E80pWm$emJOrcz(Z#4WPDut)&ffq2?Y=BmLAOW zT?#Dev;*~L$0@=A_eTJ@pQ{0WwtZOf{%i!iByYN!yo<-0j_mME(&9OrcMLingDi<X zU{mmHvANkY%oRchVxJ3S-TapITur(sJ;PJ<fkFA+__F~=UAToTxP)h&g<r<Q*W>hR z2=$eF{qtE+#^|79Zp|O{zWsc6MrA#L@c!wjJtlM2)3x&rhaX-oBu;qxR%!gjS#3c6 zxavaJxm0cH;w7sUnR@?~t8AOXW!{bTW=h#L&9jNncK#b+Z^B1rsE4I6={ob4e%f7M z$zIx03-wAOdR+db<l%950`+>yfrUr!?M}VE+Dw&Jw^dQyP@Vj8YD~%Zvtd>2wrjNy zwW%RzWrogFev8}1_I*?3YVQ5@PozTPQ>7YIp<k=b$knbhw)Bt?r4<Y8nA0|uBFE)t z)qV$UuDcw7=UemA*=x`yx~J7MTD-nk^w&hLfj*(Gb}>!(HPtzU;`$k6C2OhP*T;@I zl_e_P8FJC%%BLrpx^kBoOJ%0pxwp2$#V;)qbvZFFDf;xq^_bi<g)vV@r@G44r8@bG z3jMD#Rck6smN3O^WJUniyj6*HVy0z0$?e<=Z~h|i<?EYG#GmG3rJWeLAep`h!>_df zHC1agQR14O$Z2T{C7-ujfS_gdTf6+7PXDTE2LjxTs)vy3xA~=CL(r!sZ@MwaHB_t; zY9zO_PrQ%^)HZyoV0W`KI@`)^FKK}8$wQ;i#le)OiC$(VxqQmGdl4Ussf7X|MiX|y zA+Rd?x!+Eo4~DNC4SUd({DPpBn#^a%<d(j1jPw<SFRz5mgz=o4id1zCgSo5x)Q&Ho zY08K#%eorwJlJ&svVF`m-Nu)zhyjrp>@zil=WA;Uuj$><@#zCtMfV1Uu^BsdvIh4J zB7-n@Ft16o-;&_lReP%P+IWimEnnseA~3R-yIaIyf1E}5i*P!<kI}C3EF5JK8ASv$ zbm{hC|8Q!9{~ApZAm!@~lt*hhQEXv6L|R7}kh{Ao>YiKJ`uh5aE>odr>e%>v&yXnt z_|fa?0>v**+ZFGxPDtt6O!G=hLQ*Pe345ch-jHjfaqB9zHnqlA-De4Ljmv!w0X>Fm zzkAFdSBe%jt}AEGS}POdY0g@|&bJh|*&&=+(jC4qP_>`$GFUl(G|QN6Iv6v(0bv{} zPAfRCgJ3A!ZLx}M=_4Jyz0<6=!Fj87_cV=RKc^{n=OWt`Nu;RRJTuac=)6ppURVu# zYW})Es<|@sUVFF4_J@%R1~wh=F`(wwd>mD;*0g(9^{CloJ@4u$bk@}9yE87SeZy?F z<!JI?&IH8xNj9&4w0)k!{W<1W!*Yd(;_`a}EFZ7&1D*P`$kC$it2O<p=0`3SU^1(U z%go<g;yv5&uXQNn4XY9BbsN-U9X&+9ndGs|O+zLwNM0+9gvYhjAbkj+%HwB~pZubb zKI5pU-MaKo)cbnOUsxT#;00&)UPMPOvoAXWVBvh@*n7a}d<biyG*z^phshCxM7NP_ zHjEO97|eIOunCDN%T)CW-Zn&Fp?kOx8h`D<G&+?9-CtyQf7vA%O(LDvcD6kdrtd~r z8~vck?_DXc_%Ig&bBKcvxZq~>^wz$>2Bn?I%U>|7cp=2-yfCkoM`RrxV+D~?*hzh> zPYQSpSp5Ox**F3H@l+C0`G+IclALf5U+%{@T8vP9<4JqQ$nXb<NHB=sioYiy;$xR{ zz!EBc#qT$}W@dRljFB2SLoMa<xJ4ov1(2a;=w7Lz3CNJ5WiNnel=Q&+a<isjOb?_2 zk4^&yDnn&)rzaN<-1HA3n8F#Iw^>iZs;CfXhHg{XPq@cTk$&g(%Q+D@#h#8C11Xa& zAHF#d?wy<#9O!-pgRi>u<Jdpy#hy`L+bEqe3VUL}c$f~odG7$OfWOx~F&eVy)x0Gp zT!+{ZLbdijazae43vijC(6M2lQaT}D-}bMB(fYkGr+D*Px)L4|M8Z(sN%^!qT4dL` zjJcxq-e9qaMVIdVnmy+(GX8m_*4o_kzU8Uu7M6cl%w^Y#U)3$>4!{1{@`uUA@M%w+ z=<sSvA_46XvL7$?l-UwcAs3BlSWu9m(^Zgle%MeL?)?n9r@*MFn_nM79x)E0eAYWg ztR$i&DoD2;EXePLF@aheyqFh$)DwcWTpV5}hwTnduWK&2!hJoe=n6VuQF#qG^iC(N z^S88x-JT_iFLsd7vV_g4A0`1TTz7l>>M&FL`^PV8YA9R#v@Qrb4G48DjIgY&ZhEv4 zE7e=YL&g*J>;UuzzWi!WiSH^%(jtR-zU0Ew3a=vAm4q+9QeN!4R=>3|lK_uaf9!T> zC=TW2))#+ALY3Vh!{<Gd?H@=xB<Fai2)7;zJ!c(Sjy$rleocSgCTQ$dsjB@o(Iw<d z+a}Z2{<&`HekX^=P*u?9pCupQ*ya7;BBIZT)>{s00hPtgyvJ_A8g_Fe&8#J1SZhA~ z*$vXJ@H9Db#dl{IFz2IN1{F5^4bb;b{9qy4Kc4qq+Dj(x55}oR-Nt7ZzEaBDxxKTt z@c*!Zp!(#xUPSfYa3DZGF9uoT)$pZ@-q}2Ebl8jMjMcV6baxd|By+p!iYv&NI}jH; zr5hY}6&T<XbP!A}?w=-yC8e@-=gQGqPY!A|rRKH;*uYdUC0scDDG*v@Asn8Md@~K6 zaA6b1tV>0H;IxZTSPA@8#d#@Xjre>jXfzg*-Rum{`PAC^b`-tWv&$b9H4Tu?eyF7r zF`uz<5zqK~-Qy&H;Fqt0$r%ftJF60kg^Gy+4HRn@VpofhM``=%Q>ovydfUeijJ}ia ztnAkO>1%Q0pG}u>GWSBMJCE&%+Bm(*UM;{V4c!<Bzl^?jBr?AqCRlraz>q&wW<C(5 zP!gJ?1*dL*_9dYf?YZ%s%zj+z6jG#YHKsMUcou8>C9<wKm8M#mj$9w@%fNS~I2>#3 z9bnrx?qg3tcL}wplbe3V$GY{VjaQG$pRwTxS-1~t!tmbMA<)x(`0fuo{i9Uxt4_X; zobR*xhkgazv-Iz%`Egy^pZdXhdUi;yUa_#pk~A^oU|dwg8tsCh>AlDAVj48*)-e>5 z!q2;m$-d47khp6!?Yzk*OFwvid^<@VIt8d)VaD`zbeA=lQ6qMCTFRNJo!lh|cOQEb zNq;DPIM<<V=c2(rsybv}nsUvRnCJbJ%)YjPy!_nf1T~lNyMWmWGpxVsFwb{j(UADN zZlu5jn5lFX>D#0TXAV}Nn&Ccs!*AZEEd#@x0E07AqQhEX?Q2p;tLNS2<)yNZ;1RI0 zy>twEJo-b+Q;+eBO?}|o^VtAJj^gSv_|kAu{0Q)Tf1Q^(^Sbpqb-6TgFb0HlytTaC z@zb6WUfQj1aifPE+-}p=?Lh4Cvk=dSZZ)qAoGnDCr7awVXje->yH%31m`K)vBk1^G zvBiF;N1fR51pmd7L9XPANy_gI0SBB8xmO4sTQhz&^i8<Ksq&SqC{P4fh#o@`5Vew} zC-XMr!mTNWz#JeBh~VHnr|K1@4@mqOR0q$ZtW#zlnk}PyTv8^LLjEoSscj#>?CZC$ zF+vW4Cdf}2Y&@G2mcK+fneEeCF#Y6iKaS}6*+W5JJ5t|$FzUsyZo$277LWpvnDnjb z9ZLz4=?c0?{OP#WIN@b60$Oie1S=7!7Zz_2_J5T}_2;hR7~}W=gC?;E)-A}nhC?t; z?;8@V9Z3tnH5>L%v1N(6*(%B8dz~hY<^Q@Yc|p_AkCmWsf^{$?u!l{P9FVK~HDdUH zqJ8W@XreeH?p!E<u`%^l=|BxkhP$-^xWY@K$-SGEH=hs4pvv+lpFXb9DuPG$73`$? zNO6#vp&esx9eU-oP#O{Pn!>nCFn-Tbc_6ZTosJ<+(>q~qLZfG_fjnt^leeV9?2nhS zacO+=xhc1wQNmWGA*wVv9)RuvWqbH?PCfL6##ONgdedegQ%0e&K29G1>likkiNjWv zAv){(Q?G>`PK@#xN_9wA<)?pK9R)L_4Jz3@7`U;kyb3D=e2N4Af?5xv(sX8-LMwEl zexT7BtSYtPKkCvslFjE-F{_k?DgL8UY?6Lpm$ABR#|YNdJJ0;tz@4}S+hq&15~7N- z@m}DI#wji>y_TX0OF|$olK6Tsfj7@^L-}GK6}^sP!IV^2CKrCAO8=qLxavw`5!Lo_ z!5jCvGSZpKl~uJW?ICIw#6cZ?J~BIpa)rlw$s}?gAxX~8EWU)-fpt%=)~01$)Z`KO z*9PCO`E@~pI6_ehZ6<oU%Q;=XLsW=QDVKn)-g=G@aM$=V#I|<lI5u%vfk)oP<xamA zg}GI;v0Pm+h~Ug#4Q0jCSk|Jhcn_>G>eR$oD@6kv!LwSkY|hn4zh35&nDbh!VhpoV zS^n{UMHJPh-VHs_N;veMZ3ek`!<CHrm<W~q3&UxBy?=zA@<<Gxzgl%Soxi;iEIJTj zC9Hzf8z9SyK+21VGI*!fD+lgign%AnrKN({50V#3Aom1pdjDT^ePej0&9-K2TOHd@ zI=1bOZQE~byW@_{j&0kvZ6}j&?|sh9nQP|9Q@GcvrJqmLT_t@^Ovw?#=%2_gvXINO ztYQ>?Z_h)y#W5fU;$#%rE2qfBh{(Q>M*Nc$G2)4?HgbC%E02*%qvyrd!KBCI(iHN| zW}Mo1zY3AFM-bD3`wKPcQmf$_9q?m}K`}c`SO35wSifGTc<y5|*Sl+tTaqqqR<U*O zpfp&&PiAOtW9l2-<CbJdd)rgn5HSfXBXX^&G>^RB=Azta=$GrYHiDeGQRs0<2Tj4( zSFi1*7qYR#P6}P^5j*jhN2m*&?eO0cZN6~(-L-d-n`@XXcV*laxHq{8I3^)-tNG<w zuw~q26uMEkBz;HXAze#AQ=2@(Z6X&<;2?@P(VT<B@89OL*&rZz=H*6~kJ%2ACd5SK z^e`~7@zOeaB?yitY8M#Hm^sV+rOW2~uH_C)^QpQ^;hg9e`DY!4e=>QQ4yvhN9=#us z@z1P7;ORDkjhpUfPY2)&NBJoA{tlLUbF1aUta1B9;-KuV^_!qQ)@);#W^3)U?D~$w zz~~{C{-*`m{ea#v!4Wv^SDBk)2`lrbTbbLRv(lFXHIW`@53}B1&O6xmUp^C23L~i? zKk!fAwzu*QMQe_NxJX8e-NsH@7nsU^K5A*)<mEHOP&?n>FsK6HVM}^=EaA76V})K| zba3x6rxgp+&AR8R?fIC?952V`Vt)((JYJWse{N2ed(`oKENgsxDU8=k5!~+tX8WQK z>t*}GHZrcSKklEX5C3W&;Q6|}|FN_tef{oMdhqkQe9q#sz@35Ac^9*6&cc0%PZaAy zy?E|=mo12~Qy~i=2MZPVWB~2!!bO)m>=AkseCn(z;75fZ{m)8Z(EzrnC0x_XN-Jn< zI~`ccn)n@zZnX=~F&qL3r%*C9JaIar?$}^bG;iBr#2P8Vztr>RxC)AVGa6>wOTUFT zL=8zwydrOenr;K0>55<}*0x&ZvW7d<tCc8N9^`%({Q#)Q*X{>rzBiRBas;L(TrO>~ zD^tj;OS}oMfc(nkOgeyjuPZTlP%q@Vo__jOI_V$)8+grMrIhuVy-d@v7}Am=SlHvc z&1JUTW??nc6TOI+Idor>ihMPdrg>FQ(achPIz>lOU+O()b3&Fpf;m620qmqG--L4- zjmei$z79CBolX8TOYepF7Do@GNOK{n1?1Gt9^737*D1PtT8rrt+t0}fdH-1n6qyUz zAh>g?Yp<5JSP;Kh)TsX{6rFa)6-dUJz~0_fq*s8=29Zj4Lp$_Hy7+Ov1AGo%%`muC zxYKc=CLvuq3M<-MyekZvmsqp4Z~I#}-p6fR*cK4q&4mbVZoM?1CNJDNBDt?nhNd6% zH(zf~3Se%$P{Rd1Uo+cPWTm#k=H8TCRR<VSsX+-4N;G<je9q7dvhCoMWuuNHW9Hve zDM7&zQ7uEQkWzhnxxX@`{`%qDS#GKF`a{5-d%%+kVZuzUAdNy7YxByO!>8nU%Tn76 zGa69zVIJ`nq6Z8+;K*G$CU#d|5gIi0?4PWfyyIS}xjdUYN;j?yC1_AaT(!R8_vpI1 zp;vF3pA#OydW(43CwbuF7XT9Sk@Dbf&=LtV5Mbi3Q@c#V{^~uQ8uv#Pr?fqTuss>x zwiR12vg8$QSiOs(DO3Rb_EER7obj=aryj6ulnIfdRkh`RI(iLPT&wv~Jw{OwMjdh? zhuco=4o%4bzM&`kwW&vUnL~kl)8ceuY5t^z<lnt!lT6`oHb!Zs8@{^4I0}}T_C6#s z?s0~ftrC=qV-Sm4ZLUv}59{*m0)>IyDE8Y|YEgfzc&9<b^wdUPv3xzZ_4?Snln4;4 znw!0(?>sm48u-Z8-bzgnRo>2~jc1ahwQ;uzRHlO6pb+p_qFU9AD4?pm4(`iYmRb!8 zJil<LQ{v@~sTKf)U^m%4n7_!@HPZrB+_x>5go%!JY7*>Ol4grp=*9aAw0sL<{Sk@N z)YFig45(_EiiU_%-P3GIu{F^GTL6qUfYB`V4VH;Vs~+K$+hRwqDlaD+EBd;jzkB1^ zTrf2?44p6bo`4U)Xl=tlA#huD4|2Bphk|C(&d!|8c%0ZCNcw{sv({vX^LWy2adl?u zaWs~Hwx~4pW1wfJ1mt!oOun645#SdsS8=W$X^YhY9~=cNJMRZ>1ZMEzQ3DpeoNK9f zKs?|ZAPc`-=lqvyLQ}85UNF81d*s%ROCK`rf9Q-Hc!0*-T8ch>mWyp5&l}!JnHZPZ z@Rmgj!k1gmaavQK&Kla`3|P)wChJ+;%@6Ae*D?a7*$}F60vCUB&RoATgA(&7gGO>+ zG_t!J^m=Zlhjri`R2B-?BLej3i9i)sWS!FKGDp$ckJ(iP$}@V}Ha86F!L#FNUAbEQ zt@GTk6Q<#zJQvFGyMKSEms?C!&r*Sm4(!oZA_$y^3NhgPaQCenCs9i~Z^A7Xp>May z{&?i+oH7&yp#^e3!pR1k()ny2#7lbM&J$ycalm_d5q4cU?M+QeMg|yC+~!mfz}t?> zf)wZDad4ziZ80t&6OG=wies+0;Oi&Z>7bDJ?LSdmpOgJvaF!c<WPW@5+_!BU$(<Bf z;XH-VC@w@szo>=C0PPdl3nZ=ub)d-iSg6#*)(EiuN$8VwhFPZCtyue8XlDXRC`J%} zMIDQ9g?qW>u0<1n`TNRpCxJ<=TJWisQoaq~;&GZuohs=?Jbo)U=Hm?PhFd;1B4iOd z8~QfVaS95W`7O3qVv_^|vjC#GPp!A!?Yu;kxF$oXaHZ$w?Q)~-x8c!@AjPB{j++-_ zfuxIJKRM0`?gKwU4rvZBm7jn7AfgPEuyz@#kQ~y`!Z>AMMDPIQ;KT@tAjqMT{*-;B znAa~g;fmk}eg;M;saGO5Pd4&#nC$gEm|;xf3m=%v1KS<uE=q~%<od+($3jA7{5V*& zK|%3<<xp?cpcO9Ev7&G2NSb`vhn;i}yc&XA8ay%Gz(aOFY=&N<IFQ;48Nl7B5Zb`G zb$>Ycv(eW~g5v{N`~vUv3GyKm`W;#+{WIyM2#X_S-Q%uaZ#|QKg*tm*Lv{%+-(+0# zF_#=b+Hs|WD_%0f+7O4!D1}&~!NQ*X=zPWT33jk%yYu6mm4Xjw&bJ(51tV@gwTM-# z53jGw!?7ou)H35p-533QIXvo&V2Un!rYz6;`Nm-XUUvtmUw<i&I*$qwc85y>waF2j zocha_E!o^TX>1Sl$Xar%h;hPumOAEUyk~!8Tgqd7uL|_CHT$t5G2B5=6||1ND>eK< z{5_ET9`KS^1^u(jQXn=5IS?jA@PmZfCoQVv{)Rbk7IHF73csf{j7AhIgU3%y>Gm00 za-`1;KuQ*y9iPPGE{5WDz72))r(@N+(uwC3jg3fp@q9hA?;vh4*Uf<_%&K|M&h=~= zq5^-NnpA3367OR&{aU3z+A+UUQ<EI|F+&kk`lw_Q+T}9RY$4-!(Ac`O?Tf;&-ya`% zb=&S_7TzdsaKqJMx8GbHx?ga*7$o}OJz#T(0CR4Je=eVZ?;H+KmL~*TY^0&5c!29H zX<p|Q)aO-n_|eRk^Hfpr%&B<8q<$lrw~Jb3=x*tYJELt8CI2fzOYgOt0N5uH-t!vx zsS!iu7RRu$IbqlW-3OzO+!(|3-bcX2cgeuQj(bpkT9jTV8>y%z0VT#kUa6PqQc{W& zFvu$jJ=9DQ?h}Pcn|(wae#r^fo||)vOv8V6y>w?l)dls?;-Osr5s24XwnR_V&!YU( zmoMB-ciUC#sU3I)%>xmnYEqK{VUR2=uFiG?Gm0c6(DjNXGh&xm=kdkRJY9>Qj>AZA z-6F`Uu5M%zG~<9$qd1Om9fXb_qrW2*P=QD=7Do%>w^QkYOlpf<n<$A0dWqfYmK-yh zMdWZ{16%W6hoC)-PJ1r;7Fp}t{#$^u7Y*6VHrp;NUzgyhdd2cJZi8;H+$+DTElpnf zX=rT5<}HVjVkfB9(dNJwXT9GKbYLiHD%RBvibHPu6}h06eifr<tGO%mDW$#$VD5rV z<l`_0e%Revr?Dx)WX`9m$A>t8quivsP(ORgmOVQNZBi&_T)EB#q32v`C|97Oy(==< zuHKJ{o{9^r^*vEj!gC}Z(*gZQpr$nLKwMZVl{qXG@%;dLA$}@nd@`C-ri6wNw<EES zq%#FdO4?CgjT5&af=8Vr1t9Ra0L=IRU!s+^(F)5PbL}VGuwh#$@GomfWCSolrieYq zdCs%H=M`Qrk7U0hXQCxo)9RUhha-NH@C!J0N>MG%TjQW4<x1vF@*`@!WDU9B?Te#b zpM*45QFb~PA16j>A$pf-8@#+kJY{$Lv3AMsTk<u%_4?!r6?&CwPy-@$!`DQ0*aJAR zW`hD#o*4^?AhH*2sle#}Hnd@0R5c4B*l#a~DfJ`yysVG+59fIaVHJv@(zAtKg^sJU zgE1DUAG{yTc=t+vRldHWbgCR^Mee3nP9+kbNj~HOn1W(~UU#Je9>{dz$^wY3Zj(S6 z^OD#@k9)9i8y{{5b^st7^@a2C&i<EzLO`v>Vr03?A{~4wZP~4*FvG|BAk42NenET@ z=Nv)ZwqH_}2_zLUsv;V^Wnnd~N)gTGeP-S{49xqSnLByF<&R~#wU$RP-DN&OmnXl; z8o7#N?V-#6W`I{L$TBaUQej)Ur1-(p_T1VGp4#c7qtwa)!T}n^T?ZP^q{Y5u9q_#$ zm!__&c1nUE#PT-c$mbjJh>p1)aA^{L(c4irdfP1sohM|*n|5N0=;Uc|*YYQLgXK(1 zu3Y4oyO~X)v%q|~-EJ?v@+i<ePP(c?P1~;vOSX5G(`Q0Dg}{OVu4W=Ig>Ec<)Y8}S zGw=_0$jz8834n&x%1uUYoWmzY8inqNBau{nC>MB$z)ia5p2yIsWLkzQKLoqA{6qB8 zr=PUnPY(gw`~`M+y0Y-KQ1gh>WqKsH<dU)aY36oG4sS#9xvAQP2%zm;lE@Z9I$#Bp zVSCpdBX;M&T{9L1e8(W~*4{b^7geEFn470y34m9*i~zhz{<_E*$`J4$DAQ^PbFGtF zyQmgz$qr)@n7CCa8c&$Jgp^UhC)V08j}yU;<*c1yQ))X9>>?!5<VY~PqbvrqIMkqg zfD2ur=k+NH>-HH?&Z620uq=C<-PhMaTQd)W2Q%8}X(!5q#5DoPI_4m)dlCrMCWZci znDY<lbAVWi!5FUh%#Mc1&EFuI5AQ^mA$esZIpql{bW{H^8I#DD9S?dMwJ3u6;sGCe z@ZNHz%;y7ZUZ2b|Ps~^4=)sTJ8*gU1snIQYz&QDwb&G~g-9*lqHdRGn#Y1b*QOn{l zE8i~d@m#0VCTU!fE`>I)cizBOX(yvF264TyH$bQNIKJ84R{e<kZ{BFV1p-~ur;MwX zs9Sq-N`sEf0N}r(asaM?DdMe4X>LMJEXulM-ijgs7U}u+%ELmJl>bwCAy}^jR%V6C z_XcnZh~ONn7Vp#vwJ4RlVk5f~9eODc3G8qzhY=z;ft%^Ifq{iGaO<YbN6O+DgJAa+ zEFk%8=qA>J-HUNBJcUVcdk)LFN@DoH8d}=$(b##Q8alA<<cvJSd#bZXM32rw;pc@# z$=k_?HS=coZbsE2Za%#wx*|A2%7HUz`J+R7y3Hr6hH)f!$J(r-H%hrR7r_><T#o(} z^EKrPr9a}Boe`7bA}*!sThfQ$Vk9%SCm?>OFy{7vp0;E2`>)}VNu%~ewrR#ntoKzZ zvqs*{O8+K#e)dc3$-6J`9px5M-hxRAqImPkN{zV<Hn3LDBsH87D2Z>bUuDjfz8{CO zfrgrs6R?_i5BotXRnTe11FMw~{Fcii8ikZu?emY2;#%FlbONn8Qa&D`)eWqESwI12 zn(>sWFWjHBLcG@E;-eM~pkA@W0q=TE9=={}>Z}%_ngps4-BZQ@ntLScKzq)KqViBC zJRi9|%PV;~LD0;@X?mu<#kmEz$-Q=;q(lGKc9#R#-m^3d-53Tp4;}BAh$XOq$`=QS zHl)kC-4{<d?L6*yNY&U*lIHdnK7b>$?|ACh!~NRx<<!JqZ)%Cuh*?c&`&F!;6zJU+ ztJU>GqU{#bZ4cyaZ%$N;2@Czty$*45TKSLTY*kt0>h(pOU6+I9T&zH!B$HP5LI*8Y zMW8AJJsln1@XZN9$aKiB&eLCoVRg}c7|^(3+S=tuWO%vt-i^Wqzb;K9$pDXkvv+!a z6A;gs<oVAw`S`AnXD@DTf5~E6)-1@gN2-?6^=BsmJGXuxKRTbwFTNEG0OC}mE&i)^ zDM3<nXgI3F({C(4%{y1UH;X`re^t-zu6w%nce?VK3)`2Vy6DMX#OM2PTjwpAq40Lv z+eWwfYF&LWdLmM7YDRm5vpL{#Cs_?-h&kcd0Y%q2gBnQtbi$HHPrhh-qcW(k$wPNc z!%4QLb3H`;?ug=g;>g2Xm2Va0z85&WQa&@3S^E#WGNrd}U~EgB;n1u}+!Mm0kZsEC znW@JWo`Q#*2u5%atqCR#%m`j?^N=Oiinp#EhJ4|KDhH+KB(DYxeh~mHNPWOq)SHb` z=JEUSPG%SyOmdX)p-;A3TL|6D1YlDr4lp2fWc?}$*RD@f!iL*uR4HDwAC&fwg$(u0 z5Ne&G@)+e7MB)xOk@WZkS#VQ!3fT?cKaw8^<;FwLsbY%;ejs`4ym_{3i#f_THnt{5 zQn#g9X+&*%N1Bf=hbREHRLeT`<fpM&h<E4U18zeHP9=?};i!FQ1FuNxppaU6PX1y8 zSf-nM7idJ%aqYq24!vI)F^(*LO)5NNFgt`~oV_{#|AbS#)9$B5tZxUO89Xu_t$-cg zE=iV0fqkrFw!!?=vFJM(uHd-e1L`PU3LwDXsP%`Dw<ckMiirjMuyO+Ga(DNWiJH4G zUjj9}G;KK%(foW)y#?(?u5HIP8@WIdI<72%j!ol0gW6z6Yj8|(dr|0yl(}~D7J)VN zCP|VvD*mAbv3nGWp$Jt8pBQSlGS^fbokbMQ5VGP8|I-snVP~N-^f$V%ddIZgK$t+S zz9d&i?a)J{6Sy$I7+({zWZM6v&za?g9^>z?@EeHzAteO?=dQn8NN7lQ{#cyx&<&2e z4$~yY;^Y8R+H<9%$exc?YtuWsmL_c3cR31FO=8gZ_P3LbJC3?0hK*>i*mTM*H~`OA z`#oPqc!pxLP|ahpJhMFXmk-)6a~_p(TCSYRfePxQ=_E>k7XsS;ELhpR_amU&fB5q1 zmV{@MMug9H@r(lB*_A`*;OLh&NmQx?mf*J0tHvb*VRuUuRgBD8j?xhD&E*6mEZmnC z_|<xf<4*GRWHc4TXMbAcb2RW{jt_v~X3K1=Q~H$Ag)l029+@dXDRdA@>IVr4rAtjz zZogu=QF;nM4_!36Gd_{iR7`F+4*O>~iRnHmLRx$ue)Wp??K3Sl^>vj<7!a~`XS}#i zWc?tLZB-^>yDUf*QIefpD^P4~TQj9w@lwK{oR=e8QrP%XH-6FCGjR9g^%rV+5n0+y z_ltSf6o|LJ?a_@u$s$j2JJT2v*0~_lfFozSp1<IL6Rb9P5E@q8$o<JZLtx;6po9{P z)*V(p>i)qQXtOxE2#EuCsaR!DXW|o$Ki7B%_NoiVY@jI)fxp2W^ag-z^rB;`(Z{uZ zxlD8zt8R354~~B(Gt}G$hkt;<ZMCwY&pA{inr?7ywYpTfoWY%egxGCxEw#GX{*83n zO|#no7*)B%VlRS!>ket3;ZtZn1=($&R=LPy{R^YeKFcQ6d`hz0Q2xgLtylbw)m5J9 zF6$2kZla^On(vGlgkogl{m%iwn_-r{zNI}7#!cRNSFHx|fGNb8F|zx%0@2SB*hW#- z1>railnb!qC-;-kp@T4I;oyl`6#oIC&TsC)n9VnjtQjDaE$UC(l&i3=y1`>Q2DxsT zRnp)c1diI<TBixSUQ_uatH0p@Q*h$E@)=^6-mZ1=(Pge-u<PVhK(a}J$aMMb$FE|S z{fw%-Pl?Z$^ba(hZ$bp=8J2C30(GYsYR+-E6b#^##x=R>8v0@V7%%?*7|&ns9?K(d z=<l415w$MqLRJCf(Xxu`Gv&>|cDv9eXuQmsXRQa%lmDsqo!W#CVVcDz&YHB!o@Uf! zd3q{p=2)j^kB8`;W1o}CNqUnf!5ldQ16Qi-p~w-G^J7TF;lbch$t;`QIz<m16%k)* zL?qzGV<G+^=%^&YNY~achFa%N?aFBN{9xdyZY1*=&mZs3k;GYLgk72U{4_;ea4fX- z0Q#`%@`T^eTH&kL7o+vL-ShsAgGfKM)6u8Ax`KIUp{u@5mN;0`HT)VKVcs?73z;Ca z#A$uaJ2d0cKFhc^fSc>EoLwpr1mD4<uOC2J%e(7&osse!Lz{HjO@hG^Fr-eDa9K|j z*KB8wMpGZE@1_;ArcvQ-ksSmtPOdxOkeg{<X-_OMf!7N2Pk7KYDkH3R0n)VS_1cCa z%w`NLWMVGuVW2%ScjSy}N)9s=Ra{;_>6yy*$91cuqo{u$%G!q)8m34`NgSR(0I<s4 z`~6Q*Oc_G!g(@w)?Ea%(Yh5S)OO=&jiA*fnn&t<j&hFlA>-$)!QKPgsoXI!KL#tU4 z)>5jm^@#U~&L4*U=0@&YC99>sQ8J9a7L=@|zvg7%c7P{!*#f1HjPJ0mf5<xZ*&UO< zHVv646)XPU2HU@1Sw0`2LC+L$Qj8CR%8pkhP0Qw_Z3yN#KIRgxNH*6FO<$`r?ih|d zlG$OLinVZ)2?NG5k+F>;JwW?yLgucQ&u)u9iW|LzzNbA?OS^>4#!0`WUx|f6I!YkM zqve;O%J)j>rRIYFWJ}i8UqWy2SXXUSnQG5ZT3>$H4?q=#04;a^gdbI-yQB7U_)ED; zT;<(OhMFiEoexywTU)lQB2a;`<?I5dcnbjocOYuNd!78e@6h?4%{$BxLnW&t;85_8 z<5Z##!UpXBNui(f2PBPg^3a5+oH%@za9dzH2#V473`QfswfXZ-19R=eG+F&6GDB+G zWRs2QleVEVkM?t+Gq2*E8106;S%Bb0{XODhSMQEybXV_zX4Fvc33X(DV<J4DA}Fz@ z1q~2)yS9+5Go0^UhcZ}8NMnEp`@aXvd(Sp^%x{?51mG$5Y{5n|nJ@>K1U?$R0V#Xk zfBeQWcZK^Oc0Isd7%n30yKk!M{GZp9@|3j2z?t@1s@6?c@%R&f%F8iimtIICK~1Ri zC>=oQLaui1<a0;)UjwU;7Zm`Th-E;Kxd+Z=v=lWSzCp8aL7(Acjl~ahGAc&oz&(s* zaQK4QzK8t3$8X68VLM4qKZiWiQ~#6$p*DE_Ijngmzqx!N`ifxVbJc)>hZDM^8{mlJ zH<hmCDpB~gU_?K9IY~kDYZGg<sV>NGiZc*K%4z2&{B6mYYKvXr?~Ep3=S7Jwr;U*$ z`!g3D=v1eoyWa4I2?bn27a+sTM00IQYd{`DVO0a0l*x=<2(5p|OMW}2+eE`i_S{kq z1<Pw+Vct1Im#>eA*OczRRtnLHhHdV7U4|(%13~P+Eg5EJLAt2@`(Zn|GS@K=zx9n? zS5DQ`FcG4ALd8>-(3ue60W?phUk!{7*<B#b>wfT9-qwKMx(l>jT!NpL>xoGNWOwEn zO;`z(4D?8<f(MkS@Go0cIPS+0pne{5h%yQtk-*(!u(~7?pt(x@*+CJY`bt&!?RDrX zhYP~$ctGuV+y2mHZcIRFV-GljI6z1}e4sK)i&g?AG$m&+fPb7dw<yU&`~e@yXdXrN zf)A57@Ss~p+qm9FZ^!Dd|Na~i6@w@y^^+0|0dxT@fn@9hk%GYfz+qGR{p9chb(n$C z68Jzxm?R6u?o5<^{6O9e5UN*(-~}E`%Sem(^tDvFa4_45KwFW53vF0_tPBviy##(} z3}Vz~o&csW+0_7TwI%Mpvdo_4uzk9)lL3i`f32wYGiHF$inA8{Hf`rf?#>j3h{c#4 zDM;%ELBitzD@aB4xJ1mU8`WR*?Us-c$bkW(RuVMsd_bQh0n+ck&HTr)2C#)L9D9&U zn3Qz{W+2EjX!nz$!UGmP1mQ$vPslYS;Xq{k=x<#(!^FniZ@-C(?Kpsm4lo9Y8(`4E zj_)FvG4`T1A^p1udjk^xvH}8%^kj&X!3s3;ZJXEwC`~s?C1-aa7w8k{x&uq4BN9FC za6n&JBedjSLH>7bfM(mVtf2rvO6^#snI{8;>=v+6kA^kKBU(svk@2U>?O15tdcc5h ztBr&!0kjOJbsC@0Zj*O3Yl;Yx#Ea!CyO8h{RkbpDsn=q~?!jK*OUds_$f`<!PB<pp zLmY+o`Ny5vV+g%0knbx18xcrI)fj%@VGP0a<3HQSAp2nR(4ZA4Q~>D;N1%Ii4#9N7 zWuwC=QL6dna}U7qWg3C&K}^AbQvuLux)Wy{x&3K0$<vv~s9FiaeFl2D$Efhde`<In z;7lwul1MjPj9wRVW=OtV$X1`V|EZ@1qVcTSB%C8l4`;vb)TpW>z<s;X^{?4(W9)(n z8sA19N0e9`I!Spkppj(hQh!P?_Khl#SqdjXB*?nX7IQwVY1J@W6=38a)CWLleo%6- zKt0y5-g$}oNgNJwj{eOt_kC6~hCEbXI+ekNIvj!;7r;K`P)tG=`s*K&2bXT_D>s90 zb<nDqWC79wy52z$P&_{|)UU9<=p`B?ad^}rVxU%q8*szj&BiaHOMBP%JAVI}(>-r* zPyZw)7S!yDHwG=?LC3i4!2=Kzy8u!5El3={B9E6$+_C^$dM6}Zc;^`!vgBR4aj20z zl(+ZJ6tkb0a`C1;_=b_Ut@&;$w|dBb^Y`31;PkNZFWuB8-Qf|418u$I4Gk%FM0V&M z_)aXM-*Nx=7|B4Kx~W|iaP`Kso<0A!DYNet{<1V9HxAc;$^BR}Z#>$KSA<O7mmgH5 zXKfOTBUa(tKlx&ShTi|DpNd?SyKg_`55_}7|A%`hNjCm(ADZ%$&z#GocNCm_awN@% zM_ha<j@f&AP}_EV|A{K+_?h!H(d-}P{|X53e?+93_)nG0ZijvlKuR}tf||+uio=Y& z(kC=LXc4=|#FBI~0oMPY73t>S4?T5MxiWn0V>fo%uT5K;nOb|LL;j~)|0U~vCycZ3 z6)3Xh`$p@4f1f(mNM^_bKo$;I1FU@M7fh&*{>l0C{76A3=2U0g*G09)v3Fu-D*Z!2 zggIlwtiD~%z8x#G8Czf1<FOMZrZbC3s*&99jB4A6uNmNwl-0!7lu=pXbNTRcl<)bn zA#~$-&Cb}qrT+Qa<Zf((+Z=GXhjy*V?#G9?)?r(_Bz}O7CJM+w?S4SJc7S=Nyl0Q% z*q!-B?@8cp7(!3}>`tO1bKQg6inYcbY@%R5z9zP_ZDVDB-H3hcUV9~~+j}pgd5|q> zgUFoE;L5y;?Q<JOq@0AWEq{YuTzvArk|^kdd_U}oEEVn=X^x+jve<|Y%Hx4o{b%xI z7vFNCw_J>#?-jsBsm;53i<UqU{zRBC$8$%RK*3eV<dx;j?T!XeP~+`uA5$z}5lcnw zwNo*k#{-U13~ctu$v<R`<+Y4K+Y*>D^IKraYr|tZg4UTV`3ZuR58?mHCq7|;?=_D{ z6{U!}MR?&jpGmR6nSThSAB7V{`-aC>>~XH>R1haFiw-dCG)D}{Kfe=QY-`496eBO9 zVVI$JHA@c1SV#iM+=U8_IuP@XzzQ_|bCP=o_(IHPTx;n%GQ$>CD8zTs!VNGnb7M9b z?ML@fwF*>|2JOcA8p`qEYsYArW%e;>LWA4*wc^;1mz9m5noTk5M)21whec8P&B;oC zyOs#(39z`0C7ipnW-2!x0MTUwRo(~)CEt%BcYhsBN+POph$5P{zeK`%ZzQ6Wr-;<h zd+>r3&J}eC1qvWz0fSRswI7AD#B+m!^Ib#gSL?#42_%}%a~CF@47jlze1AQ#pvxIf z$cgqq7HX(rRTR)^&wVY!oig|L4oGGY$P8QR13Y53M8@Kn{cyo>hY!!OYRHl(y%LG= z)?#lF2XCW9EHuYvlp{?;Gb=RPM>7DYDTJcIq7l{TF*UpJH>;^1B#b1O$!>5rgmK*s zr_u<c7_5{1s__4;GQ<0sEKyITnq-o%qL`AUB<j()i@mPtl*h|Ml<ng##4~0e)EzL~ z2{7*XgwhE4<IvC+`SN#Ox+`?Z{rcy?f={FSCYHJLTwN{$4UY5Yd(y`Zk58lS2(4S$ zLTdTSvq$}~TJ;>i3=P9z?Aa|ou}b>{gdO4r?@=uMK<(~HIea@2t5-KD7Q&vL!rGok z;!<A;pt-r3vVjQ-shW|O*CX=h>j^)|4&Z^5!##!@fBA&s1|Nrq-DDhH+!mk3{rtqY z@t0YmS73RvnYYdKQyJlnA5JH9AuEo4d-79#!}aiXOZ82ncZLj)>|nS27gFqRxz1_~ zr)K(iGw5A90oXon`%`$%nw2|a&_X?^$~0pm#`*-20J>;yX+Pe+xyGqnTX>J}3)2V; zq055hk^bna2M%3zhP%{inni{}GDYpv=RS1G9=(7D%p6=d`$bZ%n6^%Gls<Dpqpvu# z>r~X|KS0hcmDEW#rj)yWv}@C=G0NQCST?x(o2{vlek?09O`oN%m?kj9KEngE@uSgt zWl!mkjbC2gaYGz<9U9krjxTogtpV)h_gp%`MZD<u$XFQecnNK-{Kt#Q!GG#9<Phdl z$=VE-v<>6*eY%`%Qscrh(2t7Gk(WzC?9u6|W_sty`C{^8yagd+Uj=rL4IymQ6C-Fd z;Ilu|lAfp<LtSl4fM#6_=yiJZQ-s37Mt09^7pYn@MYrWhxyBTrGWS&b4+6xBljcv> zsah@JCZ#i4JhQiY_BO5s*bJ@*t9Mt?&#kT}x80e4gMeE+Fz|&mYY+@wiyT_QSnNGK z$LHej$nPy&WtStX!&{-bs-)G#t{8qwc>K|{3N9WpyM@*iic~-Su-U~yj+7(bL1G#m z<scDsOCWOL+D}915&ts1^8%dJ&fG$&U<vRw9|ers5fYUkOlhp9Q~SsK%tb{wPJ&8c zpu_XXO?x0MIrKLSi6bm~APuwRh0fEI4=7noM9(f=O#HvF@2Rng<p0$BkIH&q@DVBX z-0$f3V^y=?`1rZ@fW!YM7tkC51TBGIEDJXL5ZRk(Et`v?!FUb#h?K~~I$=7?raE0* zGhoPM^|)CmEq@QjASQ+;=qFbd(&4{=z--C?pF~do|B?Xyy+|Yf?yoij`N}Pm7Tt*j ziNq)mFYg+Y&dW>CpP5&OcVRH+y|?p^5knifRv5+K!@wIR#J?WvP^y>O^g@${c(^2A zY!U@eBXS?GtI8@l_Fg`)9B&UF(F-@O_kGm#=%Be=j08DE{!DLf5lwY0V?obgeeF1i z5-8vSa!+ojB-vm1w8}CW$@T#uR=Q5Z0-f}C`;y+;T1Q=`7oW#g{9W_&cJQko<%_v9 zBl3r_S5ZSO^S0CC4s@gMC))y_FS#o(4~EmP7exy;z8}sSbNKT+Jsw`yCpA7{2y?IU z66KNJ?=?Ov_`Y28U)AfSzV*Bl*&Ovl=f3cO@WIe?N~@fW?uDj|nLla_+O_}UBU(z8 z4SlxUaX?;N+Rq=e_sG(W^70^c_=Q;9q@+SBZGxlwu>mE~sPHnlBB2yu!-;h*S-`E5 zFp7hwzqTa1cs3R7F^9v5Dg3bO5V|x%D2?ruX^^Tig<eUW;3?gz(%3N5@-%Gn@&srf zlzC)+W%Kr>_tpA@Fn$r`NP8AZcmdbUwfX`3ZQ$vgK(8x!=$K}x$1$4g>GtRa$X^bl z0P0Lf%QX(-92(+YwmF@8(yKP#YfVQ!oO$2arwCa+1ISBWh-@CfaMR4yT5B60v5R2q zrz}`VXOBEAa}0LuPVORjCqY`88~_--s6#CkQ|k`89hVN7!S=p69a&wzWskn=FB1qv zSlhLmmrd3m&AAiRMN!PYcO=HLYLO3`q@D)+iesAW{U>$xIvN=PpU%fOPv<6&)!HEU zU_7WpUn`yUnXw#u#oz^VWcjXkpT?_q1tpDK3i}HgYO>VRwnFFoC%*8Ri-2cQIKjf~ zP((x)_GDw$&c8b#&rp1>7bEyZQ9%r629GsJZZLjJ^L=GlW2YGRqP|*_7~U4MV@QuJ zfZrQ-oqa=kPFRkDmLj+kZk+2b;I!{(-rx~qL|Rr1oJqA^Y@smJvY81Z;>df5_WGZN zVQYNg$^{T+M56i+;aCY$Ie^H+?m&;KbrvY8x|LHzsGncUr^_05-Hrv(MjCN!*#gTo z1Cp!M(rn@i<J7aac%zk^2Y&@Ri7~H@xK?P@>|wfB$=UmZ_$_k?y22FjDCLG);F|^l zXXDB+<63)khk2iEAuv%TTv_+dqytXs3&tFA?u_0kSB0Cv*2SQ)W&n{$y=_dJ)-xzd zt~|X;$`cJ@zp>3AAYD|MOVBc)e%cu#{xLUeEa~eY_fo42ifnK(OgJYZ>xdRDD9c*u zua`JcBKsvG<%t_9p?ZShPz2$fiYUoz)<xu^x4TvJrnC^#MzCrp`JoQ7IS3@Bo(CQ! zzLTYE#5vM*iJUSS=LERjEU3H>=j-g!b13v^TY5fF)Lte2F;kS-GDeK}b`x3FH-M^E zgQfeqFG<5{(}MEiQpV`T7ON+8=W({(q7c9L<Qh;Z!4O_3?!z2nm2ywNjeJR<#ZWk; zR$yZ<yzvuMZ!u%xM~6jYxGsp-!J-<cz+=gJaAs>IS76n&yE>pV4(*;CH*4PbAz=?Y z{YP#MN2Syg`RF0PTHfX`dEH7|T}0PV)##%}x@=GZ2XnYW15M=f+D+MgLGEvn2v3p> z37t0P2*7UTs60=)YElIUbEHxuO&c1y^vQ}WOC;KZm|A@6Y_uVP>z?ZI-~8VKlI|q9 zlqPNLLfsj8D*^x*cM?<yof8Zwui$dW>Nrz$va{&%yrjal0bTqN3of*4a&m!harf^X ze2Q3sF4g;iMpR5Lk5bY?4U;y6Sb60#R}-`+N@Vs@_Y`Zp>RQQL#X6l(yTpwg(q6O! z@sY<xY}kSv(zWDg^5qf1T@<`th(Ab-TdYkSQ_BL-?tqNK+Qf>^@Qs)c_<SBRftm=E zdkl8Pbk_#0=J3X$3Z6<qrm*qY_He<1BIiJjV(Mighhl;EjC%mXo5(4<|1$?uw;Ve( zAirHY6F3Y23z}QjPgWBg;u}PaWx~U1Ag>Ux*-zY-+qk`K{}`lyo3!ZTK(`b9%Ai6v z8Fq2>y*)zR6b}oQe@=MRvSD(=L!GR<k*o+4^Jr-n)0l9Nfof8rQn=Rti>fwr;t_dH zj-1?Qa}dnyr@+GlW-Ug)kG6|W&R^!u>6uMgW80XxfP9^YQ3+>LN<lj&hNPnYWCPk= zaeV#jgfX2Ui#)K7a6wmxl2=<b(l*>2M9Z5_Nx+v|o&2*dcoom%f0DtoG4i{5fc6d} zKLZ_&rT9X_Y{}Y~)%0FuL#{7!q+Y~m2%(lGhNmour{@>h?*;!7X?Y0-YwHl;y3I(} zldch!%hkuve>#P00_UaQ&RDF>&*NKWAprRBv|%^4DRk`Q%~=1=0a>yAdI$Q<1>7%l z>{qjB9A8UH8_hS{<iu8OqYl0D|9y)FP<0l)JG*aS1wcGo|DF4IKe={+2!7E8q|;{m zGHum<f;1`RWc&U@-E3VwRF=L!t+l^rJY)Yp3Sr~w3d#VScBPnw2>64@_gcn8N-T23 zyuzI?;yjza2h8>%Xg6>5$OQocaCOvWg4OR2&0AUEhc7Vg#HL;Xm#1r)a4O4%L}Qzr zg2dnVsc?v1%P<%dABft(Vidp=#p7lCGx7uHFOI(Tvu8uH&eP;wY|vef_+fCG?>AR) z$vch)sNa|vI6eAMb(8bTs$x6gxsrnw$1tDzmC;;BNbncR{=W%>$pEn|c+EaOXeL6U zR*t1<WGuz!I8AR<pUq2M9G6;A(r!!gpy}F=oz@#s8{uEY3mtem2bS_%;-(@5=Q)U% zGxFCu24KKB#HL3bXJXt0jCcMtzNcV?*AJm#2N}_|E5}l4^f_#`z!MjuqGba<i}>&? z&shB2Z(Mb)1ShSlG5~jtXN68SKMvE?7d%=G96s+Z+xtpkW#0?R&Y~a!0%y<E3)6g1 zy;j8jY*>rv@@nA+)OKoY37atZwy+`PDoR58KV-DP9Km@(Kpj6)ruh&by1xvGqJk~( zK`?D?Z3M^Y>X+|#gM%4$FLFh_<169z22{RqECJp12+|6O2LLoJh4v!!L4d7u?;#=> zhahy5mZ`qq0K#6=M0aW#%eCtooOdY~XwL*RTtIWprM2eHW2jkfyNWLOk8FPibhGV? z6zmd-Png%H34whpYKdiL&;{9Nm}eUnB&zz7p!(_U@aoImVY;lLeqZ$VYm)bYV)JND zPw64yb6N94cz_6y;yk{DGWZNpIeI4wEHO8dBltZAe-3nB(=y&1hGO|2io-Q+laAeE zI`*LoWi$Y8qlaH0;=>2K^-q^8{wlA?gR&}>`$V5Hsu2DvpU4BDa+L#M?XQD9Ztl~m zdS%r_;JPaRL}X6(phy|0ZH99$8vXa#I1b$nj)UAe6ab3<J&49Q_K{FO#yEXg01EQ@ zBr_a1|1u2_&M>10_k((fV3HhqhPY#he>RGfBTDTII<#MZrU*LvSIW=u%5eW>{6}@o zZGem>kgb>6BrMn-3=tvSUvw1ep+<vL;V=nUIYFU=W9N(?D4?`6L1nJZ8<wGQcj%5h zL_64{EP&y1?O-oKEif?RA3o^g4%7kHHoOv%F1aOEwPC^%{9y1qpXynWJ0+m^m{ixf zpEe)WT=9JlklNCfi(hO1%pm(}13U5YetcE3aI*Tl;qe|PB&73>e^m&9KZsqHs813} z&4(_*St>)M^+&8|Dn3t*V))7Y3aD2=WMmBn7=H}%xQ^9IFL59ma2Ry#4$b^Yd=QNE z1bz6))M9;55zeHS;^H+yI|pjg@kBvsuAjBw7mX7r{leHb^(-X0bFlY3C8L1YwQv6E zeli9nkh8XDin<%|D^^ocde#l1F?<ey*ilKVT+mwi%cMz8hpdDidikR=5A9CxR|?lU zpz*KtCs|?aE5cCY;z`$&iQ1O*dW$9b&CuDRi`_N4BevQoh%dXjGlLc+F`f;4s2TC7 zKav%U9d9;pc+n*bE6dFnH8=ey;-nugRR3-94+O-j+{>y@lbf&8)Pb*qF1Tvm2mrzb z@o#bQUEd%LlnVoLkPrFL+aL5d;))?20DF>1wzHfvZWPx~@JrbeT#RY-hBC05GFv4$ z?&vAHtJG*AtM_0FrE&WJ(Q=yKg70;95MT6Z^!ur%sN*E6EaM8AwE>E`Ra8-G!6U#w zDq;In8l-ql(TmM@zqseTy&*AN)Mtm<!CHUW?LIRH&s0)%nN-+}srRldGU_jraYo5m zL$fxy`OaB{t5$yze4g47Yzb05?Wk)|Eq%Q-eZeY7gM!fkK>$Gksc6Wm%+m?&Rx$zs zfh79Pd4jk+*1yjY!UOE<fU5az?Tqjs|J-u0@vpsLIPjHT8$B60`OVx;x}$rFO$8z_ zNFTOz?#2$!d1RLLw`^l0ki1UqZ7@^?V|K#w@yDIuPYp_EB4kF{(YD9>J%y({<`|lJ z6hG{VGzPnY9Li-Qe@R#{HR11_;~?y8Yr`TiZX*?C5CjXT&;ddT9FBpNpARm!@5W~N zzCf_AG4T=Tvv!~$SD)PU^!uE`1GDy03(eY=!?n4Zgx9yhj815Yi4pc^;8G`1)N?MB z){Y8|KF5E`{F&N@K6T)6kyAIv#(X?SIO>}?f%g&pEnS#+WGS8%edSTYNuGH@sORuC z*4tkDST{ca+X>*j9P0;trQTY2ioUm}{Bg8A6ey`5%-q6$3i<?6-7poR*Iu`$qj8`^ zm9_moF%K>3*sw#}s;BY!tM|qDuq7Acb8>)#9M#8onMQhV7lh(wMo<ws`T5BST_>7Y z^bbz<-Ow1ad)Du(%N6%0An~RJ)qLmKy`A^N4=l)`q8LEWK}nN~;JD<CgyckUf?Lpg zGamZbQW$6?r`d}AV2*XBQDh^zllX~NjHN8*qj~p}SjT3S^X$h(Dl<ZMuWm$w_t*uK zSKxL~qB_YHTr#s;PgnwgQeI2S@3wN@OA=Q1<)#w`B`6AW^el4bV#pf{_)zQQ@lE&T zWeKtV=PRI~XJ4GLhDABLw(_;HPnyT1%J-P{l^^G62OYE-G0huQ-3hOYAXvBejdoaZ zJMlPl6<lSv|HnM`h<9{Ws|Vzp$q9VDyx&~TIW$kz`!@|D#5n3K+B-Q~&r=ur?R{?1 zj_ui2R2+=AIlH<Tm-^Mj*Gr4*1}ER+q?;ypMjSAM7pz=7>J)oiU>U>m9N@RLYXT}G z_#QLY)|PKqFSs7?rJ3vO*eG-h<r65fQ9#)Rc0Bnv<0I@hea1$%?~IJBjGG46&v{pr z-IrIZ@&oS<)Asa|xbP}`^fG9L&g8IQzRmrsTUBa?-Ri;Nj{Zn2y?89BYB!Ph5_=$? zMFxQS9P7avr0S-#aM`ct+I$?n?eQDNKr4Td{Me;J+lJ7FGQ3E2iLJb?gJ#j!!o<}z zjH1w5=@kl2U?dqC`~APRF1RI$s%P1n4Uu<pO$&epzMh71+p$yjDLQgXkBz_dnipz% z1WK~v#u%`W90p}(f1K6et1&g~G^0Pu6Hft7mG&2&20GE2U5974G1E9E@wmas4cm}9 zw3)96Qn=c*6-9171F0}xize`;5t|ytOB=d5AI7;XI>d9`4lVZj29)l+j!s&_<;)B! zLLyyOyaq6J%U+r8c8^T2yrleXbg!nTi2TGiW9*xuKIdl9E1S5DCF3*&;<(>bt5^VA zm?20qiHoY;%He_5)x{-Z+{}n7?PhOz<FPj5Muo7Z8_4`0J?)UC01-;^v)ELm=ucKV zF7aG)%rU@MlV`8422FUOS<l+RZodYDVGHehzeef9vp;HJ(d1ab$+l$#&d2uWGe?1I z?_000Eya5!Zl94$jJp332UlBswip0{-VchrG+UmFql;p8h6mc}oo@#mhJ*rZ(#NY5 zud{T}i|WcJwdx^libKwB$h@iuj;qssjGuO9Wbkyi!E66!7s#;Sms-2dSW&JmIe}5~ z;u$i3VuGa1?AJqCDUYxpxs~lA+pw*$&zAa!hA#&HwmKJ=psHd3>PKfC{UTs&yH%I; z!tk@J+mhd1@F^{r%OIwDK4jWRjhdkYXfyH<c1`&0Dy?ig8mT#w1!~9%F#(uZQUI2j zI(=dovQ+1z^VukB#`o<eLnCb&sEoJ;4#fOe%Mmub(3uzl)qoy;g;bbK@MlLrZOCG# z%|d=iDzD@PZOiVwB7(-qb|C<xX9<PI-&Z*kv_UhsCJ2Ix*#2y>SG9rVKnd9nqDR&8 zXwLXia)YF2@7x#Di%{G@B){jwovR`9U?1wrv6OvCYIU_r3~ukkv}koRh-iwEVy;+2 zxHAzK&6Npn?YGpOl|1@ly_c0|Q&i~zI2=`cNwtS2_wNw|E?tq(#8?2#=3j_slEWT0 zNn1v9$?@@v16rNtgVMqpdUA~`Ax=%ou2Ospm;5R36F4sg7GpY*<;sp6=T^ZD7p~zl zzhwW3!#rGisa6Dm!w|+>ys~y7{fB1!3~=13^o0CVTN7ai=UzxGGhL3k&<n;3peSaO z_*aUEqSLIg`o$MpP91<U;@d)Xt(RG4eayloYx=1HgD+&CYgZs<{5)v-jo`a()33cK zRQQ>`Q`oGrNWF%2uLsgfc8r7~QBA>P`y%NEu_~AP)`@#38M)(4C^av(+qG3x&ia^@ z?casmhoKms*7uWdvR#Wzg}BsS{OJhHRu*7E3na(KK$Qc+^{4>aMXsvJ#|p7Km-5ZO zjLO-cz@n!+;3(Mr!oDtQbmWbCA{C)@bY8|f<5z>iXJ{=&(+-*H3w;+rzYlgpT%>!) z(o#$dJWEt^v^e$-T9KIJJc+~E5#Uj+%Dn8(PJnAL>in!3(V+~tE*8bIm9vJ75*g?0 zJR?d`pobS>V@1Bt!69wdiu<mGHu`WhzXU|3S|x`;v+P|8o5(`sPmlNV^%2|;7`#7T zKO$^gI9oV8rtgl&bN{vNq=lf*Mv4-6>F5ah4C^-A2M-a*)7n-rZ}Ghabw<dS&s2!o zJ($j_@QF<zE)ENBqE(g_F3s>)7WKO(ph2O~=;Y6JcuxdOTXUyP5o<Avouh>^*gnNM zhe|@z8h`IIG%m(aF}e(ygS=*K$Z`=n#vb9pS~;)?I0e$kS?O4PQO^A3u@O@_@*Y9S z)<|RZp0_Lx^t$WQVO$vP+qukk*<_&5>?elbVY_XD4xlGT<;C`VJ~-VaMpoURI!+6^ z0Cd!bDBS=Cy98$iEkVYxNvs}(ducRhj;<e_eh5Xu6gf#bM`7tayu%vN<ro^&E6ewd zGaR1J-_>A~hjI7lJw)cvwKuO$w<NuLr+<3GuUJplY(m0jTzggk=-;I7gP7*<mf};g zr%qPXBMz@k({+@u97Uiu>eaX4*;tW`oD(}(m0JOA+8OOwb1&%8nw14<8@W1H%LU2g znm4_RF2Vx^Oaq+&nm#o`6f0A%!rMWNB3#U`LeL2*L-*e6pRf_#R94Q}*cgP(^?abT zZRc~|I?!Q9=_LZ~ieSOF*+-i78dgiLE+!Mk>^eSvvhMr}`1wHt*wG6umqTYi5~<#p zGvEP+vz;TEOWn?uKS!QgaNXlsK7&kQ>|8Hfz31y&DLofY7~B)34Y+v6M~>vB78325 z#?PHfx#bVRKjFRP4-dQgE)z>f^xotX|7qBnSH2p)`kA=v^1jqp075V^Z~AA!RJojB zAznzIJjYs3^^cGDa|9g`TP196chDUk0OQ08vm{dtm5REAwmWjw6LsxaQkQzS5h9vH zJ#B^Mpq|>$VR|+A`f+P2TPX_;=Zp^1FJ>JqTq7=N4%ew#$<9#t*#;+#W!-QwZc15a zd7I#Q+r(Ip1lo9t6W`zP9%<HloA8iFe^}gfs<v<0M}!1-9>wORYN#+~22aFl0T(e9 z6E*C(Bwv_3Tm9e9Jb8au7*j7&&0bpx(yQ8`eiaCoISzN?ITD8AjS|Tp#F;q`JN?`b z#!^~I6yBey9?sW`7w;mD^%cePajt|8Abm7j^%4{5M-&Q@BNI7R`LC|Cr&LI4CL&HL z2#QKHEKbQ!;=AK{RZ3jDgoRY){K<D%p_r(3&JF?~NK8EE0tQZ;Jm&%v=KL9&SbfRC zFTb;oCxwlSTnL5Cm5fC6-`xvDF$|R`jgS;FaRC~UkQ6X+AsW%`vGh0g@3!}tKQPfL zk>H#I<O}Z~%V4now#f#deZ5x0FXTb~-Wd})RDq9IloB?UTR_4tWZlQjq|Q%jB+gF? z8Kx{GVwOS<_>#<LDENkAJL8mABfbNd+BvbGqy)cG5ZV7uGKu(Kx&H4?g6d!3zd8^R zDJr4;8O#_Z>lCH$NMk#bl-#*^GsUS$i1WUqE=Kux@W{l@)ZaP$CzbzyMtdjr_=#{A z9Es(Z&H%KJv9rbn+e#C>odbOO3_L`MT_hh&t34|N@3Hf{=Pu?gC|KhlhCq+z{9=hZ z^i#@D7_7}<D;>28z~9&B>lT*<WyGl`S5&jh1{pSL<rQhv-nLux@)?^BTOoMeZ2s7; zS8z7X-sJ$hC*5;3M3j}E-hax~y^Cdzf`lQmivev62r|1-gz<?C%Ql~m8|M!h@SSCL zSvwTHi84us-*iceV*BBxL=uNM8izER8&>t*Um+rGI(A0#=XHPTy~;u-RS8;T09Nd~ zn~R1ePb*X(vz?uOPDty{MC|_M|Esg>jE3{u-g?xC-hK#!L@zOj8a2Y`C59k+^xlUz z64CoaFB3#>F-Q<4YV;UoL<tEY7@Zj1f0FzEaPPX`?)k8vz4uxBU3-6e-gWjm`#64> zc79+VDEuX%uF4-u)hpmC{3-K=g1v!Dy9YQ&GJ60RvU@ALUA5*=hxYUrneOqdlVysX z0J+D%>IT(3(x^|FV0iQdwJ=5aa|OJj;HWN6MbHv~b}dm4$;WuGlZ*Ts!Oh;4^9G-R zjpNDmr(X`fNDWxB|5P~*WyBO6@`+5ka%0Vk(U;Q)iN8}oAusH&WVuoX6{3%1N4k3G zL%o3`@U!vONYU!WT+`00%EQ)K+*KWwJM?>s8LK^C7QNn<uE=4sUF9?<GG=e|{fxZS zCyJl4m~Pg2OWz|`G17Biwcn6u$SV8+Id(@W#gdc%O;%dNH(6=V8iBwKGvk2xJh}lf zW<8zRR+XO3qGy4c$5K|!i`L3>(xQVF?ACTb)|V9esaCZBLpX_)*Yl7s*V^W)%0i_Q z)5M*#mNK1XkAz50j6cDngIGE<K#ySxvWMkk+U_nr7|=ZX(r9&D2kq<6mF0ILRN_#i zmnK{6i<7!kvxz^HFqP<<rfJo%SN0i`L7?SrjbBL5-*Pj*A4AhhyVy!<v=hjjz!M-q zXJh`D*nEv4^c$Mko*BG--<@q+VuDX9DY4#9fW?{E&Zql5OP7c6H%qa$!UCF0HcYUR zayR=LTi^T&%XC5<nJbG*syAKBE;mWoRj?=~T0vE<+_2hMGm?I==+@x*J1)50GcIW5 zka<N1|0#oyNeQo$ZGW_we-dK5D-jE5I|vh3I+P(Qdo;iUV|1Gnb)6vM6RVuUlaY5@ zgM5bg&X%&5`g=@?+hxp{>_!UbQd@aJ*Djcx6_V^oF?_D@o$X?~q(!XDX<CcomJRQq z-^Rw;QM<}og1y@t!K^WXG*fOva=zLa$2?Ms!d;$9j6=6pkn{j217)vleJ=oOqI9il zBE}A1#AE5!mQ(FJ1jv)5XX9f*;01Hmgxl}uV34*v?t;5I)z*RypBv2k;%sRhduz@& zq-ZskUrDIYf-(^4py?do-Vlo3nuiwVhUD}m^+gm|<TuaKm(^w`Ig5L2yCJi(xf3>w zX%`{5N5T=2YHd_WPU(rI0EjRKtYViqBROTa)<<^FrqgpfJyzstX__tHqCD)XFP=7Y zAKB(EqSq%#eOCQ>Q*R&={on<9<DZH&X&zOIool(DsuTi;kF^>*4U6_aIsheVs&Om8 z=<8?9|8URtLkQ4TP2bksHb44gaYZ0Ae_87(hN2N=)0H3C)alkA0!GQ+PZ#nYEM?jw zMTMRF8?&s%+{IW%Wru3IlTYaF&Mq@ru5HAir4&KrigIWLsk~|~MfTWag13$@ooqC& zg3?-iAe!Wv&f-U?LWHwY<JN8#y=J{qqej)n(eT{b>G<$HcbzhmpO19iByI#Y`Q9kj zW0cZ1^h<E+86<c9ppck0CYgN+iwX9+Yty2Q4S>ld?_?2M45+$R`cAmSANi!*yWDez zUGwm<teIL@5l}FE4V;`zq84`FjY7Z^j;4U6q$ZL98rL85G_ka53|E8N>N_#}bQ-2r z4L0@6sV9MEA{v#4GcWe*h*zvB`n|p}1ZN!7rKz%oIW;$e{6jBmr-69UL>M+r9C_<^ z0oTQ8mFztY-*R$AS0<s&cLY=SOR)PoD*47|9^wU$YxWmd%Lp%cro{19f3Z0&{}Tsv z%a5{rnO}af^zQXx4a_>^nY>P?+kw7n)pZrty}_y`Q>G#{<4$Xunc}HL{bcl6sKyDC zqI=|_>>!;S3AY^34@&8tjAq4YrCi8AiY(w*DJmCMUNfM}=@PSbR2YR*Xu0LX%5l_4 zp~vZh<Ho<RypE|O1>T3WW6-Oob5f+a(WgJZJCe!sm#k`@9s8TJX6rOgQ6_puW+kAz zKaIz2>5FkPQcx4S#-wC4a#K=rshH!ai}(NGwjs{KaT{(6=Q~&*zDeA$|7#qXrmfxf zGUJVdEmJ*Jtv*lxP_HzD2fUg`jhRU$vYLndFM4yS4QRpLu^=u}Uua9ROy7-Ruqi7I zjUX9K|EpVE{|<2Al#47n{k)~RH`gl|&VZFAq4t5sW^TYuu<|5pNZQ$iL%1o<t2pYw zOUn(P!O9b73~T)~hBKeY6U%3&YYxMqC-Q(ghv1JrdD9SXT2q%8ArV$?yOOAZ<svSL ze>2T0{+bEtk>7!dbqZ)j%|NlJeaYYdfb@51qgtdt|JjMa`aqr4)c%&B68WEiD|0~W zPnkG)FrM%hm5EF<{rN{S`~`_!H)<ICvsRwvKz3KR1wEYi6a-f~p#%Dd78(@FABzYk z#>AvYlCG%4r2H2^AN_Sg$zoooN8%E5;Qf;xPR0}?dj7=Uwf|q^$^P|$cUi-5i<A3Y zxHOXy@ryk9)X15=CTLBIX`OW4S(EnKhK{{a8H8FC{_;!)Pzp(_Scl@P<Hn~!)W1;N zJ>cAP5C}1Nka`W_)}}dov+$le`+_94fZsZ`^AHX#A`oT^GmoHUcs9GfL4H?Y9ErMS z&^LbLQ2co0@zgH*Z1m9jQkS%%Va2oc^8wu}XeECykJZ5Gt2Q&}Mgv{i(%u^E=|iV_ zy-mBDIkwKT0EUqc8@ObSJIi^Wu{E-=-PyExx$_E`@qMWOVnm(*qL+dp2OUva-M-aA zc<d)3uNVJz2Mp^_E{ocQnkdb6eKPqF#AY4)U^57Imq*-wy5_LT^z(tDM?Hf1Xw$UH z30&*ymD3fhd(Q#Vi0~W*XJq&bW?XVZnCVMbwItI4AEK0jCvU~~-!55^CurWR(ytHn zgzG3x6HaWMrS028_}9$Px12WY2|X>8P0Pmvo``AMb|_0s_{2MMC9^$v^lrwwn#bG= zZUph&qreB`O|5p$l|(Vn_3?u!h?Bwf`bD^Gy}4QR?TDPm7cmg|xAhlJ8eb=_ZO&3} z%a~gNUctgtV9Q+=@<>`?u;XWYy;w=qn`Sn6sk$Z7;BKEFn?w6SNYZ|<)JYVQFG3qR z@*{t=BY7aps>F4rfi<ZnO7L@6ruu6oc~omlZ*|?3$!{WrXhmHfW5?+p=@LQkk(uTF z!$JjfW#WV3EJ{i8xc=s+ij)U|aWK|oH?SA5V`{`2@zZY)MZ$`Z*&k18_c^V|vYc); zp|I~Q5XKza7xmW);NFfvd_D<Xog2#jlKHW|+O};uERv@{d#ftsDX$V$o;X-Aa6N%Z zdzVfK#kR-<IVq$k=pXQ^C{P=EdL1Egx6<h1hN?qj<W)3D*2aK|gOt=|Hhnm=W5pUE z-8tV=a>WWMr{OM48ynqKUXhaJeqSMM&OzP@V-XzldiPJbK6THjpDco%z2)R8$v@hy zzzD0m-CTQhG3>muUT)o~FSn9fxzql&hl;JD?enl`<=ajT6T=cr_A%`XU%7(BHdcZB z&J7vEB6w$d2dk3IvCryA_vU*Vqg5oppOu5GCPnC;<F5BHSNU<?M?z{|)-9}mp$d+| z(?LH0IldP#u;yW1(huWNHLtr$_wXI66VUQ1QlxxR68~GGdCc~L&Hkl^*o!Vg!s6|P zn^7_I3(!`pJZ?~X6H~5Rb_9Kqi<9h)x_Lq-@~N@1!7X?zr(S=<xn*O2@q=A}Ms}5o z<pU8}(CMfkX4*50+l1QxCeyWL03^fq6>sz-(QuMgIf^9XIIJ?`vl>0%Fm|qeqfZJ( zH^|E9;&2|FPmmp3Jm{5nPkU_g66yrbzGmVqZV2CairfsHI3K643(Wm>Cg>PzvCxDP z)Q0$le$97YmsgCH@1&O)E)@bQsyxb;DgwoRsUO{Jo8pXd_)egdq@Y^>378-8I_7(+ z7x2T8dD?tohK<dp*Z&fcJ1Pk9aaL2WPhF|kMC;Y^qDjtf=CcZMt=xWFCTAIsQs16& zWJ26<lRgJGFkAfi=o(8Y5XK%JwQ@A0sAQcrl0N169rQB%Tr;wD$;Az5eX0IbI+!{& zzFCqtU<7jUt5?tU%E&g$>k&_sbKuo(@1DB6_85XuB?QdapI2K;ddaPj?;)fQmG?k} zi)5R(p><s09Q>}H5*`JP3&YzAdiyplew7HTKxzGUtEx`(rAf!h*7YescbomIN$KKv zd!SYzvJhLEy3^0yDGspfs91ib;PGg5_1v$&JvGt#%&GuuUHE*koSsAYD8=^pU<Ruj zM|G!gicg_}Flk234}T;Jcj|VlU{58_qclJN{h2~|j}f-YHO$x40yjl?|6{HK28rGd zSY)QIbrz$Nn%8nEJ`S~M7%pXE=@ECFOa1v~aKl2x^Wn<81q`TnRlHz^ykazFg}gc$ z&RP6nq{ZTR;1p{<+7Rjz$1+iABP8pTIA;9&;nyQ34pBSa@my}X;`-tu&h8kk(6HoF z84_Bg=W`JMW*UY;%L|BL<4Oy!n!!w2d<A*jo@-}R<Y0q%`^~G2z-kspOs*x*@z~<& z)AunnBD>O`B!GjiGX_KBWe3=|H@t$6+}`m0&b7HuUN15Yb(yRW7xtHfemxoQb$i+I ztgU#WgG6cOf+XmK|MeRwWh*RI%D{}la+aCODb@Ez(J~_Q3+fT~-Y8rz`Zp%~1n-G0 z9`)%DX|M3Rj_k$398lqdP=xBarZ;M}ESMTe?d$L1JqbJjm{1>U7E9duwmXt5VkYL7 zykrfjuI0#^w|Ql5>JUjwvy$@Iwm&TIaM$}amMQsdZA_z&L#%!L@$ZcMQuvIt%ha1@ zMZj_=Y{V|Xv&PVUtxSR20L4X`W^p4I(uMck<@yG}kN1VC&ohhg<906X=D{CsUo_uS zG)ZinOU)M>igBmCH_CIVkCyh;Awo5E>!tEk4r&qEuIdYoB4hbEWU?$zo*Zf{E_dKn z^wmjc5a8PZ9i0_~a9Xf6`_fs^yjGPR^UOs`NdJ*c^_^IZ{Qj=HBm-%0tGB{)_bs-U zpXE^;;!-X9<hISMEp&{kN|bTmhYc!%WC(qg2`M|G={EjJ5C*PN#prJjM?4T`tAC`? z+LWdF{#)~U6m3wu;TdFfmt4o)$bnXUFXu_?vjCbG090?G61e|O-|Iul&R*z8d<)1l znom0$tpX-P6S+SOY(r&-wdtnHk*T7jv?`{Y5)G_!e;caAm-<f)knF0V_3IahWO#j~ z3zgiy6DOp&EW*duDZ9Utdh$Wk*lB3y^i!XjBMcM2TXn0L#p>I_LtvXZOFg+I<ujH! z&^{i3a{aEFr{{RbzTglnj!wv!Zm9SQrTjf`pxcxkA>w{(Z_Z#SI;V$3t*L%22T_8w zWcnhxb8;(-ZZ;x5nQKhO>A-Vm#M86I8ArASds{Z@>y(axQnkiy+Ge2TM-pr190NW~ zuz=Nj>cEwjwNJW8k~cj2>=hVndz{avH~{bmZhyp{JRMqK@i{Iqk-f%n*lg&_Sbo~& z&$rSkVGw6&T>n9!;LQ)>3GExMRXDva-wGk>tKiTTCgNDq3LV!dHY(Q?9H;2=xhS4D z3YBFS8akmSCcMrGxMY8LXS`LBif;w=31&Q#r}RmkiTa)9jot3Yc`4794K6Ow)@S!L z#$+${Kwqq3SS2x3ZIj6KtopA}sVRj6#*Ngp<wk<IGXPIt8=n9Vj~);2U#;ZKR3dyy zsy{vDyR2o711LPajm!xmd|}T2Xfq4o<5A-x{w(}I;<<Gu2Qfakqz(~2o(P_pM2I>> uSxj8hN7}|%LlSHg;v{|_dSCgWw~w;FsELW6ySbUZnTwQuu&uFy!v6uiK&t`( diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/synth/design_1.v b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/synth/design_1.v index 7780bf27..bab2d244 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/synth/design_1.v +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/synth/design_1.v @@ -1,7 +1,7 @@ //Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. //-------------------------------------------------------------------------------- //Tool Version: Vivado v.2020.1 (win64) Build 2902540 Wed May 27 19:54:49 MDT 2020 -//Date : Sun Feb 13 11:02:18 2022 +//Date : Wed May 11 18:45:19 2022 //Host : DESKTOP-J72MK93 running 64-bit major release (build 9200) //Command : generate_target design_1.bd //Design : design_1 diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui index b5df135a..0c07c19c 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui @@ -1,81 +1,72 @@ { "ActiveEmotionalView":"Default View", - "Default View_ScaleFactor":"0.507657", - "Default View_TopLeft":"37,-985", - "ExpandedHierarchyInLayout":"", + "Default View_ScaleFactor":"1.0", + "Default View_TopLeft":"105,144", + "ExpandedHierarchyInLayout":"/AXI_LITE_IO", "guistr":"# # String gsaved with Nlview 7.0r6 2020-01-29 bk=1.5227 VDI=41 GEI=36 GUI=JA:9.0 non-TLS # -string -flagsOSRD preplace port pcie -pg 1 -lvl 0 -x 0 -y 670 -defaultsOSRD -preplace port pcie_mgt -pg 1 -lvl 3 -x 1640 -y 680 -defaultsOSRD +preplace port pcie_mgt -pg 1 -lvl 3 -x 1420 -y 680 -defaultsOSRD preplace port S_AXIS_S2MM_CMD -pg 1 -lvl 0 -x 0 -y 430 -defaultsOSRD -preplace port AXI_STR_TXD_0 -pg 1 -lvl 3 -x 1640 -y 590 -defaultsOSRD +preplace port AXI_STR_TXD_0 -pg 1 -lvl 3 -x 1420 -y 590 -defaultsOSRD preplace port S_AXIS_S2MM -pg 1 -lvl 0 -x 0 -y 450 -defaultsOSRD -preplace port DDR3 -pg 1 -lvl 3 -x 1640 -y 260 -defaultsOSRD -preplace port M00_AXI_0 -pg 1 -lvl 3 -x 1640 -y 280 -defaultsOSRD +preplace port DDR3 -pg 1 -lvl 3 -x 1420 -y 260 -defaultsOSRD +preplace port M00_AXI_0 -pg 1 -lvl 3 -x 1420 -y 280 -defaultsOSRD preplace port S_AXI_0 -pg 1 -lvl 0 -x 0 -y 270 -defaultsOSRD preplace port pcie_perstn -pg 1 -lvl 0 -x 0 -y 690 -defaultsOSRD -preplace port s2mm_err -pg 1 -lvl 3 -x 1640 -y 470 -defaultsOSRD -preplace port s2mm_wr_xfer_cmplt -pg 1 -lvl 3 -x 1640 -y 490 -defaultsOSRD -preplace port axi_aresetn -pg 1 -lvl 3 -x 1640 -y 510 -defaultsOSRD +preplace port s2mm_err -pg 1 -lvl 3 -x 1420 -y 470 -defaultsOSRD +preplace port s2mm_wr_xfer_cmplt -pg 1 -lvl 3 -x 1420 -y 490 -defaultsOSRD +preplace port axi_aresetn -pg 1 -lvl 3 -x 1420 -y 510 -defaultsOSRD preplace port S01_ARESETN -pg 1 -lvl 0 -x 0 -y 490 -defaultsOSRD preplace port s2mm_halt -pg 1 -lvl 0 -x 0 -y 510 -defaultsOSRD -preplace port axi_aclk -pg 1 -lvl 3 -x 1640 -y 450 -defaultsOSRD -preplace port ui_clk_0 -pg 1 -lvl 3 -x 1640 -y 300 -defaultsOSRD -preplace port ui_clk_sync_rst_0 -pg 1 -lvl 3 -x 1640 -y 320 -defaultsOSRD +preplace port axi_aclk -pg 1 -lvl 3 -x 1420 -y 450 -defaultsOSRD +preplace port ui_clk_0 -pg 1 -lvl 3 -x 1420 -y 300 -defaultsOSRD +preplace port ui_clk_sync_rst_0 -pg 1 -lvl 3 -x 1420 -y 320 -defaultsOSRD preplace portBus gpio2_io_i -pg 1 -lvl 0 -x 0 -y 580 -defaultsOSRD -preplace portBus gpio_io_o_0 -pg 1 -lvl 3 -x 1640 -y 610 -defaultsOSRD -preplace portBus init_calib_complete_0 -pg 1 -lvl 3 -x 1640 -y 380 -defaultsOSRD +preplace portBus gpio_io_o_0 -pg 1 -lvl 3 -x 1420 -y 610 -defaultsOSRD +preplace portBus init_calib_complete_0 -pg 1 -lvl 3 -x 1420 -y 380 -defaultsOSRD preplace inst Memory -pg 1 -lvl 2 -x 650 -y 100 -defaultsOSRD preplace inst Datamover -pg 1 -lvl 1 -x 190 -y 470 -defaultsOSRD -preplace inst AXI_LITE_IO -pg 1 -lvl 2 -x 650 -y 600 -defaultsOSRD +preplace inst AXI_LITE_IO -pg 1 -lvl 2 -x 650 -y 604 -defaultsOSRD preplace inst PCIe -pg 1 -lvl 1 -x 190 -y 680 -defaultsOSRD -preplace inst Memory|axi_crossbar_0 -pg 1 -lvl 4 -x 1320 -y 120 -defaultsOSRD -preplace inst Memory|clk_wiz_0 -pg 1 -lvl 2 -x 820 -y 200 -defaultsOSRD -preplace inst Memory|xlconstant_0 -pg 1 -lvl 1 -x 640 -y 190 -defaultsOSRD -preplace inst Memory|xlconstant_1 -pg 1 -lvl 2 -x 820 -y 330 -defaultsOSRD -preplace inst Memory|mig_7series_0 -pg 1 -lvl 3 -x 1050 -y 300 -defaultsOSRD -preplace inst Memory|util_ds_buf_0 -pg 1 -lvl 4 -x 1320 -y 380 -defaultsOSRD +preplace inst AXI_LITE_IO|axi_crossbar_0 -pg 1 -lvl 1 -x 710 -y 624 -defaultsOSRD +preplace inst AXI_LITE_IO|axi_fifo_mm_s_0 -pg 1 -lvl 2 -x 1030 -y 824 -defaultsOSRD +preplace inst AXI_LITE_IO|axi_gpio_0 -pg 1 -lvl 2 -x 1030 -y 654 -defaultsOSRD preplace netloc sys_rst_n_0_1 1 0 1 NJ 690 -preplace netloc xdma_0_axi_aclk 1 0 3 20 560 380 460 1620J +preplace netloc xdma_0_axi_aclk 1 0 3 20 560 380 450 NJ preplace netloc Datamover_s2mm_err_0 1 1 2 NJ 470 NJ preplace netloc Datamover_s2mm_wr_xfer_cmplt_0 1 1 2 NJ 490 NJ preplace netloc gpio2_io_i_0_1 1 0 2 NJ 580 370J -preplace netloc PCIe_axi_aresetn 1 1 2 400 510 NJ +preplace netloc PCIe_axi_aresetn 1 1 2 390 502 1390J preplace netloc S01_ARESETN_0_1 1 0 1 NJ 490 preplace netloc s2mm_halt_0_1 1 0 1 NJ 510 -preplace netloc AXI_LITE_IO_gpio_io_o_0 1 2 1 NJ 610 -preplace netloc Memory_ui_clk_0 1 2 1 NJ 300 -preplace netloc Memory_ui_clk_sync_rst_0 1 2 1 NJ 320 -preplace netloc Memory_init_calib_complete_0 1 2 1 NJ 380 -preplace netloc S_AXI_0_1 1 0 2 NJ 270 NJ -preplace netloc Memory_DDR3_0 1 2 1 NJ 260 -preplace netloc PCIe_M_AXI_LITE 1 1 1 390 570n -preplace netloc xdma_0_M_AXI 1 1 1 360 90n -preplace netloc Datamover_M_AXI_S2MM 1 1 1 370 110n -preplace netloc S_AXIS_S2MM_CMD_0_1 1 0 1 NJ 430 -preplace netloc Memory_M00_AXI_0 1 2 1 NJ 280 +preplace netloc AXI_LITE_IO_gpio_io_o_0 1 2 1 1400J 610n +preplace netloc Memory_ui_clk_0 1 2 1 1380J 100n +preplace netloc Memory_ui_clk_sync_rst_0 1 2 1 1370J 120n +preplace netloc Memory_init_calib_complete_0 1 2 1 1360J 140n preplace netloc CLK_IN_D_0_1 1 0 1 NJ 670 -preplace netloc xdma_0_pcie_mgt 1 1 2 NJ 680 NJ +preplace netloc Memory_M00_AXI_0 1 2 1 1390J 80n +preplace netloc xdma_0_pcie_mgt 1 1 2 400J 512 1370J +preplace netloc xdma_0_M_AXI 1 1 1 360 60n preplace netloc S_AXIS_S2MM_0_1 1 0 1 NJ 450 -preplace netloc AXI_LITE_IO_AXI_STR_TXD_0 1 2 1 NJ 590 -preplace netloc Memory|xlconstant_0_dout 1 1 1 NJ 190 -preplace netloc Memory|S00_ARESETN_1 1 0 4 550J 100 NJ 100 NJ 100 1180 -preplace netloc Memory|xdma_0_axi_aclk 1 0 4 560J 130 720 130 NJ 130 N -preplace netloc Memory|clk_wiz_0_clk_out1 1 2 1 910 190n -preplace netloc Memory|xlconstant_1_dout 1 2 1 920 290n -preplace netloc Memory|mig_7series_0_ui_clk 1 3 2 NJ 300 NJ -preplace netloc Memory|mig_7series_0_ui_clk_sync_rst 1 3 2 NJ 280 1460J -preplace netloc Memory|mig_7series_0_init_calib_complete 1 3 1 1180 340n -preplace netloc Memory|util_ds_buf_0_BUFG_O 1 4 1 NJ 380 -preplace netloc Memory|Conn3 1 0 3 NJ 270 NJ 270 NJ -preplace netloc Memory|S00_AXI_1 1 0 4 NJ 90 NJ 90 NJ 90 N -preplace netloc Memory|S01_AXI_1 1 0 4 NJ 110 NJ 110 NJ 110 N -preplace netloc Memory|Conn2 1 4 1 1470 120n -preplace netloc Memory|Conn1 1 3 2 NJ 260 NJ -levelinfo -pg 1 0 190 650 1640 -levelinfo -hier Memory * 640 820 1050 1320 * -pagesize -pg 1 -db -bbox -sgen -190 0 1860 770 -pagesize -hier Memory -db -bbox -sgen 520 30 1500 440 +preplace netloc S_AXIS_S2MM_CMD_0_1 1 0 1 NJ 430 +preplace netloc AXI_LITE_IO_AXI_STR_TXD_0 1 2 1 1390J 590n +preplace netloc PCIe_M_AXI_LITE 1 1 1 410 604n +preplace netloc Datamover_M_AXI_S2MM 1 1 1 370 80n +preplace netloc Memory_DDR3_0 1 2 1 1400J 60n +preplace netloc S_AXI_0_1 1 0 2 20J 100 NJ +preplace netloc AXI_LITE_IO|gpio2_io_i_1 1 0 3 NJ 744 NJ 744 1190 +preplace netloc AXI_LITE_IO|axi_aclk_1 1 0 2 560 754 860 +preplace netloc AXI_LITE_IO|axi_resetn_1 1 0 2 570 764 870 +preplace netloc AXI_LITE_IO|axi_gpio_0_gpio_io_o 1 2 1 1200 644n +preplace netloc AXI_LITE_IO|axi_crossbar_0_M00_AXI 1 1 1 850 614n +preplace netloc AXI_LITE_IO|axi_crossbar_0_M01_AXI 1 1 1 N 634 +preplace netloc AXI_LITE_IO|Conn1 1 2 1 N 804 +preplace netloc AXI_LITE_IO|S00_AXI_1 1 0 1 N 604 +levelinfo -pg 1 0 190 650 1420 +levelinfo -hier AXI_LITE_IO * 710 1030 * +pagesize -pg 1 -db -bbox -sgen -190 0 1640 940 +pagesize -hier AXI_LITE_IO -db -bbox -sgen 530 544 1230 904 " } { diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ui/bd_77ae6ffa.ui b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ui/bd_77ae6ffa.ui index 22ed2811..6f00c3fa 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ui/bd_77ae6ffa.ui +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.srcs/sources_1/bd/design_1/ui/bd_77ae6ffa.ui @@ -7,7 +7,7 @@ "Color Coded_TopLeft":"-540,-2", "Default View_Layers":"/AXI_LITE_IO/axi_aclk_1:true|/AXI_LITE_IO/axi_resetn_1:true|", "Default View_ScaleFactor":"1.0", - "Default View_TopLeft":"-586,-82", + "Default View_TopLeft":"-432,-352", "Display-PortTypeClock":"true", "Display-PortTypeOthers":"true", "Display-PortTypeReset":"true", @@ -30,19 +30,19 @@ preplace port axi_aclk -pg 1 -lvl 0 -x 0 -y 210 -defaultsOSRD preplace port axi_resetn -pg 1 -lvl 0 -x 0 -y 230 -defaultsOSRD preplace portBus gpio2_io_i -pg 1 -lvl 0 -x 0 -y 320 -defaultsOSRD preplace portBus gpio_io_o_0 -pg 1 -lvl 3 -x 680 -y 220 -defaultsOSRD -preplace inst axi_gpio_0 -pg 1 -lvl 2 -x 500 -y 230 -defaultsOSRD -preplace inst axi_fifo_mm_s_0 -pg 1 -lvl 2 -x 500 -y 80 -defaultsOSRD preplace inst axi_crossbar_0 -pg 1 -lvl 1 -x 170 -y 210 -defaultsOSRD +preplace inst axi_fifo_mm_s_0 -pg 1 -lvl 2 -x 500 -y 80 -defaultsOSRD +preplace inst axi_gpio_0 -pg 1 -lvl 2 -x 500 -y 230 -defaultsOSRD preplace netloc gpio2_io_i_1 1 0 3 NJ 320 NJ 320 660 -preplace netloc axi_aclk_1 1 0 2 30 130 320 -preplace netloc axi_resetn_1 1 0 2 20 120 330 +preplace netloc axi_aclk_1 1 0 2 30 130 310 +preplace netloc axi_resetn_1 1 0 2 20 120 320 preplace netloc axi_gpio_0_gpio_io_o 1 2 1 NJ 220 +preplace netloc axi_crossbar_0_M00_AXI 1 1 1 300 60n +preplace netloc axi_crossbar_0_M01_AXI 1 1 1 330 210n preplace netloc Conn1 1 2 1 NJ 60 -preplace netloc axi_crossbar_0_M01_AXI 1 1 1 340 210n preplace netloc S00_AXI_1 1 0 1 NJ 190 -preplace netloc axi_crossbar_0_M00_AXI 1 1 1 310 60n levelinfo -pg 1 0 170 500 680 -pagesize -pg 1 -db -bbox -sgen -150 0 850 520 +pagesize -pg 1 -db -bbox -sgen -170 0 860 520 " } 0 diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.xpr b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.xpr index 838fa9fb..661c9851 100644 --- a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.xpr +++ b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top.xpr @@ -3,10 +3,10 @@ <!-- --> <!-- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. --> -<Project Version="7" Minor="49" Path="C:/Users/Aleksa/Documents/FPGA_Dev/Artix7_PCIe/dso_top/dso_top.xpr"> +<Project Version="7" Minor="49" Path="C:/Users/Aleksa/Documents/EEVengers/Firmware/Artix7_PCIe/dso_top/dso_top.xpr"> <DefaultLaunch Dir="$PRUNDIR"/> <Configuration> - <Option Name="Id" Val="6a2f1ab8195f4ae09b52cc5330fbfd9c"/> + <Option Name="Id" Val="7f4d46e2a432428bb28f287cc44be7dc"/> <Option Name="Part" Val="xc7a35tcsg325-2"/> <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/> <Option Name="CompiledLibDirXSim" Val=""/> @@ -66,49 +66,49 @@ <Filter Type="Srcs"/> <File Path="$PSRCDIR/sources_1/bd/design_1/design_1.bd"> <FileInfo> - <Attr Name="ImportPath" Val="$PPRDIR/../../dso_top_temp/PCIe_Test/PCIe_Test.srcs/sources_1/bd/design_1/design_1.bd"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../../../FPGA_Dev/dso_top_temp/PCIe_Test/PCIe_Test.srcs/sources_1/bd/design_1/design_1.bd"/> <Attr Name="ImportTime" Val="1615001535"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> <Attr Name="UsedIn" Val="simulation"/> </FileInfo> - <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_clk_wiz_0_0/design_1_clk_wiz_0_0.xci"> - <Proxy FileSetName="design_1_clk_wiz_0_0"/> - </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_util_ds_buf_0_1/design_1_util_ds_buf_0_1.xci"> - <Proxy FileSetName="design_1_util_ds_buf_0_1"/> - </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_mig_7series_0_1/design_1_mig_7series_0_1.xci"> - <Proxy FileSetName="design_1_mig_7series_0_1"/> - </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_axi_crossbar_0_1/design_1_axi_crossbar_0_1.xci"> - <Proxy FileSetName="design_1_axi_crossbar_0_1"/> - </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_axi_crossbar_0_0/design_1_axi_crossbar_0_0.xci"> - <Proxy FileSetName="design_1_axi_crossbar_0_0"/> - </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_util_ds_buf_0_0/design_1_util_ds_buf_0_0.xci"> - <Proxy FileSetName="design_1_util_ds_buf_0_0"/> - </CompFileExtendedInfo> <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_axi_fifo_mm_s_0_0/design_1_axi_fifo_mm_s_0_0.xci"> <Proxy FileSetName="design_1_axi_fifo_mm_s_0_0"/> </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_xdma_0_0/design_1_xdma_0_0.xci"> - <Proxy FileSetName="design_1_xdma_0_0"/> - </CompFileExtendedInfo> <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_axi_datamover_0_0/design_1_axi_datamover_0_0.xci"> <Proxy FileSetName="design_1_axi_datamover_0_0"/> </CompFileExtendedInfo> - <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_axi_gpio_0_1/design_1_axi_gpio_0_1.xci"> - <Proxy FileSetName="design_1_axi_gpio_0_1"/> + <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_clk_wiz_0_0/design_1_clk_wiz_0_0.xci"> + <Proxy FileSetName="design_1_clk_wiz_0_0"/> + </CompFileExtendedInfo> + <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_axi_crossbar_0_1/design_1_axi_crossbar_0_1.xci"> + <Proxy FileSetName="design_1_axi_crossbar_0_1"/> + </CompFileExtendedInfo> + <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_xdma_0_0/design_1_xdma_0_0.xci"> + <Proxy FileSetName="design_1_xdma_0_0"/> + </CompFileExtendedInfo> + <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_util_ds_buf_0_1/design_1_util_ds_buf_0_1.xci"> + <Proxy FileSetName="design_1_util_ds_buf_0_1"/> + </CompFileExtendedInfo> + <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_util_ds_buf_0_0/design_1_util_ds_buf_0_0.xci"> + <Proxy FileSetName="design_1_util_ds_buf_0_0"/> </CompFileExtendedInfo> <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_axi_dwidth_converter_0_0/design_1_axi_dwidth_converter_0_0.xci"> <Proxy FileSetName="design_1_axi_dwidth_converter_0_0"/> </CompFileExtendedInfo> + <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_axi_gpio_0_1/design_1_axi_gpio_0_1.xci"> + <Proxy FileSetName="design_1_axi_gpio_0_1"/> + </CompFileExtendedInfo> + <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_axi_crossbar_0_0/design_1_axi_crossbar_0_0.xci"> + <Proxy FileSetName="design_1_axi_crossbar_0_0"/> + </CompFileExtendedInfo> + <CompFileExtendedInfo CompFileName="design_1.bd" FileRelPathName="ip/design_1_mig_7series_0_1/design_1_mig_7series_0_1.xci"> + <Proxy FileSetName="design_1_mig_7series_0_1"/> + </CompFileExtendedInfo> </File> <File Path="$PSRCDIR/sources_1/imports/dso_top/I2C_Transmit.v"> <FileInfo> - <Attr Name="ImportPath" Val="$PPRDIR/../../../EEVengers/Firmware/Spartan6_USB/dso_top/I2C_Transmit.v"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../Spartan6_USB/dso_top/I2C_Transmit.v"/> <Attr Name="ImportTime" Val="1613141433"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> @@ -117,7 +117,7 @@ </File> <File Path="$PSRCDIR/sources_1/imports/dso_top/SPI_Transmit.v"> <FileInfo> - <Attr Name="ImportPath" Val="$PPRDIR/../../../EEVengers/Firmware/Spartan6_USB/dso_top/SPI_Transmit.v"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../Spartan6_USB/dso_top/SPI_Transmit.v"/> <Attr Name="ImportTime" Val="1613141433"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> @@ -126,7 +126,7 @@ </File> <File Path="$PSRCDIR/sources_1/new/adc_to_datamover.v"> <FileInfo> - <Attr Name="ImportPath" Val="$PPRDIR/../../dso_top_temp/PCIe_Test/PCIe_Test.srcs/sources_1/new/adc_to_datamover.v"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../../../FPGA_Dev/dso_top_temp/PCIe_Test/PCIe_Test.srcs/sources_1/new/adc_to_datamover.v"/> <Attr Name="ImportTime" Val="1615667337"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> @@ -135,7 +135,7 @@ </File> <File Path="$PSRCDIR/sources_1/imports/new/afifo.v"> <FileInfo> - <Attr Name="ImportPath" Val="$PPRDIR/../DDR3_Optimization/dso_top_axixclk/dso_top_axixclk.srcs/sources_1/new/afifo.v"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../../../FPGA_Dev/Artix7_PCIe/DDR3_Optimization/dso_top_axixclk/dso_top_axixclk.srcs/sources_1/new/afifo.v"/> <Attr Name="ImportTime" Val="1638500134"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> @@ -144,7 +144,7 @@ </File> <File Path="$PSRCDIR/sources_1/imports/new/axixclk.v"> <FileInfo> - <Attr Name="ImportPath" Val="$PPRDIR/../DDR3_Optimization/dso_top_axixclk/dso_top_axixclk.srcs/sources_1/new/axixclk.v"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../../../FPGA_Dev/Artix7_PCIe/DDR3_Optimization/dso_top_axixclk/dso_top_axixclk.srcs/sources_1/new/axixclk.v"/> <Attr Name="ImportTime" Val="1638500170"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> @@ -153,7 +153,7 @@ </File> <File Path="$PSRCDIR/sources_1/new/serdes.v"> <FileInfo> - <Attr Name="ImportPath" Val="$PPRDIR/../../dso_top_temp/PCIe_Test/PCIe_Test.srcs/sources_1/new/serdes.v"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../../../FPGA_Dev/dso_top_temp/PCIe_Test/PCIe_Test.srcs/sources_1/new/serdes.v"/> <Attr Name="ImportTime" Val="1615001055"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> @@ -162,7 +162,7 @@ </File> <File Path="$PSRCDIR/sources_1/new/serial_controller.v"> <FileInfo> - <Attr Name="ImportPath" Val="$PPRDIR/../../dso_top_temp/PCIe_Test/PCIe_Test.srcs/sources_1/new/serial_controller.v"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../../../FPGA_Dev/dso_top_temp/PCIe_Test/PCIe_Test.srcs/sources_1/new/serial_controller.v"/> <Attr Name="ImportTime" Val="1614738408"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> @@ -171,7 +171,7 @@ </File> <File Path="$PSRCDIR/sources_1/new/combined_serdes.v"> <FileInfo SFType="SVerilog"> - <Attr Name="ImportPath" Val="$PSRCDIR/sources_1/new/combined_serdes.v"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../../../FPGA_Dev/Artix7_PCIe/dso_top/dso_top.srcs/sources_1/new/combined_serdes.v"/> <Attr Name="ImportTime" Val="1616214758"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> @@ -180,7 +180,7 @@ </File> <File Path="$PSRCDIR/sources_1/imports/hdl/dso_top.v"> <FileInfo> - <Attr Name="ImportPath" Val="$PPRDIR/../../dso_top/dso_top.srcs/sources_1/new/dso_top.v"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../../../FPGA_Dev/dso_top/dso_top.srcs/sources_1/new/dso_top.v"/> <Attr Name="ImportTime" Val="1615681017"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> @@ -189,7 +189,7 @@ </File> <File Path="$PSRCDIR/sources_1/bd/design_1/ip/design_1_mig_7series_0_1/mig_a.prj"> <FileInfo> - <Attr Name="ImportPath" Val="$PPRDIR/../../../../project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_mig_7series_0_1/mig_a.prj"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../../../../project_1/project_1.srcs/sources_1/bd/design_1/ip/design_1_mig_7series_0_1/mig_a.prj"/> <Attr Name="ImportTime" Val="1642731951"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="ScopedToCell" Val="design_1_mig_7series_0_1"/> @@ -197,7 +197,7 @@ </File> <File Path="$PSRCDIR/sources_1/bd/design_1/ip/design_1_mig_7series_0_1/mig_b.prj"> <FileInfo> - <Attr Name="ImportPath" Val="$PPRDIR/../dso_top_CSG325/dso_top_CSG325.srcs/sources_1/bd/design_1/ip/design_1_mig_7series_0_1/mig_b.prj"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../../../FPGA_Dev/Artix7_PCIe/dso_top_CSG325/dso_top_CSG325.srcs/sources_1/bd/design_1/ip/design_1_mig_7series_0_1/mig_b.prj"/> <Attr Name="ImportTime" Val="1644768031"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="ScopedToCell" Val="design_1_mig_7series_0_1"/> @@ -213,7 +213,7 @@ <Filter Type="Constrs"/> <File Path="$PSRCDIR/constrs_1/imports/new/module_bitgen.xdc"> <FileInfo> - <Attr Name="ImportPath" Val="$PPRDIR/../../Blink/Blink.srcs/constrs_1/new/module_bitgen.xdc"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../../../FPGA_Dev/Blink/Blink.srcs/constrs_1/new/module_bitgen.xdc"/> <Attr Name="ImportTime" Val="1612726243"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> @@ -221,7 +221,7 @@ </File> <File Path="$PSRCDIR/constrs_1/imports/new/module_io.xdc"> <FileInfo> - <Attr Name="ImportPath" Val="$PPRDIR/../../Blink/Blink.srcs/constrs_1/new/module_io.xdc"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../../../FPGA_Dev/Blink/Blink.srcs/constrs_1/new/module_io.xdc"/> <Attr Name="ImportTime" Val="1613089161"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> @@ -229,7 +229,7 @@ </File> <File Path="$PSRCDIR/constrs_1/new/timing.xdc"> <FileInfo> - <Attr Name="ImportPath" Val="$PSRCDIR/constrs_1/new/timing.xdc"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../../../FPGA_Dev/Artix7_PCIe/dso_top/dso_top.srcs/constrs_1/new/timing.xdc"/> <Attr Name="ImportTime" Val="1616081113"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> @@ -259,9 +259,9 @@ </FileSet> <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1"> <Filter Type="Utils"/> - <File Path="$PPRDIR/../DDR3_Optimization/dso_top_XC7A35T-2CSG325C/dso_top_XC7A35T-2CSG325C.srcs/utils_1/imports/synth_1/dso_top.dcp"> + <File Path="$PPRDIR/../../../../FPGA_Dev/Artix7_PCIe/DDR3_Optimization/dso_top_XC7A35T-2CSG325C/dso_top_XC7A35T-2CSG325C.srcs/utils_1/imports/synth_1/dso_top.dcp"> <FileInfo> - <Attr Name="ImportPath" Val="$PPRDIR/../DDR3_Optimization/dso_top_7a35/dso_top_7a35.runs/synth_1/dso_top.dcp"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../../../FPGA_Dev/Artix7_PCIe/DDR3_Optimization/dso_top_7a35/dso_top_7a35.runs/synth_1/dso_top.dcp"/> <Attr Name="ImportTime" Val="1621457881"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> @@ -323,7 +323,7 @@ <FileSet Name="clk_wiz_0" Type="BlockSrcs" RelSrcDir="$PSRCDIR/clk_wiz_0"> <File Path="$PSRCDIR/sources_1/ip/clk_wiz_0.xcix"> <FileInfo> - <Attr Name="ImportPath" Val="$PPRDIR/../../dso_top_temp/PCIe_Test/PCIe_Test.srcs/sources_1/ip/clk_wiz_0.xcix"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../../../FPGA_Dev/dso_top_temp/PCIe_Test/PCIe_Test.srcs/sources_1/ip/clk_wiz_0.xcix"/> <Attr Name="ImportTime" Val="1615001027"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> @@ -332,7 +332,7 @@ </File> <File Path="$PSRCDIR/sources_1/ip/clk_wiz_0/clk_wiz_0.xci"> <FileInfo> - <Attr Name="ImportPath" Val="$PPRDIR/../../dso_top_temp/PCIe_Test/PCIe_Test.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../../../FPGA_Dev/dso_top_temp/PCIe_Test/PCIe_Test.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0.xci"/> <Attr Name="ImportTime" Val="1614997392"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> @@ -347,7 +347,7 @@ <FileSet Name="fifo_generator_0" Type="BlockSrcs" RelSrcDir="$PSRCDIR/fifo_generator_0"> <File Path="$PSRCDIR/sources_1/ip/fifo_generator_0/fifo_generator_0.xci"> <FileInfo> - <Attr Name="ImportPath" Val="$PPRDIR/../../dso_top_temp/PCIe_Test/PCIe_Test.srcs/sources_1/ip/fifo_generator_0/fifo_generator_0.xci"/> + <Attr Name="ImportPath" Val="$PPRDIR/../../../../FPGA_Dev/dso_top_temp/PCIe_Test/PCIe_Test.srcs/sources_1/ip/fifo_generator_0/fifo_generator_0.xci"/> <Attr Name="ImportTime" Val="1615002098"/> <Attr Name="UsedIn" Val="synthesis"/> <Attr Name="UsedIn" Val="implementation"/> diff --git a/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top_fpga_module_rev2_unsigned.bin b/Firmware/Artix7_PCIe/dso_top_fpga_module_rev2_unsigned/dso_top_fpga_module_rev2_unsigned.bin index 1fadf4747497755ae88ccd7b39bd2933241bfc94..9729d40f984473c1e161edb3e4061a8129a1a9e6 100644 GIT binary patch literal 1581840 zcmeFa4UlBlRVH}ety`6^Ql;)!rK)!8$MUUoA<B)GE!6FwotYioDyK9hZwD@kZs8#S zrG?fAh9w%Tuna+<Tj^|(Y6~cfmXU$=rh5F-*39mBXT2MMW0|zk8ZE0Cw5)?*cE*eV zF9Aa^qY2A6g599^`_8>LGb_96b;~oJAZF%Ny?gHYz32Yl%zQ8N(xrM3k<Tu+q@A^% z`^f3{I50P6UM@t;-`S7=EKABWj(OQI5^<D?%3{93ywb&>_^$u{TmPpG(}}u;E6moN z|IbD-Omrw_9(?KNlK=VWgECue$N+d2m~@3$L~-_g5Z(|6J;WDdDX6z0UUuZrM^G0~ zBBd#j+Tm5Og}g^SC<6;H?WE5HFtSIU^6WR`UTK;E_PA6II{HiHl7>kA1JghCrJwlO zPcDbD1mF1wQ?}E(j2*h8I%<4i409c(<%!OEshm-rUdZ}cko7Z8eAve}%KU7X@ROOJ z{Q!IBZ<7wvnS1N88OdoQQut)vAw@ddM_T=Epx?hE^CLFj7Ug4o@Mp*6n?=ZBH};?G zeq_qe4Y?eVL+vcfyi6zCQS6Ck8Gz|BavPY%{d%$$^>bTIw}ou&FK_1FsDF_EQ8}Zy zvj2qJ(nb!K_rM-0X~kf8{fo4h0ND^6@-0*X1(7BmLSSf#KSH1{Z^dGWLZtfQ9TceH zfWSwNe_k)J+BSes@Opvom~XdL1#<fJ{v`Z@14*^?vPGsR5*B<rtcjciH{kLQ`L;MO z9p+iqC>FRTcumKd0DbDUiO1{(tJFAwqX-_z%Sp9MEFvvO>8zNA3n}9><0{x4B=;0J z$8-c!<d~o1@go*84u1(;YKbw!P)@K|mNU4N!JNt24q0}>6=^YY{qd|r-_ChBir~;4 z9Z$y!@Rh--3AD4R3bGvuube9<SCl#GUQt596!<ARk|EYVBr5ByYi2zzgo#Pbp!t|; z7KxDnh9Mbr;nW-xYz8hjaHW~5k^#5E2w6Lb98E0Y-V9nH>Z#``BNGBGLewkKsNU*2 zRt2eeK`?+gmxN5^G=yqiON9=3(Vi)21-RW{CMY$PKp%Xy%dx9Q^pm}1JY_sh?oBf? z?Q=%4w~34xgMG@7W0)pc4{a=)vX-ne-<DOHC#pA2v=L|@A+<J#sbxiY6}Set+PDB} z8?z}@4i@Po!Hm)I5Z>^Ms<4)oM;e)-7AS{?7G)*}Bmf%;BPOLCnWB}Axs<wubL{(s zAXtaXtq$N(_gX-mY7+gAZ5(EJ6pVSJ9NY48DE8?m*KXfRk&Uv0NsB;YJa0q-D;<JB zFrnv2G=4udNR(`h6fmO4x+t4Y5`bT!cbv-I1UF|P%h`-mXJq~rG_vMCJlTh|<#iAQ z6_iyUxG!bs0SDBYwBo=@1d03-$LRtq8ZhNj?gnH?MLJ@o3*;f}VL|KjDxs|$+C{yH zH)TQjprDTnuKFd{2D%PtHE;`Z5mi5kM>doNR-ZlKvL7XKeH*$$yCCx@3Q*vJZa=6q zdJg4952`GgKt!#mOB|5s)h82nz==h?o%GetVm4?Yz#-5Me_5Xe(?wEH3>^aO4u<{0 zNLkYhv~Z!<1`5_I_7-Ol&>h)UJ>&yOPEq_T<c+zP=s;XbfwRySlr1p-f@PRaz3A`- zpXEsMZ&mJ9PJQW9-ob7`436DSI1c?Ts35v{UjI?G0^d81!ct(fg+^c<?vu*W$9<@Q z@97>04QR0p55L4(2BV=JIPhn5qbrf4F1ezybTJ-cl!Ay^FbY-sXlEs;F8GwO1{yn4 z{6$VGFO~zfDL_pO+_1s?NYooodX$QP%Gf5X@Ce{J@|4pa@qNk};vx~Wq;0fEsVcyz z2QLJqVZyW8cufO~4lxe~rYiNe;m3<j{KFE!8-b5xfwlrKL@F>ReWbaZj3;6ou@I}z zvBF0Nj|?xA9{-rnP$0OzSe0ZRadv7Ui*)8FdBwyjcU2;Gli1)bgGm~i9o5c>OBtuD zGt18$VGcpiUjZ#*0@4)Hh9})s{&JFQ7m>}X<G;dab)b?xju|c}nYgM{zDFsR9p?~p zi;{g}7xzw$VqLJ06wyaaY2!3|CPeHK4a&!Jgo;xgsl5(H)<QQ+3p7i06a3urMtMk* zyp;9OAvyBkl$uP6WNe7N7&&C<#z+(8kmh?Fl;sOqLhN<cJc2GhVqqI1V*%dEy|Eqb z+bZ+OIjw}Z!g8_J=|rqNykKRCzQK3U)YV?~8G`rpvpvyP3$s{8P%IakTsCz)E@Hwo zCeJA!FEoimEE9SacMs}^*ux`Rur%)@4nPqQJN5l?#F?!gId1@(5T}}<JoT=2BZJ9| zAK651n<%;I!c8URQElzfNi|tN1U2WhdZ{oBe6>XzvD?&1jCldaURTDrU2GAN1rwm> zXQ8-&Oe}MNoGu>31dq!lSHs&tSm}}JRqOf&IMJa4u6!wc|8cg|b;^SUa1{?62-4#! z95_5n3)anvwTlb^BVe8JB~n65QzqJq-a(GK$Xa>JNMcg1jasZhMo8@^j4tLQ|Af|5 zRSrmaB2);+Ja9+417l1m_kcy7DbLFQ%{K4>B5pkVPYL#u6esb}AywE4iq>blQ07a; zphix)F+uH$4IkVKATOY4e;I8^`Ch6>5RZQ+f9!VTWwjsDPxXQyT6*xF^Q0#`4#eE9 z#)h0!h?U^vDXDg>9l*P6@A-jz9!7gU)j>;PY!z}xQ;ZgG%?>c6DvV(#OYnjyw}Dbj z^h^0Oh{qwnC&&PTi?IjtjdGYoAv9?|02Pq=G%@~O#>F0XsKphaEat(rtK75zE)G~? z(mvr#Yc$m3SRJRxm2vG_Q!Z)zd65af^w)Ms$X7WKMsqB8TkBsWuhak_Zk793zGr+s z>Z@FnH>^#Uy`6k!-}~@r6ebTCT3NoJcB#(OdQu?5{cVzCQ2WBaIs3_;fwex$$E#If z(!^9r*gF!F;3A=O0ND`LGc)3Gs=k!$j+x`!SWHiZzNVQPW9BeMd`R+yM(vX|`%sz! z$J$J=Z@8ceIFJQM*o&!bkN~G?<|QJc5P*3_P%;y0m+BKZ<0mz<Qj`akCfx~tw1|Jy z*YFf)l|XicY@A5WA?;7A<5K4odAwNjX$+R~Co%JciI$2Cq+@%xUykw!WBJ%V`yt-L zbx<6%m*OXpRQr9afLy0JmO&t$^OI$emsYE!N8QUI^N6#3)WT{Pq7D5JvOLG0{qM(4 zbuUXS`601mLuG$ChA4DNN3aEIHnnPIQ@)>N5*^=bn%+$rchryak^KSw$L+K)u3Ju~ z0QQ%?lzhj!xP5J;fkyQSqnMr6PtFq>``OPYMSGVphy(mXVISl+*vCx703)N&JZkKj zNi{F?Xj37Rs5ZtbaGH)2(!3_YO%TjG37FKmoRR*1|Cra*9YNO5jMx1b<okMQpT0|a z87Fe8jIsTcUQ(YzsZBy+yD2fqEBnu}XAH$F*z&z2@B?ZUpb?Z7(~lVY0p;8m_Vhf` zM;>6=V?nb~4m*`c9tC@RZ9;z|Oj-8FawvzM`XL=a@dDUM|I}mo39C+ugSzv#)RY~P z?S2gAR2h`#SYur$W2HJFw?T}xKW;PBUF|hC<^lWa5WvWF%x#d%p==*G9N^r*wZ?cY z(HZZT?=zktvVCS{dKzD={Mz-F{$(!XYBtk)SJTh(jI({lm-AzrWq!t4{~(<8#@MI* z2w352IH_HRvRxKrdKzD={95(O|1+(%*#Ow5{6RR0N9gO-%MPaPGe6^L`81vNF2hba z+&xU-i+9q%dIlh-I3<cALGBd+I(o*4x|8-vJ?@wETY(eHQPIBrF_$|TM?N#C_H{o` zYt4?5iL$we(nrQ=@4u{!7{g_HBbUrD2HMA%Q^k^o+M~@<BnSFu?AboXwXX;zI{JFt z3-J;L7;#kqBX3CKQhvfPGDi1ZZwv^^1y}Y1LSe**#<U;RhYdfw>`ch12bl6dZ69eZ zgvlHFG3IEiZ2HV)M4ah}A-t@NE9rIAr-yokOi#wFcDW75`d^p-RE#^b_8|6?KT`Y; z-FqT_7T7)LMs()8_t0$4EC@z~pEDp*oUXIB<u14flUo5z`v)idZqeUZU;R(YRe##Q zdj)<jjLXmdaygic9hCK>EGzRS%GNT~X2Qp1Wv~r$T_^Q&A0)@Q?2N~Cq5S}LL7hH* zc^j$zr}wqRWO<{wbNte2r+Lus=zKUsyxSIHBM~ntre1rxJ~=McucBOipHaWrk5~B( zkmq`8IqG*@F3SV#>+}73<9M_XCU3X_zIQO^)K=M(Hv8+qvaVbP=j@~%^>VDatb^pN zE6a~^PxS-qko6)SwP`MbxMeVpB`_Nd4hC1FsdxaW{e<j_{$7JzRcqo=xsjP4o6xzM z&2)@c(^vUKGF_)D+E3_TQ9cpx730BP<O^CB7$@S}SEIF%D<#Q(Bs##~HOrIYf$@wS z%?(C6SF@Rp@oM^`GE!!`P6yaen~ma|wm%>qnUm#jtJZK+;bP(W*~8Un%qwmtBS`ef zJ_$zjw7+Tn1LPzB)AB@*<Z_G4F^_l$*o<PDuEPQPBY!C~vY(D&+Ws2-kNiy)RGHF* zzA<jCd~zKN=+)?vxt{slh|Knxk?CoF*D8-^N_Sv9*^iX7H{>!hFVk0x;i_d=di2?? zO#8#BR^`*>jSNy7P0Oe0k^U&t!ok+8!@qR~^F-=t(}KK&K0mlqnAT5@uhHLhc~|$J z%1gzF{Or$;e2jS0G3}?XxeT<q(>6J<PD%cC`%i5?3S2Xl@pvKRWZ8>UZCqEOcOYLZ zqCOo^pKDpRCy$WnEBTw$pO#<A|CQp4r66_9|2g}}yi6Yy!?l)??X%xZf1~{8aunl{ zly))WrEp4Ox?YurGXAS8>x27R{Y~rF`sX7wBe->-*?l~4{%z}^_N?QmpexjALVktv zu2zSAbsiTZVuP4rXf<&nR=651&=39AIaTK8eWWMsqZc{Q-?aX8dDH%<<%v$k04<K{ zFybYh`WPnaa8>>2`9Ocu_Saa4k-zAEBIQ8v_`^+OmbYeKjh--_$d2tJb4*YByEggQ z^|XDY$MS~DF^_pywi(AX){MC;>rZQq?Wbdywx70~mQU0B^~=%)cB@X4fKQmNLhrYp zpg7H7KY~P0`@3d&Qk=FQ(IZDinC6Y>tHp55WhBLEe+Sr)LOVb{9pAM6f1>~Dw7^Du z?$kJh^jfZsg6HLW*oe1(u0z*OYbM#74wl)y8rg?xWKY$|ey&D#zDD+RjqJlUvY)S! zJyRq5NR8|lYGl87uxw6hWJQh4)W~LPWVS{&TO*sRku_>$WsPjUM%Jv6T~{MpsF7V? zBRf<hJ6t2HYGjKwvZWf?4K=dm8re#X>`0C5bv3f1HL@FPWH;5wZmyBtQX_kPjqKJM z*&AwPu12<6BWu;j-dH0GHL~3rS+_>^ff`w_Ms~JF_IQo#r)p&V8rc&yvU4@E57x+@ ztdae6jqGP?WItOYtJ(kNYWBamn*DFCX8)V3+5hHh_P@EB{co;j|C_7X|K@7;zqy+I zZ?10t`=y$;{%>n!AFYx7cQvv>jqKSP*@YU}-#u8?sM+5eHT!#`W`A$g?C*`5{k>7M zzc*_3_eRbB-l*B%8#ViTqh^0^)a>t#n*F^|v%fcL_V-53{@$qB-y1did!uH5Z`ADX zjhg+vQM11{YWDX=&Hmn~+20#A`+K8ie{a<6?~R)My-~BjH){6xM$P`-sM+5eHT!#` zW`A$g?C*`5{k>7Mzc*_3_eRbB-l*B%8#ViTqh^0^)a>t#n*F^|v%fcL_V-53{@$qB z-y1did!uH5Z`ADXjhg+vQM11{YWDX=&Hmn~+20#A`+K8ie{a<6?~R)My-~BjH){6x zM$P`-sM+5eHT!#`W`A$g?C*`5{k>7Mzc*_3_eRbBUe@gIWzGIx*6i<P&Hi52?C)jG z{$AGX?`6&YUe@gIWzGIx*6i<P&Hi52?C)jG{$AGX?`6&YUe@gIWzGIx*6i<P&Hi52 z?C)jG{$AGX?`6&YUe@gIWzGIx*6i<P&Hi52?C)jG{$AGX?`6&YUe@gIWzGIx*6i<P z&Hi52?C)jG{$AGX?`6&YUe@gIWzGIx*6i<P&Hi52?C)jG{$AGX?`6&YUe@gIWzGIx z*6i<P&Hi52?C)jG{$AGX?`6&YUe@gIWzGIx*6i<P&Hi52?C)jG{$AGX?`6&YUe@gI zWzGIx*6i<P&Hi52?C)jG{$AGX?`6&YK3}s3%-8Gz^EG?Ge9c-uU$d59SF^rcS2K36 zs~Nl3)r`;UYR2bvHDhqGW=~zLY0t%)_FSxK&&8TOb+KkoU99Pc#hQLttl3i+YxdN| znmu)~W=~zIIb*IA2fz2UQga?$sp+GYnm$^o>7$jJK3b{iqm`OITB+%ym6|?Usp+GY znm$^o*~eCD_MDZPePyL)Zm!hK&6S#cWu<1`uGGxim70BJrDjgA)a)xOHT%j+&Azfy zv#+ew>?<oZ`^rkqzOquYudLMUD=Rho%1X_?vQo3JtbD9y-hRAB_K6zVCu?LEYh<6g zvMlf>p28tX_XT`Y%x|6t>puv<S1+=T|6#%DyB2XD*CxLCskm#?i(C`ExQVBNvOaE( z#7&~vUN(83$e6y|-+uke<%nmW|0~8f?u4IyXO4NqyQ0tOI$pgDtry$mpgOUh2e#QY z_#d^IMqUI(NKE%akag3=J#YOB&s`IJQB14bAL$$T8r$oQbJDHxw_pDX<xly)aHaT0 z@fV-};arCi?+QMreOzN1Ca_Hos1xh0@+*{gE&fMsgcAM}{MBrMbiZ5S5MJ7ALd^5# z@E`pxu&1G?4C6CD(wV|7rOX<S1TeZO&Wv(Vpuer4*=hSEPx{6yZMI6)Gw;}rbY$~) z6C!^r>YI1?=r5O-vDkamkV)c=9_MIAXDCBeGC$+|ZBX#7@;F`KW-F4}-v}pvNjfS| zrufE3jaceP`x}snTW#T@>)S>pbVj+DnWm@2vR_`fNRP41(jxwj0T%R;+>s6FXgbCd zeUfB_^GU}k9{Y&=EWb^%JmPq_Cd<rpM<QhG5}nbN`Gt$}aT`VYs;P$tuPra$d;Xe2 zH*v^?3<zKS3!R~~hh7Y5g4c_D<N|-+KsoCHd>VNl$P37lvED|?pGV-I_#ytcm>&d; zqK*$2{6m!ZerLdDe#n=q>4#8N@ara^bQ7u4t9EqRV_ug2xsw2?-xmJ@(1O?Rse_F8 zWf_J6@DRaX^y|>0;!sd}8<)VZU-#tl>-z?ZL7@O<y=DWgow$o~QD`{8<I51BqTnld zL)G;}4HG}l!~9ie>)OQB8>nVT`Uy>tURmup4U(A1^E(Kgkl!J#;ADo_3nY_Zrf6vC zvpgGEDWNPb{@}*Q#HkOG-ZO<V8es%}MC>2{5?pZ!%lIQWF^ciqBn#d<jcB8YrLtt& zR2n!Qu!A%@^f4;6^tPix({J=mO#;r}iVsds>=SiTOkPe+@ZrmBDD5Tc`y|a6Uk{0h z6*7xN#qV_t4!M?6PQwXOrQbpbDa>_Q4%Ck9fq)nTzA38oBrB~Xb3r&(v}zt@Bz^t5 zBZ|?+lm#{={sF$_iCj>d#0->L@+-D0y<qTzjGm)v^@ULhP=z#50obU1RN|mk0EP&V z_)_`AU=9$N0QfCUlkhgVL%jxN+L35XSKcT$rXz*RGk(PK*$>OYrDPr&BvbVvb@;yV zksWg5?}JHfm+BNrq}KS6LCRs0=UTZWL$vLfMH?$~LXxuTa*g#$D(Q<K?3%`9>~xi9 zkHFG9&(h)}F8tnNdgJ7UzHf2BIdnUC_#Rj|##au5!J-glWFmFc18Ac-&5jc*g%Yq| zgEavj_K@IT=~By42@*J`e?p9kI6^EXPJ_f!q(>AD2$rZ#Tief6*-n)zNJ7dfq9!1w z$aqo!Q|yyCaXwa|d?@PR(b6^*S)!KeHRuq>CYYxR8^4>KQUh-e7?)S=j6ImjmL*+8 z8STw~8*5LATHp&>BCu?%aPxR7HM4Z}aB&OK=(i!+(KX9!Wc1X-HLBXka#TyxF0~Gj zcn!iO9kt<iN*BtA>8MAj4Shhy%tgo;RN#dw1lz}uDtriid_CU`=4<e~vI}WH?AaON zFBAlP4=qv;8ygg}OmOI>94gj4s?!V50~`PP4RZqtu8;h@@`6~|><8)6M!yz&Jru2? z+y#A6_E58ni~x&Xz#<pqMp#y|>x`#OSr%486$0YhqehTz{<4FZzeT-y{y0OJ-6=yM z16-hb4o4_H&Fum;EU*)c$U||o2)j0TJRf6W6~a0@1X@nkpo*^+M=D`hK(6g)L7^_> z;#-&?1)qy^Ne*D3a@voegvJ;OW}TSCaS2B>UaTVRq+9YA?mGI-#Gc<2UgBqO=p6-K zju{gyJuXc<)Vn}Ee)B$36)=bF-D7*z%YIRcw$3JS&~iSuCh~-AI$fv=cn%(IXmRa8 zzUabwfuCXMuvNKtIaZi)1d$_u){(F+ERkU`3i|ZUgM%K>cE*`Z>89$np(<z}s1sbY z@=m{$7kYd;A5$HC?4QoC6v7%tmw@X${BF8JF>GD5&wjMusITBh{!x3ii+YR)`>;wO zP%s(A3^YRIV8j3r{1%WcXr|EBDJnLRev=v0*#zKV5H0}v*0H(V;3>uiP&u$CAqA=^ zfrdqtTl6Icih-^N-wQyO9Lk-prLX{julIBXCX5RxNrM6(aQzq9z8)995CU#&(RW(( ze1Mq(xU;D^nFo;}%2#77<a5`st0KEugJs7>DNsvc!U5XE^O5#3sF0EIQn2{0;*<>u zM-N6^qKT4DG;_{_<&uUA4p}TB;v6;M5C6Tgr5KiKTjG1EX^nAI8tV0T@ZBB23IWXy zsf!f-RPYn!i66bBa<B<n_;vVQCj4=$ThJ=CY?FHHbOk5)S7<E}sRxI7^7l%&UOBY6 zCp%Ra0)>QAZ0oX`_&`{^6|y6aIjuWGbjpoormQNnZ3vDd2XO!^Ok*&!S;@Lg%ctn* z)kQU1@!PsfnKzgb9*#U+@%k7p?QI|FH6O?zSj))(C5(3N#<3l|iuAaw;ixBwP#$Ps zILh-YtIEdX1kAm%1;>O9<QysV4-!~mIWKR+D@sh>+v7aN>q4(uSlvPpxc}7xg)oG| zVMqdFQaJ361-MG?Svm%+N2Ku}yUL2w*3+7}r+A?VijjEA$<-Rg=q#p^r^37hd=ojG zZd%k4<>zizDzNaTt{e;n?ztS$ALhB&<<XD)QZ9u<GKUL$Ux1HAvkK0x6kD(YU5KM2 zR?;&d#>7TS^F(Qcg!l?fFg_F-mHN;FA5G;w7Mcj~7yP_~iYd_dRMiF!VxD=Nx+o<! zpOl}*!2)OR02|Dnikk=2F>lxCH}Ey)GGr*1(L%Cv4)P##?3o@S<|<gUAfTCp1hBz0 zL{G>W$K$EpB{u_BVNYd)lMgXy<cZ9a>MALoQ=cA`DKSq<L6%_v5~2?Pi;rMgXT+j= zIb@)`o??<*kw-e4Z9X9D4lU{tDfzJF#0^rm94QY5zn9+pkPJv$X`#pQ!y}s@%4Z<& zIJ9fPz;uwJJo2ls13Uiqg%t3^?;rMX%*r~e<yiD3Cnmm$2f_9cY1i=^;s~?o{ZP1a z0R!)2<=iTMS_@yB^VFNF0$xhL8rK~!Aq1>l|7%zqRBJGU>|;O*ZbmE^j7h<r)p1}z z+sMHZ$tJ*}Ne210#Qeu3puEKd;JCwt0y$4fvbV<V$@wbaG-MrjKLb65j6ra1<h)Sq zV!2~I%D}{bN|=d&_Btlsp}ovDIORpxe+QM;T@MjEIVN1voeZEzto48*$_AY|PMnX+ zzLleRRf82N=|>C59p*8tT*cW2ns2tEhDmPL*aJA3__WINOyV|<wkQwyGD$5DPDpP# zWB_P}b&<pKAa1A6yeB$4r)6Npie>3$MOz2&&;ys?q|n?dIf%@uKfH1*VqHLQ7u2FM zz$H0w^cy{u`)N|Npk*v+T0Tuf8K9l&Xfms`2)Z1NkO&}Xcv2i3fPfrEzGf2AJs$HT zRuFny6eQp9wx|JRKdBj_3epAcJL+2PEY?`rd0e%O(PE;1%qBen@(3Q#EQRcufLVvt z%rV99_#Q2=LT{lN3d|!57sarW<siGWGD~axoQO3%c2agdYaF<Z#Il%Heirov_}GXy z;-pJ5jHiogGe!d2jL~5NF^A<;D}sC)P>55l!O=N}{UL%^wr*mu!xzk{UrbnyGbv?s zB4%>0WQ@1t0M4-tW;k{CLm=sJ1zdWBSF8yNa?D_nQjDpwMtTAq^OU2RQB3K4WH6n8 zTQm-D7G4I^crhK|1g}5G48EkwC5FHkOD1h9x9rd&r065yVxHf%C);sUc(NU0M+VaJ z2a$%gcT_EsoX%6=l-8F$$VCbn)G+`}aFoi4PMcJ|@?x8~R&3*}rdTV0+#W86E7HA) zn+YdAS4V~1tr<6$1MOUtYD$`7`sGnqaq_PFOOi)BjPOW<#FWT>fnn#@Aj9JAY^2d{ zv`SE&OkPuLU{@Xq+LBtP%P9s7Tg9o3cSdC_C;KSpyF?S-Q75dq3Dh3>jFp|r5u<)w zn*GTFD3$9_6SYHIWdDkr<G9C0p;}aS;A{khWD;=BRXQCALR!a?n#wd$E48z3%=IDN zassSe*cUuDu@p88__5shV-LHkuPs)F_2ftObk%~sOU&4TiIC;*K`t!9sYgcb#iOiP zMv4eDS1#zqSdb4ON5Eg}bf7}?&DgOzs@M+{#RP3qIFuA(Y5FZvlmu2vvC5f^4CbiM zw{bo6Mr|6Gtw8Bz5NHj1VARX;M5uP4HGImIue6hC@QD$KC*lAN`*r#ShTr55TH05j z7o}*C?+UaZFsxe|IaE9@g~0M5A+FF@-F>A%<>-Gw8CNf+3d8w090GL9-hgt}r4LLF z60KT?Bq1<KWhDG;^7mBkW~u}x=v!d)u_J}t!|I<NgYwB#cQJ!BBobJs(NOE|Mtrgl z279omR^le5trmW=x*l9?6;;v|yIitnY@)p=PG1oT`5IS=^Bfw~XF8Viy3=DjF%Pzy z>v$)CHrno##b}(EV@>6=Q!<S5E^}g8pz?;Tl3b^;+DKnnbbJ-aZ99tVD*F4y2FDb_ zH54Q}I7ufxF4?4!^2`oMHO_t<SQGl75GTGY`qU|86>{8AL!+G9@!GUgwOFRssGP;@ zU3t~1>@2c7P>tQFB`KA<So3S65@W2VPPL=X^4*?g967Z$QC2bsLJvp&xb!HTrn8AQ z4($>gq(`w&I>62$t_fN@g|x#(Jc8^nV+cGhhbx0m8O6|xblMuw5p484>K(E>SPNQd zaP#P@knAY;Jec}rVDBBN3`ajm3THQEXcK$1E6*d~FY(OnQ8myWG|o|~63+oNL0ROp zqktW?fsMz{MG6RKqCWDf$64)>ADwq1-+`h0>2a9(4s}+$Xzx;au$~%m%JJ~FrWOY0 zGFakNsdS4Wf^He3v}ujco3I=K+M^142wx`7y0jDMD~^8QZ%vJywhQN%%9mI!Y6Sg_ zlM)c6XG*XsF6aecS^F|*L%k?wdW)wH8r_X}u}s*Y72Fqcok$O%M7`VD;4kC}vrk{X zRrT;lEB&oX@WcxAm)ZnpKb5z5hSYLmUC=j9b=emgqJOsG5Zn}3(l@D1vM%wCw)qKp z3Aoifp)~u1eAkS)Wt5LTNo|qK0}dF!t>#8h``9PC_U;7ugFg8NezSkoFV&xe9m?7I zYb`lZ-Z%Fk{d_ka9=Wdyjt7M10_?V2>nQYt|M9nc_p2r72VL_K0cbh0_(jHgn}aWm z-rKmJ{PsWDYMEHZ(rv$Yrg=s>tyXL8?jLV{9$#I&hF1o+^NVoVC+j@J!XB||OszM{ z52LK%9ot9$S{n}E?YciSDvNrCF$XWL{t4wv`I%(z6dSY|A+1%&pp66CQuT%?U-j^% z{jqJ?Hq(G|j6+)jyB7K$_NLX0<rwqnSYGa%%gYP2mvWhX;#}-g6cOtw$<Co~pw|*P zK|Oq5Z<L$zn`Ec*_rLFZHx_r=XgBj_^of<_t>u^C#GbmZP5IVa@ZlKZy2IZ6s@yAE z@4L;Mp+7B0%%A2M84I?PQ`JtJZOl4t{rJZ3ov=F|zRMFkJME#<Hn6wL{af<8D8gE^ zqiu#c?qUDPBj5g$up7STC%^X*3;NOb{pS6kV_C%LQ_>g@ClaoRweBw|j^lP;pFsbO z=0t#BlgFpa<5EACMpeFk@G!+dm;>hfet0$f?-t<qwfT3&)2}sx*IdSHEZ`gMe>xn8 zz90|y8v^kA+WdbVee#;ic#SpvM*Dw_;k-8W*Z=bmyb;Hu2>7J-g1!RNH=@X^lTq%N z$jU`UNWQIo{F#6J<$rVY8;}0bPUO8-pD%r0zF$6%VS(!mF1|=HGtt+7B<a68^mezM z>skyKk>xueg+m5VC!?GTx0M@zk9op>x%1}g%pd&tS-0Jw2=H2c+P`fMpTNCI78fz# z(BYp`{O=(#J{Hm>F7R5{n?RfaA8sfgO=QUjPI`*Zj_vRk-9Edh2mN038*!%ThJf;D zS42Nnnwu!1u=<)l*~RiUp$z|{@|3QqkM~@bTOx1&XYj2$8IvT8Y&;0~$e^dyLOz#p zmGD`W7kG#HTRimVR*h%f;|E!g6{dv}3j0&4FLE~7W&Xc0t$DgQo8L=T{Rw)CU+nV( zy<JChkx#BmP>dHB#2IcA@yr9ego)&nj_J%v*!3j@(06-sGC*G;W9SDmGL7skY4C?Y zTfl_*Pda@c3Lm~lNc>b@E>|()Gz*XumqmGfJKJQOTwG?G`905EF^pJ3#54C;<(q|` zcl7;^vmKmUv3vz2fKJbM0&vL$_8xZB`*!d_&zubMu=1e_ET}kf;WM3a<jYooln^up zZfo9x^mt|5-u6H^%6ZVt639?M2010A9TE5<ZKOS4`cMvrDu%aC2py;dWFZl}I3B9) zN$3y#^PVLB`RZdBq-_H}Mh4TD9asP$1S41v1k%ZuMV?1y8&^#6A(8~85P_*~`j#Qu z3G#(CFny1SLo≺OWS0Yvg2j`sNM#mK4DIEhGq*iX`D+ND4Wms%9X|`ZmOzb_o=c z0LljXypUxPOs@dh4@4gSVK|D_asuFA=+e=XLRyJ4c^PJfl3mP9l)(2&o!$um$9xZK z!%+vPTdxQqxR_y?<XQAtkyu(aT3ft_-^L$g`tGZjwj;es1K;)@FNrXWJavsM-fbOY zAl1Q2GYRyQul7jbQ|<)(=R8@HdD8UAzsyg*O{Ss3Jg-VFGxy*@G66Y){V|ReN(qAh zqv{Pakc4#O`w1X;lLC-9O_8WdMj?Jeg+d`$4W|fqP{Jl@;jOIz*$yl-Uxg{5X4JN@ zg_5Tra+o6oaI0<2R_smCs*r+Ffq&hf0^2A-XDT?9>7p-$K^8u6(l<d^65kAo6+%vZ z!7NCa@a<`nLG?2MTvdB1kX*!`r+AMyB2?#@1@PnjC|42*&oKJ|ezFoQ+aUZ})G&Bg zNeAmU=^jNU#_t5U5gpn4gofH{Y!!hc?5z4<S@74`kl>lzR>`N@V@{2M1Kt@rFt8&* z8On92mXj7Z;5$euz%O$-U}{RZ^-naXc4Q<l{1nOH1DWVA-jB~%qFmX`CYo_-Sfn*0 z$rAJ$6;n6HY)UORi(<^094yJpSa=P&HhZ$tx}qI*B@3enbENw_I<N|!zB7OCsE4Vt zz}5M1&$cbZC)O=dGgcBBAk}p_M}m3PbjYJV_~)d7w#QaM$NIr2n){5{cR8<HZo3U( zVdZYz4}Lf7u774>r;Il_c=Q8=+A?}@gc#7)G&7u1G0|95pP#rq6G@0r;=l}Wmys7U zM^(?eZM5+#`|fC)Qd<Osy#F?b=qE%CmlTO8(C9F}6uU_3akJzHo6B6F@Mnj^{xD!h zmb+oMz%A!J%Vp<7_@uID_nr?u{QO6;_aPPzi5&_a|LhHWMem6aHZiq&xKS0p18zgd zpA-W*8*F?#vR{U?0&hom;SfjPTZTQ=-z$PEY%v?qNigaOd<PuA`m=qs_h1(`o8aM$ z12cd9W+;X@i^}F+C@>rX)>d?`FT#`P<x+M<<O88t4W5qy#MR0pEU;P6BCrq%yWvTE zJczqiVob<NHH#h#A#l9o)O2<S?H+pby9LWY%zM@bwAJTALw2#2%x;RC-<y|hl;Lso z=;8I0>FG^3u!m77f+Z$_B6aSej1j;+pz;N@aG~wt15nt&yf_ajhCmf=6i4Mkj-s2y z;ci7Bxa0!Jv5%*;*7%+@KDUPr711JCMJj^^*(Rqd$}VgOo`W492<}1+)*k*4V^9H{ zv!FQ3H1%8F%e&<9Ww5umWD7TXdMP_?m<BjN-@(AkBD#FL+F?rs6J+x#4}C}sMizc` z6_j^gAVemh^T3A(umRgayg?+0i-r5(vAlX#5D~zAj0kd9svhW`mygShVUdr1Xe;dS zV;F)#CkJM>j0Yiu7VJH?5-(ff2c#>jz5~vK9z_(v_{}O%)WzNBRRB{<140|{k8MS8 zhy`s~c$5&@9)o<<!99A8HyX%YxC~4kum>(211CoceD3FqXXm6vp8<Jig2AxB=m;#1 zPe?(pC(9Tc=lV$IZ0YCK7Yk=|@ndo5u>1gCY!gm`U0q$^RWweH3$$y2epg!9pP^~P zvNraXY*H?A-TzH*03hbHt62q5`Wdibr-A^C05K52sa7C4fOmryS1+C)*Q4M8e!8&e zA`k|_yZI@e#l|Rp3mgVyP=UfPHC>4=c7i4-a8H?_e_RT}R%;=JE@`ob9S`(cwIG(# z0N1>=30?pwhBE=+z1~@@dGe{7y_v{GKSDo%aAaVmg<fDbftG_-;19#AZDeGz;sRwW zTayA${kW)Aj4W=#iD?GPv1zf4mjUA?fXk@MvTOuMQ9^cq<Pd2@sa@xR^`FeEK`I+4 zpi??jpO+a-Mox4EkCdwd|9NDHFgiz4OenXIs0rE#3wfjv5I3Xy3VdXVid3khxAA@z z<fx>8TVjE+yy`vRa3E_Oov67JY%~E3r&sA*sx^xWxHy8?4djQwd6O0dMv9+rN?Hz5 za6C?*fOt-_a<*KDW4q8r`M_w=HEb1_nz)^o5v|GkP78Q;=<HfR_y+Mbk;C|)e8IJ3 z6$Q?D%pCMNcmqZQJolqp4&|-3#XI;0gubQ%Dj?_9wUJmIM?tZ`j8=~V6L1H*FHHGl zb3lJD$jk;^qf&SdoCcgP@QNGph>?+F7$(SB=5e8kponJwRxAQWuwjAQWIH&OL`0W} zUPqRTW6G_@m|}o%=~vM=9@EX<co(z_htG@PqJcM|zDe(R1trBgN^D{!bB@XcKZ}U1 zMvL<ZtBP<eCS+InVU8_^M@eQaqA<OvNJI1^yrWkDGY-BnPeQjBuvN`3thSv#($Yd5 z@lmq4K8skLLyhN}Cb)q2#K6E^#mguh=Z-f?TZhSwzb!7rstv@U5cot)*oe?HyC|wp zm;4yscu40#PzivOi(;lLu~}puSf72^wnukO&s!{>Je^{rb(W)<S9<Nky%YnaEjzf_ z)qxM2$uh!4ukr~E9)6Zgan$h*W`d`+=6C?reb=EtM6kixF)#fBI|2%#FWt7KxoTjB zEfRC$NGP2_-(yz0;82;OEZ#LgKb*%?JI@SYg~LDQn6Wd+yAq>`9mU#Wh%93b#+Hpz zAwx9^Hj~J=uZCvfy<ERm+W8i?UN*-Ztb6DO6M{T$w#~^tBcREe^a?adz&+K*43=co zoD;L%wS2S1hfU#B75g0@q-$x<bD_X1Hx3gc@TepbEKH0Me6HDhTID`z1<xUbHJ4)r zv%UCDQfQD0ExvA`=ep8H_i<&g#>g<hV@qroM?rwY_(6(x3;ojWVh9Wo0aVJaeaeQO zWBt)MGg?>pMabkR-xa6`i1>CO{3Bazur-bA<Bx0CfbtBjq#sdbmZHEZGIAZ_Fz#&D z21Wr=xJJOs3gqD5qH>Peu*S7bi)699M7VZ|dy_Uz%YmUY2&=BMZb7-!f*$mbVC`FX zR@P8P5m*OD5hSwwM_9{5mkHSo;0>aC<Q%S?A_H3mc3S1w@)&jU6vp2?rg-6w4N#WM z%DGl|YjGfNgfiq2FfydO)`Yz2@CH7Z@Ma}^dPdBA7fZxhOo*-KmX1n{mB953D=_SK z0&;V7ouG|!u^)RlaFK-*SBy?+%+s~L;p6tV%PQ6aG$QzD5h4xf0}fO<5012SkUUF2 zxL3i?`(2zvb_J`k$7lLvvz|z;+g2=QRu=sA!hq}mg8n-Epns_3OLIM{CHYvhiRoFq zAj6i-K%Iq~fOxK-D?$%6ULb@$|Ga#Xd4=wlqQCH6IQxKfJxE@#REXn_F|C3wa5?2; z9&>Fm(!p9|(4Jl%3D`)UpYPrcTZ8*gKO{@2(?f_GjfJO=oU9>K4t1~La^wfl9u?tG zLJyz>=S2QM3xSMLR9i>{I}0nk(F=uHFj&OO9>)J6&PuLsb{?*u)CG*%BM91TussmQ zBF%XyB4ZJ#Z3j5r2W03QD&S*$MOoOVLTdm=IFzi%B8bNMsml76ELB(#&?1<^x5$w$ z*J8V8_G}kbFKw@B)_%G4^h}ulu*G0(uLtQFd45@WQ%SfIo!1g6){G5>xS3@!xUC7T zkH$nvUZllWCG3shyab+U?ChkkfF8s^!+ry08C0hP{rmyJ{J@P*Fk8l8M-z!@i)>XM z%1}qR5r6}8NEC}DHD)4P1~M*#kT@AfGN_wi2ACygeW>F^CCP6tCTmALk%p8Hx?+LC zAj2z6y!Nr3KM5T!dl+$5sLD3*5rZd#_^Ri^)()oPb!asx=mygKbJUrodT`uU2tIjc z2=Ge?6ZzPTWEGSE6HLJe(3n9>_0VwZxS#+Efp|*PM_eOGKw^pUsTx+oC7es{lXAmx zq4EBd9P?-s)4?S0ctIudCVX;<wnBDn7^hcs5*Se~NHHv<s6HJlX(xaj2u>X$U0e}- z&S1ri;MI4g5>t^^rY7hP=~aKrT7UasE!_`ujO{Ti8_#06u=;ItZ`-b)D)dVO-nG7o zR)y{2yJbro$DxrN{(&PHMY#~4xIpV3j}dJNBw%{M^0AB!>|NUY(N$ltSQp#acX(Xs zyp7Fo777>p0ZptO%C^@3@>Aeo4&hCxZ?WRgzYBr^brx1Q+p?`36=wNbeGq7q958b^ zXkZAAjiNDT6`wOxIPp~%ZQ?n=-R4-y7vM35vH;T~kGPob1Ihq}pG6grInx&JI_p>| zyj+L(Wx}lQ?1~F}sA?Go{^jy*{b!eL3)OM!UHDa(tlUD^q3Wx6)6k*l$)V>V^+@7B zhy-Z0oK;+GtRj*%c!{kwuMvTA7}z+jw2}4f71`E91~LjL4gUa)XoHE&Xb?x(6@#3a zhp=o7P>F(dbbYD@f!ArE;lEP|U#~X?J_&rCn|d$5j`ovs&FlP}Y<M~R76>?36Zo8| z!EE0#=$jdItWV>kLD5DCE*3RblTc#g5;+gnRP1Q(FvOY{Fr!1+!$=B%A(IJ^0PA?c z5%LFuJy3E@0*s?8!c^2cLawNom@W;LR;1muZcATW2&MxiaeS)Ip}zy)PO}ms8l}_p zNPrCMt#TwzcP3?l>$Sl=Pk&V4HBp<(x(X9vq!E}!aMZ`tKuWlVc@R`FCBdRl{^4x_ zz*sbq9WzI{j^={c&~#=!bVmYu!au^|c+z|t6hY~fj4GKdgY*Yg^}&<n!_UcGj||^W zOPryghU1EFho<?N@5TGlW%;AuC2Qi|hC@y)Mh;)Z03Yf^3FXI5;k-Oij#iAm^gj#m zrjuA(fD68a!$-<iVeQWd4}p0929M>R$Bp71uQ_m{TE8HB4;fGj%XoVndWa0FHt5dh z!c7n9AgOj<y0BWA_t^@s<*Mp7cjyrwKRo&b48*NV>3wPs8ahD{V$ewFH$y-q%FK*O z91=mC^T@#q%)(w%@W2P&MbD6Y*{EyD4udS5z#}~!M7<qnmb-o1T{`b&{hXEa=G-HA zZ(--mP0bcpvQzl_jv&9fXP$J+OE{_-+hf}Vyesk|3fYiySS&ZOuCI^F*o7E>&=IDJ znJ+5~3NJj654bA#7AZ|7CveL+GSbEg7~=uOo8CYhTZekFP<}X=8E0XF_EJ!c7lf55 z+CCs-+w%Kt`GuR>#v|^Xj_hnm^AwiX9j~u9y@gTyPfkL_7~q-FL%iYfV8a3PBwG;c zrHq$h6hb$A@!Yvb?!UPAe?b_b7j#-Io9=lOVMD;7Y`~P)7!3O)1Y^%N8DQ^=&pr2< zk3RFW|Kg_q?_ah4o4<Vi{hu-CUR{x$`(C~2rXM`gIraSa{@O=>@;5*GYtJ0}%bz^| zmXmM!mF}0%OPHI3&qM#ro;&x-ee1*G{JH+Ch{fkp@R?Ku6hz4Ua``;o#hEQsE@Ai1 zxBSgd96qxAKfLA8A>3kZZoB)Jo;rWzHoW`ybnE<uV*NQe|B%5cws;bK^5Mf9=h1eU zBsxzpt3<y4@n3k~4ZF|#{)d0(l~4TH@BZP}zVJ`)c=A`j_;Y`B;iIp9@n80S=hgnX zFFy6D-+t+#bLTp~|I+<N+o%gCah?P#jE;SxF@|742ZO7=PgH$WEqNI}f^;AM%(>>P z_piV7JGs8#YM)p+cOGqRK?m&<=#O-eL4gnl>2*u?UGEil?lZsr%m3n^-1?V)aq6*4 zzw={XY@U1dJJ+lG-+$Asf8IWM>Z#v5_G^E6e&rv1<fDK27q|Y+oBy-7H9vFyT!43c zr&?#ZXuf)?eCgihbImW`fAOW!oW(p*fL6pQfadw-bJF`Me4+|Il-}@`zj<uF@i%_< z#G!>Fc%yUf?pvxa9y#XU{`k{#zjR@C{S*DYN4gh%xqIl`N5iexZScil>XV-K$>0C* zt*^fQx>LhHzvX*h`n5-^KYd^OzWYz!bo$799=`RFd*5q!&NQ2+mSpGDBhu{LE&hJI zj6~h>pZi1@A?uRiux4I-@zSNtxkh}D{_$(GZ?*R6T@v~`x%0}&7aNZ@9?dd;a^owE z@P-Gz?W>fx7s?wCA6~fAzrA<@7O=VgmaKcNxI8v9GpYL+yrA~V(}yDui=yL~PQM}_ zk>}*&hhLOmKYmF*4qCK&sgAR;4$p0D(BJ97&d1w(3*A@bbpMhJhrqAAA~1n_CSy20 zS<IL{%KcU9lanV;UwU9){BM6}?2{Po$&-&R9Q*6XkGI=r-uuSC7yIPqe?6+oHD*r! z@NfOrq$)=vuJ0sI8%r+5K0%wxM=oifT>ACna_Qrz(OB#bTCk!2LF$toots~6UXm}) zvroPRzV-=v<hArku6phhmhze)JD4)Uc)BLI(mXkNa@zF0kB$4}lAurS{Ncys(xZ?5 z#ADz8uVbHF_h-{KSEIk@vBfV<>Rh^X_|kLF$qnSiK8aGylf#!ja_RJ?j~_mcKDop` zxwOAebUq(G{J;aW*qPn=_!sAYX7d$k<0VgBpB&akPty^WxydsAtJf!!YuA~dh<)<F z17H0r`=ov6$?rLRdg0EK@4V|D$3D3QN2J#VH~#g@`lO9M!P@1uPcFqKLF=<mMEeA5 zmvHS$eUjI%)F*e|sS#kdwD;I2(ym{-4(gLwkImk4-{JF#wp4czk86jcxkAK{ljbp7 z`LO%tX1n|X`IB~uhThRFnzv=Szq+jclRt8m{|xM)SKb2>Z&b-0c#&n>J4d%xmsgiI z@zxNIyj$;%_-^u@T|KfUC0@H2y9Rw?ky~F!Sy8PnWn20u<k&Kf+!23i%*WeMc!9?< zsq+J}{L6foq^hc;)>*!qsfh2D<!4af-B1CGP$349dmIkTeBI(!iEYB9@lNT8pXIOs z|8juq$I%`l@Ar>?*X_80?fue!8}Wpy#s^w4oDj2JwQb+;`|rPf!N4xul;Tv=O6K@R zFLl%oa`m6=Rfh@aZR$NNfpN6o-Rp0x<8xGeB&znwulbSQ<2N<X4y6Bc#7DiO)}B}V zh&_+jC)elLh*Q~G4sBxHB37oB9d4#xmZL3hqKx+3=zpZY`!>?wC*dPUt=#f`viBk4 z_sZTs1-?}zKozhN_25U_@?jY+tv4_fMsM}(>DxAVwG&i-lw|wZ2M?n!Soe`Qo_9v% zHD_ptzXxu3KvquQkmmB(8vF20q%K{$Oqc{j?@f9q<)oZ>6kiwSv8La3`mjG~8_frr zi}rcj*m*!s?`+_u7lfzdiRGQq1=H)lusC}IPu)fu+!JDRjpbL*VFw(b)YIrE#QdT( zA9!*2;)`Fw?tDD1Ny)|Hmln~+X`PyId-%oK+2#X_hw%(+TaKFxa{SGLlhq}}{#KmG z4$r>XdwK3=SzJW3ALfP>&j=GP_GkYs`{WWTbm!Dri3J>==!l8zWZAl*J$gL0@{95S z`sCO#X+JNscP-AG`HAO$qt$v~vGE%x-a0dL^UZ2F<A;~`N#nODYqwjiM;i~^un~_y zljU4Bj}{t;Ja-9w;@Kw%MN*&a3;hz{%6)>`p8nEdq?g){e&^R-y!2){eERh1w|>pO zRbF}J((IehoH>5{X8F=0Ys(sZWBVkX*DtI0wf9Lh#p@$vjvafk{rvOfy!WwJzxL>( z4}A8mUwidyU-?SzllF_TPu@8(PZsb2SL_pF^vNs4uLW|SAc7ZSpS<{D`^B%!V4hr| zPvRMC(*NNXj~{>T(zs6!AAa+t!>5lQf9uzt|Jvb88+ZP1Uw!N`^vR_!ed*Cl|9<-9 zhRN_o`LCe#NL+30idrX6Vtk@chF-K!-u})LZ^hd6*%Plm{~J3GJa_5z@zZFZwmkOO zqZq}LeNuew_~9EKXxo;dr;VKoYusxC^vVCowF`anyJ_uu5%c7-K3V+IXFrQcg!1>p z;TOO9RjyqRJn-nFFJ3Yi*e3}0xPAWluibek`{bSPJbmfrON(D>zldWu>T%_Ha`Szq z?mr{^9f{tW;!o=-UCGGqzVc~CnCK$mv&03~_NIrhkf$f~wcMtMT_KB;`t)Nw62M45 z#<h6kQj9C(EQiH6->f?0eWdvg&oW2AJ54|r2|sNjou6Y&*nT|m<%T=7tl1m1t|)<@ zq!sp?431U6A5ZkN87KX-=9{q{N%f(`|0#2ur+$3?v6%b)=pXo-$)8)j;kdTZy$LUV za?rs&A*BE#RXjoCxxp*)57Hm;x1!y=AK5$UBLLF)rq+=j{QH0kT&BP4zy*x#V;ozz zg&1eXSq{~AAg{5jA#I(@S;e0yCE%T{EyakX=an527U00=5qsOpm)=mz@@HQr#+z}z zgVdaa9!Ti<P5^zVx2^d0s6X$6e3|Ml>Px@p9rTJ9VmUM0sT^PjJ@YW^7`IP&%eOt> zQpT=^g7D!*2U$V@TiTRvlLW>q0;B}r4yyloC~ddB^KIFtCMDAD00WSN3w)9s;E4YL zO!{TT{V=rQ*MsPRX@T24k6c^qHkFxI@USPq&eA~Gwa5hWac;B%e3JS%f1frSa@m@1 z2DD*97RqU}7_<Qs+In%o9;J&R2OhT=OB;8gk?!D~c4A@4ikTonC6o~~C8OiposZdv zkVBoB(pStN9eT~nFe0R0a{;LnrbBXunu0<P;x(Wbk4ay&W+<yRnP<-6ir{i6o1}d{ zYPu+eI=s-6UgCV_Phf|9^g=y=Vd9t|r<i$w)07F{eD%1~14ZTlRE7WnxNQNcSNmIW zv}YdFK8W1NQWrB<){7cGqUhe^^O&mv?=4kO-}|(qlYOiS!XtmV4Y>h>s~5CGNLK6_ zyd>Wd9dyhGvAE00?x7b#h_6TS;+&T8EoR^h;3^-f#?z-wCg6ii(j(`Z7fjp`b4eTZ z#O?cZq8=$zf0{(iYG2z^aMSa&ajL7fQJc4%r2lBiSJY7?F5%RyyqSv}G=fJYLGSKT zqpTP4$e!BChlk#d>cUJhJ{3`{K10eT#8&5YiW)J^D&*TZ7?Hz0?lXLMqvTDI;BRvv z+B6KH1wR<J&{rdESTr+f@BvQKfSk6z$eIC%%;*DeOh^lPhud**!F%LGqkvMH9i#GK z$`2USDa8p!G%`j!xZCRg^-Y$B`{S650XLFN5Xd^zAl<_?7Ni<w1~k@kJ_j%=H#49x z=79*=B6G=zg_9GnDC0K^uO)SAMQ&u^cLT`cjh1+-WY)!wn<2~K9w0UkKDA+k&0y%P zIBmMHfS;713O(Uvv$NnMKNw9dd?iw!M0o+`UiBPWoYqb7p<Ae!PJA5Ocsv8F4l5Bb z;<O-0Ly2{nkF;lq&x3g<<qo=llVF>E@qY`>uOg?iB5-UE!>0-|6};w|w3A$1V(DFQ z$cIGBdd|>6>6qvrGV8~bBF8+-nwEIr1}xZ=)}igd3n0;UZnO$GFmMg{jv7Q9;t;}E zEmZ~zUD5AhR|;i&fDs9WVIS;^(w`5wU85iRwqJ<HXOBY}^xZwu-`s4<lYKZD;5|1l z<=KAu?kAtYqaNozAj5!9((!=iD(}?q7yZvwPXv$K6<-MR!J<#4zl;9p7xQvXcKSgI ze5AR#jq+p{uhNe0?Rwm{UWN#=yIJ5V$Pgg5p|HTSA@mS3AoN_XSeP%b>my_<;R#5O zJ`nKX`h37+jWh~{nm$QyFtR1Q?FH#c7auA1*@yx6|9T0s(5q(e#bI!`+3TW#cAvE6 z?j~-GUfqQg3r^5m{rGSMo@MOI(Z1b73^>XMt`7mJN11!Wvb=~fh8ltkTa*PrDWO`x z2^?e5ZWnl?wP*`&%7e(GE^T0&ep(VQ31A7oPL}Y8;aqI_cNbfxQ#`e}>3Kx)+dgas z;G%=k;N(FZq8Lml;H*IBVjY~vb9Ao2lYzSdK{yO*+)Iw3&mJ%~cyI8CDhhfCb_{RU z5Tjst#IjB5*~-ZPH%enZabb0Mf)wql`$dp));q554&fHTp}X<Ofv=i-n^F!=VesNc zTysi%N8}BE<mFB9um%4CjyS~VP-IWjlz;^}x>Ce5{@s}I!3vn@nt@11Z%TOxgR%GS zu(u?|ZnarBHS&RGG!nlgPlSu5?>hXpb+6rpy?<PA+c(AlZgYW>bpd+k&IQyUbmp;t z>_~YZSgu`_TPU1wvWG<zSnDoduQ@zB>9A=davLz+5UL9W1Otni8IZ9kxQ{{QLmy?# z7n@EjhMXQX0zI|Fd+HTk@kTHr`k?yD9^cL6&^aix^!K0=Ht3Tv>U7{MxJ0j_b#N!X z^!pg+FoQBo_}#|#zurd405p<|Ia3&qnX}2-;?IMN8D*tw!9h8I8~#CDd?KaB{%l7Z zUl2lufNYR0Cfa3GUz0Y9#h-0f)xd;sqcwhU;4Po=MLANg%C3Io&N|8Y@=eKn8!iS? z4VJqSu!genj(9W6p#Xh@CPw4n4};#fFcJo+(zeKl7LS$~q4x@IQiUpk`!asdi|ygd zxdI;+;GO59w0I8mMw!7c^q@pAplLf;(GZ5M%C{R2#&#)Z9mM!MDTU{U1OU%DW7jL0 zbw}ioV_RYxy=+SXk1Ho{_l3U_+73^7AZy@-k??ni2$<O(h!1uE0$r$UC3U9HyaZoW zc{`7W{KZUq4wwVER<GC33}Lj+$gc=~f{Vu1DlW?hSVM7c2T@^IiW`9JEuhzDkU>+S z+2=HepgfO+3d>BFWI2V2W*l@;O<K%(-V$hWWaYd0J8<hkuIbN7ux8-zZa{huwA~IJ zc#p2@Z6I>g4%Nk`;sTx@!I*d%@=d4^dQ12TAAFD#Txs_L1SQ7PGQ8iUErwfz@I*_H z^=;^upT=^DCn>tsE<GB2zdhyxO;7|BHo0awFmQ`YfLz*G*+713)w0fL3h5X;I{XQT z_53!`kq?K|cX$dY0v?*<4DC9!7TkID5X+&0{cbj)LSV@>-Vb*dr2{8a`tAz0*fkGw zU7o`FF1W+Of->zA4T6p?Jbd6?7zYiEQXq_MJjJD6NeApmU;@~sDDe!E>VhuwP_ivl z!?q4#T}Hoc^bj;wD(k3vtj7lo(YNI}JZ&2Wf&mb=1C}a1j-#84vU(d<M0|$Ep^hCG zFA7*C9SC-Xp`Nm{ZE2UCATqS4;KAcBV6h4M+IR;!OiHvbpS{LC?|cRb2Wsrt%X`jC zdA(fk3r90p`5OylEMwBygG${c!5eSG+B~;IizgLPcZ){fuFxrNUW@>?{3d=a=3`!@ zaRfmX4hiS{Dx8A%CstbyKPnhdJ@8{o78pv#LxJF!s596%xrD7?Q|*Dt+Ttci$`P02 zI)sbvEhZk;dNAOTfdeXZnKqJe=Y-2(<z1^-xnMB|JZEML8;!7s_(hN3`(Ojpn)9rh z#fO)QMPfhou+>xM@q30r1ph;vc75<$+Y+`gU<LO(%}H-pOh3ryaTFYK>=sX9^+9MM zbS=Ji+#K^_w%n?bUNN&5FkRi-!(Iz}i@}mxJ<;9mEq!{Z^A3|RE)C5E^StRA@9^7A zF32+&@PFW(gs!v(t^SNb)y)#V3ql+VL>zcJ4dSp`w6>(05i>J`1H|gP!cz<1(S2UJ z@5XTf;Sv^)vtUeLM+$&(b(dy>rkI=sGd}DU0kYJ(I8@>2g>%@t&TKrn;_h)!E01>O z!lpBW;)2Hv9{3iRY#Iz7*+pJL3(Yd%XK3syxex??-SrO_&=L7Tu+Oc9C-L@c^Mc;A zYrWgPFeo2H(XDfX=lpJSc78p$V5Dzg;PFjFEn??PaYxm%a{(WG78^1*(?XeZyE5m4 zDVMuzTfQgZW7z1wP4IeBzTB1W1$qd=_uBZlHz#ZVVX$z*3TQ~{x;RmI!vW4+x68V& zv4K%1v@n_jV`JdvWX`pG|3VoM2E1S=bXeL{_uHYOdeEc<6uzLMaKb+v(iI?CfLAsN zxU%%k&;lMqFJ%kGXuMc9DCm*7N`Whn-ZArs#Xy$lO4IDyi*RS23f`j*W&c?jh#uUy zFcx^}5rPvuujFKasm$*<fZ9&imM?fV%5H@gsAiEv=c2YPQ~)Yi$ikpimQP^=5`Xj} zRvIkcn_F1rWlIhf{CT}YZmYA`*)zdQhjK(2o|I;HZ#Uqhht)Wdk+nnEt_tRp;j5OS z!n3|u>d@v`qNEt$_nDgE(`fl%d;_bl?O+YYbe?-R+sa@Z6&;IHpV?+?z0!sm!QLho zu_!oeZ9AUh*hp2YaKQ(!vY_dph**kKef)R;UYiZTUq{62h)LE$AHf*tyzZ^ow9OoN z<ZJ3>C)N(yWO?yrhuCbA6PEEp31Py<VtF58F)5aIs?EU=pY+cazi`xcv3~&84&82S z)%$4>*JF=gUbqD<bOhHz_m=YMy%Vy$J6F7ZmBan<fJNx0E%}(RUvN^F3uk*gkZe`> z1{jA9deVd&K7{dvpJ6R+z<Fg5a8TYs2k+r1Uf`Z(Xybn4Ams;u{VqP`@4T$-g^#EV z*VvimVC*i2p&Q7~9k7&c7i<h@2OPN4cJ1dRT+deuIO19BWDXmRparnM6*hFcd_hA9 z+BR;%2DqW~dkZv8VNsWIYX_$rG?hiL#zRJJ0OEo;6p#1bsB!Uec>}ZJLy!;4(4dmX z^}7EGiXrAf0zwFiM@ghDCCV!VV+5PE913!#y!rNES(e|y7UGufaUh(?ukiagg5!dP z2OaUpy66rq@I+X~z1uQxaTYQT%~Ld+599nG$S=?V^q27^7wAyDfyO&+@M40kF7lu( z2ZwXnatCMAX9`&SzQK7T^xW<-p1W`wC}$tW<1ok?%WtxIJ9v238x=N{oO@!&_fQ9^ zmN!f8GL{X2K7<lV4VaYIAs@=%!9aC-xHxE6xe2oU2*Cs#-VJ{;Om-i(Ff(96U}rEJ zwFyMF(9z&QMImfyzH~k@6dB7}v7Vu@s(RzkguY;FGhtG1GVe*S$PhD_*zkdE>TTfB zA@?F1u(MY$Eze6YTwL06&SMepZsAB$Vk|p*79Uw)F|#)4qKW;Eiv~KgCFTic_>|q; zQVX-fVoNXlx^z3xz`+6EFM;aH`s$k3CA`!3`1v;5Gg!OqnsX>Q#otF`?4mh_sJo*L zu0KS+YWt!`8o+ib8;kP!CF?oB2gWb8JQh4-#0T0Hej6e0EX~LgX3FQSH7$JQLKh<F zv*|MU;gGMV?3>WiWeFcii1|`F@bI8!nMzd?62$;*2Fk%->4TRb;!(+hGX`HipfSJh z9SBAW+<dKeS4eP%19w|p(O!WV4qS=x3Rv$|c-e+bxsF27>l&Vw;z+>Qc0mhv9Jm<T zbh3*>2lP(J8vS7U2P96M+rWWvb(TE_riNVHP6KT9KxMh&I7Q}QyCti8*r~(}A+8)& z;Lg+7iYmW=ZbJJRzdm~uuLDFn)dKn+EBBFYPzv;a2>#Yl{2F%m0`^|+XV@Gc<$7QM zA3&3N!HLVG{7tY*)Y)`AXn^CkSXKUR@g96f9>8N)k<d0CD=pO{S7g(cJKM1IV4w}= ziUMy|;>8RMpOThC!RS{k0vN9U2+32B0gb@J^N1Mrf8-Q9o0|yT!@-%O|A#?coD9&I z{MP+>tS@*YXxra4D@*>4CMG-fVLYb~Q&)K$)`4+h0P#ykI>>?L+Cf9V=!e*?kYAEn ztZ3`2g{`i`Xh9V`nyxszuEWpE>`($4&U+)f0U~&`L(~(R7x}XLkhqJ2KtTU7PE0+_ z%8LPfj63q7SK>$l8ZY(uod(S&`K91CHI=_Z)<1>TtZoXePf`ES%=)MJBaF==%3-Q- z-F5C)xN1bd^SS~+P#v0IzgVIBG@hucX|!*W{~Q_$uPUsTcc>|UALT_q0UO^>Fve8Y z*dCG$C^W^O7)u^F^LWn4v$vexwP(5*R<L+ZjCVBS8;=C6&J<Wq5Fq7&WpM#YoW`*1 zP=*YU;K6jHyUJlHWYSjb7AvfCc?~22@jOQ~WmnZy5zjO&-)qX9WpTjr5*DWAd+~mN zVv(Jd5SH&Hwu;}SdZZ<+kgxVi!;bW^uzWi6cR-)B%8!jv$Pt!DIbN+1TM3p`UdAaX z@`=L^<0a%c6kD}h{tnnZ-E`D{X*s~3;kvW@*s_a0qUANE8+$CAvmJm5Sq|l%jbmLA zFy=>PvkZvQumNgu!(vWbaFkYAKZrPvR+SVps5&@Es*Ymx734T1S}@y~OKig*f&bi= z=DP60f_$ztPqV*X8qDkly!CAHl5VeDz?lZaXlUp5ie1_Gd~2~<Z&rJ|7H=OG=a=U# zMq2ZOd-#%<fC>3h*_}nx96J%L!8-Pimo<{+w}<&2as?_M@K^o<CUfImz`bARci2=G zdo-dh2KIlKxqcznVYfjmffJbG4FTyH?)hujXG4J(s9l3oqcnGoU4niO?^o%7eEt6$ z9CYRJUD`zmfu)XNk_@sJOtnPqA72Q?*6rQJ-b3%dz1;aZ-~8i$cw+A8S{ZKolM8EM zRR)J0_Jl%K?1S)kll0DG#R(Ob*?@umCLVPi4rd4%-_nD3OHSckm34=W>uhu0&Y3-T z6aklKf8PF$BNhvwS#9H+WSoTI_Ta&d=KoTjlQ+uJ6aDZ(^i+v|cs2T!S$nIp2)#p{ z)n@T`rMz{m)vf0H=J5Grdi?uEw4Hwz-w}z&(vQrC{wnr&Q*kvMU<cgo?zycsv%4$3 zVdt3t+!N-__6OGIegLPB|DU<{fsd=W4*k!)dsn_%3u`50BMi1ji(<<TA)5*(q@ie} zEViIDT@1oN0}_PtNZXf^mP8~?;?c6WYe9{mg77D?*TfJ<q?o=3EsgVo<xeR|-5Ap3 z7t$98+UE6_Ca;MT+adf3`}>}`yOM0+m(S;Y+TZJu_Rh?iGc#w-nSb}*Id{lE6o=UP zR~dh!Cqpuq4yb3x1ZK|_#mite*Js(MTP}xD_}DzTMxP_O+|Z6b^dWXedde~w1m(QR z@Z7JYc~S`PexG3F$6`Y>OTaZf4R=^n`>^6j!jIbb@-3_ECDMP+*52&NU$s-Eu)zJY z>*Uh~g{jh-i0`7D<|s3WKBywEd0u<PSl<h10lE=ZA6~^_UmwMck$=F$k*kLyo0Y|x za(M1)UN-MkvN#@g&PgvP7Ek7~LAbb=TdfHuNyKPln-9b~!!jbs-1QG%J>}>Byrs1L zyC-EH{YmwPn||=aMX&rnL1z0S_icUjE0u*Sw*7GP{Wk|&wm<UED_{BZT{moh<O`c0 zJ>G43bo;`V`#W6bt~>vA^A{&3Zn*2^AKY7iX-B;KwSPS2hd<dex#`CroT>lomW7+P z-naSw$0m6A!k{}LneFw}i|Q}A%J%L5ym{uE6H6DBw?s%M`0;mtxaPGjt=HYO^>dr= zQ=K&W$xVwsw|VAfcf(Ehf1u^dt~K1cm2}Sr6I&nsz@kT=+fv#(`9#aEXC3z^|KQ#_ zxnuJe{$^I6NC4#%)qvq>8eNoCA0_bN&DUj4{q&aX;?a*kx_96B>AU~OcMiS$+WWtK z@KfJ??U7GxyyO>?_ig*({rCUXj>-GlKX>oHe&!1|-}>Z_sKs47%U^z+_hZ@ejb(TD zQ-A!0>8-a+KfLgaFHf$R{KwL+Kb`pWB|rH1m8V>LVf5*nwl4hU?#yR@<;!>8yFT+L z+duK;+cvLTdH362{O&vR$K;Ot-aq|d=fP_){aNO&ogX;)#h1R|emHqqdDj!cwwrd= zpWpb(waafkQ2*q)KY!`d+YkNPrZXRXY1@hiKlS`^$A5eK_UYF)wmkdnr|&xOe0}7V zm$pwoP=EfoZ-0CHL(}zdf!}`D)R(`NCjQn#qxA*Pe*3sb4!^ePf@i<IynblYFIql! z(YEhSjeaXN^-O9?$6*(4+kU9N>A2@Uwr%_M^^MUtpIy=B{)E;WZU4!s^-r$*`9;Uw zRQ}e+)U(evb#hz!`WL?yQcnBMjia5<UbJLk`7a5dyJGvFKQemk9T(kpee{<bQ#V6@ z+_Sgd=dSrya2x&v5{0;CP_FX&aD3lL`-*G%^UCCdOSVi+?f>||kG5ZV`XluxfA;bz zdw=q$>t<el?Tk}C@!<BE<@Nf?pZ}!mk()lU@q_1|J9z6ieh^)c!S(cmwYDyIY+GD* zi^BHRr^k0nU*?p)t_k;%k-o>yT|Ro-PcQwov1`NQ9^LxZjc-rgdG#Z=#S7v;*?!I= zx0S<FW|r>x+R9UpIc4pGU;X}pAD@=_+4gP!u<5rh{;O}_`nT?(^H$&bY(Cwwr@wuq zy{(Whs=HRwIax2O*o@5HNomhzq+KhO>Bv6N-=4|&ST=CB_j`OjrB*ZwBkd!_R61Ws zEt7)>%_bRQQ)Y@?vHh|tvDvwDuaf19Y;ndgsbd8>0ei2UDXL3oRo?I8_T?(70Z;=Y zGkOD1J%HEm<IZI=q|bB^UZwFOZRw;Ou5SXxI=kCF?Df1f$zI*fYOek8{+W@xM}EO( z^hmzdw-GBJ_Fwa_CPDq_cfM2WtRfka_VxjILIUiWU$rWiYsXFY|FU2M*!o}W=x7(L zC4XG6pKwAt9lfjmD*05MjAHIpl(lOM<DOgHPu!kLw~z2sGcEr&fKIg7fkJ+?pJLXw z@6PRAKeGyMV4&Wf8%?a0GJBSO37w3m$M>#J`0@6U^?ePUD8Hkr^uTy(S$`_!p67u- zsAcG6=1854wv({EzhgyW1Gx9fs#XJwz?oJ32N!>nI%~z}L822hKO}zY!B_wo&y1>0 z*tbjm2~7JMY0cgQ-b^QLyLmO!Ufq8;I>7*o^qXW;-AenFBc~sw6Kv+-zOFi9v+u}( z_J&T_W$gDwCpsC;kD{gieJS06f5Hie4?p>0{Z$man_b3WM$w6;*FRPBLDk7&wTejo z1`hAuJwn?U7#~1|{q?o=-Q)H3b#y}U$Y*>sk<sX$6_3_OM#nW)b)uW8HQ#;XNk2gW z_0fZe2gdg;qa64A5c=$=-LqHO>!dD8KhaV^VidUB+nepZG7%v8u2Ook)cVc?-BOL@ zXXqwgC-p=pY?P*k=!E<|EI;1YNbCA0P(&U{)RFz9t%^?A1CskDa<0p(N~4sjlPj;R z@2$`Dzx>X3)@Rr^kIE2TeL(X;Cv{o1YJ9wXg#GCNYVr9Gj1D9^+4tl6zI}W4tX-Sv zWRzG6z#=4lSY728vDFVAuJ<3Dr<45QblQ(y;{!#M-M;ofdc1%A$f|xX8g!Cr=%i!u zLoza&+nwu2Cm3BO1oc!yCtya32M^~9`<Aie-)!nep95sX0C1#ED5bx>u47k2C)|4i z>2b>JKl3AakJgDsGj`EU{Ma?(b&?y+s7@HW$lt?p>;89j@@iG@L=RVHyiWR6Cw*Qg z$m0l|AVA8a)u>K%>}o%d=tN~ZPbbBJ;+{S2_0&F*efv5()~?mDtKP5H7pjXQIw_1N zI`L!IVd<x@G<pfODC#@Q9`0CTiyN6F9I?P7EZn6f_y*nlF!7;=HT_ZeBho>4QdGb; z(CB7?x%|MBuKCRHXgr0_0emI=z^7to`EBwI?)Z`^>R!^5;5~r?uNZupjWD*Cw`U6R z<LWBDfUPC&5*{Mx5*i!IuG=A9GFa{&#$A^!^4QV5h219VMm*HXcJW?z<>it;HH*7J z9xQ{8&czl~UFfXxX2j(s6|zI9hp<9tg$sP?D-X)S9#UN+yx>*W%kZRG_p1I%J|$m~ ztNJ(d{O~J<%l_Dh3mO)}>h?u7+)DnfQ8V0hpPW{F62G}q<E6ia9T&B(rmN|@uX%Dh zo1eHArov`9SEZ7CFUxP{`4!Me*Tf5N4HVrBUv>lcWbi2|b!(np!y50y(s{h{-WNSN z4S|Pi6B|pFgNF}WcOl=&4YTUPi^iXfZRAzi%}e_tDSS9DXZ1y`>ppB-ViGL*K+4_h z^G;297dyr~PQ;E;?HnU%U2=`M?+mk{@fthj-78X4lwFg{DigGguC8pe4%yPhn*UXl z=gSdyA^CS*R<4|;;mdBA$ag>ZjV|S1WtDLI|I5{nwf}J?#rOWwyyr#-&&$kndb2sR zcmK$v^?Hqwuqypk-5|v+qNHD+8}{=Dbnx**7()-!htKDkn!5TOg9L)^+#S!GJA3<O zLi>l*_USmwTz)WF_dO@YQ62lL;5>mw{+|KQ|9@Q3CuV+W-bd*~o->-{z+QP2-ipP9 zL0t(&k-YpyxwSLLtBqrl(acPe;%J>5-%^)T&w6CAA4?ElKwZ}(BIljgv`bZ;)cYMz zK@Mod|2ar1a8&t?Y8{3B-w7^0^OvSNN+<P3KhgHC{Um*{)QeR{eT=8xI4|ud44c@H z>YrczLRduFU}yWuq6xHmmUQ>)enJKA>(t?X#jD^s$qx|hpP?1)mzRHeThhe;G4RGZ z`FAU8`HR0atD|%>;`>P*IcY!fW7l4WFZ#)%7R-L#(fR4vMHY2d(mGh_cR+l)qjhrA zSniIj=`QtSg{*nx2lQS_`-$FPkkISoK>y?<om9U1RmIJRj3vaPW7Zvn7oPiuiU%?_ zBs?$Pf;=J|C*i@P=mwcuv0o(L@_c%z!Lt=f3sg4=8+8)!m^pqdSjubCX)@A1l!W2y zY!JlLT7SKXYr3IP{OtLO6FwY7gNfVHv|9|4@GPA)=o;rPsvRN)u;Dw*sT=*hZlWUm zSc5L*&2a+W6y_*d?G?RCeu+!DK3z{E{XP1Il6)HU(O3L_njrc@l3&ZMpEt=ggX^pE z`98UwXF)R23>#_%5bwO}w?R1#C-i3BJiMo?cse7NrOl1dd1$6z=|irvQhY?5gu@#X zD!s1rUg(c-8+2_6KD9Rq&)F;n@d?tzHC^XbiQVF`^6pI8`Yg}FC7e?GLaoE&4ZKU- zur-Mnb%9_wNgtkE9yX3J=?v*+?IEv<hMxjoYHOU&h@IjX=|T;I4_B9mE9$<Fbl_9x zM8hv=xDhXBm4{V^;qN8o22Y#9m~!}(u@*E*Y)z^*Bp_pK2C#~jf(+?Jtc)4CN@`^` z3oG6Zi7V_PxwUO<VX73YeBbK3NGVw2`Eez-W~0c{zhE7)h=M4m0<n>A5*i}F>5x3P z(nOJX4CO#ovr?!LPlR>q`Z!H3q0c31kuaP38oQO0O>32eH0l6$_UdDzF^`BEbUu>1 z3y%y5MPmu7)^w1t;+?aRqQaV98{l}X3RdH#CK_+~IS`S>rvVy+jIrWvgxEI$&PQ0D z`76~J?Q;xV4I;>ZKrh)rNUfZ&etnG$Sffd=4y~uqOl?SFATYheSbQz~6ttKoSQ)}= zb*juZaHP9}Et(Q4U8pg$V7xCcyyaFOk#nHb$uF8$K$6%aQc7#3iz|C@^giqeu+4J9 zMB+*05k<_>s}!sc=_0tGK22@aWAt(a*m?XgU(8XMBgkfRut%U((m-gNMJ74`#oI)# z4d~S&PPz<?Se`6|PVw+*)CJm@VgN2#t8#MuH9*5IHt3UkBna4wlf+q4<MRp0$<XAj z^TB+;1`CpVx~x}+QJs-X1E#<>l9FC&XTc`vG+UpWQnI7Lc3-X}^Qp6juv1*1QAxV) z#G90z__guqd$FwcGoaC!%v_`LjWi){UbTE*T!h2hwt2u#U?0}<VGfBM4MZ!&Y)k=v zlEhQGL_DHd^V9zdJx}{ZD;dTCKSgUi7sJLyF6#PlPH}VqL&zs1r16OuAyP8bWTa0C z0F8^mld4yPiX?rPcez<>LIhTSAulQuoW>dTYB~kppeC78pvzpD#NtmP6Nh2}<F9&Y z<TZ=&9C~h(bmZiTv@t8DksD?8YVxFk7^>QcG9IZHxb@IXk%Y`#79f1820@Sz9DOAg z^#r!441`wRM|19sFN0dSG>l?+aWsf!A<f%|FkNCO1g#yzN?<08YS}=;4q}MF;SY1x zX*x}nl^zO;WEeW$DpGC5s!fe&fp}z3Nb}J2y6RU~Lqhw|m%a^fF?hAnv|&Pd4leuZ zKNpkwbwE)(Q)}d-v5F8)LDsI3qDOInO)|u1y!;#p12G|Sxkg(2Nd|MCDedA_!r7|R ztbGE1iG7Dx6#OTZVf(vNk~M@qaT0+f5P{K{O7*cws&UVR6I&S+RtRnBvhJ;Eb?K+Y z*$Id$fm0*B$W`2qEfYl94h;SZk#o{lA+I2;O~h`oRN~vsla>TusRUEmGt2Jja|FYN z$AVfMIrgSFqFgK_drZAD{N$5tyNhIM%P~^9-8vx7vgm}!bx@lM+{8{Or>642J0<p9 zj+uq1%%mE-&w!6ytoLRMu8QS1*tkh1(25%dha;Rb={*)we6`^hCSW;Y3;JVXEpln2 zJoZDlVI`BNLpNaqZBiAu2nwEEXDxIt9<oYeS;ELyY;+E+la+2rbD-l^SVmIu^(?~V z#EJ4_iViyLF>I)p8$~9f!Z}z~wKCK?sRd~kFTC=UXeU|js8yYn8YyDS>9_0>VA?mf zNb5hBEqUUmv<NFze%+=(p~7A_Hmq1m&)OkpA_&-)9Pf|_%8MydE$}WIwEhDn=TCH* zBKX`&KT2A$hKabyPLIy+9-E!Yc4STHA~iqMDp3*RHyBe+c2{_zAB}ZH)PlLNQM~A9 zD<ef~neB9U!yxaHvjv$z`nS-4KzAJ+bV4e;fL^E6ql|V)Xla900u$VPS&XBc<`1wJ z!ofrcx7srTt&l-v9afuuDVrP29<OF4v;m|2+ga`5+NXgG8X#?G3lnm#6_a64I4|?6 zY~>zvVj29QQ$xgn8o0C7)jL1yY^RkeOIjD$yTzVm!=hx_<Dwq~Semuf?7AU|bTFDq zS0q=K>}A;1k($~vLk59PAd#F+A2d$RjvNIE<!N=`pY7QNC?hH9xwOFsEw|gji_utI zJu1jW5tXIt0S(**<SHr>5V9uFyi~LeFzPMQ)3x4k;ld%!69`CSGm_3_JMg=*)Ao^e zEl#Y)ulUT6v?!fbc6=He5*I4YgGD1u@oLD&{>30Ch`Ern*h*?NCTPkMO{FWDzzBLp zQDrSa%Ls;47PNtqW&`V*GDBCV^+ZBp62uo4Hg67FvZc!j4{7rbj}L8nhm39B5yq{3 zawekysi2KCwfekEpNmX{lzW1e5U`=g*sw)M{e<2pYVbiKBiZJ1g<Y0J>M^IVpN%qM zw##9ZC;_h!T20sz@pdTXOFA1abAr*VFP39eb#-D%80)s$qNh=<@S=e$y+y(Ls~2iA z2Y60=bXGYhGu=>x;Iy*<u|9L~=~^z=@Ep+060jQGZM1cJdorv0u}Dv|QB=MNQwY?C zx|kjd%!V4SNG%<C&ak9roapRH6y>9GkZTLk^ksI$cjWWMLG9k;%SHP&5{-;e5-XM? z4YiI6L$HkFv>{V&QR6ws%|<ETZ#)fSw27P%%GDajx#%29gjLw&U4z4s>NE|E@(EWQ zS<-Q`X0q*aF{IrYOP7<&{m2Rt1>Q}Xa(A1K+KZ3F0xHiezlJPZV1;Z$`o0c8iRM%= z9<)gK1kN^Es0U(ANhrmKDvS(3NMh*?H8a3CO+}Vndwm#x39ZAM^QjzIr3mQ7n#AB& z2JmGag}SiCX%Qd@#g(DBE%sfZwW_U8iHU=QvQ$cql#aR}X7VShR)vVx7e?ivWGOx6 z&$SEbJ&uk+>!)KG@_{3Rpq&;(D^>_4pNX!^S`Eb$dZ9iNmthy~bC!*4QL6KwvJ*u; z-<0x#K0hKm#?lrw!PH?HKIr(GcFIK2sbXn)lxed8ttl<x`Rtr5FDBZtgOZJd9g8C3 zACD;LE!hggWk6yBFIGL;FeS7U#rgF{6#Qt60HaU~;aRN-;KRsHc?Th?1+O?jcA_!p z2a7Z>2|hUCI@AM@7%={-p+M^+V~m~1nH-Et$^*@s0g~oJlcmwh(>7k$O%=PfJ9|)F zdhjZur4rz$8qsnX*|LNACCrgYKRF*u#fW{LaViqW*DYWSLIvEg?R+Uyo)yc0&2JE{ zD%6DGB0q!xu>^6>bekD5V&a_ZEs4YE|MxU2U^CyC2nTy;)w_(8-W!?+TG)>0gmWs* zl$da7n2DVU%xTg?dPb8REg@!pkiN(`6Vs@|oWbN0*;X^m>Y<n&CX~z9beljr-6AI@ zZ;(9rArqG9q>KmVSK8O)Oo22+DOl}Rs1!Mv&^a)DG#R;j#)ht<mVliz;3~`~PY*H0 z8Wvnlah6H#<%Z*Oh$wtqq*{_&L^Gd2hOWeVD`T|XyPTt-kUyYAbDgk8CpB>>%tX#z zM~wn)CKBCUvXVkt8SBUf0B!gW9ksw?gOY)?3xmJVW_~5S9maJX0z)RkUttrsgRUHA zbCoDKJ9I-V7+aY_$Ov0}D`!S@0Udjy!N^4|vVd7mP^N&FN*YUIc3E;OPvaJwy1E<p zn5$V&jA<33C&6Y*5&KNV$@P$xC9JvLOz#zwEBhRR>^5j8uY;<Q&_)rpuu|$HZx*|8 z*fSAB6cRiVj8=}Zi(Kg~&`QXPm+<F?jO+Eh5YZ!nv$#O!A>~)0q!-%FES#ikB|o1a zeDW}C2@S(s=r+Js=1I#~V#wc-z_g8JhwzJ7>c5%ss=K#0E!D7(+?~|;X<nOg%`p!7 zi8W!?xsZiqOK`c}5Qk?j;L{5-B*Q4!268ohqpc^;@rTF8rb>GWsV{$1OW~bz_%V`c z*;)Xv?6ZTpZn-McM<Be#7;4^^?d$$NL4bBa$&q?>sfz^hx1jMBDRE_P>1ESN<hGUi z9*@)2_*Y77P;g-m28FI1p{B3)uvec{g;p8{42YH#gvRKQ!BEIe4eT+v&_Em*OSFYd z0!e|S?+6x%M*Be+z{4Qgx%tG7a8QpmHcXjmn-<KzNR#Ewpy!p;^a)8h+N*FMFb0C+ z92scukb>|fVkc&Cm13e`EPEY=YZ`>z4MDuKO8XZ1Vpi-sa;$KN<>FLVV9{&|fxsxk z*>$u&yfm6{^Npo~xuVumtoe5ST=@M~X`8BE?Ow=@(akx^KCmrk%E)FL+!%z;#<s;( z%Pirdm`;eSTrurdO5~;_FMTF2(#u()ACBAJ5qAf}0rD^@nphvjH6UzZOL?D>$VOgb z{vl19iKiV|@-d9i4)?j(_J*p^kPM?-V%SMxz6LDz6)s1JwvrPnt5Ed+0n1JhP}1WV zDX<{b5D=<(ozs9*Sz1v-RSZM5MO8^};PsP4Wl@U7yyx>t@y`eLu`_E9NkJEE2-4?6 z0%&^`6T6aQs-VEa+1Bu#h8$C2A3ZRtuws^zuL`@d#`ofeCoKWX!WP;(|5>INgMRzE zlo1b(`Lh6BNDGQOLn)EMF?LLe3c^vK$e4Z)duQD1;_%!xWTOLfxRY8qpMUHM#dOR) zkyxcR{5!oiz)60L5nsg*IK$eO93YN(5d)`cM(Kv2K_L^JR;9?QmSZw^kdfb^qkU7$ z;;6V*&4bhtj#0k?rUB~sLL)-6py@O}rPh+$5P%j_=9b-Yhvnsi)}6*HOzK4wLGG_} z9#gEQ$od5$vVm5hqI8kA3`Z^OPPZDz^h(@uOfOv;tj}o7jul-t<y@8SXmsrYhk=R! znd_=F4lPSnLw|XSK%rYl0P?kA5E!VS>v7^2`rLDb41J)g0-MHCnN~TcEwadF0zSYd z5SXB65JWZo@Y3RGZmM9#lBbkxgP@g>*01yf9ZfX*vUF9+zUwW7EXq0!S1S-@Pt10f zPwq8V@1}x?J1Zg}ptjmRE1ow4GJ&+f3E=O&XnP4(X+2nSR}W$DBFCWVH5#FN7p?SJ zMks3`NqrqPDTF?OO^9(MX+{!-e1$@;_Xx2@@qAWk3%MFcpwMY-AGbTbBtJ$l`wVCY zawRc<2^=M+c`VXHXv`=#AyQ?<Mg^ZXj1Jk(<9Sk1lCx+yS4VB#nj!&gQmu7l%NmkI zG2^d;mn?pvbgb{RLy93ZtSPcpW^lewR+GVd<lO;y6ynL$=OGd|NK#86Vk)4AEuD%8 zm_}WLTuJhlp6Ob4#=)@CxuHI;N-{V`O2$MxW+do|5q;WdOzT2BDC7z+iAtf>e-Gd- ztyklHx!$F!X`Z_IjA_LXXl%gzsV=M69b7!l2R*-uug$r@d=ZluW07GL1+b!d2el%K z+MtWrKwi-7BgT2Hr5K;`B)Lr8iabCkQX(_#TiS$-t>dc{empZlI6)5?(~(eFG@_#x zdb6-@eR~YFJj}47IIklC;(bA)RnYYH84=SXa$00~HejJri1^Wi8_*T?l2NFmU?ZLg zB?<Hb^iXMo>$F&nF;foLtDFkEltKQ)V7sdwM4^#VoDXp^-lJ`nvb<j}KEv72(Vig6 zxJJsX&w#{pDMBT0TYYq*W;okdqRJVg>vD+<qa0tlh{~<hMQ6d*CSB4vEcWV-5k>jt zMmOy0)4|&pM~Mz>qu?s<VI6;d{iAsh=Lqssx2>GGik<@vxU5V-z(4C^f}pep>Us!c zC%G`l`2dZ8N2ig<V~92xTD0N$D%X@EO`~xx(m|L!!22%EAi`JVN?!b=ixHZYG9^Og z8>A)$Op+5mU376pAW~Le0$SFb4ig+#U%Yaq(g-IE1^MI+f+SjG;Vo3Lfji1+$ujrl zX;)X1LzkPN<pD6rPr>Ky^YLMV+9ZSZz0ODw)U-;pIxW<z;|A%Ci6Gd7ntEz7RgV3j zsKbZ_ll2)lR7lwA&&A%Q@Uw;dCA2<F<vleNq1>Jy3yy86bd6Z^+gJCcdumq9URd#k zx|j{JJoyWypgdonV&JMsq0L4)jDm#^0EWeu>@AYNKCY4f(1{C=KamH!7-W}AQD+bY zscaezI_B3rI?}a?N<J$a!pSby0$nX-h7WN($Tt~3aj^n{Kp~M>Bqa^lD3fDX=@8YR z15WTXyCN-ACR^f>ldsf?3mbJ(a=`hkUb4~o-H$KgDcAJ-!``qfw~$dpg&f{(C4B0O zfh%-{Jxt4IkIB=QoSqeTi;LIMdkUR0^>|LGXL0Im9`RvkH>KRArWVD~I?y#NZlBB; zdrg;|W_c>n^$h|$C7Lc|q{a|6HSM2wW^Ol*`xk_69c`NS+9_`fbA4w_h+K$`T??i& zsE;<2G%7P};-Dbcn!dh0HXDA!xzMc^a}R<b6Tr~hvr$h}vQcP_=@MtTQ`*5wzFQwh zRzG7{6<!#dQaE)@&mDuL;I5b{iZ?eRQxZp0DqTe{YTZzk2%r9X+aeh<0X`yGJaE@b zM6xsk2}I%&t&pKim`wS6=8~>dH0Aovga48meR8`b<t}OhN&D^GKWJ`&hWw8!Uf1^V zyKO$ZuzS&PSdkMMekbpqm}E+e&(CIf?zW3!;y7F7PK0wm!z;q*7~W0vMyWrIe%ANy zbI-ggTUo@UOHV{_89edXW_ptQ?KXp~`QnU-DYKxKxN6K$mML5dUrYtHa=z}<q433k ziesP*d0BNeq4zr(JaOx<w#1#>15f3ow9`6hmHeIOJpAe>H=gjRpP&AVSAOp!pL+HB z9sm5~>IeSqp6m40lGf*)S1vN=pK?<4)Z<ZD`?Hf4S6_a*?YGbQx9Ce>`b=B)#$&#? z?a=%F;I)fRduZ|p4{ZA6EBm*9_A@URTQ;1#ee2ehoeORbZs@v|x!+0n(?g5idcpl` zqD+RGWrL5lZ@q0OcWzh9<}eCV*j09&nu(XhtziUP%9|_mqyn`><r0icj4j&w(UvvY z3l`q`V5M*4L~GaNWY+@fep_kt^ybn8LRk3wQCNyd+R%wp$vFKj|J2Ve{n?5Y$FKO# zrIV*$x^~UNZGUmY4cV5~ML#P}uKCvPFH9bq&aB>WZ}6cXE&X4kXMD7O>-Mc@tbbdy z;9Kwf*~|4mI{bs>cfK}#=>^@viW9r0w;oGLFW<lIrgdNVvqdkQ>T=)6y!C6>oVxAd zr>ZaQI`rzy4~u_xeR=wOlh+;Iy0bEM+hpnUrK!o=A1sCcQhA^<U36O}-M>{1{kOmU zv*+*LRsZa^N4|UV1z$hq#)npRZQeO}eOM~1PS(8q+OK}#zrMKXzE5m=<?2m;@ajD~ z9<Tjw?tlHbsgum9UC~=NY<+9=)KHYN_nfr+_B+3S)knVgk0+k7>-I%mH>U32cJ=0W zfBM>w-!t?0Pp;|tADiBK{E5$XozhvpZ}Vi!lHlfG;q<MO=)~Rlz5ljo;RW~88)Ab_ z<fDtWp1&d2aemA7EiI~(3OW&VB9V#}K}J!Dw&xsUm*Xo7S0p<5zf<K0Ci^ySK_?Z} zNw8$=)+L)ELlqCCXZs03Mfq#!PW;qsKY#hsP1i1e^|i^~<pVP}Y`b^M<dV{s%+G$7 zS@YEHFO&+0CRcxBX7$H^=Y2mP9r(oA<?T~j*S|eVJ%8M555F@08$ZA3#!DZ(cyXU; zU%GrMvqXaJ|8T}<?q4_g*0%4Rx;6KWQ`Xo+ua+PF`>nSxdhpPi%MaY2?mhI4Oxw5a zKR=%SOhy*))aUj?rP7A#!;|HoPPR_E7dXk%zU|Wc`!;=c>&HhIZMb0Njkm7JZr%B8 znE{T<PXzDa%$vRWe6U0M)9F>Kbnm73y_1RkRYylEB`4&@6MVg%PlI18Gx6~W9kzV! z?ftn7J8nhRw+$@g6dV=C$V{@&TrK4kmMxRL*$4P6<t#M!p(p!n)2TEgn9{CoP^1cp zr-QLUVV~X}Nj{y)9XOp*X93$B4=WfXUk83KsEkA_gU34dgvXAIWODW#=}#R*hf%TE z&v}9)BkR|*-*+au(Iv?XkJdlv(-q|E%GlV~zdKsZ+%sAosI^zwc`t2G^ry_*(|^r_ zfoJS*rdOrY=K19hE?>U<>*gbznT7!(5>tz_8o7GjGWUGARIIjFf1@;BL3)*kAO2CU zR@-G>loy|5+b~I*c9sbPkNu==pub)mU3lNJWw~+AR`cjIV`8F{{rmUro54zQRwsvN zX4==TT6NAPs)S;Z?X@E^k{i`suzOV}d-wZqWzIBog31z^^{-#Q^h*nWD=Ag4N9yEo zDvg|!c5P!X^uDG}$lF6ruLJ2(bduE7W4#{Lx8l4ce8kmPr@epiyN>z0bb8&!{{GS2 z)t|t6kg}9}-5U(-l>;Z7u=ulJv_%%`^$&V<j#Z{H2VQM2?v`{W)rQeyQFT%uxq4Ij zulvVWJg+*D`kHT|ldmsdt~zNO5bPMa*4y``)CJfdJV@4=-ze#!O_jo{`G5MS3fg&6 z{<~A1jBwhMQZ?c4e$h6tetmKDw_Z`5%&4Gg>4y(Db<#G_vA=%8?%h5Gni@bSb#(Hf zO`5~#D8?8g^$|TE2Cc2%zkgXh;R7mPU$tFS%DwgV>sLH_-z(}*pm}~{(hLqCJdB*Y zPJB`H3vVAFvWL9qVBqlR_<_^sAI;ThM*0=4*MrZiz5lb1{@O2g@BZ5JoclHYhnw0* zlJjJW-_g~5UN8g167*e<`s5=~gb;kX=MbQC5B?<zxd*~jMnCG<(8=1vd+IBmzk1Vd zbh61k_~6%pHJg?#Ya6Kd@7t$38K@sTn8+e?Pk!(~I+Jc2<f$w=(Q<TGhw}xhPLSg~ zogDLP`}eP3KQM6aPxjV#?|%K*r8*h$W0%i>b~-b2VC_hK&3iPQAHcqnv1{CqUH$7j zI+pb(e2mN_ZJDvl*KgUf{`%sFcKjsCMXyN{O~xx^bMUZ^UC^#QkkF)>I%$kuHIL38 z&Y+Vw8oQ!TC+Uv3`m*aIH{Sn_pLFb*ysI6{<@f$3mW8xS9lIp+uKthsv1_Eh@_wgD z=jr6n5#7|(frDwDezzCf^Sg^_cwy|?XWqW{aAj}y8P&<*!ylPs;No9(!T~`2seN4A z^9Rm5&mTlZrXMaH&g?F>4UXh=?9yWP@>c4<s|Pvsw+{?>MtxXh5IaL2IIJ7QwvHPs z&e-dE`0PUFDWdcIB3Ad^>Naffu=P{=_$j4VJn1W>AJTM5KHeSbEyhE{v$e$E<1cBZ zPwW}vp+Si)@k2a(7*;xdM}Vd?4O>GZC?`3m5r%XPkkvC7as6403Ed*j=4+k>>C@_C zI$wvu3Lj?C4__B~-YZ(y&Rw|FsrwH!ZKEt~Et}g8y1d+ya8IP^d4$1N`qhn)SyK0= zY&Mjq%h|WI-903EwkXKTIrqUb;oMN!yG?vE%+Tn|iOwo_-O<x!;5V^*{IFE6?S?Ph z)3DWB^5G{d*G6&6ZC6P}>r=Q)TsIAv@8-A*JssyUrX=+A#CKhr-+Xb3Rkx;tZppRz z%C+Fb%PPrGLcH4Wt!t(y4#=^r_wmTLG34ac<wmU$zLv8RPgYw)T+$byr^%p?{N~OR zBK?dDw={&Cba+2USaCj1X|)bMt#!;}Im+T*QRIEsN;Hh!Vxd{*Yb80SQK+k?r_75R zZg-NtcCp?=W$iN;>&-NEJdNkbUN+EmWvx8T5<a{}rcOKuoy>FJuY6o<YZsrqK+l$Z zW@!`%_LSLS#a}7eVbY(d_LU2e;Z>YrNw^T5n#nMV^cP3>?qz&w4D$1PQnHTn^SLq# zbYP1gotoaQeq9N4@L-d5m81$CZQ+7?J(nqtRth=#`OE>ONkG?CI%CXK7$9a&*AFH1 zAD*{<YLLB&GjfT<I(N-RuTRzp=V5A-;1+IAIGLrG^AyycJVja@&PAJ<>9}$(a?aP@ z(O-Y{Rl__VVMC4OPk&BQ_2&mt9qA5fKQPkPA?xR;)tA`#^grQk>JIG1*8PbmbY@Vy zA=W&5`#B>GGkR=?)KyGkQPl6<1GPMqU({8Ku50)ey^I#TYjm`IWZANOUUj0&4j`DX z6Fp;1Az7=aZ$Bv)yemiFYv^Pk(aFBT{<aMp>-F(WKF?OMQH`GioiCa?nL#IYhEdf? zS80ys&3%hU#Ok`WNwIgm$`^&SA86<#br(8;lh?z6g03A7&eO?{-b5$I)_?wLoo`6g z(FqsCkVTaHI?{WPSbd;FzYQ=S5^>GxMC6GlR3*$8iB3?8>Lk%dQzx7iyjLmml0TrD zVirXox&|EeGWwerb9@J3*|LEFRjL0FLR<X^on#uaZRn(<zkmNek-y>8MCFctlHNZc zfzD?8#`h2W^hLhAF{-wdx)z%cx~}rGIQ@i`GT#Fj-ISh{@qEfR@-N0VcfL;Q>ys{h zUcKJX$uXO0EtLCusE@a|r!xnsv&vBWNmC~s@G=K=-%nI0`mF&>993Ydll{9Bo$S|d zXv_!A4{1KZS;`pJCH=&A6L{6W(T`4aR8Vc`%2cnatwbjexB)Ezov`prbmC?7<e}Vn zKcBDAv5N(O?~Gp2vqd*`(mao_zrO>1pFEV_tt2{j?dSau!$L=Dykp?*&ogq5a*|?h z{OGYO(FtoA<x+JrGOjuq?3*p>|HCtK$%|T*Ssn6;3fdO^<Uo5vCrkdwbMgjxw2v!o zs=p(r5_t2mYoFIiqB$MAYIVI;e!iaCzdISb_UpH1L@a*^9IX@WCyDeLI-#L!ZB;*W zI>F@Ek6q}by}n7uF4c*CxTEN0lqn)lAX9Af?!pU(b?2vKHrNDjL#N^9If$7-wggYi zg`4<a=FXOLuI84N;~VEJHq#y7>Ax{Fi*Lps?KXrlOV>=V=V>+LLgRhO`HLdY`Y@%2 zD=p*ltQ}&Hr&7F$!MFT*xMmnP`tyXo<*~+jixRFG)c9w^+*z8Qa~D~Q1T7CW>=z#j zPhx2hbbUGrM>~@A(RD}KHAes3h<}TB1wL|GE_RQ~Nzip{Bi*r|uPSGHUSc6}ca1s4 z5GDb5qah8C@peV&Y=t$Ch6&9-XR#UoI(&mpb5VLO{I7IJ(h;_rUladkuI8f9jQ@Ot z57uliCo!y^yeF_tw`>*Rq-+gt(+)BGy~q+2wO?GP?yiPC;_oH&sSC@sgvUm@r_1&! zrQ2Gz|ALzuZrCfvxYUV_7<NqK7bWTWEUpiy&S|($H{#E6qIAlKr*DH^(|@&*?yKaX zov{#F7Tz{25T^_G$R>De3Pw@j!y0r}93fmNBRmD!X^3@^;7DQ2I;+I7eOiV^s(jCa z<tMK|p(lcbL!?to4K|$A3eK|=Ljpbcr?r3rgv3OwH+eGv+Ic#dvLZE6a7t&@=b^wd zd?kE~MEulk#*&j#E41Nic{b7zWi~Rfyc-1JIZWI}3<Z)+6w2dLk`@W^@qtC*%Fh<Y zxGMWr|4d}Y5R3RU(#TnmzV&~+HYQ$~w-{+gKAsq(C6I-uad3!bs9($r0@b)MHO5ur zuhth$+@EOLA&=p0hen0zyp_O+3Ds^=!RRHFL0pRu2MGN&2^oR~AGYKt^4pELCar~! z1ZCzD&eEty`(=~bd*ASK{GT?^<_)9gkd_zl3GZ{bH}=^#L^mtfsB4p^@LunmOOsT$ z5k8hklZe5SH7-7u4CnC!O4(CI0ZyClEGU`vA){9n3^rJvp*WEeudF<tkk28(ChyC= z4D<MvrU7g?hlJbJY@?hcfj3eyO2a;jq{B55_(Ge0%_!@O^r@4yjU1F_;b)qZ>iVJ* zY9C7C60GsJ_lhwI+Fvy*=Y7UsxxkM?miEiu3VytI^RCK9LEVPmHtOBr2!XJv!bw%b z{ESt%A!uFZBw%G~uG}Ok*D{eIJC<>*ZVJJp2Lh?=eUH|(k&&p}3td|j77X91_NDL+ zah4;vR)3OGcQR>5OLie?PL>}KH7Y=yb_t_2euuf%SJQBpi`I?$6=wYjZWiCG9C?W* z0`b=q9?&GSki^Uey+?7JBat8pXr&c=Lue#uI0>3-pgs=?wVQ`gbU2%f^Hhz?ylk47 zX6>6{b&X!2)e6r~;wZ*gI1E(OLCgjkMd8hMg~NuYJ0$Sit)&O7NiqUUKyq#j1BeeY zZjweOJngYO8|n?SMmt_)o)IM7U_3&1OC006QwDgO?RWTR#CoQ*S*C-cszUm7KH@n< zOq`COvHS`t48JB=YBH=Cs1=UwO<d0cUd;t)#Cm`8;>c1V(3&Y|(D1m-l1!U(=s+ki zdGJkg;>l)WUin5Gu_dM8VT(Gkvrar#gPILFTJ<V4xaf7h(}-n6(yb((3~AK@{0h3v z(YpmqE3&r-f6b1}T0ql}Y+Q+ly;3H`^;X7ga3NF`_Ov+oB2C~NF9vbDrz&y0!@Ap< zw<F%pSE??qZGjl+dLA)I<jdAuYT{6w$d>Y!$qIYUcu;+eR=vVT2QL^h)J|E^3+&=* z{&5?G&auZBI?Kr+PBTFSZAv6*Qb7g86e+DgXsr-O4b=A1#bxJdIgH45=(LXfV`y82 z??Oyj^uW&f$G|d>a;yT0D$WoeXDd^YiyZjjHW54IT1#p6$`xAqQba9cW3>FKl%pX# z{U;9ch{s)12*w3L5v{Ptu$B}XSekgjrpc-7CSZT!Dy0vk3ayB)U5K%y)Fu}`qe@by zl!(0;y0gd1EfzMWhWOn4*yf=)7*wu8E1YyjMJTdogWZg*As&;k$Z02;oz@N6L6fzc zqam?V<Z+p>T_Cf8N5wXZ18XNtFvb>T>9IpYu*i!YZ(c{S&RQ}zp|~mQSFM(vf7WRx z!vd$;2nSV)fj*d|M&xWG5`<(ybXLY(vCtVg)GgE#Mg67G{GqkY_OFU4lM&rcvkb%z zYXuzjP3jI#cb1SjxoEa*2WMX)lxMTf$|5WF=CB6IMkQDwOp71mqUO*^7C3BUkKAw- zk-{m0VrRU|3_-9fWCoSWVs_g|s0sMdeKoCu@z>^s6<gDrhX3^Sp)KhFK=*XGupDgW z@u*>s8qb~kqQJ6q-AcLD#*Q?MZy8I+nuyApr*&MZCfsZZ1&exmovH9;?y}}jBm$3G z^h_MUs#KZ~XmSO%xWJyprv*f~fb-Pz=}FxOnhhoz=@*>PQe_LmT5!t;NT}fWX`5>R zYK2nUr}c3ik|ShjDWnfqyN+;7^FeG8BNgr~RSg9(Uxa7ygmx-)or=*p??UMff}K|c z-8v7(G#eY7kJj0BBsnuxiCSHU6`8Q1k(I<<9@reaB*mV|F25el*9J!HVsVXiPJ>aN zd4%@NVU^EBc-0HTDYgaC2C{Oesxz>>);ESbQzJD!bdv#9XrO$gKF^*nGpu#4>V?BS zvqm|?&c_DA?x!(!B}*?g*YUW;!gE+=%Hd$QvDmVXF!ahXZC@z?5DEuYsjq-2ysQfZ zLb^gAPVurikrt8g9;UkzESv!agJ4NFq%=eyyNz)~$utsh5~-9U^=Et%2jxjVXNSmS zkRRaqk<1v}a5EQr2B|b%OSg@1bI26-x}8QQVAbiNMq>~<kq@EY2zM4(t68g|fS<3M z1{&4z3mTtr*kiRUKe*x|P1bl3+&hq`!8)%<Lgi3Lxv*^Tz@1;hRUwaRG08)iScF(D zHP(3gHxdGmol)r6gQNpa+e)WseW!y<>!#NHvZ0G}NZg5~^SG!A&N5F*3m#=Tq{T3+ zIRXp$B|xS=wGZ`H)X{msm#;PU(DEVG904Z=dL4=;lwAm%gy>DVRo;o}l(5g>QBV@Y zxD!>V2^O2_HjydjO-okb6RC=^+Wbt&#mO#415@JkuM*MLg;5|mF>Jco5~J);ZZyV@ z(Qq|$(^Bi`=`gY6Q*d&O6E(p}Sa!%?+7^*g5r)CNWMeC1g}@lL;yshK`K~3?Jn9-Q zmCumx6@7iL=pICh7O@vn_x74!K@I%d$ib}Dw@+1>h>WvYvDrdJW6qTF0~2a}=)1zO zKY9M-UN<NJDOkx#k5O;RP6ddl8cUA5b+JvIKqqXUq%u@g_*ZbSYzb-Uk--;PBh%C( zudzu5c|6^#EgWz;!?o-LX{lJ~!i3QZ1I!L}-^<a1#twp@Bs#3NDmt-nTqG8oX^&2m zj)h2?83G@tR>sCb>%#j3c*#UIQwatU=ipgWawZXsYQ;DzsM4U4z*Kss>}ngfvemwz zcQ>a7PHRDhT{dcw8f1P!B4Sjo3VPM&xiQZkIr!%>7gZ&cuZF#Yf=QEe;#T%DcpQ^U zS39aY)CZ5plleGpI%o>!afX;JE{AbODf>I6yHC#q6|-hCcC>Ojs=){;&OA;99=B;1 z3PN>^k9}JAFf4H)dlc%jnMl;72~mOr7t&hs6Qakk{8C9$wrqCTOOi_%wh-sRm9Z9^ zlE=y4l5q;WPEI&n8;~PtT8*%2+R6}v7~^7yEvl2Jm8>K(EE!$3Ih{I0KzO`!n1{U} ze6GOpuROtQ-m!oqI*3-D>8cYnR|2pMrtF+CF=v)c2%V|VXBLiy&cvqNN4$GXw0&f? z2BcxDg!nNJ9WZFc<j%~UY;z1uw=EVfiXe|9=(|j?-i<7$hsNF7TwIiu0z-sF%YLw+ zf~04+Ic5Q}LDwawNcO`l`Ls2Owz{ZIcuSm(%B%`qRE8)JjKM6;!4N&>EE3{}nJEZo zolS{jyppytsc9w*I5nBF%Dr#kl&De;y6DeF{RxaQDnd@IB|VK==V1k#@WIy>!ZuwC zmH3;aa1}-a42>dTr-eHr@wK7`4BM4(we97dENh%JwX<>h7`c31XyxhL5Svf64M|vG zwv9}v<Cm4FFNeKmc!8FxCq~{pB%7@{e+W4mxgG-hwyN|b1;>jM-eP4E;Wj!*StK8t zRyyoCVy*3|$|N>SCd^!yVSF<#6`$lnD!^qb)M`}pris*@+Lo?^BR9q5fA0hX<AjW{ zRKa{EbXM5HWd1;0PdK#DVUlZfkigovDigOzrWTeouWE+*L~hbt$q}|hrnu^8FXB9h zAtfVgrS@9!Yaxf&Sg3e1X2D(BBV>&ivt{vNjpduqmuEOSkFEf*W{kHr@sW?NS;!A% zm#R+v`W20k4D;BQ_}p^YiepHEv87*+V2KP^`D^Fv%m!oKcPF`V=bCi}YnwbdxF{Yp zOr?wtzrNappLJg+D@#f-XOlG>xb@Cqp=1|^mLpV3+B-r^!9#dh%wsK9fnUfxr`ZCY zn415V(fK#e)Y2J_X{S0>;?qprN6W@K!1A3I#zW}BZg+VcGO7-fkX97;f-PK69#fXH zVT7(OVR1E-+P0^tzseQKO|UgOV$3ea-7eJ^*juBlwOi=;y<@U~yh2|Ko#d@=0;z0Y zsPA-W$yz(T#KqQtVb5ysZ-Sy5@y@%_x}h1@a04<)^5A(&;Z&<bO^)G!We59LvR2zE zwP;e~8lg)i**P_j$Vy6!bW=!&r8w#JfH{c9oZc40oJF|VkV(gRmCNNe=jR>A+<IYb zb+87wwPAeXv8@mJa(u;n+fkflp3{|1(u-u`r_vKz6M@L4UZ`bkVF>S(tqt8d7<Fem z84;p%?A&I9$H^_619m$7)fHVFrL2VO%}Fv;Bf|+}<jJ^lJ#|Xa&B;Vs9GB{QMQ_TZ zD{)vM!X>>&$9E|1kRV-F_8fetc+I2yTa&8kATZ<vsUCAKdHBIa-8l%{kQYPX6vrj~ zZ|a#33~o~qh~XUBGSd}}6!a_S;*2GKfbU=F7MRedQjU4PVyYoq?eK9ggw}-h2<u!W z4_rd13FrXTV+r!F6%jd^+${BWy%T)l0U@(!;0pv0U}YCpA=91E%1#65jQBLi$RsXB z5&|mnR;ipd(VKrRlwEyHB6&XJXRvz7Qj5-Sw4vZY+k3WeUBE+&kYyxI4P5sW&#OA6 zKvdjG+n+j<GHB`=7qSV;JV9F@io{s-!a4UQmCZg8j0JYEMNRr*I+V+H1^R(Jxf@29 znP^MVRd65E5UdvJS*}S?lW=&=`I5?kN+24}AsQ#vKY%EKT)|j<Bp4NX1Hv$JJno^J zFl4jh*ER^F1_Fkjgl;H(l|d}gSS-ir+E3163DHF^!7%A8gG}2qK3yYET~Vmo3WkM) z;&=|O8f>}3PkxN%@JI4Hp3|FwvvNw}A4@p(%>o5kxRBX?-ff68(eHFrTB0agz)))` ziuM~4VOp8CZaukEY^xt&4MiJ?^c*i4s;0zrC>qYvE!gI{c%jJ>KSe!5+Kt}8Nze!1 zJz>P-z2PX1-=F2FQ8vo*jSm|vHWFGYq{h`}jtwV*k?xc}T^?lEiP1$t_zID#C$Qih zIX1v>0})WoNXs9CjjrEmMk!B6fPn~>;BAc2oFu*%D~Y2RDx+&25AjmI=F;L=i#x)h zwLv%(=Hm`Szsj1oS<1@GV4p6EygrQ}p_q()jyj+>APCHRY15e6@_dCR3Y`<%jGZO& zo)D!&tA5K5Mk6U|n*jVZV2;!l3aCsy6qN;9(=Lr@NpL<)IobD#JdDf7thko~mIm@= zt*g>&jx;aD<7F>s5&6=Mm|cRU#A_WhsU%+M7{KLh&Vdx;?aj43&TZ`dVzwf>y?$51 zBDn#S_eGgV_|ovPzpmFeg08qTM?En;UdhavJBOLbiE(j3**2CWxBwPEcp`j>0LWY? z3Wpp@hS8wH)R-4}H%kW=Lkv@~Y$gE|dUZ%0m*6ZUc*R(Lg!CSxK|RlvAx#nKB>}3c z=aM2qh9c1^tV=9^h)jNm9}F>>s!_f_qmY!n@Ej#)3F^6yav~#s<7~muyB9Gl^F+tf zH_seY6)A*fjh5igB=qST`8M;%4lGI6z%O>rpU0TQyJwPIUZ0+|@XO6OTsP0}6`5rX zgZZ-z1;tR~LOP7$s!RM?aEXu3ugIWFGRe7&$bi-aP6flnz%&)<O~^!S*mA)LsOuVE zs8bhx!+=qr)61-r1YUP_9uqc+rS*g|pUz=cS(v6XrmMLtY_22hjhAGK$6YO%pD*oe zbD|&8a8vZrNNzc;5OxidV$vDu(#CO37zPyySMUjw<FZDUJ`fP=QcNpRG{pv&2W+(9 zW(l`hj!zHzxO2{!-{H9X6Lz*n3$msZIMz0t30UTCgJC2L?AbeIxJT<zChRi0gPQ)Z zkQ;(j){%DtCnWR|BsVoQs&krAxGwSgb+Ih&>Sn{|d7ZX5`<yxDT3&U~8JA+k9?GeV zjm25|8HSk)8EK+hB(~f#1!WfeH`4%Qx*HK8CR;!!EoHee5_!j|DY}|@3~kYp7+9Ir zt4~+;wlR{DbZ?O$=jR(%pjV^kLG2H|K^Wz(1u7p3$&1lbb>gTF#r0-`bI<=Slllt` zqC(R(il$8%_C3Fa><vjycHnkM=Ok%mM_-qTGi+)#60D9-a6yELwj1kxZ?C<PZ!ko| zF>e_v?bE|yYH)%)QyI2jcbTr~52vs7uQsG>hXl?V*3NP3bXT8p<}y)EnQL9TQ^NDm z#a7+m3y+bRh=%@^l^_#sWT8xe_cbRawP4|oPU+2OHscy@V?-9QYg$@5-qUtY=DB-w z@wp3nUE3Kh?zJqXDweT}CzW0{l9tJ?dxGle%w%0oGUXszdYvGR)g{LVbIjXr2wOJy zh1qxuN7oxvKvT>Gd=PEoEsQ1z!xj1vdMJ|*yOKO!9Sfs#OVr=r<<mojr4FnmWi@yt zmbx3FwB0pepuTO{hd=rJeYv;S7xpZ==V0!Nmp}0CU%lqScYf_t+dp>N+h1P#+9_Mj zx|8pB_kHOLnJ?{=yFT{O`%b^~jFU63J@VEyU;g127C!&l2U=dbZ}nvT(cfKJ-?lp3 z{>TS2|JPTy+^}NX2U~VOJ0aV@#5s!JZaw3*Pkr{K`Y-<IJ1e$tH=lat6E|%C(Fa%7 z|7!IOw{ClD%gnhGlUuj0X}LcL7Owcw@80{$S*zVmTTj|NGa)xD+WohSe)O*s!S<W} zwPknFHTll0Sn<P_`yUgxF!R{vU6dnjKW@h1DqXNxGSgb=ku#RB8yOhA^z2vv;^ose z)jw4E;u&9!&$zJd>TvR!3;K#1u!BVTtfptSO@IEP>LcwfciuK>GuLlPZ+oKjg_|et z+xCrZzna<gYulIJ|Fz#+nZ5R=ZM(LfI<f2B58aUYmp{%u`|Os7rsIhjH&HqC{TH6T z`=%W?JzOr89{=(WC;$53WISD!|M|7a1JjwIZ<YTkL|M!!V>+j_xH6A<OUzYeGZcN! zF1XHthK=BofkP`Uy5O}}-@oa9F244YqrW)gBUe1yvF?<IFMZF|+dsT<(=V2v{E6xI z#rJPsK0RH(WXZORKK+Tp=TCpXiMQQ!=u;orc<W2cPB^q;(}I_tyKU3|e16l$Uwmx) zoez#)((?DuajxU@8y7tL?D7>4K0kWg9T#5k==s||_US+R;><mlO@8cC_uR1ku0sQ( z8$Wg}bez+8^RwHwchxxuvbf@|LzmV!zVzYSwz`k%IgGb%|Hz}8HoWxG^1Bv)O7la+ z9!}g|9?)|crzhOuZ%GIE<7VwosF#C?ev)z8Dla)>`N~Vs$)&IU#cLnhwCSBU-gw3j zGG{!~c1>8h=FFaRH>AU`3|(;j%$;4Izi8(f)9da$v9cj^{j%-b{&HmXv&DDccK2;( zT=4MQZrJ>_fB8gb{;cgcetc_hr~9jS-O%>YAouLZAFu72ET7B)G*|rU-A~`WW@7T; z&pdwr4<CLhO#fl2vP5<*xTkceD|~8D{z+dRw1^m((y@yLI-c<Q%bnX{b2}zr8{XM4 z+0hm~nIA~)3r<SyTf4TsJwCo&PB;NuyuE6H7_AH^JND#q>9p>g&ps2J*^%k*57(tr z?UGA(v}JOIw)4*G$fSXO33jZ)>YQA4)AWdDpPZxEP6#W%8PK@>BYcbSJnSIZpPJ6E zRWc4fOXt)rw51Ad1#jc%Bhq=O`bXMxX}>96Nj!9<=FS^wVD>knUgu;+^}Lbw*fQqx zBOAj73Bkz5qY2p^-~P^bUVP8U=^P<>Ku>;?(>={d@yd}gI4-pJv48hsd}_#ZF_R60 z75jzL{v5b^<}hcX5Y>M1^5rL-Fw%d)1?)MmUwNh9)va4rkN)%fm&ri!dTl^^`~J2C zf1^4%^S^LT8heq?xde-X8EIe7F6pITTKukcU+dU2KF$vAy{tF?e#_tO$&8E~yD@#R zy`J0M!O0%u=wweOb&xX!+t2;Us*w#pC41@vd%$=`&n;@N&u}Up`^VYNeDGlZ$nozv za2}>i=;UyIEeZqLlXK__1N#aCUMB~<P7dc$qv~Y$e0w<_0_~eMWc10I<6mreUguy) zMiW`jsd?$cBOCAjd>H3z5T%YLoYTqPz4LW)V5WGbs7}Utz@kDwj}6v)RVSbPq~?K6 z)Ile8!DO)Be({43s!r~`SNE!4%t<%8WB3jJ-b5$tk{@{2i-!-7kN@3|_wPTze((=% z!la;n0Q<vb%T_%4*+(}%zk7FPG<8t-v9Eakz8_;>SikG}!v{GhaQFU!(ed$t1NA+` zbM|KYZ@sdrz7g4w1A<US$Nf2q{UfVZb?nD74>x_dy?)82`gs`D_1F6k53Kd=qu!pJ z*El|~Z+u`}^;u7Jf(2|lM_7%cam}v@`}ZX}Z-$u--t_(?(a8v>OQMq(fBItMDb!rj zhEH|!m9J<&*?R{%Iluv$zMmZE=UAuTm(T6pBcD{A9QYhpdcZuLC~x)AYX9CDn(jRZ z9(?e7-<#Qc@4Z)EIWwa=f%KQ?gh9;9L0K6Y=@>ZmP&!>GY`ITQ5*!&>^B%RhJny{z z`m$w<AG-1WWA93*GoyzO>)3VTcTV~*9i#2-lV3ZWLMQ3{ZJF`HfV6iI&l$D#h4-zR zS@3z)36k_W$&Kn6IZd6k_j{elIUj0ACprS82i6|&eDo*hnvS=n#@nzzrsH^>qz7~e z5z0DBC$Ag3GOuVpZv;)9WX1;uMqb>|7_;VVS(<6)bkcw2e4Su1B_qFI|J>e6`zN)3 zG;~6;#@Lm#7q62My3jobmM>SGTyO!WJBp57GpfPY|Gh~+p$D_>twnNd!@VJ#(wiXg zdBqPl>>0UdBHR=4c;x|@;pU&Gd4wA-_f)gzG%8-hwh^zcjuctV&-i>F*K`T~Xu2cu z&3xuu3iog%9nI|c+4;Xol9VCb7^t0Mybd=eZb)68`mV!XQQaXPJn|DcKb!5O>Ye9m z*hJi6`Z`J>iC@Bkoad_&732XPhjd^E>Fp0YFPALmHHIta>=^UBKa!O^0Mj`fdjEAf z=>55mic`S#{4nLKeWcF!*-#@c6PM+YT-U5?T`>uBRv>un5W9UirS^?AJyY=NB<xhi zoWrQ@SL8C{lXDr9aB{w5jq@7)Igh>^iqrJGn2x6PJjUj^kmTdfb;PAdB>4H~Fe;tm zeLPnWjqp_|=g*I%PG4(AN#k6^rmJ;ewXr0N-6Aw$78^?hfQ-5CBn+KCwV=_sJ2d_e z`C=^Yi63a3%Xp2{P8?n!E3c4e)a|_{aaXeS>iwR+TzU7&QFPli;*PLqyaM~i;YjJd z>+Kvh48BWUbj;X;4mhWAbrels)!;*MM+3v(?AVqXzxof4j{naYZd>>|zVfPM9iLf8 z)!Wyrd+NJJM))W}J(nvKu$lVWm-0M?lNw_s53-(m^g9gez^NB$xCsYj(`zD!>ics) zZN=Ozv!{@y<4gM{%>UH(N2d#ne;QENv(JD#(63qou^wrE;L&9EtLHR42d^JL=i-j^ z{pd&7f;C{@_$M|s{oeK5v-JN@2P^#iHJ`19N)nxHN_2AQ#Y876`4l#seAQgroUc`q zR%1?`pABcj>8;$MdZFW|tp`#sr*j>NPOwz;HgZbb)Cl&BstxdSI@yCx)Ibh>vj&|H zO`ZI5aZ=VSkEw!v@BCc;^ZHYN@MgRf#^3Rt_E8qYBl&@7f$F5cKj|leHLUiNWL$Xn zQ}!eV$^L<Q_P6KfmGqMb_KZ}_6szcJf8`I$bdv*{xJgk-sTP|$QJYe}p#f-bY4(%s z3O#umE6Jn!$>Lc#&oA$d{470Z+uXjwIluXOn*WUb<b-d&p3ej`GJ;Mv)z_yF_xC^f zH*41xw8a7%J6|UWwbx1FZCq!3KY3seFR!K!wpELgOLW5R78EM`Ih`c^M6F5Zbh4+t zsgv59^phis<76NO-W=G(<@uX>Bs6-BX5;7RG+t-Mqv%4L&^O#)mLJ6bzF<ac%`$Om z$8$VphP0@;x-`R?A+5uFx+MHQU$;4#H1loNxtV@WCQ1Ikj83T<4^|TIn_S;we8gp| z8m`EgM?|)u?q$vRrn|~mkDsH{;2Y5;>EDdbr~3E2#7jQZtV7dnmc7atEortc&G0HS z=J9iMN%$=dd^4Y>J4a`Hx;dF>P+lj)W;sndPsQr)mq7@D_KDDn33u}11W`KUvBE}w zR?W6bGaEC7OwC>)SG2Hn<j+`(#!ZbYU>035#48C~2n)KxtM!uCm_|TlLs<mQAG7*B z!iGQpXqs669}xr(7;#pt!)N(5!Kp`yW+C!4K4=r9V<EfrJ&B)3@CrwyAZ!)s%Pt%l zNs&?`%JOSo@kG}Dl34r-<f&on{kWzXuCe=}5ZW5=`fL0FL|S~I<;{(iP(alz<V0{W z1T`?`aKPw~W1Xact065sz@i~gKR@oIP?379Zq9C~HGnqY5B1ojYJsu@-5@w<`FR=} zj6tPA>#yE#2ztl{iq$;x{EYwUwFzO<leqSXpgk(K8R=QfcKt3nTN(1#W|S{I3BXkX z$2L6;msgk#uuacAHz~6?50iMUcPt{)QWNOK@!L^AM5ZCm2nz4_8ifKd)_Pav?R}~? zz{_9X6-o4r6r*9qsa(bO@^y88OCwQsnN`Y8uF85=db#yHM!Kvc6k5SyFRYD7qxqdm z)@*FCq~Ygf#g?(;{Xb0!Uc4fxp?|&V5()?%M5su^4V=1azTsWyn`kg>m*P4Hnl7|$ zr8!K)H;)VoMcS#?5owhh;OXbGF-^Q5NhD!%7Kg_ZxCTb&qBjE_i4?L<FY5ETIdy%o zfzz-DBiQeRk(wQ-Twy$M=t)fo;K$bqffNjPzr9Hed^A}xjrazq#PjabQ<;SKW?tTn z^vanwbI{DEiSZN-hVZN4;48s48CBXQ#x44<K4~+o`5m37ClHr7v)8$>Ppc&XnpQd2 zS{QKntiNjHJZM(ifKxvMyN^x$z4#4sH`-J^e)eMVmGuH7Ow*&10LRXW3fu`pkAaiW zq5<}i(=R&A&Ai|p<2|#gGaK@;Ry_|#57GdNf$3pGw3rFXC6jKK<+)N#ZgG+u<K@0? zKhT=dvpU38^?b9=P_D`ji8$Lsgd-heSe9!dbmc*DM?(`6g!3bhs^juxFdmdKKK7)% zOR0zQEs5Qcq5|u4^p`|->Q$eSoMm$??hfsZ#z+rXkt_T)5vy9MM{bOs8_Ns4rXO|% zX*0SgW7|cJm%q;O@(|tNgn6=JJoO`i3Ij0ydh{g#(Wp@iQbB0C(_F&7&c5Jw8e^YD zIIVI_)lsf9E^w}8mzdJSrSHctkkC|a6sP^sqG2A#aSBr~?Rp;H#crQIGHQ$R`o6u~ z=cXd})!uNIi{y@qk*nOzq%tzadx&g}oyavJ;M7fcC6m#V$`1tX)JfzplhhcFPxE-T ziV+g@-l!hfrcah6lY0`*Qi9LM({m|1S|c0j0!dc_O{DoUL3U`7R3O5{DQLB1NQBN2 z-S{fyDOa1iBY4KOWy?eji*r*G;tZ$OwM~uHNatvvQ*rR?!R>BCjnFjD51o^#K^IIp zasO}+J3h;hIb`V~37nLjL#&YTMAnf)dw^Q#xonL(^B0?RUw|^$r$IMhmm9kt!yprB z-z62#N2@Sr$|f7SOdR<Ak5w%GP-CxQ;~W@d%nlEwLU=A4V8u(yVP@M7-fm_)LzKwd zRYVYXOiT8b+f`g7V<)9L5^>EIs9r9aKR_u)9iu*)AAy)Is8$?G&#q;SodXgx-<^at zgsC*K3>TU{p~RdI2A#2{>Z+k7KMrlQs&|0L#FnR)ntQ~4s)(=E@_aG?Yh-ws=~kjD zlj2k~CQ(#o&}fm#$YtZ+NH<Tj(^*G^YPv`@5le(vqjj1u14I>uBWI%tHn8NvlANug zNjB+eH!@0Xpo}c}D6fnq2p-k(j+l`}t|$q?si=zl&~gi8G#DFNzKx!hTS5vA3N|}f zqC#1=H&vi6N_XpKsiKV{U-!$3^=iryqt#wAXh?&XUyH5cDU0QLAy<Q|KToQzg7H_q z*rty<BqYWdA0N1&K^V+YLDbTG-e8H>(*IxT-Um*yqB`_H_xA1KPVd0n8G6@Qc42GU z5qlS+0}BZ%q}9FXMo0>eL*?Ipw@{+?O#crN${s(YKIndRX?W)V#S4I%s)jF|UB zKSm4=NRT{<>?R2DmxqEsKPJ)lQOvqA8g+i(Q}=ey>@FxtG<m->bL-EkQ>RXyQ&qR_ z?W$Ykw4Rcwf`+3$IMJ$Vq({`+FhNHHeMtdwnlQvEj51_svE-Um&%r0CNuGSLVlM*B z*lT!^F;O6;BP2f>g?%K>c1YC>a%L^EXF_A6@OEr#wSll#$LSW4K8ZR?6ZzYeps)}H zhAN_06kmX+73g9nZI82T(_+)Aj6ZM!l;c&oIH&5M?*K;pFe1i*18co2(s4}{9<Y+C zZERr7LBQb!GV8Q!5uu$WsD#Q&MHgWrq+!m@pXDwCA~0e@d~Gd3J!R;l68Q{in#Y(F z?<qxlSqg_7+Qnynl=cxpTVBUj@#-kvacP39;aDz}DN~E_QRiKCXlqJrpflKx-jebF z6I!_4WV5(Z**+_wLB(S_s3g!Rkb{4PDPI$^#cGBC8LT+POFH)QV@|L&xus^vX(TpQ z?dS{?#<7SSQaU37(OuXuV?rCobaMtK1T^IBkPC=gn2?<t{c*$rXn^IaQ;PZ`<Ge(# z>uzp?;nBx}OO@5Z+O9*np6UQ+3E9vTLkR|7(T>__uJkX(fj)E#jzR~brpjTsqIzg6 zjsW@8$@q4<>D14HBTBNfVk~z`QO$EcVZ(%;iB3-x`uiHVs%P~D<ui_2m@3!8aEaF2 zEFqdf!ZPQ(o7mPPsOgpv__8(7JrkV-59ID=PK3ZNHj0d7L8aG~nv=RM1AfWyR6Ptz z5&MY4fY;KLphx+wiuqu_$n#r7hk#-Sv_ivB2wS@X!X33vP(8LoxH!WR!7|E0vPx*v zUPgnZBSO!vjjbx3^EERL5))QPP=KRJeO#xFyo7T6(+W+|f;3!cK+EjH>MS!hp<#uf ztzt4P4cN6%dMJJ+^PN5ykx~-OMnoLsp(=M=W`+Y<!%zcqWJvQ@5;q<UIaXXUeStPE zcH*!$IwHe60=wxI@wLeE3h-y1sREVQtz_zbwKt7WwY5?sK_BicDzMju)JDKr_AKg_ zB<MrSzY8wGWW)^pBsL>`VkRw78e=giB)33cWGQUFV>P^-;h-FAYld<nmr*lq6B4gy zm@Ai(y2c8jes@bYxOgBbg@wpTvUSEd%@eYswuGO9OgEWH{I$9>hL{m|68(f9Jvk^a zEJI+yX^KAU%M=0SZLoo^{qHyZ#(0dlL!BQ@FbagKv=C2@x>R#JLV;oo&6zldQUv0h zbjmKvExz06@N%5+tr1J-tvl$Q9w|w2fzhvNC{F~PfuG)VF_%t{qc-drg!K+Y@t82y z=`SCRF@?BkIJ8gdJUhsB2o)LWcdir_3`@PKd1#JcxC%^vG7`7QPLZQ5$JgSBF~5q~ zO|(8V4D>c{HpTIAj8c^mtF{dI$e0dAiEWzzr6i8YgbDIwtRqkz2^Fk&lfclOR4=@= z{8d#UfAK;_gEl-BEu-)`e(`s1RI7*#WPpYCm>OmHSVnoE#|<wnONo55-H(FQ3=Yu~ zE~&q#Hi#0zS#OoLMv3IM0ot=NDvA#*cRD2vs4?R{Yn5S6+>%84kYPhlAch*0WOQpk zC}^QHlaplh6Pn%1lMGuoMTh~vK;lWsGYeQH4@+_iH_^#Wlx*QC@#Qe!=56%`o&tP4 zQ2a6_1VQ}>Gs4nHDLp4^T^R6+F&r<Mj>zQ^<45#@v#b+16EU~yUeNG7#*w6bLC#S7 zOB%afXr7X%<<a2y;1Zdo-|Ic3CPP$#_ceD^r+C>Cj%=4gIT)YBbnAc3MD9)Q3|lus z8G5M}6^w-HsLfIzk1(4lL!-eINQ@1HKFPaj27>vMv!EXvQ3dxx6ad?>Cd2eq4Tpas zZC(&DcNODwF6<aGwPdzPQ@W$hWyN%0ST?AW9^h{m#>%mb2;;MHNdhAakDK^8_zW`> zW?K#WH%IRn<0(cyU66+8Fu2}G-CSbgQ6@V5Ui*w&6z8jJ40TMyAjSC`5(ou)p78;* zAXWp~Qbfjdn$3Su(Yw!nU{gBkX`Nc!`c&LJfqWc|8~j-=u^M_47Lv$Rr6P6`9f1OL zZ1}lD-!aQr`kGUmRk5tq6dJ29cByO|_ynd%n(o17e%hGzc9#MswRX5@v*>}IlK|am z^@<{MCgs<j@?_R%FG5x7z9@7XQ8zJ-8%G;j2rc=_sJ0oFnD6VT0q`s>;s`i{6LNK3 z`YUaWp;l3TNWxDa2Dn8)$yL!Y-@y)ueCA9-kNJ5z(VwYW(ktalpI!#87hZ-=ZP!h) zSs<;rk0`C>F9RPSj_-qt_S7jIQvi&TK~_wRxt1~Prtar^o%AriH52o8*7=lnJ^BgH zKoJ9SkP1!TqF0-7db9QdZ5BhyLg$Q*MgIYG?Dp#xok>HQe=-sJi57qp=x3R9pXtvZ z3O6cGW5hVmTpL9i!tVzBAmNjt^FB266PJqAB}-EdblGOo1)Bv@eiYh;qPV%yJGF^2 z<T;P+s7oAqUOprSrVV;XAcq9^>T8(L1k#$-N@*|QipFV!T1#mJCWo?{1pVOV2q+z~ z6x1N&)RgfThna<oT*;dUu^cT4!l+9O!OsiAi+ieZl<XYJ7Q2jls&{QXJ;G(FOF@?f znQ0lxXxnfUz0`JA!+_4a!qk}1DTXq=-SB;fbvmEY1fc7uv7hB;&05K9ne~<?s6}<# z!$v-T8dVqOR?1QNlF{j!Ps*H>j)>t3ZK@B?PY3m1I1GMpsR-+3VQpZ#gaI!qYidtK zWHiFS%W%r`O6cj-<*;>CbNZ)opl4aH)pYTe^0Ph_o=d6$19ll*jh3#3E)|?+`Wddt zY^<y}GFFPkgvTys_e=SFAsY2K&%YS2Dkk$CMJ|Kx>x?Co=T{J?ynnF}F%{n*7=m@d zU5Z>9cYNI6M=cuUuWGHQ!{sfcMS<y`CtT+EioXQEWn`%VQaXb|d)ix0^K!zE2){b$ z9eb=I19x`9g6`5qV14l(F;N<4JmO6laVvp#gEI;0A=;t(0JjO;cw9+%{ckN^h@*5D z%=6QJ7(^0QvH|^HEhpSw0#?7qH&}g3sE?dB@WYPk(aVC%W^-bC(Xug$^>bxv(d!8$ zrH~l~I>7o&mZ}m;Aq(t3Vv}Uc5r@qfpCPJxT8{Pb{!eqfU_Ao8ZS(K2#w=y(3v?U2 zwI)?-%m9d}A3JLn!#@u<VMx}HTAFZyvm~WLkgqXt+wk}JZt;c+jE5FlgQ4!6ZW7WM zFfb4gsQG^0u<khD_Wf96k;6{%B?Xt2V!NeLmF{4p^A6gE)#*zL)CI8KYy|XWPTHS* zf+a;6izCn@!_W!ukUSx(D8{y!)I5PUKL~mMk3&A1^2>v98n#KsKmJH1{81QIUa{fi zOaJbd7Js<mw<?!kF%a5H)IUH+;YTVn?#4&Q$HUN$<SLcQrSIMFck8F$yS-AeHV&~# z9!+z3emIbv94g&=F1@6@xY(qhO!B!7`pJNYmnYr7glgceiC1DmAGItXnVfw671rr7 zdDf!KvgPARDX6kdVv<Twq1jdB^{+>F^YbBumitMCr$GM)Kc_YUT~+6ZORSCH=fo~Z zSxuMnQ=1Gy49OWMzs>%La+U6*2vL*uXM8~00sk*~SLNj)CQJLI*7)Ow5s7KiBVpyA z!is&@Iai%?_K}PGR$XY{d-<WciXAxAtMGc&^>IVv32MGLz*f`mIp?Xh^ZV313|f^+ zN*7@hxV!OjTVbnn#RkRq7K>|tve<-flEX18$_=yp0>-X2zo$0g>e=L%Pp}IS<2t&s zQe3cT&}*?rH>tL>_VUZoVM?d>6Q%Reqf;C6%y{(KDcu5jGCEN^t4*|MEIW1bvGy}3 zTrSFQ?HN|;QSpqE(^7w0KhbXVv*3Um;xh7k?@c4>-+S+$-rJ2YBk{p5KBa>!%Xc8% zFCwn%L(}VX8E9NF`@{Zp&v=S|3*B<=pZi?V7kE&i%ZYsWU|q9p_OWmL?ce_GV~;)d z%wtb}`zs=Z9uxV;?|kF2rwKjz<QJd(ye_W&Inx~^+P@jG@^O{%Z@=*k>hgI6|H)Ea z7QdmG$G-T*C%@RO%YOvj%dKKz(?GzjTMB1cy$2-)i%Y0*91q>}$b;f<P&)9nv@iDj zO`+z`_`t85-sOYu^L69Ao>l&9HgcW{emF|8f!+{O=*HI^uj!I>&pGLyhtj26@^f1Y z6^6thx+e3tWd5~T-fPyJ6%SG7Q0Cv5`MWZIllm03E3I?i?FFqW=aUppom9SWO8H5n zaJtoam+!*`%bX>Mf=pM}WaT}a()W#K@kW;angZ;2jXb3EL9ogcCSwA{hch|$+}){y zSUQ*FZUa4Vm(w{3cq8rQ@w=Sq(u4N-y8hIDd^(yd?(tE6`p&$|H}$ogI`b3OZCGE9 z(_9LzDdNsK(n-~FQvc{Io>DD$hhKNMse=ekySrR>ylHsC`_gzk&D(GBwX90!o7C^& zyXjhIAS~al?}Q}x=XaM4{M_Ri-;bv8Wqiw3$878^cb5f!`x(9RR1OI*N#n6e^KXZr zi{V$fyIe)LVSIP_)c;PtFK2QwOA|n+(BZLSak&hOR~JlVjPT1(l}XFRV$ZNv2)j`9 zk0l(YBDUV-N%#@@Mm6M*xE#$F<?NV)UjM3|HzAR_Z3(lNNW+>y*h!VrLu;9LBLyj7 za8p>od&DZv5yG!J^#GcL<vIw4uS!u7x6~}r9z@hbXp)7_iS+p}0;t~wgKR#$AZ9`8 zQX%4t1#9`YX3E+HLZJ<j$u5Woep=w|cUYxvUY#Iqnit=Sa>DhTt(Y{APqE@mrZ)fD zu%a2!e+6}i;VYF7KcesiLR>4@r+GtF+$4l7D`KpROfiX!eigxxNCg;mm~vTyM*ql1 zm^q#SrxQ-Kv<H)V>V`|fgG2UU6y?4&tf?<cv&+IS9qdtc1<{&GGM-Si+_G#+XQ_Nn zj3KCINlin{UL0DnsvN)sTpGx0#m5wr#ubM`ln5>18N~p`yEus8S#;wPq#?KT_@uuG z@#|YgVSQd5*oV`XY{-tmxDP0&3Rfs4=nGI7uQ{C>0y;VMUg~=U@p6iD2EPkgj&3x~ z--{U^vfa36&MWH7S9JLHWsxpjB(59m`m+RVH*!EJ8QS!cHDFZb9)i^CW_KD*K=yYN zP=o5SWV?!^cu%F2%RpsNs4eU8ywUPFvcm>07PYF+bB)%__)sG+*Qf#WE+f_-rHvNi zv~WjLits(?5B`iAyR#^LNx{MOK<J|I$Fj5_T9R#9GO3ZlF?71rhbtu-Ej`WArG_ee z4Ci1l8A>~R3amNOI`#lgK^lp8eeH+7Rxi;946gAdYDi0GKv9P9;mx4sk7x?|qYeE` zBU(x&#j1PI%L}tieWW`jQfS9c7{{8<h<qK<lIx`06dBbYU5zu%fsRrorKI0Lp{f|C zv#4GMVqTz@^@FNjJuDLNiYgBy3rxcS=*MWvFl|U+R6;PJQAxQR_&~!zr%lA?c1{CI zX#$f<X^Gy>E1xNR1<OTEeGZLxq5qU_$ax|!Rc{2+9t>Xf$-8<2+P*A*ylyAr__FW- zfeP!R6(aAZjze4o)zu1}bYiH3MB3R?R-R68@M;U=|6^mq7GbpE7*VK?O6=Jp*of5H zN|wk;T^y+<Q-{-;NYXhkfU^H=xkYZ|=jvI0xB7E9wE0@*<Bh;w&u_{LBENIX*$Jz& z<ZKgnoOJZ7?vGO^S>5JG?)EGvh;WB%^J%QMH~@PyxVW46UCWuqd5-T{$BGsAxVY9W zN66zbuVuho|2c%1T@af*-hf{qfkkE1#96<%!Hr;j>Y%b>Zr0<H39^VLB??xZzSEqp zQmAQ#eC@5cED3xxCH<sYE`EX&+aQOF=@xw7*@0fLZpy_$!^ZJA3?_qWG3HxuypK`d zuHKZf!0D>$n7+j44P2QZ>!eVt<Y{F67Nr)8@~WXoT8Pykx<F1ukw@U~G*=LzHD@Im z%~K1)92~<7MZ%}SDF}=s4|H2Zs^qhlh7eStBU4<9XUdf$?%)Tw$v&CubEcI~xL=<t z)ndrck5hM6BX0Okj)-hKWW~zqv$0H#?O;ANmaiZ|<QY!Uw&NDesdSafgqKW4lhr$I zFsu~_`O++;cLY;VAbU_7rf%7v@O+}fLDq6O%vy=~5iKAeFMW+h)h-GpA6WT8K1{&G zgv*LE)(nq25VfJYu=JxL&Pg497Myg9t2C40EyU0~qxVhv>9|#zM6BT^NnlB6NVLKd z=Z;J2m9W!@?HxhVF$jX~oiT0>z9p(xVr^Y5Y)CV3XwvC$;Ixvgv4RB>2@LP3=tq2g zjVw_XDY^j5m@yhwJX+5?>P3+ZDxILy$M}K*3@y)$jGjh@TK_3FDCJ{|$wu$YOCQs! zpc0g$dyuuRrR3L_!ZFj9qG@Pb=F_@HPeB<;0@G<2zRPZ4=^FZ@@Q{R-UnS}`iP{Ad zof3=xO(Ap$CS0lBwLy)k@LAj<oK^-I6tZD1(UuKZFzPRZ!#^m-rg4j!v_`jB27TLt zvP?omtD^B+84a8vUB@s@GewEC4yGn*i<k{jE!w<gX_{@?x-)LlHD$Hq#bnT&K_0pb zOSC3!pG<~4C6`ZYTG$6}Ojr;{+jNdNwjSf=AD?qJJdwxFbOP6J7|3lS95#lRX~EDa zb$6myU{wEUt;+Nn#3DB3A{n*xTL#()jAhsh=k(TO$!RSeNt-D>lg1O)ag~+Dt8s@R z!FfI%@|MDT4EWsIXf0mIHANhmjVcFDRkeVYV9*7NmQ0nX+J^3@Xt<?d39^{nkvmL_ z0Iw}uT?Lfx|7ayUts)qY)LRZAC>`0A10Oo~1iU#+1<=Z|q_Nu+#v#&L*1@>Qams@8 z`UT}HN-U=(b7Kh>B&=D+DWoXEen5F_wGwi>b?C&yEXmlM?@e=%2}G=9lyRp?T8d** z-J-%;bsdZK8|4Ifd5%+zw%k-H2WK`_g?(Xwq-d`xMvRjVKbwr@q8%*>Lw>|E#X9do zY&!|-H%bXZN-!$Lfv{2=SINtRY#87c-~aWbM75H`DA^&d(?n;r4FrZFXDKW0OXAg_ zNkEz0LX=X0TKPY*+(4jnN!Tb+KA9a<DTESrgN~a2HW34@1j@%#VS4pl;PFn54#hN0 z<ib9*@Q7pH^O&pnGQ%*ug!aaFE|m%S$Yt6^JT1k*g&dB=2IM8WD=}>mYBGOYi1 z&_&URE~sovd{LR@_EwsF^{2wRIn}%4Piqm6L16kMQo~4JGZstBAlT1k;$l!dvPMnE zM_e_O=c3Vp8hFlg_#C6KRDZpcoTp31I5Fq^<4-=MY{H-}^<jxt4h3VyTEp>m^Ntjx zJ~<X8{ZTO)4>xTxVMP7%LG_j)#HK|_iyK8iYs%ju`AG~8@U-LbhW%8ACL*(jN>;&W zoAGHRGxoqsS?Kz5pW=OgXVr9K#{0Q{f{Y4r5jQj@6Ol;?h`tJ60}*3a*r*c>5@SU= zP(}gKD5)}X1>^A0`)WyvL#NWCFO85dF+79Up|)YmE(EXQa4;*cicS?1QC<|e=n$iK z3~O<YhC9uAP6Clf6C0k=xh4E%Fpo%ub`1gZ1E&ixr3vqf5+eyg35*Wd<!dPCw_g`u z%=$o)Ka0o8JB$===`eF`ho;mv1!HP7k#=+#c5YwyeETgD4JY+u;;pNz<(eC9<|Vfv zW<A|*eSCca(>U(5WwOjus7|0_K80(=bew8|#!9ES!#P7`UCzawF-qll9b8nTH*M@9 zL)t@tP{cVWP)jph>KnQiJMsjPQ?IypN^>?fOb$(R{5xEC-LQit;U>i^G?~tJAPw0F zMf8?t=yVnEwHh;bfLU-Q`a0hyYJ{?bmuH>uC<js-8x$iQOa+vfkUE+?MI^IKD-nBK z<OOyYlxLGW=A$F}cvuK``!+fvIl&S}7SR1#vdK+UO@~@Pxg<4!BWUitR{KlsO;wfc zcO*Hr67d_|DN{0Xf~>t_D5_l(J<11kt8P>~T{6i?%J=4z@hLT`|AEXhG_w>U8Ft#$ zuW9ueZjfdryJ(Uq@@L){HqgjISfVv-39OSHRc^4{WOQ7rGk2weZPPa4nCe|Dms$dW z96eeaK9@cdD5X_7gF23fsOPTxB=z;QlTD_%P0g=)Su|kOezCK!8apAOLF@_<VMnXN z=e43L8<cpfN9j{1DXT}LJUtk>XhJ8si<N~{JT=4~=eqFrrm@4^8YFNF=221Jd^3EU z29>j>GkzWlXM!L0MVe9XO$(A|SmI}|VPv6XBsOiXcsws-L)Y*eSiOV&y`A{~Ud6Yf z=rtzVNzDz^4XSZFkAcZ(dqZhfj+-$uTG94N?IeGDct{)$IP*C^1k5^kL6Ej<HZfBx z<YZ}QM&fq)bO$RPH^aC?;j(IjxL;Zb#VrVv7@ATWaet2q$*7CCiB`nAQo^tONyS<! zrlZ!G4r!4{!mUCsy=4*!tQ^%01JVFjY6~KHKnwsE#Of~^u^M%F1cI@!hSztIg&?An zaPQ@lXvy}7+ap!r`K~Do{g29xvm#Z>c3Q9gFl3}{()!{%T@X&5URfA7e_!7cG-S*T z(4@319Y`OL%dtGsI0+`L3&TUEhB08X`Xe$^Wo~`k+k>;Gik6mCk$Q+!*Kv;zqT?Dv zVR8nRZwwhLRU=3_N*BXYGRZYQbVm>jck(6>cVi&M+VJ>M=!wTrDGmkIYiRnH$ZCdr zOG&|_(Qu23u_+>%T*X_3%85qon(HdE@>n|($6InOXG+r88WhKP`DIR*{1lbd##Yr5 zM;GK2lrzcFK4}&QNHBcS`XcFTG~_vAzU!${frb%m#<#ao6dzQGSI;3iJo;{@5ulZW zAl^epr|LJ>A*=Ki>+E(xO6Xy>i)}+??VL>fo8*sN*kV>0X?acOgoySl>2uD)g#U1M z6&V$WUKtEZk<v7^TdV8=8rk4ANuk>#C(KeGb*upiEj^E>cY+@mXDirF!u8}(rpDSR zq{X^NE%}u>WjGP5A|qWm+g~v>8|D`^3G>z*4my-WX-KEw(*!tXl_SVlzPz9lZgcqL zWT*@T#`X|f$uFE47@{0Iln5pr(U0{pUa_Ku8)sa+&g51{hXms~jSgp-*iSm2uKViX zAB+ZWxPyrWrlc%`4q*eWi|4SEl?I=`(}M)51?|qs!LVkdX3&adfbQv?I+=(=E9DAR zvoPhA(G-+AVkZ~ZOP3H{=pWTzcN8LQNR?@@nioCWpdU$Te)Z!lX!z&oL(ZxA5z2s1 zvBd_~v&PVh&>iUCqwZk|9#-?&Rhq|0o$t0%erzqPdL8<4pfL#M_25uQqY$yB0c>=J znBBA2MyI1ni5z#9stc0*C3P2xO&Bi5FYh-TRLJbe2;7TNU7AtEOBPOJyu|X>%LorZ zMayXKj0?HF8Co<0O=28HnqXn<gzJa7QRyHUFtE~65;uuBA!f@{tuQZhLKUf#1)oA> z7H9*cS`ta&a%jtc8zg;2mC`M_hy99gbg0UK)Lm^<3F-Q|%Mze~(3WT8+_;wIVzd{A zTadP@qZOhC75k>jY(P;wlrW`Ds(5gdp^K|Qbu@`uQXy`6A<>{woE;{%6S@4z#~H1s z7zy*qqe%lrV_SVcB!<pl%QPq>+sUUHsk9M8`4$&%w;F&H-^MIOH!49K+Ns8!r;xHq z^8k(h0p8;9(uy1voS?R&AuCr8wMxXpDy^q<RNokq9y(r?zP>TamZ{mezah1Tn1Lzc zsFFK<bY@%3aDRRzF7kLF@oytTJz|U9BNCFX52j!RK@4|8l}u~}rwh3>FxkiAF&k7M zrYkoE5zKI)gU&&)?j2fb4;EK1z@uiVi0Z%ul{~k48IJ5^qKcx};HLD;ki^1bV9`&A zfPqYs$`~GPpfLs2)iKSZ3-J;6ururWdNk}TZ;Z~C@xbU{Ho``uU@HMj8yLc$<u+EM z1x4&v!$u|wOg}{$l3X}i$74fyDrrqtk4R}i;s<6yd%(D~V2K>Q4|yL4_TUq$q)LI8 z&Olbxd|Yu1QtJ31r4g{)D~uyo5csu}o+wNA%u+;TeKx*d&iN@cp98?c0DUskDui;6 zCY~Ng_i(2hIxLPq>KoPpIaa;jSwFBkhnNRlPWuLh%?92~0)dY=;0Z)7s}gu`EAJYF z1Gt^27F@=c3?ZF;3WI77(|;pGxI8#q=MIozSv;lEOey=+DxMF$!t>I0s?vHWtE){S zixW(xCGIrMGcZ2g857I=439UUvzOd#h;+P8Bo2N#Wc)-=&H&UkTt)urq?u8*;0z)f z<_^<KFFNDTL0Os7Gvz!G2K&%u<grFwr;dlBOV3ncu37vpEw|``{my1l88}L!hQD%? z7rvX@W*iI?9S^C1Y#lq3XmKXxtHuSKcX4`WzGMhSie+^K*~t?0{f>h3F8N%MkAv1+ zl-wvE?Q?oOkc$I3qj*w*ZZ|u7y0}I;SF6`#Zn88bW<`PY9O{o6j61cHU(3N9*N3|q z8&_?mm&b28y%<D0<n}^c3kaa`YF|qqyX<V)D#r-wq#avnY+|THc>{8Y)}|vSZ-d5y zW@8A>;GU?(s3y`LbcT^92pW$Dp*5(z6-UEzU6*djKm3=X4w<;Ko97hU3Zsi+o~00G zMn=Pao~oRb?y$6@w=m;~8*ar>rcyYK&Cc&Cn2@858ykW~7!Nz``$ozxQf2Xy>4vZa zGm$zMsIa)AQ02o5Lvn<yaMU1~9hj56xS6AwQq5Azr4TV%jy>MCyv~zg17b7pD~7(& zB*hJ?n%y;AQsCH0yH)eB3mal@FBgJ4!xv=tdd|ejZwk(&LLwDbW(G#{MRLR#<LmV3 zl?!#>U);kt%z_tJNo+0-o-%P@<hoS_<LGtqWGz;;wJ+f|dp7<0q#QT!F-nf(26^;? zoPHI$opYreA?c5j^)$N<NzRE=R0<9Ocz)q=we2G73dQkeG&E(le<XPr6LqPU`N8iB zW0%SdFaDW9`v@QHurrq5Lio7KVZlJYf0V?97N(NMOb|u9yOu^HGI^c(n3lAJcV7B< zUzR!FEpifDiNbf5VGP1Qx|Z%@({e*j@5HZM9gGcxa>MDz28`TIv~Ho>f)s(25WtY7 zF@t1^CO2Oga6nC>24WV~rX7;ZWM3)15#qIR@Rh3{_}%Y*=II}QsW6k@v;N$t-hJ{L z-+0!s7kuRt?<(wStUvdxAHM(APo8!4yRLlxU4_P;>z>*E<6FOUU3~l%|8UjSU(a3n z!LR=K!qKg-_`w^me*feDe8KPcf8Z<sYu_>NZM6TX|JkX1n^vrU{rf&};mWIm%dR@_ z{SO}_yY{Sq=9-6}o7uIgeAZJRoO{opdGqLXJ8%2+`VF70-`iMl*sSSPZiR1M^FYBo zde70<t-JN_oA+;O?7ZzK+mHUiC1&TX5B+`LXaD>~`bqKd)^mL=9?6=|Jx8S*JFcC( zwD7<VSvA}E!p!qK?tMjL#f=~Pe?Rv9EAMr0*~ytH&ooxtHvgvAeCNySgKzD;z3<*< z8jbr_+`gmzjJx6NzP@`Z<$Dgh`L4o-v(_JT>&(}`@|t&FdC7B8#Dh$@<EYoZ{@r)I z@9nF1PQ2y5>+k#W=mVcQYVKp3k8OPOA0K(_jt8!PqWN1hTXx*>aO2Kz&g`DMr_VLM zMfsgCHRcM1M|Z5R?Vg*Tal3=3_H1~rz~MOJI?q|xncQ*L%xypUgJU@pX8xL=eCVIP zy?Eb=D`s}>=|3xX;U_<J`sP&wb9*i=xIN`Vp1Nw=b6@$bZ6CP$d+#dDF_t~`tq(r* zq4SRop1o?~!rQ+5<A3~z4PX8Ctv@*Duwy>+mP>b?f6Dh)Jinn{{K2~}+y2I@?q65? zz_q1`!3Wk|dDZ&&ed3szT@4rh<tN@HjXmXaw}0^F(qR0Gc*Bv=16P0GkRN=vp?Y*X zwyQlH*FAGzFO<)5_uYEi+(TdLdw9>fUEf>WeC`jf{LpQ?zPkDFp)V`l{&47jiN4;~ zVn+pImy^Kl=qp|n7gxo@Lo2^I^WV<xf2MZiZ5K`~{_4F~uKX5frt~-Vth?<eS8sm% zA78oaT|4(ZQ$BakuKU!#dC!WoIW6mVj=d+E`%8Xtkz;N+>W6opv+A9%yXYDhN~4)u z`_>Ep@gF~R{ttHjKmT*_Z(jSlFQ0Jh4G(<qKY#4@kG;q4sN8()Lh+mK`{%A$x#{w6 zwyWouo6lT%-^|%sK4aGj=fugTUD%}Np59YvC7Df}>pXndAKZ4^RbM^pZGZoR@YGUi z<Hn%}{TJ@3B%i^Z7J0RPao7Ll$K|XwYX&B|alYiw_r(jP=|N6RIWD(%&6>jI6HnyK z6b{nh9nAeNyr9cilOqNuI1S~LU<D@yOpn)cwTyqpl~Y&pL-~A((@<*DgM1i$=^Oi9 zMQ@ZfeC2vNKkm;K@#m;aBicqzND*RPvL%1r`5f`VSs^d|m&+>yzVn;W<np!Lv<wV& z<KCy{)AJjD<DH+o;)-nt{E1xgf|fV*Y#4Gng$xaqN>uM1gTMtBY<z-z0A;+?nJ0Yn z*2}BqQ_gr)-PS9cc&;``W%ENbU);8BdYZ{lO4qeX@4SwE<AZ0(^m3cbubG$4*rZy0 z_`95nGG8j4c;Xpv7d?stDPKV9#l@BDs@3Vi>;B^Q`vy6lW}>$DV4K|kU_Q^88hgD> z2FaBDd7+7JoL+-4)0FQq{u~u5ym4`x+GKM^w#@t4Hrb0!Xj47=@6B({4Q?EGwpVth zD|CCQ+Jpl~_WN71$sKoa0)~A1+s{5bzlcp<kj2y{?|8??C;kmK*~}Rh)A<K~_pAB) zIk{tV*Cre`vXOq$)zIR;iN&+#7oY9P&6y=@=I1wW<3y5efAQme`=%GSZF^zy-F&(o zn+!hqpxWd|Kl;RHvGm}5-}&x8^PTvO6Px#T`S<ufc>ja<-@k2e<KE4S8>>$Y_V8UL z)9=>s>G8pR6QlupjtZZmM(Ax58tc+6`GaqlMM_`dbWmvTJ}j+g<zy*)_if&bbiKM| zI^blC#Y;c;xofZ8-y)0DOlwCq2fzP)Y_eFbw%haa;d##J;6#m+PoAIGuW`RXd*=Jw z2bB)ypnkH9ip0H}IiY0w{s%en=l;RLrLl{Xg48BGx$_e*Fm??s^>1yz^PK&&G56%5 z|0$o>u}cOyGiATA>*Jqh>{@)~Z*F=kC(lfeZ_aJ**0(FggZZKR?=KB*Bn6usJa!FE z&og#$deB5Rc5#jhjcAensWy3$u`BuJ&$3BwAH8|`*tM^=cY1MRscx!Abi-*Szwy&; za_+f$vd)8?pESMr;rSN;waN7Khd=zpMzx8aEbt<KKg}i%o76ZEX7T<Z!!MJ8Jd&MD zlAd?M+s0E(PG8AC5=~0+Q)yg$+#H_z!kf5&U)|IfeC4y`Ke8WwiGQ~o{6Oi$$oO+p zUKw3XIlSKTuk5rfZfO-iRj3voV{MQX8I3ZZCn_ITk^^Aq0iG0?SxPk7Dz*#N__4{h zo=*~U&PmF{WM#di&uem(smrIzJ2}q}K7|(Nr=)xzf$uFE@AA#cd5rYyOceKIo@^J} zMwi26<trWeDi^hOpD_I9!d;WC;ZJFKT2~Vl9_z}rrNy}=mq&Bzmm{fO#s`uRYE>71 znY6E13*T;hOS>_iV<FQPUI{|D<dYxy{rM)SWv-VF{m28*d;V!TzPv7er}BHMcv*{b zE{|^RD*Cd=8&|CT%&Jv-j!HRN@aL#3orCh{U9x3<|A#K-{E?}M^G59K+s+sWk5+#w zDjj`sPR|=z7~4WwBM&@P+;Xkf`O&$9;^52CqjMMQc_+%Jd)|rN{kAg(OGhX0;}@Xt zGV9J;J5XA6an5<ZX*p?mXK*%6w>&QgXVY}!<bQj_HwZ6#w0+XC1ARANwzYAE>Vo(c z$3}fOcjZ}DDIc7ekm;cZ)APm@vEdB^1M`cu7a6|~%=vGQ>$tH5-M+ts`5B=@pJ1d< zd1QIlOmhlUI{Ek+c@Ip^=119BvpJW0miy4sc*OWun_%vf!9;#s^`q%;@NS0BZJVFx zM$oI*3ujGBe(#AK55}1ri;Memx$y<geozXf>Ct7`dtPnx;`HXt>3L&{eD~E86R&<X zmiooR{xbcmY~m+>X&uSGW^n?WFc10{!vQwg`{c7aJMg-Luile3GxzDTo!B?A8Qp5i z8@%PRgeTP|T8|7C&zfG`xA#PSSXgEg5>uKUU6;P=kB@KUj?a@r2Jz~lXJz{T5B+4F z_LEd<ts{SHWT2|e_g@Y_`cazavtCcNTy6-9^!f=_p04rS*5x~%AFmPC^q7;~db-V3 zzd5gGU3Tf@tig@>+=;UIEH=NNGjU#aKiN1~D5Ph=DX%l$u4nk^Zr)!w^y=bE%Jlm3 zOFi;0diw9$WPSph{A>D2*CrFGP4+%H#A9u*pXl^&^Y{x(HrY3UO?*Gu&n8b+=jZhd zziu4{vB|#Ni7)JL6H-;)Wn))?m(u}Lr@{r+zu3#-Nr8Qf;MKnd`lbDgUAk`iak?ZL zx^dC`OLXj8^zmQue3KskZhphBK4jE)o~h52N#n=ZmiKv6xICShht;9~wWu2vpI>9< zoN~e92hm>@CcQtD?GyC-7!hqxnjU6;-=C*(_A6Gj?C7}E@5|zS&$ACvS7BvwdAPSP z@=TRI`h=Fle#Ad4oKC@k1b#ncApgDa77ISPCgtDvhZ$XWKc(Nd$O?+fvh-2)gP?eA z8jnWr?ntb^?%drmRK)L3^zpl#+0TbAOXo#BbW7={W^~>3)W6F?w2Th^KHe?oE@z!m zwx-l|t~GUP8xP;KecWn(AudjRE)Pe$x=-lFT{jRH{^4$;Nx>Ap=6L7bMrF&Le|JY0 zEU|A<@sdl^B|ML~BcASml;;0V_e18u*HgMq-LVp7Ad8;{9}0laJ&K<hxVtRKynX8K zvT@>?Zvo#14?pVQbl)QBTAru#`yW#|Qo1uJpY-tbG~KmR`8Yo>;!TB>M<p^$VR<Dj z{PAX4|8!PQ0~E2LD_NdCs^$!6tYy)JcnEDI6(~hq<ZuCpd{uH;al|Yaj`Kc&DnB78 zstaj^@4aUT+f7)ChfAn#R;5bA8cHE4{AM@!Vt!heUW&`|8}BbD-g;b2*b)oz0o(<R zhpHkJC{wZcR&j>&cqeuNtj}WcELFW87X%Jq<#BaG>*eiY>rtv)#oEY<D#(U}p{>*9 zD3Ap-B!(VEL{Y?z`kEN=LxAB2sK{S}tME!jJewS1eZ=~$e#RtPbfk<D0(vQgT8yTE z;YU;N`@$5JftJfMYmKQ)2ZB8iabP?-^2BAR1IT^Z%aYT|8S8~eX>pDCxY7|*Fo9Tu zZ#At4X3W|&Qq*6%X@VD1yLvBuDPRbiF0<u4yg;G<>S0&a5~`v!2LbX}+%BYA4Ome` zah^*36pVUK9R<tMM}Cx&*{=4{w(`?UxrCWb`dO495o;0XQ$p{D9#Q=>vW5<VEZctg z{c!PqNj?(VHRtx)z`@BcAA{fyYBaU1NP?8<5&Vv+%ae#`71PQt(}~A9tDeu{2xz|4 zH-r?7cb7RVK5$vokV*@uX;M%_Ea(VZxzOL&H5S~6t4#rb#%opm44n79bVgUGx||j5 zGu#?zLLLuA6yW&Fv6@En=oRRAsR0j4t5*uC)|cX4eCjU~pqJp{hqCZX<?5040x6{- z9#eOVZ(u!312X<sS`Z$Kr!7dyx&Bzv=B%kk9g*Wf8y|w+rdH_ICi10tC#6VTMlTVU zVX)eM1Qz@_3tpPDN^>VaJn^F`TPP%C$m#c);*S?Z#w2uODGwu|iAVSyQ^L+8x~Y*a zuInAygl8N~j5o4FcG!puwQc3d%d$kzK;3k?h_)f90h%5yqk-!n4DeHMczShRAAB+A zkXJ6lT1sT(M<lMup=A<LLTYO<b`}{DD^{BZ0{ep}P(T_DWfU|r@O5(cal}arhYkX+ zVfAUuE^4yo**93<tzw5tfS`lpxTu}Kv<O}7Jc1i)f<go3CPo5Bm=gF$0h+^&d?a!z zOuU6nOvRBEQ~fgIrdSPuNVCKI;Dg-Gj)X08T$ivsUZUA{V__)Zw2FpLl*32V9t>q| z&2+KlW;p3Z`XhN5gt(aXUMXq2kGK%wijo1iaX`f>j}t$ZtIV*5E*{~%86<iiqy&}K z=immbLBMgv%Grn12MVX@@xuV~1ua0WJjDGi2{;qPDrHRqE7sPiiRTpza1_-Pvku)& z1_Ar9JOh?>VbQp$Q**>trBxPu1-$}US(k9fmt;ZjAtX*lzJaxD<Xix?Ho}Mbi}EDu z3rKrSg=0CaiyVWI@R4c7w_xoM2YSQ-gE<IAE=hKXyBLboWei0kvfN|o6cGy}lO{3b zloHj8SSnISq_~qfS7o(KBpkdES`JW=ww<(wfh)E{@DO%;mB=&dXoq^c4^f5-s+4FE zBf_WXU^cBR<c=#;*V}70aQuPNZm5C|vE))i3JDF^Ll=?8yu0>MxKN~-Y_w9Q@U)F) zhzQ9ra#82HhC!Q_FG0=508zK>s~x_P#j`C&hS?5FPwF)1kVHFW^VY^<0`_?*i#mfy z)ktKNo$I%}id8anJGzC2;$UUiP!L2E0$vwk#z}!!=)p+bbkI!(Sge1teuou?5i9hU zqI4QH8cHe?H>z3MxDA79ISzwU<0h51iM>--2bV`?8L^^DU-e9?G3={TIh%#iqQyy# z)vu;QXEGopxfz-YolM8cg0PK<#nOqB^O{-{Aof$qXf>^fHkg**qH8#9E#8zY^M~#T zC6)l0ocf{9tf=LXO1~UKpZFW22x{!X(6A^}MfGZ9;8ZnLWOS0wqd?{9tSwf&>I6Lw zXg^k@NvbOl5URz$LY2y(-DpTFg?23r0)~PBhJj6qbX=w`0+U&67LqhcX_JQXZ8a~= zNm_jhrad;POsN`M9{Yy^dTmHnYFwpRzz<Qv0bTtomdDnpoW!=jMCKM(h-ss^zpZ_n znUX;k`e5#2mf$!!LoGHc#=;|Ho2`UKl~B<szC=}r!*4Jcmv7S3t^li!#D+W&QZ~{! zuf^Cm;n;Zsa%4cdfr183XTj4eR9TjRQb(3mNnh1~CRpi{q~ob;+88|8Fk?%7DU;e* zC_*b!Rkg)}5{0tek(*KrEN2zDRt}*~)|v{5)^On~RBR;<ZJxNzxRQsu(3GqX+1jMB zEVZMxC5#?k2#haI$vhu>9KNTGbr|RlxM`}*73r$L9Z^WlsMZ)iX4S=>ZVT2}=PIOy zm1YoGXG2I>zPw<jkiaI6VNODO#?)A=xxizY>yVcs7m|eyBjJLE``#qgL&wG+m8pVF z7D5}5LEK?2+qR^}Zb0}ICf01q3s4=p$j7v>kUvl+O8|2q)HRWf3^!tIU6-YUEjeQs zmo+cV!BKmsi76ki8XnNW`>v!m9agi%ayE;xb72%>WHYcpj!D=d53M0sU^#t*-tvg) z17%w`;Cktd$c%o<R3{b2?Qr0MsEOeNaRW|kB$4CR8TQFQtEKe;ocQq(X^h7}Kn~@B zxKovS{+yweEH-o;H&dT1v1U4qPAwLvtc+FP+!wiVtz$h&s$sPXvvKf98QEFOhf%{o zDq>$%V$`jofQ1Nw!#>uR-!7K%lMGPdAc+qMx26JQv5#8ct+e7P%psIR#tJCaZzc5E z=qI*IvcFGp9{N7Ngb%exwUmEEPg&3et0R>tah;2SI@ukzXvbBS$5Rlsa)@{m11=vf z2)Ju7D&<+ju4z{DaPQE$7KBZUDPsv*<cV}bC9EKAkRp?eWLC?zL|_;BPZ@|EV<0lz z$h7#N${ooWIfXm0I1Gg}rcHt-rkggW=ogMh7Bp}VZX-$BRr~QTD54n}&DBOb<!}W# z&`*fI0x6r2O>cM19*sRra&x;S9e5aLzDaUBTo6{L$5tBh5o8Ftlaw9Xz}$@@?E&d; zN~wAntfgfn;rGDDXT|WBedhrkC@^Wn)yrrMhh~_#lKLJpIt?4G&d4)eG@T=q4lyTT z*re?_<3dMkQ4Bq10a-1{LWin5__(4yts20&`G{0nEfc$WYR}A8JHQkg52GeIT7j*C z+j5ez%IF;^L@a@(veK6wNalzups7%3n*`{vs40pR-Ex?-sWm_brO~^8N26pRgRd+< zbQ6NU%FsB)CSYuo#F1jQkT5nZZnAQu&GUJ<2&pHN5j$C|28XhH<l)IsuGzYULaH&J zteg}~KU9Q|Td5P=qxpbzJi1CkYgdk~{J#!Os+P}~t`w^(gcaSYtKal<R3R&pjUt`6 zSE<+-0T<B?_T3Ns{jG~JX<*%Na_|hD6*ZRN!3f5oIlL4cEAfrvQiF%E5~kv<CObi! znuUn;iCBr>Bw~!IfT7yPqATVDq_KAvC0lEtj2xeu!MgDnRA$Q9Kct^Cw1pAk8Jb)) z;G(vKrq6UF?7PLb>MQi;eYuquLczIqJR3A6bUWDW&Z9=ycG3)}VHmD1IqiQY6^Uu< z{}`Q_HT`Jgo1IEuy&iUE(1pl5o31c9-kf=yNZD?;Q?4mr>x{dy8Fv@W+|-=99?p@B zw1qRHjJZRG+Y6^tSL!2mxx>{F(-vUFsJqexO;rF8S{#~-ZYF<(qX(tsB*_W0_s3Dr zQ~*U>VM~@0P0^-h6g?o2QG^#8{s(|1&NcF%*^d;tU^G~0qgrm9+^S~9*9HpD^W*Zo zE(wWDQ|<x9g9xk7cT6(Fy@|WX-!VOJj@S}k-?BC|loSmF^`WNZ7hEln+iQG`gt1Mc z+%Q9H#Z5Mtjk5k6P6%~;gHd>4%r+KmvQ;J<ThVz#g574}GG|GdbbW{Ml8J^VcG#jI zO9LsQioYg*^_OJQ1y(9D)#2=-;lxxXZ;x*ewX)TY3yVpRi>BgoI7t&g@Q6w<^qKZ) z2-&A2Ua$}tB%${u9reSYXeodhn~cF^$POHPI&z}yk5>_4HaJywH#@pnmYH2qhJvYF zKyGT{&>js^*q+p^6fdF1^a|~z4Y+Ad4}wsvzgsLNxGeZLv`Sxz01Toq-9Z*M;Yy#5 z6Zgm@qEhMtB6)BUrS$R9G<PeuZ%2uztc_z%*muSrO615LPbNLQGC=eu1Mw(>4Ryu| z{?OoCh*>5c-UM;n?jUkN|3TrFMA$c!MmjaIyMv1eo9!+L#vbN=v;-wN8lphuQr5E4 zH6&Rpr&iAEx24FPBD8NaEA%l~nuPYj;}V0`74VPBmc}dbO!4oKg8h$Gsk%Q1>*M9R zLlM;3va>m%rOD8tL?WS>Fq)L45t|TE3xOF6SJ;t+sUNMBbh>j2gzKT69;(BR8q7lw zgv*7`u*<x#7hZ9<1}$rwOvMn2&Fm;cj#iS_KZ>CbqBq_fdG3(|<ER}cBe7GuEUz1j zxx1P4#S&>IOe*nMqi(PS!-0X1&NCbWHEkGe6}v<7&dcv)id~P+Ax*GJTo~8}mT@ov zrJijX+_r9)pm|4ZbmB&mLX+8x`|BOuY9i5ivCYGVjEx^#k>guK30cv-t873fZq(cT z=9}S1r1++<GsvzOPwFj6MsntKtE>1+%rskJbl5@|MM_@!fG`<fiXlI??4PAbtw z-~)-rsx-Kv7BWR62w=f2gY~>XLp}UOBuPl3mC)*!Dlh3*nU+Aq%j3!&NRH7P*s&2h zI6l&NUIMx_w^*KQ9T+u*)kZA5-@-P4RYyMR^eNVe(FmH4+!WqaBvaNY4WpHMF-Yxu zG_E2o#e!^DVv^Jdro2!vc_XQVVAi5#+4M~js-StQNaUy{@!>+x1c>SV---TPBEk!) zXdt{mZ)qcCDMg3KP5LVpW5^2gYsQc!L0x3@bL-)5>XI)duQSx0fy!E`+6MOmlxXrN zsa|R(4*q(!uV|AXsh3r%_AqEhn|SrnaW1S&++QLd__t{lOHhFxh`2ajuD}Fo+ft5z zT51_YmO;%eA&@JD=n+T(7a9sS#$$OW<xHhsU^H=JwSj|1U_5lh{e*zk7gh#5^K<X1 zj@Db4G*DY)`udHXp*E3<IB-ozd)Z2?gKwg|k&y<&T3H7pSYk;Yy_uQ9I>00<l9j+v zScqS-$nI}J@z>#I0a|T5Du7j|3pEuJh@(wf9>A=gZmMSlDD3vG-cuOKU|g$7s2m*Q z8gC-lndu2g*MUx<R&%tDfNwNp3>8TS*_8U~!UFL$i=m}y*hxaKbRbnzpt{_kS^`%P zxyLQV)0Rej?J))E+s!NPs2ztFFfoa$F=ccTUfC6L^3kC-YLTj0%9?iVR1BAvtfi-y zPCxYSR$WSOXqwB?@ngPQVkC?yuO!AI7ytu*>HIeZeb%8)S<K-pLj4RPN5J_CXBb0r zi0~PtoYP1d@8Gy%(&udDtos)|WP}Bm@gjanN8f!ham$RWZzEH(S}SV@vvE8->wo6b zla^_C++`9o?BWOt{+;K&<Y4&y_XhToj`~5`AchK&Fx6mw1UM|qf>-tvRx%k-2*?z? zr2dYb#tok8&M-PiU{}WG1l}d@Rty)7YG!F4q&8UF(pq3Dg~X<^g*8f!^uDC6N`d|r zMU>0vvXq~On+f8jNQ@WQsmOB{XQTXfIk`YaZFx#A)pfv4=1Aj5)r$SKPC@2)Vhl!k zD5=>#W7dqXWPF!}hLhU*?NMRo&af7Z>Yy!~m@yXKb=cbTnQ#?~x>++Q%S^%HBaaj| zakx-%19!ob^Ac(Xo&aW@jPGJcV4oZ%6%o6OFRsx>u*qCO@-AqxQ<odlevm#>EkpTQ z9q~R1%<#Z4-?f@NX)KOMUQe0SWVjj67XRm%To>0xCT~JF++I*rBrX2Q>Y~zN(#K8L z7FtIzy+69ZR%5=Zpu^8Xuwh(Q4Hb$^4vMl<wuCw#o{<&UE*QHhYOuA;on1`i>}Zy8 z!%0i3E;0SlD$3@5<G(#tEXiEaRIDt}spH)e-;g*NnChA&ayzV|q!q4t4Wd7h2( z_O+cAa?&B%Xwd8?2@fN^V%FP08+P7VcLZmbQYo>t`=i@*RYc&$P*MouPqnv3!u$;g ziq8e+o-*>Gks*-UR9v5HHVRH|D$uPCV~$(EN@;s#UgflxC$j;+We{k#JIsY^Ifuw3 zpg})%Qr;N^=y5q@(cHZ&<giD@NOAS+xkFxN@(U{pE`LuHH4fXtc4Wir-a<k;wN`ad zR!F0O(*<otjxs41)1(cZtkaHiLGf&N^8-3X9yPH%uhT!>H_mS@bfFm|;V2JPE-cmL z*z$&%u<+@=0c?eA+r6P_UYnZmrm)E2R|2_Fj?sOTebo2P(=aIyg-*+Nae=q$*dH>Y zB47E9`CWf~^`Tc^HSxp2_3yv>pHIAW=EhB<&-~@Y2Onb3+m-M8-iiYI5YPRq-}uT; z`mcQdIi+{L^}XxP|IA%8&pkim_S}2zA+P=2`?p{5nS0-R=ZcxeCbua!arL*?{osdJ zpZU<bxnR>--~Hk3J7?xL-Ft5Hq5D6!bJM3UJm!sWJgR@w#O5&PhMhwtH*SwV{-OTl z##M9c#?Cx_)7D)xGY{-A=j>k5nwybp8^K&5o;ySZ?Sh-x(s$2p6q*7CX^OYX&>e5c zz2@n|UO%+%KfUkuSB+eG(!^886x`?UdBu*Mw_g2)*ZkAH@$-ekm!39BvpGvgi4JkC zzP=qz3ATUro3EL>`8CO(eDB*gJhY=c^2vw3IWzY=ja@(5dF%JS_Nw2y?A}kVp4qn} zj(_jEorULb*m>i1jh*xNHRre7`(K`Zdi(q{?vJi|=+oha58XWAcDjdmbe?f@8~UI6 zkU4WxGuqzgw!3I<L*ap^N6*~T_!jAH_ECQDp)U-4<}^;81*Exs?WMC{d8)i=4-aLu za~FK_txw%{<=*#v|B}VUHJ82bwZHoCw`6Wl|6k=VIO>I4Yv-*0!1F5#b8^qM*Szl& z7v6T|Bd`6yw$EO^a{arm{_(yquvhfnXP*Abtv^|J{^Acl@Fj7(Hr-R3e#_!dj=b_u zkNKr9>^f>)?LYPZ(U*1>IPUb2FFk$Z5x1WI(BS(oe8X$jo&Uzcw_od$K^}qJt{H5? zVa@A4a@#+?=ZgN*cFnXO*wx>+XXo79OmIje*i{JCCOsSQYud_ld*4F9E_$Q(Hp&0; zir_U*zis1r=ltnEt4*$$cxpwV^PzjsdHVNn+qZc2svms$xk6#nP376A+Ouwk9|5J+ zx1-hMN$tW<AJtj4p*CvYJ$KY9GxF-!%-;~5o7?q)xv&1@E9d_3<Cp#N>eGL`>bi1q z<<5_6JNKP?E-UVwxq5o=kI(<#|KrXNmj1H&(I36>Bc%&J`}D8P?VLIBnf}l3nfuy` zv2*&Xdz#H_`kD>bn!8AzI%oZx_Y}W1^Sx)vPwzhJwg-Ov*1<2IuNHBQu(|ezk34iv z+wP{DfM8gg$mdTy@kDmLMMrZz>eorKR#Ml*P7CW`({+=qdL4R@eO>qGo;>o%p@HC( zF5NVH3v1)0e7D`!a>?Ol>ybxpWS3e&rZ@NUvv!xo)YI{XSHBt+pE4lZ_FKqXU=1lN zt_xlIq1=!@SN;p2|MT<n*M68Ko*S?I@DoqmKltDqGG2@M@w3>$$6YgntG};L!3rC5 z-rFbp*b^pu_sU-N*~z8xX&KC&eD$K_S%=L&9}q9d6VoqjoR=pyD%pIJ3=W=k7E74C zj*jfEF-?7s%a=TV4KMp+7bUWctIqt|*L>tdKCJXZL;Ln&6LySV|FOd6Lq6ZjtNYXz z$2a@960+xUaJp2gvJ>Rlf$RRFOUK^1!shX9J)3OKtzGx3|3>{$!hSZHp1>yai>u$D zHo5LE2A<f~lR?=ZXp?7iLlcUB8Ib9B)z<m>quwF%_9s%CWP7Ek_rCG7SlrEe-wZzc z&j;9~BONxD&M(<y(c5J3h1|)Di-R?96H%KidYddhv60x~{JM2o#)*s5)A({^s&y@+ ztAEM%jCA9fzuDVl;w5eJ?6bMOgYeBg`TL(OZ2pz+clqghe%*=KwaGkt9@*asJhAxf z#C_lC(rp}Mr{To5`@8ksy!U^7^|e<&K~**`7Rc1iulo+B>1}VnnieMaedi#X%r9=7 z*f!tmC(l0l?8~%CJG05*kEAwPeB!8gJn_UJyF9Y8*^_w|WiHv|J3r~#M0`J4?Ac^d z`^h4gw@GkR{sM0kp)s*Fbw8W1#qO(L)e=r*w=qM?i`pdHGm=WubJ<0SJQMr8^>Ff0 zul;y64ot9j_k|N*c=p+qzw+RNCw#A~Yrl1n9lJf9GIp^~I32qtUiq6{x{ZUS&9#YA z&nC6KyZ>s#d$X}?xlQH=yiLw{H{*c3@;46}yXIv-n+!eq?8_XxGLy*Rk9Nnd18tI@ zIO_!(Z*T1S>W>ewNpI}x+9Vyjf}_}Eq+^%bgrRJ|u?yVp-Lj}-S7wv6f2dZw+Lvs9 zLN}iIwY}P9$6wMW#_vOXB$C5<LBMBIyYc<~1zwP9`jWqYI{AHzTM#*1r>_ecpXc-h z$MH0uz9iTDX*#n;hhWiUJGMNioyuOnPw8I2E%+SHe(J@N9B(2SPWK8z*#o72F<3dz zyUvqhUJY&Gja;GSed6J%-F<?j$E2TH^=pKLQM{-IjW+4NM>A02w@kj-7|Gc(awh(; z)P`?C7VsmL$V5?6i))d2mD1l5nSoQ;hxjMD=aPMlw-nevsj_Qb)GxFOr-J_z*sbcu zyK<y-1?13ujIwGnqgT0z59>ZdzrT<vy5FDJjd%AO`hAE}-O^3(`UlWmBF?|s>d}!u zC;jXdP4`J|kv4JmJCYwg6fYUyab`J^D#!J)U$Jy*!rs0^;$w8L-xV6atlc;XrG=zl zu%89Gw(lW#_;PvK^k<ie=IIYFYqKXajzjiHo^)&!`F(=AXYvZZfyq0{Q`IMX^%B`} zfy(e-ZT)eP60^5i<_P-m=KXNX#vQ!u`BwPrQg4q$vi8?=vp0@J3v2)Dn`XZ}5`FC` zm38g=*_X)vM)o<by6p2R``1oQ@kif$g>A4;QF`(2KFZ6!(71vV%T`^%UQwUERrwr! zGf!+hv!=7iUiJP10#6*tpdd#+G5>0|-LK(W1k(=|78iAoO$zr9@ri_&1&94=j@jb$ z^rPROo*!B>z44rL*zd;umv2jCuyK&jM(Fs_gKg}s6JcZF=5LqS`OO9*?t+vrgD<?0 z@6B8I_CyYxZw<Yy8d&6m8}Qq>kuMiaGw;eEw{P3PM!vT|+SQ60ns|0#a3k@9!OQYy zW=*<r|KQ~R7|i04)Rz0>;;Yy2gtU#_ln-vkCY!s`-2dR3mnrMO+9xqW*Cy|H;+%7s z4((@?>A}4R*o3({uy5~PY(m?}Z9G|LCf`}iU$ERJ!ST9JZ86(V*Od$(sZ*Pj_!bBA zt_=3>+s2m`7TMRObrX4Z;@Js4ztBtX(&2mDo0&B+CweiPEhGJR3?9jZMrdQNW{cUH zQi0u+g^hfnr7J;xsJHd3i+Txv_-#3!adNrnSEeuBw25YVj(*04_Sw6)Yd^{5j$CTc zbO2?R&*f^qpX8@6(0+3DV(w)B<(6(q8%6uc!JxSGzCE4WyTmq^ukPPZ28MomKanBr zCkONY55jCr`^m=XSFf3#Ub9VYvKgCf?uwItaLvnXKP#Sp5+m&0o7yDnC)h;isVQhb z*}HeSP0~%N`MrCw32h^_$p&_R9$=Fk{p3YJ4TVjnr%T(gNzZC~^VJD7ywpzyh9+no zFTx<}Cr|J!_Vel|=EaKfFFIZ<;TMfNcx?Gam-v4oe|;x#FxZ1p{~nm+d;cD#{JWIV z@{_NsRGC><#rDdyeon?O48p5({k1{F4otP=QDQBz#_G#I+aYFr9)}-^^BktYz)vE` z6v3~=o@TiN8t}<Jw7+u@y?-isF+R>`v?8xKZhsb8jFg`a5y>{YQp#vSMc1%8Rfm&8 z6R-CpwI$-XQm~d^Q~)YeA-6|bjXNI%FAEDk2!@DPAaTpGC6D%D4Ow-BpTV+FPHdua zru?i=O(9$=z|+1mGeVY}GSL+PCdI%b$kGhBkg!#r9!%z^v7hZ8Kz=}Uzx=u9mZD}U z_>!S)YHQQ#rDQhs##LpiS#5CpPk~KV6?{Nly%dahQ6F#uS0Z%{di+uuM&p4`5R>pc z-Is<XN2~|4R5b85zEQ-1=0`Tep)(TB8aQ_;y}y)Cqr=J*64lh~vh<|MS|nrw<*_~l zO%~OFQ729Hq0HfF%_Ds_#d*0jkX1v2`$La(@S^<^RH)_PmPZKB9uW7@9y0>HFezCL zl&9xQJaHb6vrJ0;$t<Nvt7y_EWJp+^1vtHQotP5FsTMlmJSawCRxEByW-WOXWnH)a zlbKk7XnWEBZlBa~1TrR(9_s=n@ac*K2nXu>MK~Jh@?7#$>@qja-?KrMa-e3rA}q_; z4TcllP|r_!rmpE>yE;tqHpP3DX)wilnygYO1^9G4OX<}`*fbk24~TYiE)n!XMV9OL zBKrrtqV`8&R$bwz;6`y!!YC^QOj9vL_{~%G;>3t?bf5-`KF;_z5$#Z=B202%aDAg7 zpc#75@G(bRFG>nKsgIlD+%o!9LgUlaN#idS?cp_WDDRrOKrw+h(~Wvx&8-;c_Ty?) zE^rDam0c;5oFl<yTNgFaQ7?__PiTI0H-T11j`wk2HJ@FJHL4lZN_uBRGb@-_EEvtB zu`CBV<!lxZ9uF4Kx37GnULLo)PA`So<VNRw@H`eP@Zt^_i6C<4$rKD?4#i=4zngJ{ zp<4?V{75fHT%p~nwWi!HzGjKzAVSiHT;j#z#!2<`2l-ijElCo~%K{vym*r}wNTOYE z1RN4-c^UY85c22X{&0r&StHo{5xFJ2cFZ8^g%HDY(n0~3U1%Bps3ae!a=@jHx@N_p zT9RtstwPU#Cb`-_a>S*kYb2bDD7v?bAXBx|`eo*TvgLf4Iz)+!Kt!y@bNED)F$AAO zn`2{q_1PS4BIz3|OT_YxB5TZa@NsgB?yI3J?V!f6*oVQ2lWn+%AeC-)q@}=u*Qd%; zI`Ob^ish~pPnD3w8jS+f%CN@}=~!I>E*soT`f5o#tYTA2%1~;dhCNso^f>3;(LlX* z>2szw-i)7SUx}j&JrOk@Xm+KIER#mga3LJCT~c*rgHb(sc^DHId6n|B!q#S9J<{x0 z=^a%GG4)C69Noc64{j)95OKT305-Y9N=f)utsioR6i))|OC~C=G({ce{eBW$&1jNg zgY{LG6sW3C*CrvuC0$Yx#H`x$!cE;dY4xUJtf4le4j#mPM#$@g42S^VBOA^JQ$l+u zOA1c;*kOA56ze#|X(51>=8%Natf#XwhX^)RkWUUk6IVz#cV=PDXyO0U!6>h=nXZ@& z9V-UwY|fF;Pzn(06|zdzhlnt)X@cqpBrTN4s0948^|ccY>!vPBHGJ<6`Qb8@B&G}{ zjkF6RoO3BbTD_o)%zP~{EmnQ)HRN*|R;-o=3b5)BG}-LS1dh1n0ur}LyQRp2Iy5BA z1Xe9+IRHdaS-BWQk&2O|nTbd<r!^}w@Wp4uDT;9_jmM08DHvpg8kW!lsomH*)lJ6t zlBaQXv*HiJ`{x!<w)(EDI-1idQ)Np&i)Z-DK(U%;dumD(vI2*UMtwC(X~Hs>quX?_ zU>Sbs`7RT(rhBDS_Yf$WP}|olt5iCer71Lpbm_4bou8)QyG>WMWm`vLot+^p`;f&E z_-JyCM#UIB4Y<B3%8WTjQ)|fB>AR^OAtjd0H{e+G6x7qixNJHWLKcIP?rh|I9wgO~ z06_o;DTya(*cj{6pf;Y;h$U?KOTlEmN|617>0;A7x?<OaDWRqq?j-m@tP#NIsEcQG zLs$w<i{JpXSkJ1`vPFsOB-UZ(!PwZ?a7#xzY1}$%#7wCWY@dJ~n$xgvUH>0@?*kv% zQQi60y}FWHZ82)e@_0P{Go`i|YX(Dv$L0~@jY@Je2#4kMn34ZI!pL6mLdXVtLl}|} zT;0<i+B1%k&5Rubv1LQ>c*thFeDE&BEXW2DhfLOZg8{#M%)jg=yI}*9n19I<^uE8U zds{7y{D*wlw`7+ibyb~Hr%s)!Q&qR_y;XH7uM`MlcpRF7K0aKub$v^S4cz#nq5{fU z;GJAbp^nvSE1s{dxiX7vYwp3z*q69r-eJGesZ(L8vO)DB^AWtu?CZHmsFf#<F}X73 z>Wn!rO<lc?JgBBVI*-Z=PWD)IW^pd5F)i~>H9W0WowQArg~U!hkhDsrwY83LydH_R z4Dj*yG(dquQyV!PIydr|vq~#QW2-S8r6TD1#VTt{xq0K2*MvyiOZ%ZL;nLFc1}Y@* zLw^aPrWoL?J=IJc`mZofu~xom%vhD8TgJ0~$576Alx&q~)(@Tp4;Mv{4MqbSt8pht zCzu{WQ}vSoi7m9Mn1K=(JY&%_A1Q{z0z6wEU$87m9%ntWJw#jj#Vt2zdAPT>oqVLk z4qAT`X;6@iD(TBDTkz|N9T+ZcB@1iM&BjX$tg4?=1(93D9&!touj#1q8aqY>OsNe{ z&`+EwbUceU+J7Gut6&P5W?)f{A}L5xi>EODG6V{!+O%M+dZ{_-=LuSB!Io?p`5{g4 z=?1jXq*S)Ofu)~aq}<h7?oipTF_-e)3An4)jGtvI_Zs`QvPqrP)V>vq9?rhL#CHw* zY0IOZO<cd}e|>Teqf}e{aI}&Vq3p&NNI@~mFXY;;oFx_W+e4ZuaGke7DaHzXESecd zD9i=$QVs3O)+TCPj;mbVQ4^fnz#M@##xZS}PIXgD)Pe;ydE%PF*L5XU9j#0VQF0PF zp{cRIRaKyt;X<6wwPOvj3zw%Nao`Rtg>F&^sEL^8OfbqNAbH*30)jg*9#x<$K{2%; zG3PQM3*qM!$i0fAVmh(|y{;rW5W_hQ`gA%3X)2{yB0C@rV-5;Tt&~D;8YlrejZ}$> zt8nO-cV8D66dPHi@5X5h<LsUo_p+YxY8?DhV`w00u(pLD)Rc``kUfnh&U5qUp(_Dx z0l%zvOTdyY2xEX&yRlxWNuo$3*rxJH)juFaPEu+WaUggQ8%07@+71v5{JD#mWpvZ! z3ZtFC8#9hVOh%OrGOT69l$tq%n50Nd4-aD*e4^@~u=ffErKt{2OcZ8~-4~>ci)7_^ z3o``*#z|pAD7Dm=7^;$zTG#{Kjw4}Q-C4B^kA(}(baz2GR3)LGz>if8OmG24p~T`$ z7)!V}7;eIFp){xeN$QAzS}y!i&}8}S&h&Y&i1-V|1s$Kx7<^K$!V5Y+XLkm^m*jqa z=4YDAPnne{AN*GIgC5}BKJiv~m|PN|8(5sA@2f0(m)-|Y+NLu-D?`TaygEN)^I&;O zah0KPrY3Q*Y*|l%=l;!-CkW|uR;L3=vg=PBW|os=>Becv$v#=;vX1}N@-$VDAm`$K z#_8-Gr%mfD&%Pu-1N8p<4A6fY<@Mz_XNpF-qdEPBsc=5>8R_O=pfir<dL6v}^>kbB z{XI7DnGfVW@B>~wv}9T{uWc*-10IC`XW=6#obkEZkMf)zW*E-qtSLkJ9uGgKI})Q& zz{wY%`0&HyS%p)$=i!#y9`VIFjSJHZ#AEj?%#oOLNW<Bk>3;+dag<GYUev)O-39?T z@k~yg<ta+?^38tEqeLmnYjEZ#sOjO%%|l|iDDvMhL%bp`y2s8zCZ4Uhw3<UPen07i zCl8Z9kp3d{HfMZZ)UYVYMGboNgWhI3*H64kVCXhJ1T(@lQ*s(CTbkU5cqazOlcG#? zJ|_kuV9R&_ybJ*yaLO&`iI=|`czCdqc9>#dHNGl3M>FZw4K5<Nesq<M|L-ydneb0{ z^Aj#|ifPg=iQyK}J7avFB(i3UkQU*K=%QIvh|RatusQxZ{KD0R7YXPV!Ppotv;!f9 zQUM`sQy&mHnd@^(7UNUp>C6csYi;mLlM{NJNU7!{fFmk(yah|mbSk!#afhQ56o(%v z!Jh(8bT|_GO!Enr<}-?moFR}?#I_P5MJWUklk0>AI6h4tQ91Jsd8qJ$W(D#L{&XIn z>&%WI5wJ$bH8voWWGpWa@pEuY1bU2BkXl(*GK3N{2i@@tkmN~Z0c#}y5tav$AvP2~ zpISm-N-bAWdif-kYC$c{n+@%X+m{f@0|Rt>D#*rSOh`)5^CyV7FUWzGJJ3QNievJc zvlf_`)a{Hfga|1hKGjU!rq4%;@HIPRh?Y!A2^=9@I3Xrx2`Oy`x|bV1)gI8`Xnkpi z4~oL`RLpXfkk$p(E?UIpM!wKRbo03kUO|hi=K-ap<V>1Z1${stW@a*HM&ZdJVK%Pc z6cS@&N~BG|!sD}2LIT}sL0<;x>$pRZA7h+CtGFU5mTs*uk^|&kL{_<e)4y3oiD<|< zkLeDtWVWC#|KR~PxWNhSVHsVn$8_9v$jJ5`WMyDg`jwGuU{+OF^jLdKtT#wL4SU>` zVj_e>RhM;=hU_?Cjdg{|O_fk$W|+K(_MF0E*?FJZFv^Ad0?`2O62yWq1n?lkauLS* z!ubV|&(CY<2_LDBaZgn+3s*>c@+qE_FozqFqDXnZitt1kNd&BN@d^RbvcUmYZSFx} zN<4QJ8*l+~P0grPx*<dnP|(m?$)Kc<ybFh`FjS)AKx`^u03Nr6ThVDH*VR~cU?qil z1P`*3acH(kPfS(GJom4>(5m>`xlBBV*>bz?D@mFq{k@G|bD>4J*Ywi0ji0G==^r4; zyBOVcR0~C{yj)UB4epNlocxr|-|)#Wx&9|<TXh+B$lA#6kMEy?rFg;?eI9P>X`6S> z@qUfNTF|z}^Q6?pVx`8Zcu2~Dc)&ZkuFsL0r&DNsKcAsj4OR5|M7PC@;+T;mpi`GJ zqi6iG3Y<ucqPV;=7JKolN+}_}t23Q;1YL+}5g{z5Xc(7z=n+Hv#Ou@&#&&7KLl8Zr zVvBB+H5DvYF^fryNcddgf+ANX5QWlPg?QA$K&5FE?=_sSGkseyqka9U>zf~QtQ5GL zx@^)5$P2C=XjQ4+l^NSgy|byc-kE)s#FeUg-!rVoEsbn$W{Sst&U0j$cQeCj6)Z)% z)%ZDO`)xAK^Cfc3(4cR~;x^_(B{h2;yrG$8DH19?nzCq0ZL^sgK7psX==zh_$uQ4z zQq~%M2!)0dXQqq=1vpP7bq0cyWzuou+!Y+h;A$X{xCgNZ9Q%Az5|wT?uQgNCGkUnh zdpy(Fm{zR;+z^%-_f2JCL(??VqN`CP&NbX<RLgrG-V9BVq&cd>FSOxG(Xw<GwwW`I zGfpYL8E&ZTOy9U*Sg*HEY3iofH4W>qvvk05SHGEE%nPMd?Rj51&6jc=2}w+>XFQ^d zaTMoBi&`_jW=&>{gA`a)kt%{|ZwNQ1q4ruB+EWtEU%g@CK#Qb{;hN0TiV{m}B94Ff zaENix35kRnIF%}<pfLup(Oc7Xq@$OcO}8hitugdQ0PQ2|EA{gvy3E0*y&FxbPpRh- zI^R%E6+`LSGY+p;P?>Umj;^hcVT8^Ghpca=l=PFwIL)x?lWfEFJR_r9Q-#zI4=PQI zHqA(&hNOpG-V^2z+6K{iMk%fU-1}NulV(U%Eu{>uF$);R@C-De9i(buHamdy1*Cyf z#VR0mHD^fQat>y2%fnIY=?Xff)+EDv--^;&ZG_bmy|1sNZCI%RnDowp`as533m@ST zj~dER(%SssmWEh7No(Nrgy2H!2IRvV3Y93{$4HMDRijfP<zQ0nYw!!on-1Zbwc}XO z`2K?-mxu`IsYOV>XPZ%&^{3|b<{T@M!!Q*!GSx57{*-cxU#3XIavo1JRxm&~&L|I~ zV-*9a67uXEF_}^_!V!#Xfe29EED75C^Gc~%?}K=<WDqKOUxkHstR$^072h1HsPZd} z62{sENP=l&O}pn&qYuSRrPN2um)t|nELzGzJSkvD+;dT@mqQ6@oF|w?Xf(+BjG=MS zoII_Wj!rdtN4^<ba!{Fk=ZwqDgT~a%H8eVFh{Sa011}&O6hK%<^_(X>(og)m6f^7o zfCOo&nppzAgk&{T@r0VOEwq7y=EX!MWI`YCM0f1Ixnd>A4EQM+QP3KPtY(^~=PjhA zCNzdrad0S`$=c+?{{R!90Z0P}GhNI=j^ygiA#Sxx9-<HcC(ZDK&9r<4=;c*&#EEf) z>hlTYKHJM#L}w9lX!^m*bbo3S532M?a5z&sJnSGU(A;;<BYnh7xU4^M1GUVhW^)A{ zIi6}SZG!7gMmu2OA3-1AD;a7W0uDd)sueFAK;vYM5ao<#jt7-9<%f0WnOH+60v)ol z+%h33$%rxT7U#!9X@=eo29aSAI_<oVp#eV`qO?$YnB@41U7&sPmM7&chf~u5dexSK z46iZON=_xna*8(X5&RLSA)0(yj1dCpDJ3%N;Lp7DiSSYta!McS=2Rf~Ti^qp%Q9pQ zcS&|2GG<v#fe|xI%i)l7xb@yG<5VA$jG0y=^AD#VNZ;>09}#!WnTqMRy#6__eR9*B zomQn)#T$>NY1K88llADwSNptgdo#8`GqJ|taiwTB_voz-Wo$MVthw7a4MU=5J;Hut zk>k}*7R*>$r8U#@=!Uc_zd*0DCMzvGtmaG$H{qY>{q(4mq8Q6B5OGsuRqt9$MqY-C zkJ<QzW@_$k!pAW^>3p>hZ4lu@$MoW&YD#IPMHuC9IOe&HC{2JD=neeYCV8~dG;3yD z;>V5ZJ;hKP9L4nH$z?o93Uy%&hfk^yf;JM#9GIX-5hW7fo%IX&mWTEJ#a5K2<pF1? zE_je>#vDttD4&m|;brvnjl3_N6SLtOb#;bnP{9FL)ABR#dDf88#k2L9<t^OUjGd(i z$n3VyEc(hG!?7(RVGy>oKkGNUG3u6cofc^V)T^CYKjV2nk*d9?)(n@2+w)XB&kLG+ zk)KUh%q{4dY6$cEQHHxK9*u_631dSemOg^KUfLS{1n2tTopEtLd7}kasTn9!cFOqm zp8SxdHi#ys>M`IH^Am6M9*`2?q?E~wneqNCDUJD2Gw@tv9=1Mxh&E#SzpC!0t1@Fs z?YuEFHB2g|OpZwEOBdD;GgjFFYEidsuP`I_&=@UaGc~+mjAwuwgNs58DR)yPN9KGk z6iP1CAzmu4AFxT)kEKJSskx7uNW#I73>~HZo?8-X#_X}a(k!RxSBx=pOz$@HZrYc> zl6Y^<g@oL*oM8q``7TBy@|vM>dQxILHdD6Ee&#{mnCIDxsQ?Zkbk3Uv#>n&f&7PnL zoz&8FP5)KS27)QgWz-whM~>95F%EH*!&~xGh+2ryj^0S%GJcVM@=oX9XKYhzj*L@u zU$f69MyuO;sq}%@Qh4}Mr~BcMU)9(Jnt&@$_ssM-^H6$G?~JXOxyopN+GY^BzSWbp zvaw`gfpYbvdwXtau=1<X%+@zebE8RUKTXfapHj4@`S+L})7wm%?ab_BZJbvtplZt- zQ_Ai6OF5z_W2~Y}>FUL-?<~^?n;&k%=pkaE2DmR7&nq!b@Gi}iy&I3^d9JaUv7~q0 zJ#kL5?LU2Bh*8gDs-fhiCynwR!KCyPBUwqpgS3&Mi_FWJgze?l@uY##7AJoV^;F2L z;zrM^V4fkHrHO9Z>g28g-clbS$jG5=8Ae$@L$z_sigu>SF^0_EN_FY_6p+FmqBh(m zmCZEoL;5NM<r$NuCA&qsVPhMZ(lIza|2K22HhvBqKvZR|fkh7QBPX1A$EdaelhzaU zurj9_0j$Y$7I~?ecU&upVjV*VvT?J{*_8H2h7E6wB?=w@S%}1XZ34?hVU9~A%_SqQ z*TKQq55>mfCfp76aZJ?)?~n@2nDoR-Vx5+u;MGs^%`hKeVo7V~f}ioynPCdniX+ct zxRg9x>7Sqy*vDdO7TT1R{DF!cGiCwp7Rh~Y7#3e|e1F?9AXKtfP+tNDIH_hXE)6qL zSmb){NrDvS_{RZi)htxYClo4NH5UmFz0{m+eYH2UG%;N{&-I}wl!R=NG?Mh|p3kYW zXADbg3h>^W_PJToN@)g;3O!`WeAa>*W=#nP>O@vrFTyA>9i3|6TH+`z|32u*ph-p8 zaqlYArqY0wjs#G3G6dsbI;D9f`VgfU0H2}Jh3><Ap)}(8hyl!JIWs=P0EgtQRQ7&T z`nsvT-`ql`)3un8s0+zrV56s*WK*1x%T%&r&Y6CwR<3evUGF-w!<4?cVT^mCtod@# z%(zBr;i7cA=gNT|h+BY4-x({nX-~$dcId>Jt(jREt7KbH&d{-FG7A;&P*aeYlOT5> zV<h(hv$$E7y5bei`p;uiZj|0fT_Kvx$k6q|KT!y9*0?I;i8^7dt{{o~#6?Qw>}7VQ zG-e!+iFO+2n3>S<$ZeeaNbdZmx%D4#d>5iN!|Vv*Q{yDx$Otw=8g)w*fdAh5K$dVA zc1c4dIcYK%0<4rJYbF%|Wu(i2?;rAzDxE?HdZ@bE6enw%R>C(LO!>D|8kfzP(f*k^ z<b$b5iEE8=Kb~X_kEV+>-n)n(JmpE?%$6yp9hloBTnSS1kR_JaEewk5(8$}dffEP% zr%W&8Ezg}X#-`RK7BSMxPLU+tzs2|$6CVa3`S)?Hbf)Zv#_8j!D9d08dh#Vl%kk@W zjQEj1Pu*4X;E15_C1VU(tPH7wL*bV&E;E*snJrzMSo{Kd2B@a@gHc&^UDC&r6EGX1 z6O+yna1Eb%KV$w?at>#r>h>ae;ez;SpB6s{KZdTzNT>K5f#i`XKe(L1EDFo-KyDlG zFtKEvMIXEcaHSxf{wXKw7$0WioWW@cOHhzi4`RgxKeWqq+MS>tT+ZYTKpdkRrxQjr zcVVs5<*BNSjI6F9^m^kRp~TNCbE{dpH<i&O<e3+P^gfqivVE-EI>+3+kI#UrR!bQN z3H~kvEXSMDrV_@Qet50;OGCsRLS$Z09-5>XXL)j_r;dmwO#vwEJqmDkvPf!XfDhD= zcc>G%I-0;vcs|Vtgdi9S<Uz$*1KxtbKZ+Irb{u#{>O&rDN-vss1lo>O(mZkWIFy$b znzpi1WC~X_iVb*E-WTnHxD`+iM|D^akzd`zPx5-sBj2Xv7I4W8;_4yelN^N18{@dT zXv$LSM-iF}eG|?A)nsIQPxwSz%Z%?#%zCqM`i?MbNDp%!8)szt&msV+Oi(;{i1Vba zz-vZc1uj3*qf8o0I9pQ~U7aOxjS&<@j>(u)UW}6Tm%wXreay$yPbR-t#j?d%MZ!F? zG06KOXn+a>5|S(28h7%EF+O-_mVKZHxze{a?^!NbUS}r0F;eP%vqr_N)Hi)P&8%*X zIZ#f|%SO%A&kfmNqLC93Grs5IsYaI6dA73nSN<TBY^SN5NPz}Q@5Wt3Zi7a<g&Ugk z919Q4Ik(N3lyWv^^nt6sp$#Jg+&ok9wKv|V4-!3?4pcAB`Y6*SEi>TjoCR&0rB;%e z`2pSKQ>+|%`HF!C!JVqI@$u5e^DwavnI3ql*?8AOHX#>o4siPW{rrXaGBoY2(#QS$ zblE&y>YqQjzm&{$>|9z`=80VYf*Uhj?0eg$)#J@wpYo+_e7IWm=|Cl!LW0|HI(Jyc z-&<O@wTBL$G&ZVR@$a?60AnyX65e3`pX@|)*$p=7NhuM^H%tq6K3T`LBy~nDUG!20 zS?UdrP=?I3Z#EBQd?Khsvn}=AXeyu7hrG(|Yg~HYA}7!{54@z2^w_$YGK<b!>g~GL z9!gtAg#(^S**Ze&-IAmhsSR^N)1J6cxm-`|IV=0}=U-kb)r_zEq}iXGNF&>#@v@PW zd(ED{7cC%_3#6GkVB9<nI=dcyZfMSw!lid1y+sPAekYe|&od;DdZ+r#)_u+D6w8J8 zsorVS{Iv&$9)#(PUYhqPQNDlU=_BbSmCcCtrm~n+%6zR@(grJ|EL<W-Yfa^5(nRo$ z5$;oT+<!Xqx0V|aX6g5uWK;tOqhjx{nWrmNjPVzEPl<iQEQCvq7{-v{d=%7ISLKfG zL5641Zx*Rscgqth21D6s_vI(haMXaZgu6x>S!!-JztFm`S-S0}@|oX!(GM=TZ1DTv zx~hEN=S#O<_~iq?_M4YK@0!^IoN@T+Etfuc-KO{7dHM6}uetx{_8(|$`NE}dI(X)Z zJ0^F$^Q&LqcMzL=;ms%BciQ7`yL@TOmv+AU^1r_P*T+A7)BZ>A-SLi3oOoTb<@;NH z>fgWdrag~to!#@uDR2M1>Lo9H>%qTnKYCzm?a@!a@tr&0QJQ^l|CfI4HM4JN5AFHR zP48a!+4@Jf9(eP<{?Fa8uK$*GBkSWDf9Y(tzVp_rcVx3e`*t2HkMG>FrF7cI|9IU; zALkmf;i3KqKJn-0{Er<An>JoBnO^eZ$^AF|{vVhB!-M_jm2WzadyMt_HofGHH{JV3 zrTzn-_+a@zJl-*M`;j~Dy8D6OUij4J^u6Et`}1#ayyH*rK62M%ceS&vpMT%s#dn-} z=53eOvij6}-}|u-eC(48_qN~p`|oRgq>=1@_xu~v_b(=mPqCxTWQ~Kb{Iw4^o93hY zuRHL(=J)Qs_Z{43dMtV0{_=-YQ#sIcQ|qk0!;jL8=qIv8*21dvgm>Ptr|*MbNcO#F z>tFT#?(O}h_usd#bn8unU;5JvesKD_|N95Oa#8u%r%Shf;p2CH{5{v6z2)MAKbL;% zlF>^aedC=U-+$Rj{ewH-Xbyh%(4H^+`ke<(sXwp(^WS3Z;@s7}*KPXvrpqrLf5EHY z^Rs7M{tuVG`iXCvJ9gZge16y98xLOl8^8MYFTBp&wxitp*q`0;_OFd!a`~wv|2%#2 zR$H6D^i4N@>$Z07(L0a)(iJD1Y0bXx+<MEpoBqaqz4fhq<F_^jPk;A0lLHr*!WmDp z$qTT_g<BtM?BDsVGN({&*>vZ5AK!J#<BZ*<Umdyg+u!}=*)KeP!<lb8v;3OMPn~h_ zz;|bdzxeoYdEJL(li$Ac!0s=f^7!MM?!15L=TCb4amf?B_nl{c=N~ry{NH^3{p%0> z?V*z&zU{~dzyEjle)@0D%(kBU%O9M-^p48SzcEs-EN-~tXaB05-h1yIcW(Xet2RBl z<F+%;ykz$I7uR{Z#Mo6DPqx2xq;JpIPv7=G&iwLYzxsFI>+MNTpz4zYd$0-n5l<ex zY4@d7x1)zCyz)IU*reZV8XS4crM;)rfBWEpCCc*nbxYyg#<R_~mznF9IFBZt`NDxb zvm9}`t%HN=K#|UO?>UDOoL-mnbGqc9DRUNR@8n?J^wc>^X;N{6yXvOi!<BH!@UeT& zT^i(&K>i5lX-NltsCS4XZU#B!rph5suQJu%fgv+Mp0#Z{+XO`-9M1g>Z&*6&{iZMX zPV_JmhUnjs!nJ{y)h6n%;(}%dhm@~Du4dc{4G8a@=t>jlgI93C=hcIQJ>TcdyKS>q z^n8D4h@*9MkkF7Mqm70aQ*KRsvNm74z5Y8@+Q{IsH02CI!BL&YqXSp~%60YC=bk%w zY!+3ozFMSZC|6^+HXxrgTo@IIXZ4&P&QILNkw-e{W%lf)Z7(~DO*lU^{+)f8gA=zA zm;Z5u3+i#cUyj$GT+=4U9*|9r^>EHjw8;`S+110@fJ;lcO*ly?+V*IamD}XV&?8cB zaCUdJ$&tySqnzerYD?Hec|};r{lCN}#_Zbl?TMaUh@%WAy_-?~7%yLH6T8Bho!g%1 zk^h8jqAD{_3<&@BL`<_R6PpZ1n=Ex~a`n~Qj?!?j$&z{1;O^WeNS}WKdxxqWy!6uJ zZNhP@ho8nK;XE;<OiCY4I}A1%+?Ctpm~<l7V3Vb@2WMZlgiSg&IGY2fut5ipHVN%J z&~`nkdMI~nxHP+M>2Ue{r3a2oF4d1ciA|O!Rp;2`*sdozLo+|)XK5CjU~RK>G`C62 z<AEbjJR&`pw(UN0gqFGc$mA2U$<gC%B0<LNzMa-7n@m2ri*itwlkUVOK&>{pE1cQ6 z?TJU^OKxtHaF*w{CzsQRpLTG?wqx6_zTkqM?=SuR1sABFeB&FrO}-Iqvb38#_$1Qk z#3w)3U-T0*_~kF>XCk2qXT}~z33Hh9Szq_MKlziv-<kcL<NL|3+$OsxB@de%QO6qG zH5lZdPbYwi9aP4{vdK1VqA?}>olV_^_Lt+FHA<FUHt8X{%O><cgG~m9WE1lwV^_2Z zW7o0zleABA36eRQE{<IkB*@Nf()$F**l{k|wraFV@4ypgU}^AZ7`v9w-#l!tyH4e4 z-@fmt@tbz_PCm&nC7blW2UCZ!YqI{TWA$T8gF}z#>`wK+eC!HmdG=1mG%9}tY_b$> zVmda-$1ZHb*maEa`GA{7mkc&B-!>0`4IbOKZ?#QAS<KVeBp<tW4@e%JH`qkR5q`d^ z+-w^x&Yzs(+)D`Am7IggWMI3EXK$L$`IGrspOOAhen#h_S&0WaVwU|_9R)vrUFTe$ zm0cOm?o9DHKhu=MxtB?0)V!g-;a^`=8|56!k&l#hK4yqNtC&_Yh1R*6W}3<DFd3QR zTukO&+quqSpP~$Bkyq&&<u%1wnb4;TyzrG?pffpjue77RfqjL~ovze}+sVYhG*Oja z&J(p}{#=!#X6{R8dWUr8CORuVomcu=e7(YXq@wFwQu!tH2{=0INumkoltP!>34TE3 z+~9FGsQf~&&iRvJ*S;CixtE;jdFz$=8Jxv=l)}097`d|R>`pyr?#6o*uUGK+|Dv^b zSZ9Npi9WO8!y(-Z!&#y2##@D7`>7a@f5nD3Z@%@~hjp%{G2!e^o_z{Gp|pN|<(j@3 z$yJ<y4;WvDmtNW4yCLMiUwVCx_>DhvC9ijT_)xQC1YPnt?l>eny)wrOU-AT%3q5nN zMV<%6vpabZ`mixyOaFz@nVnxdld`{9d~Y?28_zj`Ge9r=>=h>Y^OXFk%SH2M^Oe(Q zGBfsOH~;G3Unu`;n~j-&bxaq|4BcM2P<nhV<&F-WfeFcF8js$R)6pv*n~t5YE6Wn6 zKFj6A>Ktab9X+GG``MnOS)_Vuzj~M}lTKY8WbER2C7lM&IKa_-ll8&LN#<o-m^l19 zj%%8yg}DV|Q-s5ZH@x9p+mas?__BWr_jnPuEoeNh7E?4++pTeY`8>RWZ?(_p%z5RU zI-En#lgz|`-txrMLVcpSt)^F$gU5>a)&4Bw4g+#$Of-z);@S6Qxy$3Pu&0IMdXd{? zU{W^e{dSRt8aiXw?q_?BW|8Wtec42@*czi8J<8lb<>2_!$z4m>L~(1_q*KnrhyU^o z@6znxI5=TTLE5$uul5BVZ4&1;1#K;#b33nCX_H4{d+wc>`upAwP4NluDKhS~kJU0} zHPc^dlU?H3_hs2hZR=S=ZWD9<byUUVWUaQF6F__7MNuLK%^BtDvpq-ine(j11{>~n zYBgQaxV&jD#`g^9Cptx+!{TQ<{e)Q6L8l%LA8z0Nu5JFh<8#_{PeF@?C#M_+Cc}LJ z_3{kBHwBo@b@F0n9n$PtxVC8)CYrn3ztTjNr|c*6_Ej0^-ibl;($iFdKeSB-v58=H zKVeFI#;)qKJx6nuN^781dV$E){L$UJ2L=cXLZLfOsGmf=yKSN~W4qek;lsOcf7j84 zUB`<aytkksWT6Plk2ZPcexh#*th5Oq695M5*EWxpKQuK|{goz0TDF-YyFjCIx2{|$ zf}YVP&%U3ejF3kA3N6#Kgb9R3<=`__z}NmoD3$WXPQLbr<Fj9p{fWyy@d3wwUf@Gc zOfPvW_A6S1NIv@{gHQYlf3u7H&&&M~A6{RGFK*UJ`tDDI9KLZK{jc(=xPQk!#PHUq z@bi6wQ)Q<-viswHL34AlpRw@KRPj7{lFq!}i8!xa0-ly#h#yT|MKRZxr-k?Zkq|*1 z|Bl>eA0tHM<@+2*bN`Ra7fRm9sQe@uxj+3m2P69~FAjP?ivMpR+<g7(dAH*|`vz+s zJl{Vke0xds4~UAE_bf2b;DaML>{omW|FueBNZ-bjJbdIirIXwuzt?)nZ@C(D_#CeM z8Jp8BSl|zS<`gZta<!k)cmkh^>3X;}oxZr)&yh*V6#hL60oT4kpywz!Z|X~SwZB=> z6l$~i1HAOq%|W#3-)!aro%A01D;w6MtM(x-;HQ@<QV``Q>|Z2v?Q67F<P!TC#rH~l z;o9F=;DN7UEq>U?NWy-X>zneZ-e&xih>3QFjLbM@MEt<qir20zUI<W17X!WKGEWK; z)-;z>y4+D-F}(|+-0`{Z^jNeD#BTY?*CSIV!-NF#qp*M;=j0keANtKA&2dbt2*s<r z;M*9Pdl88l`i?vw$-MnA#u0V~N>u@2Kp#IzO9NJTp-f`oUk-mEBrGqKYR?JHJzicp z!l?*)zY($&61X5IX##zk81-mgJn)yspNUJ9(Sm)8J;WC=dOaaVfqdseFgU_voZ@Z7 zBoM4{ySZaH$`Z#)Se>FYA4<~26y=IgC$;N}=?X62jzY9u5qZt5pn$kJNutQz+{$@H z?$ot)V&oTnf;l*R+Au;0Sp^Dk3WTYi3&x>)=tX%BVc^Vui@P3Ld#V;6e!Sa(Id*_7 zbP*VfgyI=d0!XS3`Pgn5gk@p}<c20O-wHHtxpJ!FmU$wtghrb10t&u}ulwm``Z71V zN9a}rS-J^b)`q%ac_*YB0&~<ptWAlD3s*YO8og4xg4pqchH9+GtJ+bc!^}0+@KU9N z7XkHArO%foqe`gpl`@@y9v}F_np8V2)+v-%zGC9<=?0o*T?qws@DYP@5!5gQtZIva zXLQ4LIdBd0nte_1tEORHOhvw(>|7^OniPdAsLN$5C>{2$fQ0Jj_!C4o1m%R5INd>4 z1spipdPb-iO8P;0YhAL|B;#hhheZ-P)Py{uI#QA~59m7{Q((l9KN7?d-qhlEK}^V% zEYLh|$jvH&DqbQP_;9%XqKi;mkT6VwrF?8zR6!vvVkQAkj3os&elnzkhnAA|^F}%c zjIS=>;1OuehRa7x<?;MT4zAh>Z^Mgh*xD6DM~<dZPAr!<W;9U5y%Gxb<QK7CEQuVy zTK_4Y(kUVU`Ofji$eL>UV*0>%u7o!;toj|t^~O6mr#&B|R0<U%GZ&?c$zF!iY2!GV zFEclKmgTTS&NbT9bY}jLSI>zzsgnw<;VhZ!N$rA09@5K)Gw9Bk<_s9Yw3OCZ%?b}I z{xWz6xP%F(Us7EN)nDkPQHoMUV3}(k!gmBKf6nw%q^YpT#D$c?f$1dm#!ZDVbmyg| zX@+8R$#h&U!x%5Wf-aOLj2MBg+I8U%p16R;XIh$T65o;%iBl_8p~-(SX{*NK&yvo^ zDA%9~dd~tB@bFKBY4fy3KHw>5lKIRltxlS<J{>kwVn+Kq3+EDN{dAK7&moq~cVvbT zfISvSb){3QY<Q?HB@4=tT9fb$6@ew=orgUG=}ir^?FjabkT0ceq^#CQN_(oQHa#Vy zL2@u9KAlTYv_6H@Dep~9B~8r^coL-#P)SKaanahTmekMsFLz#jgrG+U=AQ6)_wow0 z(MdRcvC|dbxH=l^HfajUu=A6vypoKJ4B9#Mh?PUWhcb%8nrj^AQ-|-Iab!x)UZ}Td z<C;)Zq7Z>^47EdBu)YHGl!{A@s8O)?B}1oaqa-ejY;c!IA~M0)&57eG#!#&b)&j&z z=5aGNcCe(FHdN_z;Jo@R%R`USZ}ob0*plg0I#%&YC4Qx8kC!ocR4A!}As)vmudKq# znLt%9?j;Qs2#*WgS~wd(BD*1oYRO*88wWiG`^Yoq;m8dsL~yjPHDgW^!TQ9%7HCZn zDfFX+_|iR4<Y!(rgbL`+39BXFSMtPCJAx5ojb*hnc{?L|phh1#ZRou<3LphS9GyyQ zpJV{y(AY)Un1Jz16Bk0%yc(Lgs1$)*{TyD(u2-_n{EFO2?y|^C;gwg8cm+s@t_BrT zZ!+H^7}kE~JVNP9kxvMr%%^pVum6}+XI7O$EWp7T$`c8BOc2XAb;CSTrl|TDR)kt4 zE>h*vp4##Q(S%np#)4X7lcuxq&_@zWSzFZBN=WJMDrx+YcdXCA1g3VZ0yus-#9Rt2 z<R9A&DOG!cm9T{+jBJFPgjJyq&r4Un9VR4z`T#UQ-zR5h1J{Q%%gl6YrXl)tQ7cym zQs<P}MaG-V8=%22R>XF*2p@8+l#Q*_!wV|}7P=`0x9QcEy<tTTkXM)p5uzVloap<- zs&reFH0(=w;Qg;vF0{Roa4pD)2tYZ362G|lJ0t<KlH~}5NsHq98C2BXLzo!(V)C9a zKc$H@OW3nH4>0^?DwT(p;&99B)#BRVcnc;~O@??&#iVKj1)=FP(m*m%6Vkhw0~~!p z1J5d4642l2ky)Cm2ehcO$_c8$k>|h&rIOL2fs_#{lif>9jZhAYv2=+MC^T_KA4erQ z*(CE_14pPMxWn?;I5v_74haj69zFSKAsq-&0rPTE9k*5_P|D%QWB)=Q#o<8A*lIzL zP@stp(c=V2ajmJE)Ges)oQVKgW2ZKfbU?NusW$agNW)%lDobM3J3{HFGxAYRnd&SD zPqQ%t;F;~HpwvJLv+Cb_V#zJTC&mSAg!P=>*orrOo=C4WY!23}iLeU^r(0OdI}-OT zHhq=UoXXJW=}CT(G)?yz*EH&m0aD*Y0?>%KT-%FieCYDzJcxIFCT0*QP0G#eJEgTq z>r5*SJqge}Fo-F%BlZ`B(wL?w%qj3o4`1B2LfNHA3D8{Ny*7nOTe)ZfqY_y!krv@2 z^mqtrTS@9zINPv|kY7Vn`_wogft$cWki+F1{xp?#iq-W8Ebl5+@id`xmlfJanglMN zdQUsA!q30J^V3A1k<+J(DNllXC^#l(j{}#e$l<6(YC_E3HxI~XWhR<iQ$QSpdV{6e zbDd_IQ^u=@Rl*+7wziQFkx^<axzM!hOv2_<B$*reBMEaoPbLx^YU}E3WS{M)Pc><< z5SeNwUV@4Bp$eh{1+e_8`$9~U(I9P3(GO=JJ_mg=vdzW}E0Xi1m>g2eHG6%ZL<)Qm zQt4R~!s2}L;m<NV!JehDep?zN=kOk#+~C%aRnZiS?m(k4W{h4D$F5e^HknsD3K15G z)@!r@^)^;estgIY#RQ2l%ox|ODKtg3^29Aqk78@2Gqld8BT^?4Inzq(@B!1DnS@4c zuv<v5k}-QU3mikizi9i17NZJf=UEq@q}m0(&cb970k`KmbWwV}Q-@|uBchqA-mn!y z%5!}SnQL)}0s(ybZk4!}I$E2nxP>fZS{lZMqz?gA--OS9iu3`HUi0u<<%QlnJz76T zkj&TyK?^o&r)r^9jV@$hJZ+g#UOgz?qg;^(nJBLoRzYM1{tEp__#!C<)?)-m;8Ua^ zAM0s>uh-cvp5B@gaSL*k0?)Dk!34o!{zgz`H`7`CseSYL-!$u$rVr7OTHb`7Z%u|3 zvl}^UxS!I*y%ikmiN=h5nu}CeYY?G+-gq`NLgm=3yn*?g8}?>HjVpWFN;fe0C25oU zn-dJjU~q@4P6DKrOuJ*Ls!DQIdJKh}Ieo@vv~0(1YDz@E4}A4>Y@|<|CYji}S4UX% zo%IK-ATbT};_)YsG}Cqx3QQpc`KuI$-@P2hpH$kXGjNzXW&BwRyg(Hs+?lvAY^K72 z66@ioYFC*)_ODWvG;dSJLlLWi(Za;ttOjgTLqoPxzS23qFc5)iH3x`l^{E%9VgOqK zEC2^CGz?9nsHmo5xg<&;;0(d|!xL~JAqr!KFzZ&oLb;OE(cV$0Vw!f*64imwaFwQP zy|MP;>5{|9G!Rap_B>OW<6OehG<(>RDcfe%dMWW_i$9MX$M{HIQ#_xcA)yv=8A)lb zsjE<Kn|b0JCgZ)1%ZxQmPbAgGU`t^Vg3RSavHY=B##ywt3Ia*=<WgyfmRi%V4OtA= zVzeVKWr`sc3yhg|4H--~D>GgMQtMU?TfdxAsUMXcbxku;W;;};rM63=;&6wHNJvLQ zAme0)hz8e{{GytTHKD~IVz|!nGwC!b`Rl+OA*Iui?$(W3Z$ZRBVsFrAY<^CfgSY#Q z{~9qWLAn_tic&{Rd<iGXw3Q%dhNg*@rMRI>t7GOo<}~Sg=>k+k4P@;qX)b~}J%{$n zw2Wegs=6xkHVLgv4T!Ald2?ry2KGiM`V>MklM?ANh||y$6h~J&J0mMz0OA5orKe$6 zK<X~Y+3<f6j&*>m3$~<~)M)^-T;KYX7D76m4l~#a#W3j{G}lJWSOXcJ4#tKkN06r@ zq#jEhz>=F=(b}zAp=TZ{C7C1Hf~Mk?WZde`5G??lkW68Anp#|%Yyl{gZQxNOsp%yT zrPC;!W>r(_Ny2kQ_C?k$l|y|X(V2BBrDLzs)-gtiakC{W^@L*l0txvar#Cb+<EjiY z2J=yap|K_9adMwfyt!&bW}Q*<fC<puOesm8Ydm=eBc<lGkexG$r2?z?=^Q)f)e&&R z9kj_4lSsf7lzVD(nRXwKS_tJ&Ph-Nwj1qR3tBu;iy&3D@l9};jjsz|-UonZD3mwdC z9}gE|3F9I^ql_%$FX=3W^=1{!A+H~EQ6_9--Ey=BM5hL2OajwCr<u&mgE&$2Giiu{ zLdnpHN-~+~z;t%|25OBfLA9OqB056`MMseQ(D*b3H;ZOMgoao44A<vrYL%IbVP~J> zHD)SYfNd_fPL=`EF|y@4o6;?;Rnh>NX`q`&W;jd)H9eV0w69oidM!F-LF*x}xRU!t zqDt|u1v*Ldc2+(yT}Kc#iY$>7VB^=Yi}8mt@0ncqLx?e4zzz+G8DQfvJBiG_s-$uc zAGT=6y^y9I2KQ0Ms#3bsSXf`p44DEO-{j{B<iK?()R8jx1#yLM!Ne4!y#hG#mqot? zJ|Ag0ANux~L)4IlgL_qJlsA@-$@DVV7Im_n76G(hPeoH4co9fCzy>$i!V?U9_$`+j zO>9JCO5hwmP}Cpe;oYDV6>!<>=>=1Cr)jR}p*dlX8B@8)^6*RA_;G9IKO6P;J|xmh zwO-E8idqB`4ObF3{nAL-7wGFFKJFW&NuRt!4N5SsPf&rgUvOj}@$%W4csYL5=Vq8t zBeX%o<&V0_)%Hao#OLHZPNG9;<w-|RfeN5Qh_aC5eiTlgvgW9~5SAW067$&uW%J%0 zru^BHt7ZER*0IxNBS)XvyqCWDf|1rhf9CZNr^4|VJKH1WrfgX=bLGZCwxKg^t&b=4 zCpr_ux1*Bk<9!W=vc)0x?`37?VtpoQVe@%orb-*#!09c+qfylIt+wHKfwyu<0>@o& zL(xcD^KCsr@Y#D0eP}yxE6=&PhcVEb*Ut~PQ_k@-EuX0)C4BjlYa5N?yqhe$_vYJX z=iQ-xN^`y}bzCTi?gasGP8TWPXl!EYDf2o{li@%lhTEA^$&)v#Y58p0ns1D74c%#W zxaPO)YbcEj6o8Cn6}o?ES_B4t`t>r@N~QX+@n&<2t2;LzneSs;Ia5d;ayPNdA|-~K zg5yS;q_$2C1Wo%)gYG?0X(epOCmY^-Fwb+=OpSP*99BtA<q5iGV-2pz*4y#>X*~5b zX=<3hjLm{)F=xB%7fjFT+y%mB#**^MQr9wGC`-~MnawJ<pI51>%~foZN&kr1!??*+ zsd57HxLeI`m<d}Iueo6!i_;!>MC|)-zTMnB-IG<eIOdhSn{l$j7_<2CbHdm~2IbR+ z%Xmq7C)G2Oknc@*D;xGRemiM+G-#^aNv6W@2TdBNH|$C~=dymEl}+XobQvvIXi3K2 zkXHCSTe~?uTjlm>Al;dcr*y*C7-tV5gDS!Va3Y^v)6Dikh!-PBIe4GGpP+lxWFNw> z)m89TC1tkK0?#atRQiflzsY*~>6#@PFUkv;hK_8hp76rZf^7N%bMUNvAO1so>5h>- zrPe7NrE*5!#^1YjU1jK-w|)4gEuT7c&Odx^>xRpIu;aTQn|#Th%Rct_pIx`<2PZzh zbos8yoBqp*m;G$={Qq*|zJrgBU()lR%jE-)Zn<R7J-ww*AH3`2Tko7a<pt~B{?@M^ z+`8|>H`|d1_rK&buRQ%r8-`vs|ABJPp4N>w{MEjf{My?#T)Y3>Z@J?+FKhI_bN+V^ z58iyczwJvO{K4n{*`r^4)9vpoZ~4Q8@i%<-JNr(&?a=ehUYd52m`$f`eC12Cp``rg zx7;+o{&`zIeCUqv-2IXYL)7r=8vFNswR!J<YWP3i|JA*RE^YYz`@WLi+b}<~?v7s= zpMCSIPdsqPUp0@+H};?Q`(I!8(Ld@x@jV~^df!JMFP(SO-YYIW^4MeR*R6Z=CF8H! z(fq;N-~P6beUD)R`%>Z8r{#GrVXa&H2ENv3O|pJ~7kPf!CZB4Tzjd+kFa7S`8$UFB z_k-Ja?)$y_KJtO@4R61`xwpB;x4z;VY!Ytl{mNb5`^NR}=%4>wdHnM?jQe1tzGVM< zKK|cc@QM2y`@XsHs&jtt$PFiNEr06^<F9(~<oz6_<LM`xDj(lFP^la_xpmW)y$kn# zck<CQ9=$dB{KNOJyW{dhkM;h`$~BuFUm6{J^`_UHa`}t@?&5FJPuOGn*tI8?8#@l2 z@Ytt&%8#D>zS_Yjes<HjV||sO19SU6ds}J!j{UFM`AhHpf*tyoADAEL+4Gyf`P;wo z?l--Cef^sK-#u_^cEVlBZ@%Zf`}QBa{pN#T`ryCY_0MK*{^rep`rhYWd_&`}=U>!L z*B|;fg-uSxCKsmBCU$-Amir#Q={tWhoZZ4vOW!{Db^p0p^N013yONVHO%Coju-`m3 zn>@PXr`FxEbNn}c;k%pOIdbP?*`tr%dEokgzia*DUp%vQ-ktc5pLbL9HJ5(iz+)rp z$~$F~TYvEO*Gymay~nu&R6AxjJ_9V>->h4A)%NmGy{|m5xt9S|k%Ir+-uJCx@9W=f zWgi;u@t5puUGmu%w+^I#(ThzOb-%*cML%hMWt0ba$q9Q-%&tktciQm=HenEG9Q@|V zpIAEOyVsuaxzFAC%RNh94C~ifP$}7huy7QAsP}Ah_Spp3*f=}LdR`V;bK=iw>@s7m zZ+(J~u~%me>u|N+Sg`B|logzVXP?d9vV1*qPhAUx2MiR}d(N7ky4iL%^DvgCB2UYQ zcOk!rokpzTTr&22vzt6&J&mn%VNYTdyWtH(M-}qs9fNIlU=AKL7i>F<Yo4>E$gAVW zB&G~lKC;wP8{#^Mv;nT4tlu5#K?|v~jlna*;oPT8U2CR`SW^q>DMzhCS{XQBXjtTn zLr~X-Wr%gevi07f+JKha@`pPCP$h%7g19eBu{p5nJ(PLq$l0@JpWSZH$}Rv^JSm$f zEgRw{W|w-|Kd@^Jo5W(V5PXGAo|H|F$tJRmb^$B}n;=+~Vg`@OCXYPAMhDqs>-mH1 zebt7p(<UjL_9RA#`xq5wLn@^oJhoKW<Tas;c^e4fJk2W$o9uof)M?z;xWs-(t><0d z*9d!1zUP8j>R>IK#Qjy+gtD&%gTW?Ylc@Fvu7+isJW!hq<y5^dO-!DDK1~r<JI&Uz zNtE@#k+b1vFG69HCHBIx*G@Y}u*u}$wj;7hT>q}TV}AIDL%C?<q3(-%dUDs&wqwTz z&{H;9GTMcBwwYvOu=ZtOlbURj!_v~$^VyHQgiV&P$!yq@h&sUT+qJh;z(zY@*(T|G zi@Z91WHhfVzp`u>u=wsLLLN_qeT}n&PdrlWh0GaE?-7N*f59b3F^Mkct03+}G-mQC zY{EWTAlgLzB<kD|lG|i(>Bs}SyKHj)<oT1^c4L#tp-t@KE9$h9cs4Kc1HETcx3${g zv$Z!wW0!1V4r}aM8m!AE)!C(Tk-p0&v8}DP2`zHlF(aGE28><1qD?e*QTMBDV$VMo z#;&Eqj9s%~PhwQDeR~|cWD{*)S{}R7J3F>e*<<-bb(9O6)P__BGZgkU&a&T8dv@5@ zxUGjiCx|v7KxS+8lek|On>@wXbu8FKYH1@aV%8kH2F;P7-2?31j5;ozfBpnEVeG;t z+GKlWd-x;eR(X5egEy-Ei^wTWU)kF5)^e@%kPV~8A}UJf#e5&*L#zCPj?a`4&_2bF zC?KE1HMVQ7UQ#Jdvw!lVlB;~mt<v;s*}n+eF#fd7MzvS)x1<mFjP^64$F%&K*)SdD zRZdOV&q$I`-W}}2OeQk$<laiX<k*jB3ZE$_Flwg6qdkyyE@0r&Mf)5Jf7;CRc)?Vs z&3ze@^^R}ML?#LBp;TUk{Y0#Xg$a(z*{V3fG|vcP`ib*$U-;%}6L9$fzbfGAW@{#} z9TRIZkk73UnvUw0DEQj1c+SA)TPx0o1BI@AxNk;xvFs;&G0z&<HyFF{l_vP?S8Png zeT%uz{zmThYgcysy~Dyg`xB9`{fbH#?v7pKt&*#JvJ&~ky@Kg4xAty4Cu6VRHG3~R z{cW4?xVGI_I{jno*TYxJw6D?hl~n#~AJ#rb-8e*jD~40{1KziTH)%dh@;kmC7Je;z zHG6pU7xxP;?;9-k4@x!_uA7kPPedHKA<wtQyyzP$gCO|m5P|rOF+FvL9PMv>1vYuB zY5#O6Q;grPy`tx2VXx?m^F5x_U3P$dkz|ct___-pdSsi~J<z**Fl_hRwe&!*_J3_V z!z>-EclZAy|7Y^Gi@#>-oLx{oa+Ff^?q+;58i_P#d7#V%q%FBzDz-f`G_ZRYb2!PQ z?*g-oRlSqdUF^zchfv60d&c!=s(&jEc4=L=G^lIV1>w4K^yma5;^A*_o8}b1CLI3P zEU2i#vo_3L(fgvgxw$tDTwz{B%jMt;+!s*{9ttXjM(&WZYO3rH$N5k{I66c)|1*y~ za_rdPv291$9e?%U($~IrJAo^%FiW5OWGurfw`-46A*0)Wf|<_l$s@7}<;EsQ!k)Z; zv`r+tb`+Z&*^Nz(9z7EFcj}5=qcjif!W!Y6gJ6><CU@^ziZ)?aDZog4+P5Kc&Fa{M zak<MTyKmpdHDuW)|NXyzAKM5ob?QixR)B2MUarFxaUja!O>e>`gbyzsT`+U}@&0wS zO{znOhmQ8tZm-@hn;edIg7N&%OiVD>FxZ4cB#s%`<m#)@Y3Z1D-yQ$=3^p0!+?3it zZ<P~A=pVZVhk8R+*o4*+>Viyv2*~>h_czsErU<p(Y8lzO+fY9lQa{lZlmc*_9~!7F z_Y>tyXFaWVqFUwxzKgkcC=Ij2phxT{LsxHG(NClrf@#y0i=Il&%I{aJ#66|W)K4ZR z>bp2<qtj0ash=nv{UlBSwzaG6fkoM*I^Qkt{Ll3C3=R&`Q)XvpuVw@28M9Z%e!_e` zlwp<o3^sW}Hkmw9Weyf?azy>*=s(&fDg*t*3?11WY;vT!3)#BcP(K;kg-yae%@SRq z=ZVSMaz6=s0cU4V`}V}{vieD36Xt1MHu=WYFIv%0tS#;XbiVT15Nz@^{Y0rIC$Wj? z)m68?ZEkk1*9@wk6gHtw7<p?C)U*@$0VA7q_ml5`pZOnp%^se;`q+|ea`n};ucZqv zSY?f9p=Yp(^7;w<c-6p!@tVCR7H2|Fw0S}s!!O$i>3<KfZnAi%KEw0)2=cT4J<9h_ zuoTJ6sRuJR7c?IWmv03fKc36~69Y#x3av`bi8ney{dhS0ijq8KYqLs6Y<K!R<%%?{ z16czCi&q4pf@7c)igZP<fE9vc4EL&^D|$zy=r-hkDx`jG@ooiqB^IJwXe%M;gPS{U zrJpjV;nxAe5$(b2DUf)B+hHOQm?CF4`6(ifV?=TeF=`nv=+D}(l)}A<2I*%3$7%8` z(nJ>btT_wDA3jBqGWRtBWYyowCI^M(aUte7$BF{Gm%kE@6GC*9-{A`^NM1$m#6Rmm zS{r&%Wd5PMhhNTQEsd9BLuk1gp31IW@>Zqji0ZH`OY6kN00;ciygGa$CV>>A<=)Is z^>$p{sRlyZbOZ{3E6`Rq+SPc$zlOh7?R3$OulQ!^l4_8%vZ~w~vLSVA(qTDX=-P=3 zYLQT{c>ghw#oC<j%D1RrYb+9N)RPkVAU_n1g<sv;hlmx<)5nU{ui$@5q+5`(?#7Em zYtiz!CM#CBmb0d<laiA9BIPssd8Lx(hlZ!p)<#KwmB7__9*vY3Bez&FE3J4Em=955 zMFNyA@Ll2MAjvABnGhg#UN&fzz=NBYvxtElC{(ap7L!jjx(Rt~NVlr4mh&kRD7VW! zmp3h*&q2?tD5%TP;6<$nu96rcm2x$GH4aPC5t&P4U1vz2^YXa3E0tGN7Y-Ik_+eWU z@`_ckfnI26VGsmdkC)Scu9OwzMvgpI-pbsfa-?>V#Y<4kWx0_T_KGY?h>vb3rl?m* z&k8Lgf43{?LB9A{Dr=182V_wmAuF^Sy7lO$uL?)e%fwEywY52(x=e{Hq=P7*Q;YIJ z><A7FWa~__pyzNMu+9>uZaO;EJ8{baNp=D45}rpZ&gWsgTn_SrKDkaI-Y#=3hg=ct z<}7F(-xhKU3Pu_J`vO_z`&IZq23@I*du9<H7B44)k6thU;f^6<xD{)xp_)s?sw-6D z2*i5!E84z=x>6qqU0}Q9caaHpj2g(SoLm{5W09Kkb~Ig+Rm7D-yV#Jk;lsv3(Jw;1 z2(0uwSDdvqip;K)rqg#LCFr;+<#7#jIZc<s9j;ZWJ2}Nb!M12DquVs7ixED0%dR7+ zA8wJT;62V?9??UB<D4{#>c}Y=ps#UR$zxY@&jfn+h2``wLUQ~<nZ>U_S31Q7yo)B% zn()K%<lHuj3I!op_+7FJl6A$fnx|p;Au0IL<CANQV`(Hf;P{2OA}Ktgt%8t;3q(uU zu8NLmL3M$fp~bCaTchVli&b1u^7JcHuf}Sesrow*9Y2{O7C_h<pnO(Ir6yKF6tI#e zjI>&PyaHCqB95!Rt;Di8(D3|CT;4`v$%5!7NP`_rjEPqg)S2UtlCagUGKHUM?6-m6 zBr0KmuAC#yL6%ixG;ZJ^uI$W0PPF*?DMj(C#w`T7yfn|rqMHqSB9PdX7YUE6!5}I` zbUCLV>q00?#B#4YstB(c^;9{pu#t}<iaZK|1zwJ2Eoxb%agisHnEG;^m7J-D`U~+m ze0DVi!$N3yg~T7Vmju?}s4k1R7=q9RE)N%eSJZOuLDGttfJpY*@YFGZe`;ka?oCeq zG9=qYuID0LPRspHmw37yiZrTS@jVUi8YO!g?p&8pp+&erx+RsoVoPb@p{?TUC$Pa? zcEDG+q~%CLzJmp6KFU=8?1Dl<hgo})jswL8POGSxW|=MEj0yO}tA(bc#=)px<POZG zE|rL|DrJ-<zNdz#<gsg|X?IgQVX~4A9h~r*5O&LX<tXj^nmqqBiF}%>1FjSLN#G|n z@S~`KHQqsvSOia)sh|2$$p7(>QQ-u+0vJqy9}m6$Pu8Nm&H)v`Iph9Mu8E(N{YPH| zYm93fEZI!Z)avGEzW1Zg@qd-XjeW()X07|l*!I853@h?I>wP~N+g4P_j{&lA@mVO5 zJPV$mu>8nsVB>#%cA|b_mS<N_KWeT?7bI4+L7(H`PW$^`-+J9=^!(|u{3r5H;Q!AW zu-C1~?fwT(|Bp}lQ~wg;))f&yrbz0dVrBWfZ7u&C0Uji$c*1d4JcLZ2=K?*of!=vi zCwlmP%GTxh72i`S;zwgTo@^n9b7KSyC&HKcIo&VkE8>j}p@YH2iTP(I1>YFx&-t#N zHt8gWSeESmyiC^@cph&eo<3T>$a(lbk;nhH==Tkk9h^yadyap#ynP1T<aqW`VuhR3 z;dj2X!n1U}y-KoorSi2oVcLQB<$Ca)6;RbF`O1GZ#}B5Wn`OLVO-Y1%tX%mv;MdQE z2WdWYfh^w>@-1iE!JmnEh{fT1C~aPpDqiXJ{S+U3XLA0P>4*>XYz8h823+ZLddrE< z86qyqX{>ten8#n_16$(Q>F}k^A)MZLk5z#0s6?OdoJ2gvoBn&o1OD(m<My|xS$z)$ zNX6&z-+GVTF8o*FL&qNYt``1_dHgFkgMs%qtbBcEMP*L=<9AayS;fvNVj6zvJ-K{+ zXGLV=$=9<3-`z7_lfT%oP=g%#>5YrH-r)#pCX0b*a9tkn<rk|_b6U42X^M7{m_|>E zc0l27To`X80(8HDjFe^dj*okeP6&Bg$YMr%gez6##=qlJrB3n2rOrAYMAtZqgaF`p zNo&m<{$jukzJr&a^Bfn14>-}Jto1n`_@d~!+X+EopJm`Dv;tD>l!ljO;TXAmNmx%W zObKx-fo==*tR!}0N|BP$3W%v9sXN@wq+$qMaHCVugssYR#e$zroqg9`DO{8x*L5|x zE{<h}<&X=(=q^(UkuK{_+Znne7w6N&K&O160s~ETl0(h}g;01`D@yTmflCWzqCN6N z!4r=}>Vz4EBV<^$gRN}f1<RWLyr^!CMVM=HKNa!mGCbwao+{OG^jH9&SHr4`UBR76 zXMr1W!KbQ(#jtS%LRb<lr>x;gT*@zGGW~SIVG`Kj%2Bcs%Y&kR$|;d$fQG`kzG{ik zbFScvHHUH$Si=uSSf(r0)75BHsNkO_AOX@R=u|{>{G1xSP=<k%ipaH75F5)HU1MCq zU-<n&MWSs%$$dyMktDC^D61GFT4X>O6pY30s<vD|HF(wZGH6KBIdmniW4;rGS)E6x zK!H84MnX0wEv8~%)=cp$D!TAViB$cZ(RzYh2Dy#XgtXq$qA2g}L;j(x;8u)byJK1$ z&aQfpQ208Th$lj5Ok<?%z!`jZHixK-2XmrG0vAm$F9PWji&({YejSkJ1-Ouo)bcAn zVg!A`fPZej=u|mQa9Uvr0gCsD&BuIVEQDo9{c?GVYccwmSlXaEPBza9OOErwI&V-~ zhh=yr>o~xQOKGUwz)BQYo@=amv3G@>Fgb}FGsAC+uI7`eX_MBNCr*frbca)Lg&?Pl zk?&#*d$J=N=cKDb06Im@0}X=KRtB}=x?0o!y2wQWQoK}YND;Y<G=V4wbxe;lQ<#=B z&j40kiZ_=zMa5;Qj#Sj&9G^;I?Wq^vBDf7ymD7j9`ov7Z?^EOJP1dHAC<j7cA^r#r zI0X$k91g&lW!h+G{0@Axhcqqx8ovPZWFdPvGwN?C+05%qUmaYDk_B+PlFWx{uEK|r zyo>db>RpDQLZ8vW%E5qvvY^4%$2d<OE=w9NWebMnPAPJpawvY-aO5#DSMj4xfHdU{ z10{A9)o(3Qx$M;}R9*f=;cPyi7(3-y@#%~?^%Y8B__gI$%v^A#QCL};S%rvlWUlmz zcV7P?8J=pgl1>Ly>wf0UXryrvp!Ae7@;QzI9z7$N=9fBB)l6C!6X3Fx9HE)<iVeP{ z?WE*0<WgrsZVP^>4Tm?UCMmq4!f)jPKCzOJS{mIvKZ;4!Zb1CJvap#`?er3Kp;bz6 zpfMwgLJ?>k#$-s%C#j8v?pS3Q($zM=1kf>kVh#E7Qx;h+S9yZ!#y~-nSeybv(m<9@ zUSxF=zFNa7b{!e1H9qB%M!z68oWN&ZYuyx_j$fu!UcKFe0Sj_C@w$c7v9!V;HQ`kb zsynMtY}LPRq6I2l1U;vb(glde8{<6^OK@A&s9Lvx{bxFo&`5eALxREqD?V|ud+PgX z02+mQ`ANORs-X*{LCDGxWz`NcOT1H@K%+s3r<DX>)#5`qN5R!jbTiOLHkL$44}NHL z#`ws|k(a8d(juA`kOxE2yT~D0cqbl9G{BR@gobXJBi0cs$!fn2xv{l^i1-{UHvmbZ z9CAtNi4I;5EeMhyAPmy9y;L_RK%TRsD4}NuqC|yPQFzGDD7O*2%YUTeA|T+*#^BTF zO-kKhx4EW+L<%ogJuiSxu|)Tl5FaVlREaHlYb>qR_DzQ>Bn)_;;W{7fFo(v%1wMB& zlXw@gRI2>}IuKwq$zajX5D%z6@aUc)vGcMaB)F@d#-{!%eN~1Cv24;R4;e;@`En?; zD3#NsjzXlwX`)*e@-UKD(R8MhvZEr0_s3>xxE17}$u{_2r4Q-_<*NvpMjfw2DZij` ziUFn!c?k8vD|xh6jz(8!9poQ%^+5qM#^+XSYBF!ESFS#4<9%riMr&Y06>DsgVKE0K zb$yIZUi}1|3$9Vo9Btj=kEwn#0v)IkawsaI7h?{2z-58tp;Ft!@>TW%T2xxdiA)>_ z;DTE?wUE8)S84>HI3|rD+F7hLY8ow^WDU*{W=zR?y_i6P9YTu4^w+yHXov&d6Z%pU zvC;C56d|F?#3vy8OfUWk!gS5c&4irPYz9NTG66U^pL86`EF_cb_*o^9RaDSk(;`FK zMWs<wPoV!eTA;U#GHv4fZMKMqh!9JTiP_*u@*(PP!OZpXxC)7kK@j2cD&PVh|9zgX z9r!>YhFryh@C+}4ck+GyqZguGJ2jT?rI^y!5C8%2DCCJ!Xquc-jUSBVc}o+ApC@|Q zr)`)3OFmYDDUqekGgI?T%C_J+3zj;$&nISqIG;5o)I&3K{ObGy5a+_5M-n9}rA@Cx z#5F=;9bhlLg)ggkA^Z@shYtsMXk3D<IJ_K-+r)SL03Vpqi+WJ9QWavw+?bhB>1rAl z2R1B1jb;S1rg~+jF)3{jLN=|dpHRaj*0|DkwPeGYv4Yg~r+jJU8iEUmA6rj_WphRD zrjKsyJdu3rf@pu9LPF7ne(yCwnGc%HQ%{SFZ&As?t;uFuJ`3Fteoq3|V-jqIo;Y?G z17i`Y*4RbGQ`?abRsmKB)_@@gYn-@YQ$IGReKa!}L9VEHi8b3PwIb7AYg5kcB^W>g zyqCttIQ$qQhklb(skdgxmkvt3RS8$wH$B&MxG=kTwWJK0Ql0{70=h4mzC|kZEzlOY zrX(E06&1}WyXoV{*hQNo(*-}q2vzaOR@P9msc<#PC=Qq;Ea(Ijbg^K8uVKQMEL~!$ zPbW<b3Z)8JaRT$M5$vY0z?uV@raStCl)6tPnS&apklqq<H~R+VVE9?+b9A+&Jz~Zx zK>^7$T%Qx`VUYAB-6}l@4&*bJ3{)2JXc_cGVzTHfnb}B&L4v7#f@mkc9@Fdi)Sk`M z)(j^IyNB^!ZaJ~pdIJ~r<YH4|Zv65^TG6Mtb>;+X<zRG|gs#qNL?q<Gt%TB5(k%7L zEy%qaIX+XNoEbwim=`IDV<hzxsLxzDs}hS0t|Xe>pX+fVT#vkVz1NhSaiJ|zig`s@ zB<3)N--p=oQgbeK+%BZvk`4Bjeo0dBDGNjE49u}yMHFPf2Q5tIxW^!6<(zZ*G=n~q zl5z4g1;~7Jf#l*$hLRqyjK>^N&|IS1G|aOAF@nyiB~K+?0i`qMN@nrTYM6whye)Ch z`B(Hbb&T{DvmWEeic=z{q7`d-SL1~NUZqHn7aE$g6$8=g7A^zn0LThF*v5-1l6$(w zNhJzr`zxbv@F0~1oED#^!Sj>={WVv%p{_f5a;z?~K;K@jlLO{KSOP9u6dK)rGZ7n; zn#H)ASG-^$u{1v02wKC6eyZKlx=>BXrO$<_qL@Qr$bn9phVQRhp1XL_tqGHO+CpZ9 z_sbEiHVT!GJQc%*<<p4NIeHP&8Kvewhhe@$ut8&O1gcvQOYE2HOm`IBAPoh*-pf<} zUjb5p+o4<F%dKMcVGzy51E6APP^v-d1Bim=Lbzm{@r1-82`RYol`SDMcVelXd2wdL zRgKXs#3<tka73+`=u8JvUOK{=^=g?2p8<2|nq`qcqkFP5`2}<x()T$dffXZuSR-OQ zNwSK|_yq1ymb@Hl#l1A+L$>fnH3)P=7zWh1!lq|kK{mWDk53sD2_~t_38$Azbo`U{ z1-$|dks)$U+2`O)-(tus3)5?;<vAP`hl21Q)9@+YJh5vzCu$+qDYXTg4n&f4T2vso zNG7^IK4pRlm^sjVGdeQG?2Ce27{4R0<XLM$Ucm=;P=$oh$QLCv?@&S!5*Mq|8Uh9M zklMf-+k-K$?X8pssPUBQj$@>CTpXPEnKSf9zRX$koEHzYEYExvxT-pnz@{#fQa_yr zr$npZLGm(;p<Eiw`p{O^b<Sr}MQ2GZAvc&({o09Xn*u_0B(V@E&A)RgZpjjk^Mb$% ziy871LQHT-XVH`-fVGF5RRe=6Q!&60^aNb~i**Jb2y%cEl=WzQK%}IkWW3HuB$Y*3 z2~JOhXvHh3%UDp6P9ZVf;6O?zCChsiJcI^6HCboP&?0%0xeu@4J@pTNd<zLn7!Eb2 zhBDv}gmfe=;QyoU{o^Amt^?n5Z{HSIJz~@nnql}glp2AXF%QcK+r-A1l4uWtuyGHd zVeG_~uvwlR=Vier24@qf?rDY|4Z#|s;a6-B6Kn=KYxul}vyRC#G7ztyGvP;q!#WAJ zV`ul}IsRZdn8fjB>GwTV_qL>&0cZcrk@{BEsZ*y;om0Q=y;Zk3RDjfL;PRaWVUxiz zf0p|cm#mU+g(j!S^x&NpoKp!0lLat7uQa`*ge><53KyLG8klO63VLr4@A&1e`hnF# zcjZvkwN?q}DMMK@t-1_l)TKGZHuhc(&IPVc{Q+9Sqmn4%iBjtpw4I}+)oSMAi3_T7 zNJ+ex*)h}A#^#~M1LxKQ;mjs%X0aUKB}I{tBsEC1Rul15w-g~=V4L~*jJn#}g9^N< zl?4JuK>6$)dhsGuSI6s<*`Ti|aVrt2CvwO_T2oBj&2pMHTSX{@B*9OWGcb-2(q)*V zJFgWRO6OxeUy7q2`<)};ku)V4)Evq;FdZoWJDTDg9UQUEazQJ+R&s@TgP+p~xMxnx z_O4ds=6M;e8NS3H<W9cIj9r8qkju4#Cv!dNZN-Fnd<z~!QJl`t<GG)wq6x$KXc`aw zt<IG=W+hqAa)T&wCQW-9Dt4|9yem*jN|)<=-?w((gg8}%jPyU^bQI(3g7XR1VUu`t zC9U3SN~0;5#RHE1yF^-HzM%C3MomQH*giFrN-aGO`Me$=SD53tF4sOzTwduDeaIVT zE6PE;ZumI<(V*t1UhZ`tVQh26XsqlmF?0!|F}FS~yD~@6vM<1{Jk(6CDgA0%AzsI9 z(er*3kkXfYRyZX`Z*5BSogvD{e^?J-at<9q*%Y~(K3t>Ivkd(~x)A@@A)|v=Q_8dY zFb!ci9|el_+;P}cKBP0&iB$UB0jWd_2@k2A3aoWO-E|>*#RT9UKY7+(F0FGppk)Ny z;HMxab4t5u3)6Z=GN+*ix5P#D0M1{%TG5!-h1ZRvNFsarUNg=^3<<cgoP75^&D6ri z3j&2|S;6_Me4SfeHz<@w@hAnUp;2B+;cx>z0nmz5a3647kJDkbg~v0echUyueACZp zqtl~!VPje@f8q8UKYH7ZKX=oHIDT|@Dg#4q*0&Sx6M{|VA1#?X8(WSk^$p!p-To|0 zJ^cO6kIoLiaPYK33$mujr~gao-rG1UZ{7Jf_QX5V&)oT~lfQgSKgZ9s%CiTbKQmr8 zKVOQTduZ1^Ki_xmCBJ&Zdwym9$0gZz@U;Fr{`>mMlMfYdU3%o!EnjU!S-w%Q38l>7 zbN9~P*!O4Ozb<NBSE}}$fin7ji2YQ?!rl{a>^Uo5+~NSW$(u^YUcT<UH~vPhmO_&@ zva6Y_bB>dL8nM!$5BJFfpDk4fs}Du378Ri>kAM2!-48#1+8?%>4&^nHo+fi$HK?*h zls}pO;%0Zxp5K{qyOU&B!^N#z<H23-*#`WeX%>4pLk~`l|6QbNfK}&L7HB7Twt8kX zpJu#!T{2WkdrG~}Npt@Bs?&E#&`Ct!wJ?ZHrtkfi8^86dJAb$@Zq8HLTxOH5ZuVjm zSGa%Io$J@nCkMB8r$!&%|NQKkPu>5Ye0iEKy6@>N?e#aOdp4ha-TLNW^?`djn?HX` zvD}!QpPNnR?|)Ni|Bq*TdmcJA-n@C_{F(Ere?RnN&VT8J>mRJ&a{NQb9{cDcv!$NK znVFuCXeaOg#;;uW%g<kST`xB2-FU_@4Q?T$jrVQ3v1d!t)2pgMm+sV)Pg$&tUS9ON z1QR!Rb3$sfxXzVnDy|1r1)E&{S^B@^p(s(C#B%Sazja&bsrX=nC+9H~#{`?G`QRe% z>vPrjwr_9$?r5u(Bp9iX^zGo;1V>_doi+;zcgsP+-X@VFp>vIo%s)EI)O_~`VJp(S zr8H_v>Bgk@&C+aL8reJf*6X5XkVAd^8b0~Y0Xwe&1I3f%`YDZ`4j=Q)==#S7N__ix zO26{!Q5F21{(-6fLb1*lkcqJVd$Ij|c(#(JO3(2fQ>7AT8k(9vGJ>R2a-p0uI_h2F z`^A-9jK#&xSLgoR<p>JCpWEL*<-dts+??ZiQuBGy{4?O2!u=J#pPYwr>*^mUUVUg4 zP6i$u`|Zw4dN|_flHxht<K@oSTi?4v(`6Y@#>=M*VKZImx0%<#Th@fK0K{2m8q{2o zQARssR%qASq&R*IU)3$-9q<ZS=6kGo(iAqqjz`#Jns0VP735wFHhE0OUiQ4E`nc`k ztG#?mI=9KR1^{mp)XS+K{9QP9%GRx8Q->~;LzU8ESC2>7q%Cc}%iV8nI0uGV|CUTd zb&zibj<iYtw8kE36L~}DrRm=iSwcsAxavu4B5Q0C>YGL?7%yK(gpYfB$j@B~Axw32 zn~Zf-C!~4W*NJ~v{u23Bx@A4Qo%BC>%=JJ0=}H~Tx##X<51+*M)4feHIYLZl>M^wm zIQ=FxTr2t|b3Si9_VOzlo;uX=Hkle*tdvSywkSO|fp)pRWlQZ4m7PCMB)PD23T44P z^tv`_w>#LRqc+Llcyt+nTDHa}HK84@fuq~xv4OGQUTnYe0w=I+Rr2>P7snp%ytYji zj8ggH`U|JN_;iX55YAc2L%GX`wcn;?#3oNG?jQc)OJ9P|YuaS_$!n<0ht`xS=cVqz z!zV3b6MWN|99ittV<o;3P9w?tu3!`Tu2-g~>0g#QzVFIyBG7Zp&D8XCZWBGRs9z3U z$hXDm3dSxx^tyeQKj47lOSJD2e^%4b?`4w6;!l&$ue2~?kFd$n`>w|ZI&WBPFEshu z_cO|Cs=qk)`9o`Ll4s%FV3V61flL?qxhpx@^3mJLl&DRRo1Pn}RJ8Bvm^>A(Z>Qsu zTKp4NO8LAriML5z&k`9<YMgfho+r)W9FwbL=!+(iLC!zn9PHtQI1g90hQ1iiKPjJ+ z$1|EHMpn*O(X&jTYiqpHn<VBO70p-AaS7>h|4{K)agK`8<@u6{mowz1dfs$th|ljF z2d-c)&-@FhmsvBRH2!VC#_{Z272;#XkGDeFaW8`m=5);bS<@}twU)`(m+^~qPzm&t znpaH*H9qG{RK$~qa&nP*^Uvwsg}Re{e2;%-Sjo^$`8@Sxaw%VZ%(;<#?<#S&OztWH zzXUn;MC@(c*7>sXAY0||=bW6C3~l@nXNgpKF$1xdQ_C{RPdEqVhGRIR<OaLj$16@j z&r#8HP&hHtpDn`qDDT~LUGgc;Q@KIoKlW7n6NKmec`BL@^vB51#<J}p)}Q0z(<?p! zuji^zsBXSjN@I;p%A;;mqGzRuW3H|b`%d@~7jF}AdX9?Rz<DO%w&}SlJYrT}KAtmV zNGoyq8qJG^^cmfivU?{qoDiz?5`WCLZ+K(UJ9LB1&rNX}uL|^hJ~X<U=MTN;sBvDv zhq9wP)n$!2pT`qEQFW>Lkl(gzt=p+*%OHn$wG7Y?X}q4pqP!I7X&Ao-9^(uV8F(?d zRa-8TA&!8`hqdmU!nadSe$c`G`jA=~WPcf=I9d!ktOU2t;Sli4&uR<w(Dn_~M=!iE zJ4Y<=xG=ELwyjVr1b6L~!%r@g1zBFfZhja3MIWy9qmLEPBV#K5Kf`qf!W>TXTLY`d zS!(OuPcjBWau`*f<oxsdIgvmW#JLXe;)=|8yL^w!m6s<8ZYbx<HIIeY*c<xMQx0&# zCVHaaz>8;mp3=gPY&dW#P;}=MKKxi|>UC}M%4l(`Pge=<bV1LO^U?->ncQ2wO|&50 zzf2$<0~Kn|1b6LqYX_UG1sa_Fen4gB%;Kk}>T4-GFa4`*a{b*pHX??q#>1Mk??i1v zRc1`fZK9Kw)izOkDdcVlbxWF;IYY}b>OR6I)6;rV+SaXadwh9W&p2ad1B4{qIfV~1 zYtjkWYqpbDw!X4e(=AqlJN>erC3h5?yjZ6_=>#qR%d+b#;`*c7gyZ$FNiLh_R6h2# zY%(f8rTyf5A$?7oyy;EKDTkM?4rd&3ca<4AcLPPR31|MTwh3nOrSv4ZEpj*G+%0QX z<_yi8M{VNurYIO;gHlP`MPJ{BPcMhQOHGm$raPzb;eqMJ*R@G;>npzR!Y1CWzpQ7; z9i{K`Hd)<wb+W!I+`KTqJZj&?+z6XYPiMu!@#DAeyAB=Jca3siTv=Q-*L=;sYpqQN zuFmF=U7KX8$TAIm7dBblcOBIxD^hyjYuH5lLA6QALKR_+U%(Tw*KS<H<#H*kek~L7 zux5WDnAmWEKF9m8Dn{|@>eK^1Io)yR@QMohfkE#|9*(!zz~_yb>(fd3xYN>sDB3uh z)1?8wFNBkuy~`fJ%-t_$hs(-RKIu~bI&A-IxX&GiUkP7Eo>I=!?K|lL<&&<2iKkTi zPV#Pf-w9e4;G_vAU6eWFE16r)@F6|>7d<|b6@DsbBm-;k#6M_wRRKI`46c(0s~UFh z!3D*pdhyV*-f{lQB@g3jdY4Dw`b6N>UD?<8wHclhw<Y=7j8nIjh1mz0(W&cP&aY*a zE^fCb)t$Yy;q!?f3i#5eT1zvMO0NwrNhf>%`auIq_Z2RLxV3I7uNzJhjpyNeuMMsk z@0NWS#%Tz5T`^}k%?I82{Bk$XXO&ykGVED;Fn2vY{Cv3mL>HgM>+4Ei>#Z~DQ(%n= zU9(YdNiRnMBNhYl5F(FbqG@ygkuI?STxG($>dSyT7zOLE*~LePG{^=r_jidB+x2>n zk@6{bYEf2AS_rL9w>CyoWwB1gYVa_y8g_cg<87d`R#oJoTMiRw?BtRbbIB4L^HW!^ z;eCT%70W^$kKM*KgctDTkia0y2$jDL5lR@13#(p35`wuWqfojWrT#TgSQC2fz)=|} zvbK_D!dr4BOp$#ul{^DH{FhI;g)m)@mO}p$euM#N)%AW2D@A~uM;^}5ukRQi?b&w; zSMd&l*>10|G+93JD!)%^eO#h8inK&m`LK`Tl6`W)2mQMyC{|~b)9KBWIQ|ZYqv5)R z_rmxT$>Hh5WSHC~xTZW=^y@m2iED9qF1(73xNdIhNtEsCXo3ny&CU3z5H}b6N4aLr z<tQ=S{vXcRb#1ej9wxa6!R1}GU#}3zCW7OQv9uxzCBlr#l1j=wv-N$}_-fYKj(~2O zBcxrUo>kd&nPhVLyt_ylKH}34!M-b2hE_9cMvrDb9K#Q=p^sTjtGT3kyeB&PB}j8b zDRN@1)Fuz$z9!)+8dm(|A(MH$8~cS8aPaHAh)LZ_bOP41*EOjgial9u=IFBNwU}<` zFsXd@e!W+00!^|-s#W|ks$!6L%88eGYlf^Y6X*bw=N3C34i{LfLJ}XUNX)i2E!D|S z8hGPLCyBB22Uaulz@X&_IH`h&?+-|>iCd#i<E@!3seqHC{sC!_QTuo({BySwrAiH* zhUOP9FayBDDs_ZXStz5veAY<NDsKi%ZxScYgkZ{QZyql43R4=U2hoz;9+73CikpiJ zt4XLH^u3nGbUV-227Qqt_y^{x=9j?|uC}nqhyD6F(uT~nl7Y8k6PvxiiF8H-+L1@w zGTv&#WA$Y;DUltI%1gvSs|x^-jLw>24oSc@xKcH;jRhftG^<LH@IXZ+0Da;mVs$q( znJXj3>P2M%i!W)Al?tR#;}?4$RGyZA_YjCwIA@b2vPu$(Q>WaDa9Qxu%D9SAwAG7& zUU+`R1(jImDV%jR&A256DZuIph&9hhzR0z~0tVe|fzB*Jg)m&$Drw3x0yVo~;0NAB zgXJ*sX5&~?9<VqhC~CGIHrZez_*?4|&_u+&zVu<y2J|yguiR`*bZM5OA?a1+VKQ@; z#SqTP0Xrl!wQ@8ka+j0Hd_dZ_#}s?e@=P+eJcuQ!iHv?%To-#dF$>!%Pz)z67>|Mw z+(x!icLa|Af4PFSj_T}MPOGYnV_U85C1++(RXJ)5h++2UVjHIuJh-G(0M&4Y=fFnd z31<hWjWlG`Rkq;D<<utlQ7RRrT25x%gt3!W>ciyi&&aM5^8oq9$xMxWVTe{RM+F{k z+*+A*)~2@)lMya!TOw;Ti@}K*>u!%lhMU$#7tfK9?W%fZ>bt2PTgTbp(woo>Q2}^h zrB!II0Z#Bv#8s<3{5-@k(~UX_Aze+c--9=r13)I`UBp_#Jrp;o#<3PVsDo{-&@kuL z=j>X^f=~uzfYj+^$}S`maI|<+Lj+7o=cp7^F4ex2-<8D5O2NDSQlVm_IRzS#7nejn zYv-|Cm|o_7$7*ja_KY>MK-59cIgu*$lIO)R4wQy6VMxC=7^aRWD!tYc1r?Y8Y3AZd zTnkI;6aujv$gk{Xp}o!myrgBaR3frskrvEE!zLQx>e<BBsn(sc1gvHjAQVWP^})z! z1|*eaA|3I$dGS3Hr3fPry#eTw9Hi1SnE*WzvQZLVWGF^xzqr%}N~gdI{Aw__;(>bN zJx)~VJ)T<E3A>^r|CH`7hV>8~qIUV%h<=jhj^9~+_{!tRaaB^;WKr#^dl(hc=?RBT z7znzX^H)UN2%cVo3VL#%j54ycU<Wugl@^@PWSUy<5&x9oM43dG@EvvtXsmh#kd8{F zwpX>oBWb1dbBV_3!+aXyxXh>~E-?f(lNmTW=f7>0%CKPpw+>j*<Px}8CfgQb1Et=O z`8tZv$;JFM4+WJrm4^4lX<M;2p&eLFk5+W@@D;{0ZNw6vC^g9Y98~C^@+F#@=8z0a zyTxc}JjQ8V8W!P7Rt^%wPg*3R4!51AHdb`gh#%&L^jT%XAJQ-{PSFtE_M|FMlk1gI zSswVPM@bF#6=y;%FCjOXBw$Xk^A!~-fSYsaf|0@kLvc|>pf_;r252ge#K=gTCWd4^ zk&L!tNr$R*6Ojt3JW?q#a3hm16ewvXBsI=S#WW1nK^|x!Mo)t1?skG{lsJ;>kQdhK z(jJGX92u4}zz?!aKFy+`<a7wtU<yaT$I=Btt$7?v{v!0faE)S%kDVecO(di8>19HR z6j&(kph6Bx188T0@T|s$T{0AVm!<$KL){}4v?fbH61<w&S}T2N!6gyNUeys^WjO{M z6<no_wrhWA)9w7`k!Pu%Fg{`ncF2i?RzWR;_`Vn(VU-hLqjDeXG}E+iC~dLbK#LHl z7;kA1HCixoM|N^RD+AG^vWa|ohUH7t)5j<jIX)cVyVcVZiXIhhMb+QZ0_-N+igFq! zuVU5b>Qu3I!I?)?gpxrQs#ORo5>o|u0cxsGpn;hnIm#gGs`H{z#Z#wdmkK)3)s@OE z_7&S3%u(Z`Qq%^&4$kMqlV+1M(ky>P66c^~C1iV{x6*VY2Q@oqOet>jkPD9GSz+@Y zd}CLMOrLZ0*h#svMDL<?&S@iZu}LG@6(`152rVX%AVDncrV(*X6#-g_o5sbvn_DDu zyv1bASKCn*7GbuP8B)6n<loV(DI2sU^;C8L;6cX#$;^XF>=>AtqB^%QOaa{tGJrb( ze`r|&cA!lekW>GRGoYK&bP2IdRNo>T{+s8t>j9_T#O<uRbL7-yTe^6~&Bz()49gPC z#W9UyWLVM%jHy;-c#?R)`YTCAyXr*h0U=Mop9*G(aGKS;UI4Ei|FM7`dTG`emI}0w z5owT?c`oo4`aC`|z=F}JNl!bNP*OD{^K{1)Bprulpvg>;MT!Q$<;N(SPB_!nF!hR| zNIk>OjQUAo8y?61H3+(Zg#@K)PBnfLPIhSri1N&g7%9SWn1F)bgvA&z(TN2DXnl(B zaPmYkN$gU&Ro|4f$g^CPa~CSnFjDs<e_&?XZ%#ML?si#DXVkh`OrJ{E;;(3IvWuP5 zR0_H<%~AzT5RFng+xD5dTABo%x|2;&&Pft?Y*I7w)DVrx4P21Wzf_fyWQ`?quSe2j z+=+v?`{YXwL#a8P1}%B4fWu2c1bs7VqXmk0CjPR~7==HI>3LigJ(Q_v)ckZZS}0DW zaRGkrV#Ho@GxA*w?GiMDQ4eB-MoYvP`QP%)tQx*)8!{2{qZ^15h*^9ds>$q<xX}qs zzv10%--WF>0!@fqY1AtnT-hNkZE9e!L}1B6L5cXyU=oIaSp5qO5_KuxK0Fu~&MQl4 zH>owR@|@!;m8hX5)*MvZO~){%P4)nHks}7&iRsC{LXLVHGjWO+;>kYf+iDNzzg;p& zn}{)>F*Y`+r@cT+ZZaE-5y$zSDz%>f(hT*^NmSKxHmNr#h9cn3<Mq(1*N~YHH~bZI zPEIfp%2bHBl)?+`5^}O=N+;IJHac0TAj%p7b>k0Ehj1<Q2t{msIgtrVtLb%p{W7@P zKZ=Tyv?67gQkDQVwg<gGmPd$>zL();7Zb76{tmQ56&NENJ%DDFK#`0aVMbm7-8<+^ z#R`p?Qfn1T#2}1giLM$~3zcF>C7|SUS_4h&!y_)SOnZM%486NV6Iv#O|3Fevi3xze zOQ<$pG0g|sV6o1z5$u6WWJ^qkk`9ha{5DJTu9L(@@)l-SLk@yLW-X2eDBr15{cshE zm$hSd?`Q^H&<W;ha6T}|HRKeo8O1sawm<<(Rq$nzTbq$o;pbDSh&rNSHfxf^80!^- zR=g6m@lbfSrhEFtU{*RQLI7WlF?FWCWuv#*@tmvpoloNLSxa6C)>#=+^L@ppb!T95 zf$uPyiKPgs99$ydf-=J`p!4}yy$sRgeUU+1#;T5@ff2)*y>udi3$*Fnp#zNm9EwY% zreQ1Mq!$AwvYp`21tS!Za$D)KG@ik<a^-g=TIflo`jo`(Z!vT&ilcZ!XlE$uJ4wkw z--snD$eew)epiyHW&!6;j3Kv7B)K?`>t1{=-H`WW9f`p9Ffba{F`_JINz%~KdVeA_ z6b&|s#YyAn_$Q=%!icp+Iw;3&h$Isd874|p$(GDbir9(R-mc*`vbAwB19d)*;|ZB4 zr(0y(I*@+Ca+1@`6q2%|Iwcd{rpVTFf#&+59_g8Z_l!ylVX9`D5N6BdKBu3~Nu*tr z;R6!&P9pj!xl0_GRu3#)BrYyiZA0|qN^1BD*|{os1#L}ml^Mds<BMo{6U<k~VZ!u3 z>MNSK<{r3xpjwQ<&^C&g?5mfS<?Ohp%}&43^_LfHbucO)jOhszQw<YAeIjMm%<$wl z4&z;#JOHw<1}mDIEu@=_I19N7s>yJXFqK<Vs;U$bx0m7<@JGW+P`h2Le_`+g1SC1l z^m<LQN>1CVoNc^@X+jn$nqWxTV;B((UkO6kb=HwB_%fd*iRd?7v#$Y4j=e~8MF2*2 z?VTKLkWjiPGP0?x`v-NKlf|(nrf+D(HgVM92NIq9q$Y~Fd)In_4cs7)qp9S^!VM|) z62rC{vo4D&KC`<BlC1dWH<T~-Q#zn#y+g9?dW#i1Y|fJaiR#j~i}&IftdFNA@vUi+ zwjiCUQzwTt8>cmcn^B)$FRts$J@woZT$SK3aQ>?x<}j=e!nl{}?fn*s961KM21-x` zYS^hFu~L~2pX@Rot&u*RbKa{qZ?ye7P94^$pL(I2I;hd5Hm>2m{(G~D#xx>uE_p}? zIVyjk;VFry^)3_lQhIQJM!<~s_esML#*{aN{|;Ua<&9v;?1UVQkdm1}Nbls}D4Sm| zj^+1NIA(?i9Wd+ML2)iBHPmg8zq;aP;fpw8@vE!2H&bBEm*haVGiv!Dyr41aFPEJ< z0YEpM_f|8EI&)r+s}L^ujilrNBL$zY_sQHSX`J_4GN8>2(FE&5rOb7~ML_Cf;$@?M zNJRz0LPJu5KIziy!*O|M@8v6eT;OM9=vqmsJiVVlE25xzu&Cu4?tX_pCUL!+#>=oJ zgd=1j{2rBwsYoW<{C05~#?55q$=#atW!dS&9-qnJ`9kNivgP5ttS5T>P9B)4%sW-C z?X;7O{)VAaqIk+mnPb_>u{-9hx$;>{;tU<e+|5n8L)X(ly>}8H3aJI1V=l4^&>tb@ zGu!AULQEE)c=zP_pOg&3_nvG@(pQ(X;nZ}g>}6VYCP^B`f3Lu98%ah=Wt&>pBQysl zZaq-JnLVV6pm`+ZH6`ah@IDy$p)j=)_m4`o@Q&(iw3L=Etw1~Y_9$sVL63K~U5Mk0 zC2~_yDYlZXqr*xrWpkXJjAdUW^UtM;l-MihqC~#Z7FRZ{LB0lZo3b|xarr843fN>; z8of9fDAV+4O0rK%#UwJd2I9>%xrH)_N6{0_GS<03+9=0<sA5L1Pgxo2j7G|r>A<3M zBDbMrWuztPQ>_d73W*c<3y%Jjg}rBAOsB>Dwd0d7Wtps|e0r`Xj5v;d)(WoHcE=Cs zR(xNFA<DtIK;t&iBPI@I20%C!lrXBjfI*(ST)$Z<8RDBGp2~1@2+`(?lHrD&^T;F* z>W!SnL%*15$>yQ7Oiv;1*T~V79g1zlXAa2XPcD9M9MyZJwC*%95oq~}Bv0us%0iOd z9Fgg^S!ZS$zNGg#Cud!*d6-I4Q`!ek>(g)6$$})ZrRv@&wo>?vey!(;3T2G?9U>2* zj>~7NgBMX~?w`u0%4-y4o$RH<{2(H+nwLjk&=<rSy?1scwJF2Ij6g@k#q9MXe{K<@ z5yoaWBwu&LH^=A2lxrrP9bacIeWNr>GmJ`wDuS&`jyaQxP-Z+kF6FTXV_!pd{$%Hu z&id3}zw?iIvha=Sj%RP)6}NUZdKxdRb9ZjvQvU{29-_JVl5ppphZ`8h5!`d*`{&bb zE^4SH7`)<e8!PwCzq+~lLMr!tdCP6vPQG;ex=+r3y>!o4XeYD7Jr6x6@!l_gxaV{G zdO!N=Z{GX2TSn_|+%b1s;f3_Kw{Gm)SlTQnG+x?!W$WPcuCZ?RD?JbGlg>|Xe0BPr z?|ENo@7@n42cMI|eP8<CmLGP`oPGT3A36Kg9}Y7S`1;<~1KuWkJ72&5?9QLu-}vNB zr=9)k1=Zf)efYHXU%rC8U%II0^N*d`c>J#}I^%Oc?A?3M*S~l65B_-1x=-F~c0c~D z-gWnW>sJ<k`r-T64ZZXW$>Wby_nh?QkM#WE&fea}><5uK+I#A~jV+x<@4C`Y|GxCk z-!zSn+%vrU;C!R;{o4-gKKN{7&)?tod%GVhHyV5IZG85j6Wrc=@BM!B_XlNHr!%}| z|Hsdq{r0y%vgMzCSl#=~OCMSP_`?U~+nvw#eDZ%(*Zq%I|K!$xxi4L}b^k}=FMK+^ z;k+-M_nXgOcNR8TsF}fMKXahz3U2q!3yl(eSF|g)LGRpLNsh@w+MIPbiW;}Q|Ll9? zo`cl<&}UI;{ao<V&%au#Ua@D-x-VDn>FIl~oV@?u$KQ9(-R~LNTe|LwGxu#j{xe&r zuXxjo^^g7IuYKx)()ky?@gG~e4{STw*u3%Qe_p$*JAbYJ+&?$lpWDB8?-#B(^SSMv zpI{T($>!TmdfR2y@$JWda`eUDI;L~OeS4Sgo8IxCKSAp_KG>uY-Pc)q^`;%)-g%09 z<)-Ov-`IZ2-p^b=J@mr%4WC^8%@^c<d}99b=We{I@c8!o_PH0XkN@hM8y=p!{)NHK z^;7S=uJb>S`{$n=|GPi#T(<F=Pkj25=e|09+&8~@%3gQ<6|%2>?|t)~->Us+`>Fe% z|AVO=+kZ6w_0~78kRA06GxG5(WWIjNpX|Hhis-rehQ|E$*GJ#ne&3M1`i=Ox?e})( zJHP%PJJ){t@sE7_*Kd4$`@K*9?euivihn-!{y+KlP1pb5+c&?m^~S&2LU`}ur(b;2 zpFhVgoI~+((YyT0RaLpJo75{aa1D0hq_M4C|0)kl)^#l{^jpUQI$KlQKhQVU-#1VL z6wg$hcw+*M&TtEgU+f<%6vuSG-G#-%s1#ozH36*BnGS1+^90e3^I5k$RV;?Jx1*y| zQ>BX3Sj{<BuO~kiIpvDS9viI-<zXHD;*?DFRX*C^KU!x$z*GS)Hs{0=S(U|a@|%*v z026jEI-9DBqy1y`0#9|dOvQnMrjyd*;-N$8DGcz(b)aw=UB;;NFZZ*qUjM=x?y3IZ zvZKi_Y8@-W2|yN>#!q=&)ax}tBg&YPRN^tOlaf5#VHfAv=qPepb`Bnz{oOr(>Uk@J zu1!|&bb{|mouk@>^~F=H2d7Y5)s8^0$=H;xqu-j_WSL#1=z7H_FUvFDR@mefO?BwP zS2COEcGODcaGP|nN#_Wg9P&2#<zH5tl>ai=M5(aJ5;m!1`z59d$Yz(u*x0CfsZ9`= zeHZN4!zP^~WuWA5kyl2ir2g{MKnG!`)Fw**bZ(Pp2A=UYd9iR=e{pKG|7Y1`Yq8U{ z$&2rM<wsgS>-8Tm*REfF85!U9*gHC%|7)RR4jp=$b>Lqbee4~&ud!BBn>_vP&;9Uc z*@RW}uVWJ}Xl@f-3$ClRbshcI7pY;?vE}70Thu07wrHFJHepS^?r#nI9Xl7k^7801 z>2yC_u!*mMfm-C%SG`Sk6pPpk&>EEk`-(}q@$|<Yn^{1$S~t`t%Ed!x#U`C8{`%SE zr!W<4GKEd1skX(p^uMxosx$pE`-!R6Q^gkxYRk@wO<sKF#X^5!;KgSy>lCN9{tTOR zWb4~Hr>X$jP7d`gFK0ISaqaq7{s}qWwpgmfkFmD=rKg{M8k>A+vE=tP*7`e#4sE;Q zwEz7`o2>1-7GJCHVxQ+S8bSZw7I?hNHtenRT`v+=n_vyK)rw8%19YE++N3y!p2jXL z2AlZ(kiPF)W0MG*guW|idh2MG9s5axP1b!k*hFhV?IqPi<)d=wu)b?q@mO73iZ%}I zyQb(xXb)<W;@1AL>4NUFBt~tbC0pscUMzT<3_Nq$a#6PajJ}K2_HPq!lYe^opI(NK zbl%P1$J8=KHdjwE5!Ak`QdumOO4@gYn%1$kus?C*mAKsLZwvbgOJDUpo-8HGOW`UW z2?Srb)<QTj^{`(NY&naEH}v&QA2oizqTlx@?0@7<Y&xO)ATu`$r`OP@$(1doPoFT^ z{zu%qn93zN{gnZaJYi3vUoXP6KXbtkyce0yA?v{|uCDizU7DOWcX=1II$kJ?%5oZb z1`8mBSJLO<;4<s4r&f&4hWR(AOIi8hg0Cm+1%(AsqGhKn>9*X}c$F&;AMRc&?Hf+! zrR%k=_6=txm2KBPrEbq1ACldJu&XIg1>Ij5eBr8l@2Wf$4f_@Kdnvnp{>;cm1HJC; z8`{WTK)>g|e1Yz3{BB&nKp*<4n}w~?r@9{!`cj<lf4uUR-8(m3_tbp(0!{y2-Di12 z#QiaKh4f2uWkL5b{y*N`lq_*~y77!_{r<r;);PtjrV(gmY$Z)W4v#0iw=ufu(dUO` ztTF0&6V`Of$LC2n#}@=TKLuD~@SoeK@gH2}lK;t?pW?5*!0p_We0O8+D!&N6d01V_ z=;PJZc?y$=&<h`W@^1RDSJ5f`=)Vq1mFcY4UQ53`s-puvQ~l5>)16iOxz>^~BVb-6 zl|{B{O%p{2w|rUF{jH&7`xu>7zCUSAR2C>4I2!ifBrftcp$h8wsy6BTui9i~|J*7C zbZs)-`B&Pcli8$$O^&P*Q#<&!NA!9&S-0x$X_X-VCcSw(>2yxvwR|?#AE}hrC|ham zcT85OvWZclE~iXSt=b>FLg9U5d~aZ^pQe_z69(JYYbTE%mV8xk(^IPg|Hi$+CfYBY za*A&!YLEXFn;f;B<dfCH^w{g$q?6kuv=g>7W0ND{XZKxe=O9ON__u>8jUy}1B7@7s z?-y(c;|T8tW2PO%GgbwN&#;Ow>v@dcHQ)(z^$;5zjX%uwk@S#<<JW{ekxwrn-z<Oj zEvA9rK7CpY|NS9d@`d;fR>KXx6?H)guSDR~?Vfj-+!FAzFO;!+ZsWd^O+9@U|6Jzo ze~oa4?=e}tE~eG=amg|yM#(^lXRf$^7|v;w{ax289bxbG{HW_rC_Qp{7kn0euquue zVIL!pupX=$5y!qp95i@q%Q7j_M_U=Rtbvg@?}BelYQ(B|eQm1olb$bhA)HvHI}#5a z^eY*xqH8O?(^L}KhV>rfyj!>=@GTrd4(Q^Cc+dFsgGTc#T+%SgQ_oElpzvRDJxvzQ z&TWhzda%095q~J?Ag9mIcZ`+-z1IzN@tzQl+Zo=Sq3k8T>p@df&;R$JnIYf!7jX${ z`pi`wJI-S?poQ}uyY38O<nVNg4`IK^E+z^{tatncCf?NtiqrzM<AdRn;OOsgupm6I zjA1ubPe!Snj+QWsJ}l0^k0Yv-N9)8gWZ*G@QxfEp&Hu*=nfkocUJ$o7;wYg+t#&jr z1F_A2GkEpSL)Rlbwegs6_1<vN*ZqNPZJGT;+ML9T8A_$Jo<0^_|4i4DDlXyYfw(Tv z?|9&O{OiK1>{lpPArDWylE+bqctw;!;$s8HwS;+S{l}<-O5M%Y`U;T8>&=#yOv{&p z`c^{FD=2{?tp)&_{c5XNE51wM1FQIiFr4F6F+Q7>yjGHDIB0#gyzY-3H=9jvdBneX z?G6mQe&yYwqWRdrI$g*zqLqrvk!$kGk?MBGnH8ia^o+YGa|EUv<vm%B_|?bzxGZ`t zr}T~t?HwZ~4>DS=1w;P&r;yQE9|)Jj$@8el`uy`knH;~$Jsh`6FS*cAbAzi;CU2K> zQ-sMnfoPn76-_bjuf)V4Jm{M^zzeAgP*BPoYPn~X>)YbtOTqHQp3bbI_TE)WSW!PQ zs{^b3ho=wW^1ozwpPAMv;}L69Do!6z<lyU`24V@LLh$R==;gJcoY*yyn$N0|5Xy7T z*YvC*Kb#u9LeEQ4KmO{Y4M)0+g+HSsOYSs|-l`DHWHYolRxf#fGjLdKDCK1|a*ZJf zgVaPg-6)sYxB^aCXyTJV1m0iuO{$?~Xspp|-ZqKl-(L)l{x-dNCBuvpsXP_5F+AzJ z;;LBYei_!ZNVhvAx8MRA99@IqYH~h~IDVee6X~U*2<VIxoB7mH&}c?M4&%blFH)Q_ z`533s9&{-~&upGAM|pTG?I_ajAd18$OSF~Hm#WG2**}whZ7LHX*9KmtV66#0b$xbl zviOj}r-}#{`#6~)rV=Je!jl_bP}^=Da!^|(mjS+K9K6slVz8?KtPUL!`Dj68Xuvz_ zEnB}{+p3?;0~ARaI;Z0w&vESQ_Y#pZPI%RAEwnsg^1|xXcYjs|3(RfbcF;1(f-!YT z%&J*Gs$LVqbX!)$$x`IRB`r(JhV1ciH32t=_BiR!BVdtnaf!1_q(THxbrqVN%WNy~ zEtL%pC`wu+-C%XCg~Pv;I8_wHWiwP~a8V^kEz?M~ezJdaf^6|wVGRH--wuOZ+W~8_ zK({7Xk65Q6!G$tF1~`7!ucj>{f)&GlK<1?h$wyLMH>d{X&p0UcOsNx3+#s<SL{W@N zp<7$kI2B!HIjQ$LAj&F+u+It~E~~SsE#=ty>PliM{iR0JA||9fVahT$V7X|b(<$o= zhvw)w<_4NQy6|!PMRB<<>@!3htqF7$vg!7_<RLrQm`qu=ZOT_s4q-_pIhR?{%l5UI z9vhhra71bKh}{=PdMks@r!G4=qh3;ht{h<d$_U?1gG~FPy02=J(KhNu9W_!S8Jry8 zI3sJDl&3`orHjdbKqk@+tk*V4%!=pG!$v%KcF~aPLwCNCm#RYly<gP?#5y$vm$@u3 z^9t1CtspbJ7^jXKWKjjf$!gnDRrC1TO%gwEnyjUOiy|{%aSQ6y5@cW{o)Nf=V=9lD zlS@56iIuAx7Sj_`%gDfR0XCiiN0k*ON<vL=bX6FxXtG-gv2`LWt*;@}0&OmuA=GLW zR$Y<Y^zt}cmwaG^ZR5R`(y=uIX_*eiG3#5U$YMxw5mhL*x+E!zHks6whgg$2sd%h| zew^yaYRM@|#KIM8+5cmW7*=;5T!>kiX)RTS%u!I&h(&ldz{X$!KM&Xx#bP2uL-a;S zG$Qm)J>HKVkjo*wav>kC5kHY8<xvu^506PALy(69es-GSHG$u7MPg+zK=FDIv!;9u zM2>OG@wS@SL`Jl^%cdG_jcOb+B0{%r1uz!Z(h&9C&J50p?_f!r21y-cK~_uBl;X02 zPg`eX^u0wws$<9TLTS_%Bp8lokk*1*DFy+FMV!yRrc|ClEod~3<&!MovYG<1Vh4&n z^9CoIl?%I-h-}1`enb){np7`gskvyC0+<#V979~et6Yb%1!+5Onifi$XFQQQC^FEB z9XKsmJlsG!>kyOw2~{S7PJ%VWY4a};*3J!VE3Sx{BuP;!PK=2_(uU~jpeX9}%qT_y zVPc=kBxZrIQw%csvR9iBl#q>da5td>cnTF-S8z*oGv6#YowlbrCupZlHlNa~XkqxU zk+|dms<(Di%HPqwAQZeRW>X7S9-tS=!Qg4p9<`g5cvDq<{E|>dV|SXKDbh~N<+K$T zJLeX}cl;WLeI!eKu%DLLCLv`u;J_Qbr~po!i4?)#BYLI644(rMTm}gmj98NvQDta} z(%=ft&%{<qx`cd=GWIq3CbHEYiKZ2wjt(fS=<PIe4;is6Oc$|`uB%D`mJ;b7kpq%g zmiU#IqE-!b9ACh%LOerpQf?G`M_i9nN~^UueL@CLr{1QlPlLLwoG20kYTgRYl98d2 zD(?96b=h$MKf+0~&7x^2qWU=6)%wgZgpg69L%Qw~b+{zb!WuNbVHtEW!C+dRA$F$g zkV345iK-;_ll&+t_R21IJ7|?PUeYnHET_aM%fWv^#ncRJays1sVZ?KVCOB<SQ4c!U z6C2XHa%Di78(S7bEM=sxuj+K&z$hlk0eM17&EKFhQS)Q1E0P++AO?c3uo~qp@+3Ue zy_JkrSzlM`6mii}MI(AA7;#x#inpEe3@Dutw$5tId-mwnh>5DFJ1LqzsgjbM#EN0z zb5vxQ5YtT38YlzHWR^ws3co^)0!G=vf#X=jsby_yFJR3zpw^##A{>?1`h}t<Wv4W& z<<ai~yA~Tdw$NtUy2g>uP^9eT<!s%wQ8I=dsc<x<w5jeW#dS+*rK0)3uVSiVwwNX? z9T*ud>5YIf)WxP{Yu<qtStV|PNd67K38+k)wwX>&v}1ITTD9gSqisG7P%^yuwS$J- zl^&50k_Lz^0By&UxDL~4D|4}I8qtUhDp~@og_Oo{z&Wiy3ao)=%+sLS!bl4qTDugE zaqK6>=6;x>ZZT1z3^J_YIz)BmcEV5y&VZ_=CLO4P@Gv75h5$KGThgkb?=q=A4raGh zl4|=4B3PvY8yVwU{c+pqv`|Ofqmn^$1}qbl#5v>AOyyA;f>z#PO%xwk!uDdDG`Yw< zA_uD4w7_fkml|sKTuOc8<H5?LP>7sOquNM%8L|+c_AE(iucBmaWjVL7U?r{`97z`@ zB6E(MCQIpda&!FYbaz;rvI;|^ft;4Ir0TLp4n(H6<;+FzU|H>Od3YT~ZklpmbXNJd zM@{9R6b_PN+?^~N9X&*%=CD=e33uz+)0)yI%nAD|3wY@{qf{{}fdZvucGotgK2tF= zgXJse#l%9@qOiC<R_Uk*T*%T<N(w}+z~P4`@EAQ^nsSdLI$<3EEG(QD0>C-d!D1vM z$&w6~N%a(c*SkThVjbyH;||P0>)Mts*u@(vBRa60_MxPL0CUOshJt*pk486Qd^gGM z)K{W~st&CPkqlQMcJBG{o5}GmYFtaVE3xde#onr-^CJXr6H>EY&X<UN+04F%NHUSq zIpIcSc7Kt5gKIQHCsl8izf(pFOCqx17HE2mgY9<-(#de2-0osTUt~;ufR=LZ36wTb zLCh>^wP&29TZ|dK!DgT(RZRs*9B$%UC`v}BM0pC(D3i6@3_HcNJlUfa!ztE-^H(}i z{vwj;7Ina^o>qAhy;vmb3Sc!1ME<HifI}^UrxtrRWqs;en6pDOfrfetXme(zHog|W zuNf{_k@}Ckab#nfZ-5b{Rj%c>mekSE6q3;g;)zTY7$QxpM%ih+u7W)4lc58u38G-f z!f6=^Bb-jA(jn>ubz1q*+?$?;TxfN8>y9MJmh3f^ld?(K#$@KkiFLhmmvD?!T&+W> zJfyF$>|K<u^^v4{!9u3(Z)yf$sY0xP@xkxJ$?f16pYs%53ggw{gRNj_=jk#6=iPHd zJ~p;KsF#)(AF&iR#N3{9W{&zN{Y|p=HET0tTOyNVt7xlva_8mZ&74JKgpCAmAc9gQ zR-D^p-&OT#v%01Dg@`@bS{5~8q8F3U{P;8vRn`$?Y%>ODa3L|aMmsjxK?l)c|GYuF zn)F=uOH#%Ku-+hEH|!Ty#@^2?A$HbBH@UW@=<A}RxFiSTVO0AG=&gpLQPLvAO0<l7 zDkQKGf=+6oRzYpX2WX0kmn1~RaYllt=)GD-ASPQX@r1@_sDRfg1&B(XP<I9*lJl{U z!hRF+jGpMqqmMmZ<3d5z2SgiGmy8jj6#NfM%hx0^$UdSrp$@AZGFuq*uG;&WG9^8C zG&rY;X#W!vg&rI$%+*IpsyHTzE0(*;G?`G^a<9seD^q8W+?3aeAgK=EFfAB1v8r5f zf$b(0FWIP3^nj3Y6Ufl35;>z~R<?#e&Ag)5T@2091ljngFws&CH<PkVC>{MG4wwKX zO>ET<E4)4eZ@_t!V(m7?;M)kDr-1}-PG>boPo0;K-i~O>umUMfnBIZMNm|(Tcl$U4 zUj?4G?HM6j?|33>z-Tn-om{CIw+Ni87~_mrqFFG;XJ!)1oYd;=h=V|%r7(0M+zeR5 ztarj{h<wVC+IERbW!TzlTC%`S#iZP>B0c6f2I86_c_p>+IIb{TBw?@^PXODKNqGVF zGk99guJ<)RQ^(~{FL@;x72KuiakwR421guh`0s)?!l<c_V4TVDSv6A<HqVlG0viY> zTsKwjS-~J0|Ndh#eJj|Ej^1}YW^nBx%T&lP)sa!6;2XeZ=1xmZm6JS=yQ-~C0&T3* zNqhi(RK^@wADk`8xbNx8#b`ElPodBOSx-YKx`G}NjN(()h$e~KlcmK8Y&6qu#w(G* zr(?gTV>;=CzXd_;>^J9KjOS@rD^U?v$y!b>Q2M7F`c#BVB$%xZLZsG<mL_Adc9;+V z7p5ALP~;q1nnc71l_PQZ^5WxF;31Pb)L!lKC`?O(fb-WDW8!W<5`qU6E5B94xhLYH zN$pu7WSB`doXGR0+z6OF*Q`?cZ{ThGbGe<e`x{@SLUvfE^@``EGXgpZDuD*6kp^Eu zW_Z}=6;@VZfH(xbUxWO%_^ZcQy*mG|zDS2yFaPQRQOD3#K)mPEttTOF6%lP&Zw(X> z8r^bFnGWrg^!Y2B(uxXvR%Z+IH+BF5q`Up@-*@T8HaTmz2kcG)H#dYu^(Yg+n>fUA zjrX;j2Cg|#)*}u3^1LimdH%?=n8x!7zIHMKaf?1=q?AStdf_$T&OtevQG9u#5zLL^ z*5!_st)7j}9Jyslt;R8~T0HA_MRUGjPLT>tvx5&34c19RS5S`LF7wTzvGIC(%x0-& z$|se}(WVpSj~#cask?MwNGJ|CF|iS94|7rNPoh40Clq2f+5?vxi||bd#hnu+h7s|C z;ig;~50kWKF6LQ<@Gz2^Cq=eIR^~P`v(on2qVMfdshAW5H>%oJsXAczj6^*$J?ot$ zLr(WyO>QuohNwT^fe&qk+wsx*(7C00Q*QIa&Ug>o3mf4UM0JF6^({l>swJK{gP_h2 zt(wg?=s$F(*D!Z14Gz)CsSvBc5l5%$V(05@`Uc7tIj?sNK%8@)`jR=_PRu?}9r-NP zy6vu^0cV74Au7p)g<!T6)5;PaSqv<+x#dGD68d_R?tH%)97*NOIMsgG+1h}lCmze} zU=gm#hv*Xd5>rwfAC%c`+oO6?nk}6OT{}(Yaj-_u4)QZR)D10Vo^B9E*R+ULA?<l{ zJL0rwh*~YRq0%pomn+UpFx%5tRS=3fc~dIKL{|!X`eHr(n0HEuTjawMn8l4N6MB=S zBx$$nK80f9-#q2K^G-g0=gz--{=dzpU){6r#GN<%#`|u3<A2<hV3RmXI`{q2Z0XKD zR203#rMd6k-P7QP=+=W@zWw*^pq-@cN7)wYdunC4ci$h(rcEb5di%Xk?--icRM2*! zrg6>@9qpejJ-T~OG&kFNV3wor(l@ovnC)%ce5|JR8F(^rH{Da(d|_X?zW4H^WNOm; z?`NAo{)>b43$?*1;T5IQ)t9H^L$mASGfG46+R1jYzua^CV5zhr-4kpQrQf>o<C}kL z=SM#gmu6A!bK^G-+%Y@-rky?O7w?s?p8b+J?j3I*J^s{FuYF;5_TyLdKD*<jdrO;# z`sVKLZT&blt=*iX`tjRh+49Ey>(e_5Q5^lz#t$9XVeCh5ZZ{n|ZG7~dkA6H}|BElY zb9QmZFTUmdzj)Cd|L5*4f8IW8+x-0cXm_*N|H!9){;oSd8GYo<kIwe)UcdVoe_mrO z$FDEl!M@vFAAYJ;I&=TxF@N*?^Lroqi`$x}HQ$h1T7|zm<%>Iid1z<){pWvuwzqYE z|2-$4_tOpM{?ae)lH2a2=!HMWChP9p)60H!-<L$YTRqxNzV}D(zsqerIJ`eC&981J zw|rsuZE3T&_{!Vw_}1o)@!e4io9JxAd1Xd7&(e1_qQ>49HF)E#y>FNwxvh8CZ|{L9 z)U9BX#*Md^PK?c5WA^eIEyDEvEjHQFsQ(wLm$%9FrP2p3sM>+q(t&O7E!MtCkFm$w zM9=BeF+NVyzr69r-?+Xs_=#iE*&P$n-1tocpPD`Oo!gJOZ}HyeXW#ulKQZ`@&DRu8 zp8m=U?w<dC#hK4uanijfZjMWHclC}uO4gt4J=WXgtmw|ZQcpsIfAp<CIPe!E_LWw9 z*3p@{-}t#ZJ|3UA{)#``oqlxN+tz>kKWzNR+3FQl^B>A|r#(rr=iKw(e%HqS-Vg7m zo!oJ&EB5qgJ1HE$J{jzZ<>n9D2TBL0M&iG}{JK2{cdY;9x(!3Ka!lMaeTlA%9<P_^ z(ZjhKdfmE(?K(Vd2Hv9kW&GYUAEb|<Ptld{Q=EBt<Qi7GkBY|j^$B;s^-<_?j{+6l zGpM`!<Rm5+IQ*}MsjCn9;MDZk)@O7-R}T5I*$_Xq$T=Ny=qA{FuK#M**~-+v59bCh zcE0iK*w|a&`X2U5WVB&}VnO<gMRqIdPE0*Qhz)gF{QkQs^CEj88U2xsZIHMMV1jc# z3335M7H-1NsU$wKzraf8F;W!Rbrk43Q)I$yM|bo2#k%>~M<IGj_ciLu4u{4&H{CQ< zR6PLRCQmLGd!M{ptr4!wHRLlN)aYezlPnKK$iTE-*;@s2Z61n`<9zSK68iBr*{b-% zVX>mRR7*@@6YuNxe;Vp!Ht9?~JofUxypBz#l+V~rOeg+Q?#^v;i|Q7eNaw9@eeWup zTy`0(3-#&ZE5RoHYix4;j;pWsHtCnbnAE4eO?1arrge5&p1-(=8M+YG`A>Ogy0Cm1 z8@$veW4cce@T61axbvcZZUM;k6;#j4c5I@FRr8(Bi+>tyGJTxVKG{EYH`Z8w=_R$v zPdi&T<TmNNMfZH>=O`)dW7B%=XS>^KO({;{z=7#(O?Pe+-Pd>|bZv5|b5xtWbakk^ zFJ1jp_Ft~f$rlucS>5)wQ=6Q+spD<(zV|FHs!ddxrRmi+>GZ2j#=K3~LCUT_waGGv z-vnOQ`*ub<>F*TSO^i)C1>M*PFYJ!y7CWzH6XK^koy(^Br>^K+!B$7F1KZJEg(UAB zqOVZ7-<?(NAA=|6`pz?j&NI*CHaT=CYbV|&<Hbd_3BdIwEQd{k9Gt`n)75>~W4`aA zCXCT{9RUXpl#pkvvvsQ=hqe>l|9cdu=s7^s)3rm3*yMWBtnIr7GMfxs{mSdxWKs30 zeb@Timc35ZCVCF7+JsGutNJeK*Ui;qzVA|-9MN}8>2_f4yE+A7hqt$h7C+MkO>b@A zMg7*2se-7bg??-zSI8Ap{eCMps($51zT}>&gD&!R;;}MSQ=6zvH_><b_VR9xxw1WU zm!8MSKE^MWI5Y6dc6n2&Rbq`ra+k*IzC=BD(eK?OT$4>7UBPq4U^}%;h$j@Mz*&au zOB8>8Bf*JU*kedKKSj_zd`v_+_Yu6OYi+uL$=egqmNk7&cctI|$aFH`lW~23>(_$# zvlE5zc}xZ;u4JEMI45#wxfb?1Ca|IW$ilgd&}<uL&n1tpp!MO2@%pBnnnw2#dYAJO zk!euzB-@4zgUH6cKse_y>^J1YPnv!tnaIwp^fIXonve1~X5TsfNw9hI3F>lQ;|cJ% zc;y_%j2~rD`6kT35FcheW5T>S$y{zr%9U%s#u<Tn9-^L$$TJAS=}_NWxzk~`2e6Ou zyd>S|?^QI+dZP1kJokT`)9AQMsl<v?DBEyGVYZKvyW&8P!o3`duO`A{RHfrP;hvsJ zd$u1kr&D>9-<55@Pm;3>r9`@IB5sq<$EW9<$ClFd(#TH!^=n6UUtsUhmD0c_d>4!} z9CfcIc|oIRPr6MB=QLgm4=U%7o|C99XGxNdc<6CAYCN}Y?7I!;JeHx;JU=GxZVaBE z=U8L(#w4VJj{I~l=6QN9q^48)fG0jXhjB%Ae{Q6YC;i47*c*BlWy|TcFVpmNcmD5^ zOFB}kSB4KgdFV++`(4SzjCFgUt<#F{LkpUMc1!tnu9ZP&tM4-hinQR>bCf*3U*}mg z^&A3ykRivZ+y4f>#t`~X4b~O4)h?+&huU@0=ldzYKmNG4(eKcYt%a$nT5W3o^4<MY zVN5DqSU$D0U*|c82HY)oL*H+||NWn`6T1JIsr@P8JMLKF5YAA$ObS|Bq@MD#r|x8= zn9a)u`os5xJJ;Wk>Ct}!gBh#pV&zOd=5orXR_iaSo9ldy3$}Q;O^)lxq2<NTtk?v# z2b*N&&22(ZZPM{J@nc%ZC)ngMY~pkE$dwCUXuu{}Jy7&(*ko+#4LW`48gE%iJ4;KN z!~<`+bwmu~xnjyc==S@8g2%=BosU{=dPl#X<Lb6}waN4j1ji;f-J)ZW`k73b{{GGm z-OIn)CjGvDzic^t^CGhe2e4<As>ryb{!>8}@pWGMn@qo}Y{Ixn7XD8<A5+mfo~wF} z@&~p^<K-?p%43hiCg`GT6CL+8uG6RUOogsZ4k>=Df0=fY&$WoA1}fEK59>Dy){be4 zpBmG7)*72A{Soa1o2<cMlk$-^SzcbTN%~ud+vF!d`N0pCsg=_^makUp@%f03+T^Ak zjx5IpUfq24A)Uv1n=HKP;m*}+6P*v|5ahh9qu;zB1vT(3Z&~gP__=^8uT#*^Ep$%p z=c^D}cOQE+=rPz~j8mndT4j^Gon*T7nhhzsSJj2uL?Memj=pQ4IMD68R@o%bb!~$D zD>hl(cX?e7JglZYnoV?`HMXMfV8fMgmP@Dq4Xf@GL*F%h(@Ni^a@DR|=FVmF)ys9A zbDjX-wSCv}vN0mpT{pGA-`hmx4Sm-_CvA@nM4RtEr1y7=-+z@^>zjT!HKl#mQEbv* z2sWW9V3Xy6teMcs@bM4+wC{QwMd-ZvXF-pvZF01}>zP0G#q^0oitZIIrEy}J(3F1Z z60SULwLbhi>4}yw1DNsQvicSB^A$sgw-)E{)HC8d#o*>)Id073yWu=tz?ZxDc#9=} z9yu5|!pHNCk}Q4CkMeZEP0WBkc}21upXJM<&I=yM&N<A_JB&`8@Z9qA68C95Nxe(V zS2Ui_eEyBcN9CJ2k5OMN=I1Bg?D>i8KOxIA(@i;d{X=;e#uKS#RG!qgra;N!QkG1f z{Cvi)o2Ae8J0@Q^obJIYk6w8`_~61-aN|rtqg><JGn{%-X-#TaoWF9(&sWUDoU`as zSRBP|XL#@C>7dK;A>E`=-f7EvjxO<Td~$12`R@H%;@#O>yY7<WSLDd@oshI}*MkQ= z?}@IvV0hM@pPSe_@!-OY5H`Wpa~Cy!*Ch1tjQiM6NSJ+yo^IuQ#WTd`>4I)VT?bot zh8P@nDw-K}Wkhhv;6bykO_05zny#I>tIlVvYI@z@2)*A=sdOvxAOW87^XKrz8vL1X zIF*KT+=!;OL0n3j9La3G-cAM>;X%9l^28ZBAVa|BINa6w1a#okLoGewt%^BHc38o) zHyW=O=NUO!!lU5wi&4)ia7!Zm^Yd40@gD&erXg3gKVbP8e!+*sLe}is<9$I&9@0B6 zm#n_(>S3z`N@PIWj9#1F5ioHCrb`v%3Gr)EhWCsi!m6_-HsE8;R;L)NVm(9awR-`0 z|7~JkdgS>8H^h@T$Cpq3Zvm$zQM|ue^{oZl4RW8Cfyq6u>3Z2SGS8W`NMetYAfw79 zQ+b2*YfBKYH7|c&x<KK@ij{NXJ9F>VU`Fe`I?!-0^!kwJs8sl^x@)bVcZMEvmrreL zs<jepZ99zDns7I9;FVotd+a~3je^!bw+8P6c7>k>5!A`cX$|C{im-X$NcZqu<JGiw zB~RXfI#OHi5R9_)xp_B~bR|qFM}0(gS&=aycq=Vt6~W;WtcvP-vzFQoYlqOqX$GsA z7@s3!w+C;kfLWbwh)qbR%hmGa`dTG%JS)gB0dEKgP}hjK<T#U25Xxbd_b8|=b|jDL zx|y7|i&*0^YeGi^f+-LxFM(31KM!|ZC@Wd=9G3;v;iXe?MWbTTn)WDOc^co~=hSl~ z^tmkILRP<-!)iKmX|Uc*6;)!JhK7yuh%Jv)a6Lcc2SpzV#)H~5ylakl`W3Q{Oyc9z zUZhAFMVRB*)H+RX6)Br{XuejI@)F#d$6JjuddYShJLkuJ4V$bA8Q()x+g=uDG)fly zHM=-m2XUy^cq}>j)@?HuO5t;kvH_BHPWlGeg{Loxl2yXbG{t~+su0DiydxT~VV?x{ z3O2B!+h(@NQg9!Hh)+UmbUsN{zXCBZh%|z<gkc=9VnkV=rPR0L5nb-tqbLMbC#X6s zY_Y7L3W<H6IH+pTg$3X&bZL4yGErh)-4FH3B$70Etj8m1uZmtP6xVSTiz~BuHuY#? zN=v@XSNL@yQ5nS;B>=)zdl7t*)umVpMPDLt<B69^t7%XfR7&DnNqDSc36M_!SM-k* zt%lT6E=|<yq~Vq{)f^+XuiX?*)3~BlQ|Ker@aC4Qh0sDpa)qL?*2&-m$h^OI!l{aD zKn;`H3}te8@OYE+Fv^URzHO02wP-^o2w`KnNQ=$iq<0epkQse^#p6jbM2@)b```&8 zVmNleSTX+3+GIv|K3i@}p!eWNH%_569FJQ|l+&$hg4asq2p9)<_M=Vs1XR=Qc*>3x zjX2sa#*R~PpvNF?rBb%UHYXJ2XvrKHAZ~Edfh7glftZ}M3IMcoLNX3Mf+M!8K}FqP zaH=XhXd9c7GINNgy-V&g;~?sCsZCHqeQw6shOsvKkc<zro`rn34Lb6^5`~x<lCye_ zhQWe7UF!i!nR^w@8^-+bO{yn~{ew<L%uvikJ)S7W;)o1Qnxs5*O`OKHeQGDVoWw8m zmwgBMxH|h|<0kDxSiOYWBIV4<Es;3CV%0$`M%j5^+E#+Piw!oF6D-Mavq<%bw)AKL zdews*^LBBXd7y$(2666zESslzGNd4e7HqV7=-r|fGw6wHGAZo{V#j6&qzQ|Fsz%$M zb4sF2RZwN2f`q2lz_@Cj05e#21VrMhb^>baCdh)8=AuDX>Ltcaz^yuNwS10*?5`N- zny7div{0VAKhd<wTN4VFIHD9#S}1i0B9HYxO$wBCnw|MWnU%eKho9j$kx*QG6h2U~ zpv;0NP#huI2MDQUa~3|Uc*2sXtZiJBx}a`04#etT13o`F?w=LuR%BJLbKzY@pS;UQ zwz;yaMRnQqn*FQ}5?P|eU>bT<<FlA?24d*)3@!XpMqQts3mQ`aEq_`<QnR=RD6a#v z4G$@ejw+M*DXJ2+h$ditSa-GoHGUfpdan%H7%G6ht^S&@?PyP<cXY{VtE7dHMVcNY z2l2n`>Igc;si%jZB{vsXVR_B^3^`ej5v&(sjffi|PQyczWIgefUT!5Ps?vnADGG_d za%pLqR2IF&2^q9962$?QpW_GXSe-UL=itqF@>@>PGC>W-=W%+$@3bY9^TFNk%wU+3 zNt^2JoNIbM&ae`81R3HwHP#7OZNQq^ON1|W30H+df5b@e>owMFht-EZqA(s67yK-O zJz^+vhNzh3EUZ4`K@x$$)8XqQ7#Yk|WZ6F%RKY2+Xt(1{DB1@{R7?#6Gh%%+^#Lw~ zAXZ@V10=i}Q*0vV(tUZ1jKFuNdP&Jw3s?ai`6NRY3}diHsO2Wm)da$TNlXirnnWp) z`jMnHluRPacv);g>z4L1hR8rdm=6m$4My4>i+m)DC^t1X{ykP>NR3Ur=!mMv1$eM@ zAPnrj(vX0b#PP<YvqII?0U~4<i!J&Rmf;x5Bmo7-&uTV4FHIC_KToQGhH-K>FZzmv zs}wC+G8hb`bIh6|8`Wy08?Y=?R#~j2G4;l#A}tUxtYIb=VqJI00&mzDXDq5i!NjL> zfMQT}#3>;^?{SD=ATVU4*rubtHkDf((*T+i8hIdY(j-*FZLja99&gc%<yPXs#wM00 zpaT|kwnyPu#z=>2rA9|(8;%ASAFu_Nn&C;JVJ@k~!&!78pSUpBLrG)DUhMa{C4_PC z2|uIN8JHz^Ult?G&22n{q_Tk6W#}ppEI1`IP1lY;>)f1*rD3FYO_w^=g>vNc3MKdx zr6H|8>c?($y|Ln|*kcb1Q$rh-qAXDIxzw<`^xu(gDW23`b75|QWtsLn@htWIDQSP; z2hpsN(Zn=)Z##jB(vjH6{ypV0U>+qyPTsoMoJFMzR;<+=CDeVPiifZYp+yWKp6E&} zBQgRqR6oZ!WsNqxW1vc8hP^sTa@D15AlPOT8U=T+My_)A*Ibe$E+L(xP=|x{^LdwL z<MOIKu5_A4D1U&+1;j~jMUoh9pq;p~x=Ojg4^PdgtHM(x7QV@dOH`5Z0@`-XGgwwi zOJrUeV{b<1=C0J}7$`6cdDX?1M<{^8aZazXwD>KlVfT2}afJ+Q8u!|k8j|K|i-rw= z65B9M(erc9ioI-5A|80HVMPlY;7qbI(i=7K`^U@(s*70BX-y>|0reC4A~BKi;(^mu z!WI{Zop&yBO^wt=|8yFOE5n!c(UR2oj!3+Z+b5kB?t`Xmdnck>Q(I)BBNve|y-J~A zYDSY-N2am%?Obwjw0@`3m?l$ARYTmyQ7>S$x5&u*zZZNKjE{G?%>_9Q2Q^3H?rA5Q zh1O~mHYke;8-S&J5B(Y|x67$DkI;^|-8dA_JuCJBVS-X_c|#-78L-g-!2`)eCp46a z7DK!C4bEwQ5U{bebrTQYx<JR^*!ELN$hGjsI$Me+_`ry1^d*$E0<qUtGg-hxZ?s@7 z5dqjbN3VgX?)HB2OHdX?j*x}_ETMX|crl~aYKV=E;y4}Vpq!8c*|aN`t;nt+&YJkc zdmY^dW{s$TbP86C3>v{6>@KTI?F0Hz4Yaj7pC_oM`W(p*KaLTJSp1npQC=bF4Uk78 zPx#N$ESor?NGO0#5J-kyJWeiiapKQwEYBpCir3>zyiwz;bI}Icx9OP_ecTr-pCyT6 zU10AVbTfWRsWK~hfJmv3go+lxsGOn{G&2QV)SWWfr}ifC*Cak;azW*k3nK7T#}>)S zYedW?79pijo-FZY9Qkaes=H@`DtHJ|PS#`e#8fW9<gP+32zhz|;Fp8uiVgCh^g8Os zJo<_)QL4KoUp(3Pl|(F(K46VpZm{LJ$g|?w+=t1NP&Owtj4hRwZ|E6MlnUrqTf$Vv zYLIGfeMCM*AlC%!3Y0$*Ps#+gGSV)KAp)&hAD^}CS%w!gK>g`0TXN-mTgci<IbdRS z(#nj_BXUn@j)l9VG&1oWjj<%eS%Ju4N?J`4VU5JbhBIR|tqUk*1D_Tc*_E@2OYiz% zub5Wc$F771ags2mq}wVRXJR(}SZ>f@*4sOwo&Fpt(1>t%q!s0Ybfm0P54xUOwFz6i z8odHF0lfn8aPK}9%p$kmhzRqfXs8*>#mNSYn$!kIE&$o11jPUU?7a_sT*Y<hf9~BY zakUoKO010_#vUz-BRiA`L<tE5Mq;6YxXBt21~<Wi5FRBh4}!s@kJz)z+F8rg2x<uA zk69c@jFLk7Lh_?^9*87uN#bI7B{a<ogphvn+K>`~p=rQ)f8R6rt|S|1!mrKe)ArXR z?VXu3XU?2CXa3!LXYPbSr9F^^xglm+tsGt5dR)ZQn|5lH2h9#b4_Q2fhiFT=0_e~N zxr)Y6DV=vQKLyk9D1?g)8b&YMS{Xvti+qimNUSnxr~bxt9$j8QP`TD5N*MgUetv5P z^|jQkeg}dyK9TAXwc$YO!J!9Y!EG0LsD{Ng8VGq7j3%Xpwe0@!xg~-*m_%n>BU0@? zwUx$pPX7_?&(3uP16wRPz$lKxb9=cJ)UK4n1mUiQ;jus%#U_#=W-WG<QBI#Ki818T zt@$(PB^_v*yO$v1BS%Jk2{21w$V4*B&xo~ZQT)}Cl$IVt2gw2Eedq!-9D&K9Zb5m= zB-eQdNbVYrF}|oiwt30WOyayFQIUffas4F9s*CjG6N(p#F_4z#z-Awo?C=mhq?+#x z?JAPW6wtHR@}0(EmtpP!a>%Grj$d7=#-$pm#1;IQ%M5YL%+4<dPW2O@QQw+}LdIRn zpjFKqp_b<048|}-APMnd-=LBq2Lz-Rxnqv!9YtnRD}O-oi4A(4HAM5g=-pww#dHN| zo=FjjNQ1`M3COi{P8&|KPj{~>loE%IBk`oyeM9KAiMQ&Rp?02mqB4zfdI22&ma7xP z53%|v3C=92vAIGjT3EpOD=xNm2)bPn>Jrv{m)kY|kjFUy4IEvzoGx<rJ7EfM>I1je z&eJ&X+qGv<I=%p=d55_Jz3UuP-|;bY29jL{o~P2WW|27mgJBc2ZFnFK``5(Po!)6C zbh^#TYc}qyrhT3h=aV=sr$$5SsY{P|m-wbjM44y+c`qg>)o8IVH3m=2;&^<vw&3Q^ z5=_z%a7lRDZJtS(oJBZ&zG5?7-lX)Nk109ME0nSqCu;IkSLrY3G>c}sEqZn#l_W1N zb!YR@%5&f*&zsrHyvU?7Iw?6<$|vU`>bZ)^IgFY&bmT3iQPqv|40JJS{@evYa{i*v ztC<IR$K=seL{`s222^YX!!Kl(>)Va)p7Izi!~Er(wVik4dhTLrOEt?`lCGrWWI7G# z?wF)(Nq&3`3@ZfE<M{`LV{&KBTPp5~V^nS?M%qV4)2Y2D2&sG1FwR-X(!xRk_aL}{ zJG2M=uzl88CKN02rv9NL<ihR51gMz4>~yzncofpH(=|N**zR+32Wpq;(rg@Y6mipB z8}?Z^W=RJG+<}SHDsHrnx=jw3d0tlUD^#8?!g(H4+++e%tmw{WiK><qj^kym@A_Jd zFl`8=I&LE^G#ynK9yQ8T^<rmog8|BnOwRF$nK)XcX59MsB+8D0*VQ#uFkvy9{o-%+ z*vL3h3OW3&h+f;o?VThdT$Sp4)j`%S4Wz)W<Q*%y=QBOQ9rw~56oS5SHCtKQH;?rN z<FZ7`Chl!->yiBJOiN*jI$<MEww##l$;azAO-xMCnYP<x_8GY#JFW_u29-NWlAv1V zPBL(NT`{#Jr!mSENVW$hU$c?!#<qp$IB4l8=uI3`y~sf2%<W-+i!l%N7KTgcufi|M z2ch&HESQLFxlhK*q(kS99dV?`gN=|5@GjAzJV?0p;I{MRTj4wowB6c&aUpU`=#vg- z+CuG`>@oSW%%M9s+(uRA(9Kg7Zx;I((rq_aM%Ocuurg-e^b@@Wthne~Y?ck&rb&d- ztO8N#6clk3-Fq(XES*A`B8}GXBIV|WAw#Vv%u-Js)pSnT@MQ%TFYh(dcdKNvdUQ>@ z3-x)FFQpX4TFGP|qdG7F(gXKQw+ye(ZItz8Y3$lN=ObtRPNT77?*o4@a@V@m0qwkR z`2kznvg1*DnmxIrdl$5FK4KGso3{S2_4dD{-j4k+yz7RbJT~^j*6n4<uDko%yZ3x^ zW9^eKz5B>t?Ab1xG+jw*pZ`|*v;X)b8QVPZ%;Njj^qsi(nzdIq-g#G0sZ=R0C3Ife zP2ax$nZ-YSv`;o|I=^*$j?H#sw=3UZY>e<D=!<h6w?7yJo5l`D9?~6j?woa7zdiSX zo0e>BtbOLlyRTgmR;wRyOaHn&_P|fh@BQlTc+&%W<%m5$3M$us;<VNs4+b~O)jz)W zyvu*~$!FGosCxIK%QsDY@+WieeY94&Ve2!|#0}+5*MBP$?)}Fe8AFEpcv;&CHpyI> z&AzZ=$?~(VWD8wX#_%t!4$WhCzwOAIw#05jwdLkde(KoP>kxU}jt_);-@U!9vG<vY zFTC8d>1SVlW^v<f<%uo%Y_MT{>(09;_B{H?8LwQs>4m%Q-t+0(uf6~C&urfQY1ent zc$unqK;x$S7xtXc_PGcCZ0`dnF1cad>N#WD_pZ1JoA3h9HEqE8idU}a8z(A({A~;O zu4w!C@|!og7f1RYS$@-|vF{-7@~tm^ud(8zzbI{ed39sm`~L4Q^1&GC9$kL(Gw1F7 z`NhvYxAoD9#)`|Hxcn=7PxyoPz5nJLq*B2aTImv-+;&^X-W8Yp@FV$6o92<OlrPVD zVFmp2S@)YOq_lj?CbxQpJi2_|Cdb)`k0Q?^S@dLn@2Z2J_{gX4e}VHEU;0R;TK&-@ zm6IPi?Ec3ZSDf|9<%{0@)RkAXTygo$*MITKRrwp1Z*`@AI%CJ~y<0E2ZQWn@l^(op z>G6A4^nLfykAJfIgEPZNAKiM}<l2w6zI=6F=B!@Pw|sev+JxJd)FvYzbl8Oc<U^}p z80+gga%}Frod1HtA7;0l*vhDX+qds|*GG^4@-G&>xbFV%{Ez>I`@q6gYxh3))-9Yr z8eJ>zdicpp5BTTeTMypUSheoJ-?@DLq8$rXnDdsexhz=|i@TTAo`Vw;#dm*w=dB}6 zo&Pd-ddnZ{Hur)1Iy-mojuv-}bS~<UXA8}^&d!#%>j`F%5B%&$oVF+}EiZrjXK!o9 zPrK}6{2x!w-k&ucv!AEAjyc;iGV+0yET5k^_k>-IpU1;Rk>~xI*J3gEed*V=x-BiN zkM7TS4jH!i3=}#>R(4#cYsFb78k~L6PL_r?b~g7wjSO%eWU|J0zfAWDc66-Me3re< z@5f??52r#ZL4m(U<N4=TttbH_ovhStTv6)pr|UyDRyUtHz=4A71IrBjrtU^!^|fAk zJ(t)-)F!X&{QAhhl}#F*os*OE-aa7Mq%mWYMT=VA-nnDOCLNUe_&Gm4!pk!2qWc)% zm`&P$e)ie#xKnMy+Hne{<(~33S-l#Yu+N}_y`?Rgj*~cbkqVUQcnPu&Svhr`=$UrX z*z0Y=t}i*3J&>pfo4okqFSiM8Tgi9cliH+_+T{7E=cicK{QSrWroc*xO&a|>cP1<A zy-hgSrLlY9H-Gat*{_w@WMIt!%8uP@*rxHyz}IhjgErYEyAlTbwUb6;(W1t{rym$- zbS@h4=W`}xO`8m?8CmnT!_f(w5sr(WGAWa3=hgcdr=#}sG~3BxkByvt!W1_7yw>%f z<%-p&O|TC2zf@Yq-qM!cY7=eKuWJ(?52Q9(Gtl_?&;7pC{qo#DNEOJ<*hFZQO7T3< z_(5Yz^DeQ@{B0d^qeMG-_TlMvauVfFZL)iJX1DH9gx6&$f3-=YbMO*u^1D0F{oww& zzFzosZE~O5<hr}H?;07{qdSg0Xy3(7n?_<2`Y!e<|MNpXI=mU*bYH!Xu^F?!+q6mc zuWr&EE7;_NU#BRu+KJj^s(%%F!6y6nUGnO_OD5C(n`;I}4mjYK+oWS5+4**|tUZw~ z?YjzvLgNQhQyrO7sd2@N&uKeRn@nV9*<`x!YBW-t>}>91G(3z6<msr_Pxs(_5EE>9 zN|ODG5+!-@G%Gz{asO}=-*nGU&STUw3Gd@pO5GanP=e1+pH&F{786NVvH46@x`&iE zbhpe-*G$iS27G4Z(Dcd|u|JMq^BPLsQz7f;$8Atdz3oZe>9DftkC06~k5EiPKa}j< zgq|<x_;h;5?}524Fqp_Nbeiep`C*Q^a1Rd))IF}qq?o%=l|%L^V_Ft3tL|?!?BN{b z9YL)7Efd{LyO%#y^ZOXjuW{=i<?}(@$|EFy6XvC0|Diq~B(DUT=PS;Hlk*dECvTt+ zemcB5Jx}q9zpP!|7d?II)hE}k27hWZ-Q^zt<C~jj66#(-3LkP8cxcMgm;3T>>mI|W z=Qq<eT}jVpY=$|%QR$eX9{i=t(=#8hxWeZ-|6-~2_eGP%<ebLjJjU*OCpmvn_eg$I zF7B`OcdveW;l)?@vmnRM-xL_0$AuRU=-HG>I?h?ta~LgQkyJ)x)$s<I#mjm=cVUD9 zznTrcWy;L{#98MqHqU2NS^fS-ZmU*{Td*vW#j8&qf8TKQw0DVLtYe%1^E|aT`;9vr zd-nRVQBj@E;UbOyw|0_EcMq~h#<_%d$N$GHI=}oz6SnIy!EXrabtOOWz?C~2>;Alj zZZ|y_yQxL5HuS06JkvSUYw=f&qiT^CuT*#y$*XMg?v954wn0Mq#IM;VAI1ck%yX~& z>uqw_(XT4oFF~~L^DTy7LhPdxn{;&CymQ@2?`UgGyvinC*TLSGXh+R)?lt(PHkq25 z>Q{Ib#eOzfwd&40b+m8Nb@G*qUni{Lixk`|@?D~TZ98fI+czpF+j6JN`KzThb)`En z`rUzb>-ZKobEaksNnMRrp1)E%8R;Auxw8MtUuu&dtYZJ`ESo%$nYH&&bNn}kHQY31 zGDp4ZUvHBxJ%9BL!5g<pvz@FQIO(LeEGMGxZxi26_;TE@)K2s(6R+7$-cTw2JrnaT z@jouq|Kp1O{}0Lg{d)un48`pi!$ZJ1mBfE)36z4?zh)iO$NNoxb0wrF;$oW~?=r!K z7VzYjs}F(D`znKDr!gR!&?F)p*(P$9+hl2G;Sw)T?q7v#BJ$s8`ewT-$i70Pw|wGe zlkMZT&2s82*fpcTYLOJIzlyG8Qz%Xs(n}78J0efi%xjt0cKt44Gpwlyh3cwL!TPKE z6Q5{oO0kb$>6&=;TfMv*rb(JYy^3U-f|!J85TEsQNu2i^MfmvH31;0(Y5Z%$LY{Zc z20J^D`g8lauR)@y{#3BniJpnIo+7!<CQeFdhi2h?d1g~a9y3e3NqAP0as2x8;O~-V zf=zVOwN1}0coNzsW|~D4v9H_IiDK2if7nx-W`ufDFp0ZwW(m$Ej&w}FTSySyDSpPu zHS4*qgx@Fc8Jc~3x~zIy#Mj9CHBhtDCohi`<M>m6nSVj+1JjrNCAHHruj>i+kC_&8 zKZEQiqMM!0GfApXir$ZUHt`$s+m!mSd-hGn)cfBQRCt$ijFPsTUSBI4U)0%}Yx;v} zC9pV=lui6BCi`lJ&~yvZg*?1+O%cxfHDOBN9c4J3z;iVk)}$#o*Yu@1HDkOR`&hVC z(gvsIPED=^n*TJo)Mrw+8BT)>?n^zda`q$KKc4kRiZcFh|H$dEoA&KjkZHX5CuW9l z0m+w!TzU201lRPCjT*N;9d3GprU27c6S2+6f5H5|EhkFjnj{W19p18XAYi>#mB@l) zEr=&mY<E>j{kka}MJGmSnn7a|aOzn7LFF@01aIA)cl>1*TD=FhB1Fo2hDZf-X?u2> zV*=%-r#U`rRI~QGq<~6NP3ZKEyi2^ksJfPk84Ro76q$q&vjjHBdw35C7mHt|i&!p} z8q=pyeDevTBM?Ongz^f!j(O>y>@(H%iV7x?k0R0q!z{&l*GmrDNXMwi^+pCccwkC~ zEDIo_nX@TEZ{wCo5tfJ~2Fm=j+{9}HQ9hMXuSxCB(Jy#eOQ}e`I<dxwFs`UjD)fx{ znvYdg6vc9|mnH<%R0@I90{Ov2WpVSsQNSJ+uqvmT^d@yGuq<}b1PK^TuJU<)fl09b z8jS0s;w08nz(GtOmxwdFG)nEo(hOyo&GiV&A6b8wcb$gMHM8Ux61AAh;g68Sr+hS} z(|=V!DMj##hOkAM<e>?`&wz%kK}4hRN@O)Lyd3_DVup;5VlhZ)X~_;;D5IRfLP(QY zzg7ajhMn1$|0p<(u`1YXaJCuJ-1dd`+xHD?Hva;I8fWz4`1heiKfZeSaB~Ns5&_2R z$f-9akHJJ5_KNh$orWxRovZ(ITwQL6eV|er!moHYileTys-p^4QJiy%5A-q{rV-(6 zEUAAfsC}sio;yC4mt&{6h0Kg?=Cd!;S;NkBF=uC^1)G*fBP_p=tHt(kC%u&fCi(X8 zSDM^s4BSubOem#I9XpdJBt9RfQ3QNZ)IS4BkAz}pKnZmjngx~a<5by{lf%VHV11LH zTFRe%q;E#F<(N=k))*i1O;~*@ff^fdO)hbt20f+mzUIXysgt11*+HR^r?|8fI!q}` zTBy2dkm;WqNNKXv!Vp*e#&974PMTc9GXN55!<Kx9bVe7q&cJ>t2+sL<5}Rb6_-7`b zN<W<@4cHXZM;t;K9Q~!SdT<>ffOxd2aSkU%;%h=+u&L4b7AFdQ$nCj!+J0j!NNW^l z0#A@ke^n<s`|9)5K3-F3U+eEVH5v4QrZME46i0n3kK>nsN!`SB&WEh_m_GlA5kmb| z0%I{s&=O#4tHxtzLqDs=)yH{WyxWt4v^rvidf&-WM|c#B$f&vqLOK-(aQ<qo#Vv{T zMZzEQQwaIJ=~JPmodqhmg7w$^F3MPw@d2thZ`qU$weu-d5Th|DrwQ6RjSu({P!nqc z79S>==uNr=qpI{CF{O>VN%JyBQA@fDS!wK{G^kF_$~%oUDwYf9d98VLKT5OsV$a+u z&QaEcpihI+&k;o?2&@T=&(}yGhCdu{r9p&gD>0xMRRvuycoPi!7aTogm^MGY7C{=R z!P@ZS1O~`VpEhx*0K;Ds5}(fxmU18AP*`l`AW%AIJcV8YjUwm~WXCsE{~k18;^UfF zFL<J~BrYL9kWiEKG0MWhX!R>-!oI*jQ494-8}+jje0?Yq5;l1$-soip3|=jniD4lZ zQ*aY6(F!;RN^LH&EFJZ!mTIy4pbzbLLGVdjOFAlPPBTE`@i}k+_LVp)O`~k!OX`Ur zvq=q4gGA-*DH;GjjZ3(3G!Hq^clu%9S`9*^Q(r43tDzaNskiCQ#3Z@TX1*WIzLN6} z|L<of{#E=b!)d+=M?Z2T`6gq9XO)g8voeK*UO|6K>=OiR0!}D$a*9VO8U>UF^F=hJ zamm&%R(<22=C-c~s}dO+LoF1oc-U3{6Cn61po>okLM2Q|6%}e5C0yrXs5jbDCSYA# zszgp1`8^&5Vif!}@%JSdkDk7O>x-TC%?WW$otRva)AeyJs5b%Px=!)=rpz^#<HEdv zO^LHLUqGJ%GN-JRDWx`C@g{>ENZ+_!A|tUeR0>X8XEOj12bOw#dT~i9eW(vQB1yqN zjC=#}H<3#TE(NLGMIQ|Npop6qKmwzg{O5qolK&j#_^(p@d(^;dyj%GrgP{Flzm>=v z{CgDp4a#)T@Ec6<U*!L#fnQkzuhE8XHl(HveK2A2pJ6P@oc1d#<li}AYA-*PH^};{ z{`v#E&s62LirMe)cIVszZM1*<Yt)llHE-$()5|~FoXlDNGt?Cs-M{SrQNwL)Hf{d> zyDo#?lIT60{!QPhm}!wSZqDbMcP++~Oh^5P^E`CmBh!Ds4&nPd`a5z5>My4+GJk2C ze`7MlwI+SW9dtr76xEu(X6(PtRoQbb{@3!a<Mf|0b+akFs&Ikp`llq)5cj%duS)k{ zh+m@yTxL_`TTNW+t|h)-V{X4z*5Qz{Z|(7Jz}HiU=w1T$^Y{2iO*wT5PglHV*iTl# zhf9~~BdI?Oms+LsPdaeRY5e-cZT(^RR*mN!PLpo2hFKVAWH#KCtrHAdP2x`TlO!CO zly90(t4f!EIp@}_#T!JAW=|hhH<KO23G$ijyETk^s>k0NDjkr}bGW9Zc!9dHx*PrT zD9S=e!_X-{>Ph1XC-_iZ&bUa!4{CnU=dvd&J+7AnS9PrXbSkC%PPd7R1K%#F)itp) z!d-u{ag_X9>qiX{QdvJbN;sITS`CV;4*N%3&WE^wR~Bw2{z69>dV@p!usB`-8lTRU zZ9<nBCcZ{A=}em5D0!RHD{zCq2G;3QKUb-FS1Rpk{vcJ3uszLJBC83))Ai~hj{nc+ zjLH_A_BnHrqE09568EB}t2};DHei8--aVDLGQ`;vD%(?w#b~rF_E&!<g(Ka=$=MX) z#*|*lK0o6137jiW;*y^8K+LG}f&WwL5H{+{;@G$(-e^2$TPRj)<m2FfozK^W*MU>( zMf_5zB$(N#X4Jl}rb7tt@KRRaf}RS7S107Ci$gu5Xoj__J9N|&=d&~r0FhMnYSit8 zoiz!^G@XfzIDY#=61EPGR`|D)JMhAU#Nl|As56=1^lFozItrRbVKQ-K(rIWPu$tV0 za+A736`$per~^^c_d|SgO#KS2iPK!fVb!R7=^?aH64CB-O=p$(1d*ilewR?B*J`3U zOVvtAeMG3}jC%crfR34ZO<>xWc%td>Y$hU>RUvc<$|J}HHchOol76s>DK%rDRGi0W z{FM;t6Dblrr7((B*l!AyTXa)`PbgFWzw9KED2>%iqA&IB3r#XR8*O|!W>A{g`o9?} zt(dRJRLOax6QU7KrVvT1&nobt=4CbmU)O9B)7vC?Jr`chw2AA5F_2sRku%`e!}weo zN5GD2v5cAtuzI07M3GH6q;*}9xpBRuKa;vR;Cx!%h=sN_tC)l?Q2wtAK3`%DKXM7$ zd?K#<Ku+9DILT%vJma%T)V>5c;|WaFP~R2w9iMbZG5M*?(<N*cz!ND+;<3|BJt+s_ zX_(Q=jO}K8p7m=LKFQK^d9CQs=%*%TLz2L13;h>icC`AF9?~aqUE9*6g=rSE<e0|I z^61Ku*(3?~1T*_8Hc6zo#B0(u9>!3K(DSsOjDSg~!ReRaUlRhzs1r`RDBcF+Pd?-q zf@y;1Ngr8;Van3`l&7W&nwk1Evr@JuvMZlNKTsQf!rMgs;->NM2gMC5>Vpy#V5UOo zqaB}vx{f#xmfWoNVL49^PT!N8G|p)qo3&geNtnb!5`56prI$eSHoVjGVZ(J?eYRN; z4R~;=E0d`wEx&2vannST&VaQb_{z+25_usJ>8)zoD2$BNC1?;R3ooqDc3rYM)!^_Y z&O~TPoEBSo0esg^;Xp}jMKRM3TLy=n#uW9Ut+|sVCFW+9EGeRgL9Uh`k^r$B!ZomD z#HW>Hh$RpqfeL<FYmt=!xwehTEWm<CIthCM!>h->LHe6O#p12~0vNUPYqJ-V5Zt&S z6B0<o2K2axPw5$tmpVA6w?VmI0;-TBjnX^4<p0noNwr~_i&|)*SHP`vt-7Kk#Aqun zau!UK)Rz{;iZZaORG4TZ5_IKQ#FPuh1IM?N7~u&=-UJ)PoCbw(gg_*xwYkoSX~1=( ztZ{ir9@MndyJq%@wg|dGzMTY@SU-)XigPgYFbLxi#w~gUEWI5?P_LgtYq2zYn*<@! z_5u!h6X4@gLgG4CC*2TeBk>O3T<og4ZdpQRmT10`$V+!TykyTUcF@RR*114zk@gaj z+zIcB6RD{OU@ZCa#}T_#O~%Q$`UU@r`o$n7w3a^!y)PA<xsT2vq0l%7i3!6JUy>Aa zfkUraF%wYNBwm5ACvI6Xewvgms1o5oCp<2+%AIsJm$37897SX145SZ7ZQb!1EJvb2 z9|bF;mC22wEhA=#vyQV>{eCp6agmFMAg+fwSFKwCV*NZ@k1w~8ZHghECXAA|<qHKi zaJbI-m}UWd5Dc24%FrJfV^s(RW>ZPwEXz3qK2m6{p_g;4XDYi0;vVfTx+7Qh@8_eX zpwy<Z^{L?*85a^@ogtUx=g@;8WH<??L{IHn1RY@om#mdY2pz;TKwb)qKE?bXVdE`~ zbb#|RhCV=*Ca6;ZwC+cQGT{nwf$z>kVieHSsP`<Mo{(CS=yC^T$j3u<sWsoLa~mB^ zDHc9DK&)*U2A^w<csxH0&+QUfs|B;&L%CBnmPeIE%%wADF3?54^ehgBMwgCz<ybUr zRa7g6E7a<cLaV$u{ME!OQH*Zo#&9y7ha2K4X<YYnD`<zkN5?uKuNN__|HXK5H7M2i zE}Q44<%(LIBicTt`PyXg;)~hJktP}<aR#pnp}$adsGxExc-NOkNDrib>0-Uw^Mo|4 zKSvFB{)3=iU0M`HB0&XD0wq<LRexx4s{ER3<nbloaNyS#DFeQ;X{kR3tJ=`UcR(Ih zTvVs#QEmtnyojm^sC0}k`4KSkss+=P)2>K~lJDZqF0Lk5;2a|*Xme&<gX%X}r{KEy zCb~r)LmTnEM9MYvnx}xFYS9gzX7HB{1$KhZQO=xEU9xw<iKWMMEK9d^1avOhN!oO8 zHDvVZhuTIqjQGea&ke%KiZU2?e54&VNTFZx!!2@&;AZ&b9pd_bl5+MEx>8x3(gObb zCyt<FL1wFuLx(Df);3rm3|ZmPRQij`QKu#c>in`Bq_yA|6Y=rutb~p{PX%wOCl!%i zuN+M|Xk6&=5^ifp&jd`X15;77aP)vw;)?TSkOB!qmC!8?Be6u6gnCjTHo1;D`euOs zT7^>3kr0~%a=S8An<S8Y@7-u1wxCO%-?)agd?{&pa8U7s5YQ^nQV<2w-7~84h>8V@ zUM{Lqkyr{8pMq>e(zzP#lY&9z^FfJ5#X<}?!t9FB9S8lIPs@#MId!cs3my+Hai}vD zRhH^nvX_t<*%7CiN{?PNsh33^i)=};`-q`UI_b2N8Y7droV76V(UF93BIKx1%B_WV zg6Cx2M`0xzr2V!O^sQ4Zin!^TBZqR`NH^jn9*-if7fM(gMIZjd;x;pE+FPuatP=4t zQP8DvGOfvj$o3EiB<K+=S!ymw52Q47P1Gjm%fQuxcM~!BS~4gnwpB%a3cul3X*0gI zYfKpWvt&X?Ee)O1)neh1)@mygOT0qG8Oq3U1aVj{i2E9vY!$|Nmo<DVQ`ND}Jr!EV zVCW!cb`qAYrj8@RpYg)=5z$Yl2E{VG0KJx%Mh@QP!v@8g*tyE^Hl(voMFGN)i&ftY zOj6rei^@0bE1`u%pYJ#q!yBV&s$<-#Dl@)OvgAubI)@yKD-sTcrCOc3YHLKwB<84d zCt)e1;qz}wT}Lv*2nx;v9Yy5eQ8Ucp;@jh)z@iCD+y7z^Qb<INXev2Nz3Gum-H$we z5)m^;MKaY-TRn8~H*6t{R9w4(PXoF(3)g^D<QW=rrUNsDTI57EMx?K!0X*8JWt@5L z<aMU##*8IX7v-Z0pXnKjipUp0N)rpMCZs>)q8ZJ{Qz0tk--Wey=OcU%ny8ihiKDck z@vQ;B0{%n(oPNsTcL0?K4nt$16$3UQr9KR~%6do=S^2gt>WyoC^|rnWNz2Y{laTDY z$Q-H^M#&i@|3)SwW9qOBG^Y(Rw2eNun(uZOkXszNH@isCILvCoHyPuvkCSE8`9*QI zBC9#kq*RfLg>RTK)J83)|KZFAOTb1}MpZ@DhE~-aJnay?99k{R*W7s89+ZIvV^t!) z=8pvUYC1;9q)dXewW07b;;Vdn72AdR;PJ7!IvwJprj~ERhj?f(vDIA*l~EW*C=46% zN2#d`8GeR7*#xSWKs*E$_3DhBoJ58^GIR!7P3R$dNVF%>c~Js-NR?@EH8wA;KRiM} zN8N)$bJ1T(5DAe(EfBRBlxj)yscQYLhe2Q<@S{!0*@2>80mc4tuHDq4+}X@zh>QxN zPOBJB>+Y2U@CVc|`bo6V>u!WT3i5%yGb07tlT!ujv!Yz6?Z`ky-g-$u@AJf1w1ie{ zmN)a{U{o^5IA<d+_xa`XAliJska@vXK{OjdYhk-8lyCyBP%`*xfefO78{xdTuOEbt zt@U^?9RK*C((ZU3F1ay8Lc^_*8K#ekc|_y}TPP-J;7FW^bvUsjd5%zxVNdh-5GvU| zaOgK(8ZPC?(uU%~qVNUG8yTPUBm5z^zjvtmX8}(rl%viG3@SV(>KW3~qvu%=GP>2e zDhyfhW#?E}4)aF`j7M?|wXQqn1&HCR%sv}tx>oW;Emo8G$6O>~y}Gi64u^YCPCFSw znOfI%gN+j^E5oio-69vN!ki1ZjL(ung0SLzU)|M;_5ltZP_8s%?+87e_f$1XZJL6W zv*LI#iceQs%-|=3h^QnwtkNc>7#|`-W244iZ17iXzXWk*2o>g4<&JUE_`1}C27FRY zu9XD{Ef-4Xyfgc9*qv#}8EY-`FnfWX@z*b-Ax#3EGxs$FQ^<IsBbA(nEZtq`ATQm+ zM9=pPGAXC0wp^{jaP3(mx94sdwQ*#(QJQSHtcPlpt97-&uHD5gmPuZc(&mC8pBk1{ zD@N?-B|K)aB}kDhU(i<bCkc=)G>Trv?UKd=ok)eXtX`OxIjgS;+M^Dp%hQ@EU?8<= zQeUldYvBw-a<xK2O$&^|s=ur^(J`o6j(XK1!;-Pml`n1S3C42SE~ix~VoPVbFOkVe zD|-=(B**Nn^n}G|lzg$0DWfe*go5T{EgmBFf$U%SxD?l;bs)6bkI}{Jj0+*15j^Sx z=%Wuyd6ck}ek+sSB90gdQVRHa&^8R4+|fpc2P;YWt>MHA|A3cL+8%BwVpkytBo0v} z0&6FtrI7-^Nq*oZ5-TA_LZfsL;y}zQ2Z@=zaYO`zc3KBXMY`m9qxPJLm<z=iWn^et zZP23+>)C`<2%ye{FmA6~BK&_uZ6s-TZZJo7t)29ZEkSS5#b4~~qu`O_fEUxl1Vc<; zZ2FQK5m8z6kryQ)OQDpI=CrGd#2~XyjzGx;mWvT_8dCpkSorxc4N?LUosQ%=_W9%) z#Cf!GToFA4QQ1h7OhP+IiWFgu?V4O4$fy-LjVy^t@*o@x`<jCf2sne`2+Qchl$bWi zfnQ4PViyGlGQ=J#QkGsLrpUuBEA|4lMHH2cr6jqdrH62Q;kfN;Gt%RldEo|9HxY(P zx~8&FOakNl9M;2`foR4HH!_6=@)R6)OTeYB_ykF+I=D#k)U;t5q^9ME?DQ&nTda1c z9dipHXZh3=LD^|y&(_%x*9)42J-%6qe3JBX&>Wtvcp56n()I<MLJ@~09~p-iAf#&@ zs}2QbJM2<A4&2F$)90u@M{fuJc#W`gPSFV%x(BL-v1#!W*@n*Ya%y=PmAX+0bt*#f zGG2*v_){(k1CgqeqHMEOMb!?q&PV{o7}4<F2fTv0L{%ehpBOR%k>Hfdmll`mFJT!^ z8h3u=u%}go2S)y;S6$sC#~UXWO{nM!m9!4R2X3@^a!;c`1d62+rrjk8oncgLp}Hs~ zTpX#4QdRhQat$zH`*0$X>JEy6%+nmY_@t<6s~DH!x>Co>FH>p-`T(AE1TIOZ7`$FO z+*zd{UnU5|6qzl8@AV5dlJcOMTw7%P*gMUKpp<>V@Hr&q2ZS`>!Pwf^M#e^YgPdY? z>)XB%ki<E(>PGe4IU0AU{beY%RujAVQg81*n0BZ4N=)xa%QH3(l_+z}1E`7wUpt}} zRaIdyA%8dOTo~t%m}@5uiS9(=_!<aJdH!gwI|w(<3$u@LAWzv=&M^mI-zB^|uzj-J z8QJVkelt&&EZpirU&+KlF6OM&cE{*Kw^^rysA<yznB~9PCZ8y)fM|pI+lx`{sUl~c za9Jt;z7}Vt|FZYFz!sOvBc4bvo#wMiPkqOAoPAk$Z$9hnt+XaqE2xqwu{i&WKJ26~ zoLEvep<@+_WLN637};K9T3sCX$8qgO&Re3(%ew=;2;6+ep^arIZ(%^chOkjw+t9`- z+I7n$+htqwOy(Z<^T~{6qqza2q_<<f>2yY%ScPT48jS*6iP*ceigbtEJTfGCH1jj( zJ%MadHy?7QqIRMRj=IDsBDBmxXHiTwHtl-0<ANZ2q%7!t^Dykc+i$+dK|e>v=1?1t zw%VcU%_nfmcgxwU^~7U0=_1aIl1T0-g?&THGA!`quGMM#BO9`)Py-CP?FVzup*mF* zd0$^X7mn`ujktZR&nkV~N<UetWXA3Yb7wFhXy1hrHb*)KzJ-tT@l}VglisE-gQwcI z9Ji~Ux${>@qii_RBH^jIY+uGKS?`LKd}rNdySf)j)ZP>I_P#Ufojcs>@^+Y;@N36; zbj0(MEqVqUJylEPp{JP@n_Q(%rRvPJ{_{$A=;+|B8@2D!4@!^$IjQ#P{6y{_JN>a6 zYn?Y$&%g1;YXiBVT5#2hw3at*xU9DQZ|=Hl!NP+V&YfS2!`7&v30rQwd5m@vbZ&}n zrnJH1Z`=CthB>)MwVgQNlE?1I#*cmB$P51Z@3MV2|8Z~oy<c7X_62|R&U@zXJ?4RL z9(~ulzIWn3eQ(_f&s{pU=fvN-`uC3f{Y!uPm1|Bo<nr}bt=>Gg;y1ScL$w(8-L$=> z?}f|8mYfkES^duDZMn0Lep{vb#j$w27CP%H^2oNnir^;Y&|&}!e{gLT(IqIqbj>x# z9C^(HAANT2#5HR#yZZk39roEv4()&b$<KWI$Il-B2ajH!*d&nKK7054!@6(XIOmQ} zwRV5yPbdF)^*?R>{l9om+rgVRpi5X$Canc?uevuovErU3pSkqIn~yx`t?#)02jAFw z|GdxN{h^~z-1@#FPxwg3SMNXZfMd@)_r0Im^83r;^X;`?{h!zMUHjlUTTb5k6IAlu zo5mjc$&H(9cip^c`<}ntzWkB9_wJqe!QS`Z`iGCN+<M%~-s90@L?sB&@9MLe0FKqO z^{VS^sXq0I&t?MfJWJ6^bluI*$L{RAws&+dS`fC@+PYfjhCHz7oVx{^w3gkxGDoFU zT=4j%WA|6vOXF$}%HS@(<B@E<;_kOy^4vvn;V=Gp=~r+3?%Jj2U4O|vS6y@OyH0Dp z_2)0WwCBn8-}uhD@BP6$*LAO+|B=%le*Bsf+RnWmn`~V1X7|nN--T`8sdx0fFqK{M zI1g7&nY&Hq&tK%CdRA`O7>-Ty*l~P=sYKC!HjxXjO>o#Gzqad=2mbNA!=Ak6nrljr zpV#r^>A$t^r6+e?|H7$%aK=9;?Szi{wj=KTY>>U>khyn!HSGSMe^UG7$M$X=eDW{a zx;9r2=k2bR$J?a!!_~P<vB_;$E&Rmx6%$Jycxmt0{mUorIP$86Tjv~h!jc7F_{uxa zJ9h5yd$)Y*x0fApRIq8wF&mCJ@!WH6`qq{U#L33>V-G!jV|%>)@l6xo@2Wr7`IVQx z_vE70SN`e$`ls;Ec;50RO5$zO&v_*b6K76Lj7;!F*v8Zp-<h5HUTkAv1ZWp49i7Yz zICVna@)iV?Y-hHhuE<IL<FNzMp<hLv{?=+CJ0Xo#eDigpJ^Rw0Oy|HAnO!fxtY<+e z$iNh5TQpwc%fO}QpP#sI*Pe-q-MghI9aB>ijj6`Yh7Zfm#yu}J8qYnoH^-+@2c&+_ zJ-c@8*rDGio_eWKD9JtdBr?ptW_ha7;bmI2YT2p|3LwF%gdiF?O23eQ!pe``I%zqg zLTX>qh>3|?@!xdo%8^W|(TE!|#h2PeSvZZJhMhZi@AjW)ZOC$;u{ny<25z`vV4(JX znRs~z<^|U2@d`eDrt?g=I5*HSz(;5&CI%XO?H<q0oqCo-qp_|b?nSO6w+`%#<9A;@ zol)vho8W!5O=P4oa*|v&FtTGGo2=^0CZD91le`^H=;-L&$0n;XnR_~pde_cp+aJ#E z$vmMpIsW*>CNI7?vSx~J_Dh!I8oW)Ops2fd?<`I=o_>0V+60Mr@1C4|qOpURSAM$p z`yd$Jn>Oj_czv7X&zZ5wDs0kd1d`fB8ZS1uzdB*%!i!FNK+jI7`O;H%3@|dXa$+|& zp%mDpqkYZD{yJ*fq|}i2KR}8pwaL-Wz5S%xI&AVXrTK>Hx@nVLoo7z%V-qX{)6^zP zsXxw&;Op3L%qIHb?1tKef)&!XA+l=6s{L%T@Y&fmS+i>Q?mJtad!^C9Chfbh$w1@y z;~O0<jh06LNh50}Yl{|5y|jC((f|DOg?_aOEwp&%nQD`I{kz}w;hir&u>-kZ`RUP& z>J2GPsZEk|U%X8SGg~nG>Td2Q8B;D&+$w;IxeH%QLPIuqw4F3wnQfB~eqH2jwFzaE zM)3u;$rYs}&CFG8qS;}Skw)X`iH14epUTnFA?rl6og`X5`-GJoWHKPTo;Z`nO^a)` z6K|7-w~5N3((r5Wg=9jn-A<I`Ree_nUpbeCZFF=Z?~F~dJ67%AcWDh%<{sA2cfC0B z;9p^r>`U#tI^n(Z<(DP3i45%Ck@j8K<k>xw^j%X^f=#HT6ED8_-S29+z5VZ=sJ}EY zkoH|2znV?Lk2Y<x44Y8>Pf?rjz|TbbP4=|!l5mf<lY>-PJ*mgHlaUN%<Y<={J5Eaa zE?<=C%fmaL$0h`K`szL*-X`0%vAthyvI0w~rbh-6n`E9ilTOsl*u?i;O`E7S8cr|T zcXhmmO$Mv}ObE_*(08Xj5jQ`YS9BroQhTFt{`vk~2r#AC5-;GnH<PYnse72hb|vR8 zgi(=)&xGge`3%`2=QC9E_o>Tjm2Mt*4jF&0gVOo*<fFIpfInZt`}7jcN}rtb03XE{ zC4ZmhM?PJe59ve2YoY|&e+=$u{Lvt1PjKTHoiBOH5}t~Ci@KaWp}Z)k&Z>cu%EB2I zCif1V&!1+FQa8foekjK<=kp*>Jtu>*CTCL&f!BQIBl~5_1|8gzt?Kz4x#Qv}9{OF= z`3^HTcY4nJNtg8H<y>>rA<KI6?^*88s%Re?EOlSaJp*S}cpXr-^jr>Z=CV~8T5U&% zha>5|P{tRe?)%85_loL<Ll>-$wqE{_KaWAP_HcQvd>kHbb~f<BQ^Kn++pw~Gb+qMb z&UZM1d{~-r4mV8U<+gk^kAlMU#dTBe@cgQtX`ytr<NA0soZ#C87yancj}2Xlzuc#v z6Xq_{vn`a5o=3sCl)_mX@VOj*<jeUK8}!VJq8G|v4tqx|UbZ4Ms`LEiwbtS8d-L-z zueozFlAnJyXG?TPZP-%Juwdz!r!UOsdFgTbJb^s4Y<Vvbp46qR?i_;Q)%~@rxrtC$ z>9BQRa3v{oAw*Zl9UyNFRYy!X!VieMRpYOa@mm)}oE~g*5AhNuL<jFUB8pF?tldOw ze#1(q`RVQXz|H|i!;TJ4$nouus5famZ8#b0I+D4J3=|XzZorQx9dr*0Ed_8)(R@HJ zNl&I%x<3BenQb*X7;|<0cHey+BYPUVCU?||na}_1fiHyzyxeFnHX3{RhI=wz%u0_u z!c5F}%?W3{zAkjqP7)Y7i@~#T5}oe=v#(XFiny7UHESj&K6dN%*Uvr|%~?`pm~k@e zyz|b1sSd6?2V`P^d5`u-O}Nufx2iXn|3(Y=$RiwYMWNc|+2q-O0UbpgGBAL$28tAX zphIpQ**S3Q&RcySwUckQNXO33%*epHMj=}x?t~Lot|alSJeql3Q5x8Z44i6(O&AkZ zj){G2LhfGQ9DSy8zS<^h6gLZ|ZG!Fa@8qBe<<FChRix*rwDzf8le>2pcLk?B@TF~^ zQ=4RV@1-wDbugXY%bVJSF$aQKxx9uqx~GZctS;!+p^ky0n3%63+{nDBHo0@6<N9-_ z<qbq_GB9J4j>IMnZ<8iBbyvQm*RJdk*M?Y5Y{TtnpW5zi683fMlxV`26PxTDY0TI} z(~U62Z!k}P0-H1%g;kVhYURrI-K;F2Obl<FGpBSrcTSb2IMeFbZ|*!6oh{t6XV)&p zlUHh!Bm|elCJnWTLc_NcimG~&d)AQuY?#(*Vw2?jF6FKBH;%F!7@<{8POkAbdH&~D z>>%-!KKp%Ln?O)1arq@SnV-t1oUqBjss?vKFLrbsgy#v;zU3_w6CEQQ&+x&fxWPrJ zSB~!De6^{80on=GT-%9GYMV5PJN2TvU1&A|tz&8vom9^o+1@7u(L)n=YR&`4CN|kg zBZUt((6L`{Pq4|Z24_*MS;f&A-X>GNo$Nu6FM9razQ5=DQ&T0{$+2nQr8?2y$bL3S z`Y!C7%CnzM3fvcwcNz(c>A$4!BEN?0`a#El-sfoFrBd#wt;uLRIb(&zCVTdT4`Uc_ z<LT7O2X6FTIKPrjmNsQ*P)z#c#>iRdXkgW<XB(Z!r|o3nMgE+@CZV@UFk_Rc#3tfx zlGYJV+p$WWDv~EjQ=9m}ypf55+C=WuR?zIbnl@1q?t-u}eb+8M{BKR#cX4=+w~3ZJ z;X75*Ui6A9c$pb6Zj}=^w=py@2_$#WK-}rwqZ7A@4|{kq%0#Le?hJjrbaw6QhD)vT zDJOK%onepXTTbwiNz>27C;67Mbx*hcpd{aBK9a~2N`jA!cOgyl!B=c~)0xNsByMi~ zo6gX1ZhbhY*jz4aaf0dnhvhUZsT*XKE|5oK99;3~3HvT<I$e~@o@$AYHl^_orr|pi zKCM6W`Oiw9$N?XckuH~}%V~aLkk7s8WKLyAzBdiU{<-dAKXnN=FOG{2il0`k;EJn0 zG+K*knz+up!ztdLsv_$?M^6_`YCIFrl&<MktpOV@fgg}rme8}$(Hh9Z_5{zPW1k=K zo=%MOH`#%$4vz{`kd5G#y%0v8u^KM<ja^rr)OcNCVl*0<yYX}NQQj@Zg3GndHr=uz z2z<`yHPC&B4jJwutg>uO@bWeAgoDY&y!Zxx-RJr=uZ@$RGb)zuOY~)i-Vh8|&Wb0T zS(fn046~n+bTRuCRSwd7xdNN!voT3mQ+_d=yxh9JEun)Id5Oex#f4yJK|6kaboBc| zvkObGyjy8T+op#!o|6Hg&(;Y0N8{edMM9oii&*uFm{h4o{ro(>s2(7aNsn+zd-1sR z;&Aon!O{#4UVzt;hM%8Xx|w)N$e$a9omG|?-VHl-0Slc?eH!mHUu!0bvEYq{L_Cp| zA%|Df@Xy8Y^I)N~)Nim>YBm)0$)vI9FjZ15dBfKXJ7vj5s-A(*lyF3d)af<wzS)-~ zZZ<~!b{67Q6w@q~DxoZ@FG>oQ%ji9Y%_y0IP5=7SP=Zsza_Wt&UK6l5if<<MSWo4B zw&SuSjnbuA`?nE3`07jY)$S&K7M~`{i=deq#UP+}@iyJGcwoHGs%IKBA@^6a<?Yc( zs~5o1AQKyEDXlF+Z80ABw15c*rJaE!DkYdfTc3#QuK<*k77}PH$@r|MxtUq16Te<f z;>9<s<UWM3&9vhQLa!ygkco#wKb#b}83t*RnCYvX_TiZhSXdYkny~45Bk+pZQ0<dg zA~iOZqDg1M>0B%X)6f*wpn8x=57b1)<GN-zm6In1+;rx6VxUAhxzQ#`2v}nU{`-Qm z+aG+t12V~GpV%b5)(&zv(^2X(APs*G|Bw𝔦T_{3Kt48fsp>H^n4=t_6P0esKS| z!`2dM%uIUIR3Lb~NkdgX5JG5>vbU+HrnYCozEhz{O^opg{i?ad_xe{zRTq&7X481} z*cl9()bc=!8bU}d6xJs(!`!oIlr98r0vZoY5~w77xs&bU^p6)`YEMraCn;i>g+9xq z1c^5tR@y4?L6JT13myKXn4TsRiuJ}-iviRf?~10ur$iRtAb~-@(8E(RG$<Nd8m@q1 z4>MdGKdpf6!<v3b3^Dc8_UZwQPn7oY%+ICEqp2T;wB(2^_>`%x4C;4&eGrMdp(H|I zbn`P_xVTMMIjAu8VRY-2po_1PY?aG2*is-engmr|20a!e(ve%y0|0cc<=2aqqJ%~Z z<HA-tdtlI)7c;@bQw`z)avskfMRh@S!mW+bRC{gYEE7=Bor$cZY6%QOhZ@bZ@s5L( zh2ulS=Ax>FSj0?5Vua0IR<}t&T&9T5+bsyRZo4uwZ%;ZODI=rGvRZu{l9~udWRhU! z$10bT_<W)rMf7U7ic~8h4A>xYtd(JrIWs&H%e=ouJC0n(Sxi>W5eIKb+l$`-TN^KP zWjBjBGK>P3n`FmZTP}#~W3-|we1ob!@+1wcVXqs(#wWFf1x1%5wZ53o@qmtxdAl&+ z!CBiN%S;9lS4%h=aAyH~N^Mk(I@oqc&5c9r;2Q<OAlnzfDp<oDEs~3CErBI*TLB!L z+AJ=rP`yy{ys^}t(AjthRgSVU+wu(U%@@0#o<27!*;5To-PQ$Z&MIH1-!ump#!7wG z76MlB^n`MY@QlxjAG=LNybiu5lmI6u^Kz%jmLYU%TxhKgB(#C4TcHYA_6UXJmc%Fw zibHzDSZfNbx($oDo5R3fe0~U_#AQlA93??$2`T-!siHmcszGURFW=&LHcsw0g0<+- zj*4@`>@l+_wv!ZgG+>KmGz7zKLC^`a*ml{@&a$$xmSUBA5RvDfMe?a49egb0778*^ zJ>O0Y*$J$3##lUoY3nmA%@woUoGubFvol1Ca@Xggpp;sxJPD}+3VtvOsXydwBmxsu zbCDaZxlo%MFpi$#i3HRq$JII|RuxcM)|69Eax4Q!XcL{rW*Ocf=L7d<ZBCX2H=<f% z6rvA6QBGO{LO?Buoh=vHe@EX)Z{b++8W0b_9?k1TW#Xm;=$_{tmcLQx9uUMUO{jD% z6JwQ-Q)DPCi-9ySl-@+rDXqHZQe$+XtenpFm9_|s$wkHmt#k;IWmNVIwa%7;&d}hh z6qd3>8IKlXtYmw&df5=6$|6p?u*ek1uX3nk|AYw47R#Y%L;FjT1BMdyI25I`b$SuS zMdJFsgA_3wD~bdxOs$-WYY6$`l6!!_1Qk^0AwO979!{55dZ=>c*Iln#d`w>Im@zsA zCEo~7+Chjdlsf{z5~I&Qf?hDkNS8_$2JCAlPZz=fdsJAS;h9Iy7bT;jkq4=zqP+C? zrarHqWJwiX1DdMfi=}>rrtCECdRSM?wbYSMII#vgxbRILjIU-8Ra*i`pn~(?rN*dg zZVRl+->q9cZBz`Uw*sxBr@)D}QLSusL!48g(Y2*0(Py{EzPz9SRwhy8wx&P{GScA< z$k4}PzHK3c6s^B5pMcsW^)bd^CTpQ8vfd4us<T9O5kmA3=STo=g8*?MD1(V~K|2u0 zm~%+!NXK>)@bxg)yM!3SODPFV<j^^igwihs6Gj^x2odY#IPd9|NW&tdRDV=l!?IFE zT;n3BjART;Tw|vs(ObA+JDQ1CtKxivV40{cQ1i9Ps31Tb*}Uzmvyh*aYtmZ_bwjz6 z-cTD}pHi3uD+Pqpv5e$KBEE%EC2^p1=vd^A4RN(!Nu9K@eoRr!<KQqf;&S<jM$O_E z?wW@*CX(gFNNbQ*GGnN~F-(0LV)^%CMMjrRhvdPEJZs{93!at&cPqLCS|Px;T9v^C z-=M$!b9^Qu2S2<NMGIirZ=nbe+Ir%mlu2ae6s~!#5`hXxcX*UkbGdvNEoQIF!8XU( z7s)&-C5a9}kY4mmn+sSN4KpugP-MZIBeBYmlXB#cZ#@H!+T>U-NYO>DFp%}B5D<8Y zk>1NyRE>x@dCamBmD~c#9oZJrXg?-_W7WQ6fTw(jbP9>N`8GF^B~{Bnpw~iNmms{3 z04oDU?xi#>lex_iJ4z$fw(XL@_@bDRQ|1M*q{pTgX61WirPa1X$OXES0=vL>Do6Mt z##DjL41$K;k!l1W8kRb?byOE;kUT8W`D#QkUW@BFz7%iEYycA~yi+vXw9sdeqEa*3 zp0>G4FvinHrzpO}2(tnih2>VqT0QwpC~iZ}#I-T0iOERsq^oNo0mqNm!%NYPF^Gm7 z7$Pv>O_oOBet)TRQmfCG(7?%Y+Eo@sSMXVur;=mAIZ}|ECi44U_w+7t#feH2*Qw(; z%5>23^o;BvhE~iN9Rrh`Fbm%{$CvcSqONfxK5tBlh1ldsijCBT8rf9xt(sw{CXO$N zwB=n$2DV<%TI?P&H@dHhb=FDULXPY@hk-#Pm2J-NU?yc<WuTx!3HoT>p>_}=2f?C0 zGKy!-rjd$#41!84>oI*w<wKp*YC{?8%%ZhOy%3Z7I>y>itdEil#kkbVE+}*v$Pmp5 zL4)?iQt1KJkGN6k7@Ag%re6!&g$Aj8m&*rmrSg#XT-uq<(@W6H8qeICp^`S=UPjG0 zx$}wwmK8^12BJE`IAn|9;21LStIP*1C)6q}Y#fvL_8Ps35#=d?7`lBU7X;XXIM&mm zBpJ{3)%)vCI%{1hfoe~2dJC>lXQyNkcR3^G4n~j`9Yv@O;<~8x1^CtBQ9%EEwO}s% zLdLANu1eg3NWtP(461nypL&Zf=QU24kBaS#`X}y+EEz=zaddCF#qgCAn5E#<rT-x( z^}+%=;@dg2{sLN%Ly=-c-V@sR^hz#+V&~{PaA_q*E)bRsi=|JifZ?YN9P+N7i+Q#= zs$_MTvUStcFmgSVx{IXV^2Nigtd?)k2w~E8N#zo^A!7<((~KnCo}pTtxKt|SuoR4I zp%RU1acvk5(Nm35-CgH{&|0MrhMaZ)5_a|#c*yJ=$c4RVVAAwky2mi;jm#lv{vh^y z=f+$?>s5^(bzTs>UyCDyUGyVtZSOVx6=Op7;RyGDxt3a0K1Sn;u*8tako39(ONKyD zXY{JH+G`KFmid|ImtzuDbrSkT{FTZAys;Y_m%NKxpJJ$Tq0pzrfeEwAi(_>xR)JWD zDGDj$K`oSU(hx`@bYO;aVVGgAJv8~`y9T1QPpiCka43Kt(L~gKka<Uh4qF89DkvRU zs9imZZt-wK4epeF8}>>uTWTHZsoMj$w;Am+VmYQhi584JG%C^2EJly$I>Vl$571+e z!d6R-mIz(|_0G^kid@)Vyu|cMhW4RP8V;zN!f`~&a9`9<G@mevyKv=@^C_PZdm562 zb*DogcSM?PHZlmQ<pTV!vM9<$1<BWAH!RDv0F)vSYPwbf`QoIAJx2y*8-qgB>E@vU zL9XBKUfO%;2zA#|xTqrBl}R|%ug{7R;e4G%<k0qrSBGZUR>VYMA6>SQIf&{s24R^q zs6=#zD1(x5t#V;BdrAj#8Zk|VFx%u<#vi&MHKl}BGNvtb8I<NHhe!<=y@<H<N2Vkt zD%3%ZPBcDa$eiY+_(i7s+)x;%24bZ@f5f=NC&wrAv`r=tw4`QA9@ygq%XM2BJ&>)0 zHZb&zS_R=(&}$SJ$t~;mJ?1wpxjJg+H<=p+@l&6b!YLNXr~_W#b5^*Rl4qUat4q)V z#glQhzVL&{TLoc(P)>$hlsiUl*S;m9MtH2BFIt1;P=&Nq(6$YfT)|XmTZI<s>aJNt zfagYzo_f$2i6@D+qdXw-_1v`&SAX}kz=bj9dMJ>hovil4QjQ=a;J`D6bzV?YsQ@@l z>X0o4Lz0!V+?@8CQaN{iIH}?%LlBM$bKQlKjiU`TCQEATMRW99A{iqsNjS3XH*u`2 z(O#k=LzZlEG|Bdy%XPZk9o(OEVw-4GZlKRDid4&U5rW-lnpp)tIY+;%l_=`dPksRb ze~wQ=eUTuvK&w@cpYLn6^0zB2kN<$)@(JCwp)}{p*YPXc^N>_gg|kGqe}jN39f(KO zpF-HGeS#57hh<I<<CyB%t!DEi7Cu8Vw^jbAC?#vC9^jq!Er$5+d?j!d>FWF%y-2Ic zokQbk7h`kt>b%7XDj7>Fx0(2G?J^{Trf7pXtDIGQ%dl4%Vt&zYE$?)-26#{*sX1}` zQDKF#q>llKI$v1aL;peXGiPY$p{6i{`hiLX(8;D1dPo9Balm-u1UkoH1hn&83^U;1 z3y^d(>5Qlj1y9mutrQNC6L@ULj`|iCuxVa`TY4FF#hF~USGj@I*XZhad|*x-T4Fd7 z`8&flr!UGWrp~t*9%S-vCZQB3W|F2#hmiXW4FjBM4OCEc7z8G>pe1A<J4|{g6RwH~ z4ZjHaxb)?Yv}PKs7S-}wTw+ab5C?vJ8Z;6=DAAVOmO;Xk^qfT#v1^It7gTSU4a%r! zJ1KVe^R$wwzAbgd1xDU3Lt?E1&_=oN<Z<qX@>K%NY9O?vr4d+_TLs|fWO#H?CKZog zArj~CMh?HCysLf%$Iq8X14+<1?@gj2?fg6p>y-?0f-y)Vw3!r;nd+h!F9lAvRpo}v z5x^|U)44bs>+Kj}DBy4Kvb_hU+FMo#O@<-JBJ+lum1E>YA&i`8-;mDh)uUkeMY4HW zWjjN-vEjC%mSG)?d=^r><r5Vwf?Bhd3WnH3Ievb2EmdSi3|e|bn)n$1tqLD+yTs}3 zrbLdrgoIfILQP$zp{SxKpF(7xQOI3wMcmPzz+c=L?b%W0PlVBZ<2A;WQUn*re*^*5 ztEzMhvXVb@I4<SqNPanYQ~FGec*qinV(!!k)9ifwzAl>wRT46nD9lp2D703apS06q zcA>4fXn7VMsIH+Y!CIk|I9%t6nWy>Ub&6E}j}p$CG(Y59&KlI1DRC~a^g9P%$s{U& zCQlM+b@?+z9?A1Lk>z4$m?|UG($Bo$ZK_~0Pz5sMVjKzO0wZ?8g5_3~mk)ALvrIl& z;6#nzgf2wqUi+Bk2v3h2>NpwAg&W=5ysXPFS2_BdvW_j#vOvZkH(9VJe07so8eZ<@ z7g-+n_sgU)p)Y(K>GMqVL&{D0Y}jj9df?s~w&i3C6=yyA*#e^$_--Lz9wj?!uSNF( zqpM|n<~Dir=@M><#a=ktZVw+Wwq^UmxtYG~drE8sjC;OW%bgnWn8yxZvXp_gdqLsC z(9-h`8nTVfXdXLBGd?|(3on9cuPd72TzG?<q_VMH<UwYMUkl`td_6q##=hYkcQB5u zrRDkZr2R~oZR_Fo!-g>>d}MTKw9u*9=^o=a6Ojj9T0ZwaJ~u#Nj>=su$Fa|yb|6tE z7^G{a40em&@?RZJ28J2Hobll8TEBp!pN_W;wjC1Ov3c~u-rl<kadhv45w`*{D?x&A zl^EJ<*gf~;wr}-MhS|Wi-Lyrgd`rq><2F3`n7{>w${B`YpNy9VOJi3hg^{x3S`kh$ zmAvU?5pvG8#qGIsYT*Yrj^z&L?Mi3lTEjppd4`UzvfEf*#E?L@A7^u*z0?lQT1<EW zV*y>F_vzhT=F*&))nYED7#9?Z(Q)%H3?p+3Wpd^%vTZmYW&15d8}*y6%8c?%q7=8E zRct@>f@0sO>4y$pXbGl<;%4KONB5uJ&%>6|9Mc<`v8>4y=+4K+N3%!tAyEB{p=4## z+<#D^qN1b0N0lm*+p#%wg3!i2fxlTMGFO*iexzR(n&7E>F#q9gtq0OkWV?7LMB=Su z_ix4RwwLG*NB$pNge-$9(gr$~Xj!g*S-kqf=+Im~Tl#uwg!x6hbXl=)b^e`UH<F(> z_lsj^k<OX2iK0pWTrb;oAWsQ+CPaaF9!=LG(WV`y!uNNm9{Mbeubml2Cpp6r2S(g^ zU-@X;UtM<fvuFReTR*wwtx@LF2QS>x%FC_F0}C%%{PRC8Z@m7t54HaB4J?YvZuuB5 zoVJWsWW7Wiwq(b)Y|wTxHZ~Y-e}r~2HrTrT(O^?!@99VU{HuLepMA|$m#+Qq*Q`Bh z-QCBY`0@#J*G?^c+o2P$yz=CsYu|tT&i}o0*`@C+oN>i93oc!|a`?PezxUfGUbXD^ zE?j$D7>zTniZX4J&khy#{5ZZOyY?&BTypihvX6f6+l4RuZ!`A5Gnpgz{$$D6OM5?m z<jbGz8@~6dZ~f-SFX6p!`<Jf$_UWH*eR$)N%KFVe+AwxgdF%)4-rD+uyY9-!G1-`Q zGADnBw9Rh~?(cr`?vvhqWark#pY8qa`@XVz?)*1x4O%lTjfwmBE?l_$oEM(SKK9s0 zy1rBX;i9{uXZ|+$f41druC%%J>s~tX+4p|*qb+-%Tz%>4pZ#J@yz2gcIP#Sz`qn;h z|Ea%o^t$1-3-5jMyh9iM&ZeI{@WajbfAg+6U%u_Fn;&@ZU7J2X{@~`9?_F}!#@_j@ zVc`IDG<M`GZ(DNRhNGjgLhdu=iLs|PY=7L%8QXfn;;(+M)_vdcdv>09&8FvH*|hnQ zw?;R7>Y%yR4PmBy+ihFctvK<A|9so^w>_}pz~zr(lRP$YTP_LRdTBl2rfe-=<pZYq z?AVoO_LY|BH_7T1rgQnh>$a}DV(oW6@_T=O)#%y}tXX!=s%I}b^}m1eBkz3pu}j|a z?THUP@r9LF{rFuMUwrX3YcILr@DKfu1s7a()klAC&HLW_&Py*^y5_^jW%E_~nkYDI z>4(-_xo+*br_Wvc(&|gDp11Xrk2YTH{n2w<Z+ot>E_`|U)|XfBUAOM9KQjFM+S3=f zp~HD-^s$dmPBvCt`nQ+ge0Rs=f3tLC`PTpQ%(``Jzx$E4e1FVGRItgtw3F6g>-(O( zJNKTJC6710a_ORvu3WVF(2hR}TMr0+@QM5PhK25PANxu6u`{l2ePrIxZhP^sJ05-X z?QZVPe4Zv+`}{keJ$Kzlf8+kGd)Kb{zm0VZzWn7U*1hMNk6iQ7`@i++V^_)EyZ`Lj zvrl->icdHGWY3ECKKhGK-}b`ZRY%|Ui%;);>6I1lz4G$)!I7=eoUYc}US|JXrg2Vr zL&4Pwx!Z3t^1|Q98ILaN&wpiIc-G(24Y2aO%1U0=m$DwzuL7kJjj$n*U5r^{ni!A@ z&9$dV=vCL?!Ol!)=A|b(SQ|RfF{L+~J9l)h>X7WBMZugY+4-!l#C+n3Qopb|HM@!} zT<pgh8OgFs>xCC|NxF3SY*=8ct60DP{RRu5M_A#yL-$?ExArKO)u&vZd#bS;B+F(S z_b~9y*ZqPmx<0=#rE8iSC$Ks=Q`A+;EuDPBQ<svDFeA<gyY;-_EcRc;YSQUKbf$Sz z$f=I04(@lRrr1k0)iLsQa$%ut*NanAd^e`iv526T_1Z5L*%vUNTEX=juh`Yt=%~vp zjbbqiQHeFcD*ppyGq6+h8o6`e>)xfL2;}unxY054mbXy+4kk1#$L^W72}`q4q}oI} z)Fzi*_Qq^-)N`FHp2)mZV;34b$EI|P>cjW6s7*RMm;VknK^w(2-X^;a|8LoBw$U+g z<%I(S+3di;&wtJ?#8g8R_@oZ3_x<np_dmVkB9yaZLU#;&YtO*Qo;|-++}-Fez4Fs% zpT0+JvS!a0cxG{Sqw(ys_artsK+AXnQM=S8?Aya8BeF_u!alf{(|gmnX_K8+%RJC| z(Jo5Pdh5g{jjywPZMIDs2JKvIR;W#W)))y7;+@zmo9w<qZBn{oq<umXn>@@K`w~oG z$*O581-ixEIYLRij;U>wSTj_cNMViIBu{lD+%u5rZ0;5HHo^2Ag+hJevdfs5%?3@M z@R*IA_P^_uMJt}zRjTO@M0Tl;tU@m>*kt12M(1-ckBoe`G(i2?sj}_*{LfbXzm&ZX zd|btK=zs3ryUW#DwpOw*!hk(m6i0R_*+dXK2}Pr2F~TKb0m~*NAUWliy8P1oAR#eO z9-bA8Sqn-8H3{i!VR0cbB8^F3+DGCR7UEwXNd$)1wE6RJ_|v@pKEJdj2IC~pb-cgt znY%07K$7=6(%zXlGjrz5oS8Fo@11jJ9GNuVWxBfF|9%WLcO%9zZF%iK$Yge0o`1ev z-oNW(Ln@P!A7jY0l#*ThRVLEdNlj+*oyg>*+Y*^*hi;9NL%VkE*%aAL@PJI3&2g>k z{{7pbAIoe_qM=vEn!`iok2D%u=DT~mOx9`jbetd)9WBkdae_>wym2GrgdrjF%nKs7 z{#V&`U@QmSeXs8y>clOV$7WR~Ux&|Uo<Sz%eXm1YSwJSf@vw^NWZ}nnI#wo4l}TyS zprp}VQXNWZKK>xik4`f*rZO?+|DR0eKfN^7y{Ei)XLAqbK?I9NEEt=}Wa<464-Ng` zAl(8(3kpkZecJ1;*{|=A=KH<wYL2n*9zEo%(AAtRBNKMvvvGg_{#`S#AQSf4H_tn7 z*Q1Z_SKZb9-0TeW@-zVprbKrgC6l%D=B3bLo4T5+yVUe4(OrzzS`C?Wd6}%NBT)sO z!qU=7GqiNqF*4bCd(QzWkB)lXMM`+-LMHD&@aclK8@da7Za_^3>bT7dvsrXkIoUb? zIGCYXO`y8#%k}RxkjY!<uF|HFK$q|RGO{&tJOhc)0W}bk*fGY%#9lGR_Aaq=G~Sjk zjN0LL`c}Gj`r-)pb1b`~#Kq1GJIDUs_*>XFV)G}fM-qER+@5>li1G=8FLFEesFu%d zWsWQPbJ(@b@uPg)LoY9~jE8=b&-i@V#m3tg_D8tHoyuKX;u_rvCLwjp=}o)x4h^Ga zu2LG{JGfBiKDCWoeUaLDLKOSMd&G1W*Q!0EiF)9*mhhO^Vz%q7Hk(J|vE8H%`zc4$ z#jD}tYNby(gjroDb$|ovmOC{vo<HSR`RwPNDof_8W4X>|ifWg*Q6?|beAj%pa-GOe z!|Tp*gkN5LopqNDM%W=%YpcBdVk;~u9w$me`1mI7%VF-E4DL_W?vNWuZ1vc)#><yQ z*F5Fz6R|_AtWsM(@O{KDBHg8FEl<3iBFl3vm-acw<)Eu}jH^=8A=msjwTFCpb?#H{ zQY}Y@e7q=~w11k~-P~|%jXE`YH{7}<HyG{Swt+N(e6M#ytpdKccLQZWy7wBZymoLS z?d=j}Bes}YrrIOoEXrAVO2eNzXZnY}PBQ&N7z?rCybQsH2_1MkXN$HCQElEmefj-c zx6oFN(lzI}zLdPYXhTZNZ$MGQn$Ooke!p6K?>nOC&y{b_Z>m;E!T->ER8NdG107U{ zhK1#%AHRf-f$rf`{F(uz*Y`tXZ5z8|lFbEPZLUNOU4H4VX4m?enVpZTVK;w6L+hL9 zso~-7r8>Du^HXTGAyizmdFGkTg13wG<G)R)dC6<9J^ibey-*u@l#80kkLg-Pmj3Bc z=-=p_nK_HP3=gj#*B5#Feuwu*S<Miv8#@clqTjqg+xiK>(?Lb)I!^7bu=2#33vYAJ zMP(n!MXS|pmdBe-gBLaVYhZSd(k!v+Xv3#I<;{iK!InGwZD<}Y&0^%~WrBGlI`_@6 zclZ?FR;)BzGC9`9?u|KKeRbvdNhi&ZXPU$7`K-k*=6hB28sZxUe0*YQ^2LiXG8r2i z-lUv1lUg1t6M5~mr+&Gs_D1@1;aOI~UMBImbGYB)8JqbgGSPM%8ahw*<^3o2$a8qz z%vsVswl1CNWo|fHCf+t}sJRKA$A`wd((i9JKYPTk_J~Y$Uil3&dEt#R!A?$Rls7=K zI%lnhB9TL#8vEAcr1O*{=S)8DJzZ`4-~|1~Yj+ckH1n%pvU10UQ@;h^VMtP47iO`^ zWt`}Gn;s`wZ;7UjQ1I<=tW5kkY1st7u@J)KVw`Bc#XH_2`Ylmk@G@x*)2daLG&)X> zsk4rg;b!ykcIIL39Prb8de_D{(GN!)HBM^!ZI^U;{Lt$|&9%?}dYL3Gd(1d_OPOFn z$Dl3EHg%vNlg`dxGfp~BLDc{)nZV{Tc8@;ps7rTudhDc={)!dVPzue%;>h=_A?j1g zW?2X=MPYS;^D^lg(mOAf@+yyGW%9o<PE<0?pD!I%{BQJrERl(hlmA*`)%I30ftxqW zWD_#cadKStSec-AhgKq!ee|%|rN_wk7)Hi*4nJBZZ#_=3mM;Saa!a<zK;b_Nhq$eC z8QE=MpvtT2mP^>j%aO2;Pl_gg3;*qGlCEVV$Rj?>7!0C<zDL$_+IEO7dbhOl9dX;~ zOe?**Kr3CcJ9MtRj1jYDY1Wbe92|N#2}h};!$FW+*h+VI*5;I_uZC+hBXutNly_%; zk8sfYu2#A`dCKfa_cz%QQt^$mtR-C#-JkV!A`G5$APd>^EbgL0f{z~5{4R(VCiJkU zT&;AEoX6OrpM#oiDwcNmOJ)>A*!d-6hzUEtsIRBh-f*<!#5q?T6$j-;)v`LpQ?;vc z)K$E9H7t?!j(}INUyN5fqB-+0$#=^{sYO@Aj&w%T;jRXc{i4;7ciUlHjn}K@NFcJ_ zEw=HA8}dSCq5YCc(kx3H`O=^$jWtPF?Gqv6Ccl%U(>iOy@XHlncUF96f-rW6gQf=l znIyfdC+SkNgln`3;nhChmrP0B(}<@W=o_PMEy0uCAZQ*vBzQfyQRDr2jGB-1I8<$! zcl>#c@aLR}NieVrSal*iIJoLl<S}5{p%4!nx!Yka&x4f=uFsngpzA8F2z)5kYQ7{s zc^7LXa;@~fTp}$$pTo~^2`$CAcDlL3jA#`Mrz`mHXb?IA*0uc>idLMK9JY~-P~gUk zt&w1DlH9t`hPJ3VqycGL*K`8s`rl~zaMWk4qDjcF1y&{$W2=O5U6pGiN?M?y7;t)- zYkTVhElCs!g&v`;brcXdCftg8D;!aDhU6T@kbM#<dxVb4hMt$u%287dSuWQ9X`>i) zEH?y4LCN!K=WvmaGs?2}nz<Ms0VRdpDaki!eV~Q21oYP~4^KkO^WgEi9mB`#6)^mG zv%{4aE9#?eE%2i#@kdrGT~Oi%;`|g!R;k;Bh%fkRMQigY&ZvXmaGU2nCFm=(q)H4D zo=DovM<jb4+%%cmeK4N$aijAV5Vmo~dt5tslnW`{Qhfw8PqLXJ$*ngAq16=aQt`^P z(<|TXCGWKNX&5+)y9AM3d5>pv>`m3Q9+V(}<Np<(_!E!D0gi26z7g>g-QvJA9MRIC zv@N;I=Ed$&1d=R7Yup?-P2sbEYQ-hNQJ+SsC%(CjDqi?1m${NPr`2ApkdhJawl1M< z;g3cp{`TIFmXH%sYg7?hE_O$A%6b^^o6%{3z-S+Am2VIY?@0pKh65`{U?B0%p-4xz zc5QvzC}OTANpctNPCReECM+h`V`8m_JbpmAIy!|Gs=v8nrwPnKs}4t3mAC<)V=fUM zC>o#0sOJczyf@E^O7cmJ#_G6y$D3%(<?7I;Gn(*7nmVsjoftOs9aBJyzt*6N2c2pp zkQ4^w9hY2`iH+hkz$;%q0nE>a0U>u}G#Y}IG)7y(3R|vxyPK?1^m`pbFh-+z7wshQ z<q-4`|AA4LlpfkH8JyHf?FK2%UBHj{hNdxExIah5B!!WZlAU&dct<a^ItMkG(Zpym z;=Grgs7@c}gt<@|(w)>0P`Vnjj;$e1rmfhrxl*IoXudwp&Oa~wBNBm^aAY0`)uW{e zXL%}?V*?C!NhA*v2??&IXTt_`B2tRYT?D1vwzV3kh#YQU$eUxzQhmlt+S?7T2bFWL z+WqkyT1^J6j^&^tjvTanbP6AWAGQCev>?RRTnf^k3*xmfqQDjuMFK&IMsfD;BuG<= z6ZPwl#6@e>XR!$sF^Y+&qO>Y%&nQS*RzKyBTLZ~*$ENsVYC}T&p(e8E(46yOJ-do5 z4N^xky_>x|^WBuI=;SQ_N8s$uRVTf!<Xpp160g8DEvGaErE1x^C~B|<)_-xz$?oXI zXPp(-S&wgTG)Bnaob){?oix57i4(v`gf-SyUC9L!Iq1~~T^w=1iPKGG5Q5TO@IOh_ z@G2@$i?t|n7A}RFBdL*oC{gH$uSiWd(^z^&k7twjz$0u|4r(m;{!EOG<O@_cSb=S8 zd2QLs7Rfmq_wo?X6xZg$fl`q+p&Xa96`AB4x-~<`JD;+O5qC_}2;NNQ(oQC@1nkD5 zi5-XN+QA8wGA6VJPN*zfbm3@Q<k<c6`$jQNGC^+$qrM(87S$i1oIdUgOwH=h;yybk z{Un7+C)Q@daA3ribL=e!cYjc1uNAxGYMihIdu2_?C<_euU?lAG=G(U1k(&@J_XJcT zSKc5MSLP$%Md^0Jt`GmL-Ap?xb#hNn4sxm{kAnmD6i<teLRLK{Br4D@L$xN@M4<x_ zo+Di_-xX-1Gd}dfw)u&oBTQE|xY-e_0lexOe(G9r4#(5HlWfF>MX>sF^o26ls`T4l z@=+_!&k<MKP!8y)Bv|cfbn{I-S}2!c-`8p*(K2}69>GM|BkWHJx4~8boeafj%NYJf zM5+T~Z3M~+wejjtKZg(Geu*gQdw4@rR1~#`qZ|SX%B1%#L;={sWXwcfp9~Y42sMY& z=YNF@0~4~LN=tNLt%<0c6W32Dx-74IY@JSnB!-K~YNBA0l4||RMi@CZWk$KT5l4)w z60m4SsO!C9kS7EMVOG}VC=u|W?duzp7)TSD{IvIYJX#zx8D*BRN=`}W4k-0FXK)j6 z<TlEGVh#mT6Dveg@s3lIE&6pBW}Pw@M~xh;bS^~4gn@}j6qt~Y`zz^S%P0gQo|aqN zAVwMfwzf?Il#R9p$seMjY<2zB`5I*Z4OetyY2+(K3SDFKGvEvSf|xBqsO=F?geF;* zXW2Jk96NsDAZG>{^EnhnF5~<TUu7`V+^`3jnux`nSSY;?mrkEE9Oy*m0M>EXZ{=`D zOp;+#_YNu`4yUe$5Q9-aSL?~qeM5rDHHjIN*x);jiV>w=1q=7J3>0)9rJhH@qX8*7 zI-NWTi5itenoe7TK4YbI#wVTqPG@NuBUV<)#)|VQp)4K!`M^$)Hm}rG8=%X=$%%T- z#nd9OP!q?tafXT1>B_o?Yy#ZH5<0$nNz<h$PE$ohww7{)I6jFhzuv!%s*WaM=HTZr zL827rD+Dq&p{zCCM(Ylp>hd~7Ec^goU5Ds@)&UYllbV1maL%XfUE~t6$Rr!nJ7lst zNn~~a1tYXiAXk#KLwkaW1{`vCMi5SnVaf^Ab%FrGx>*E?V@pH@8lbcuLSIByHVG)B zDp#CnN;&WlO5{Msu?=Oul*+o9GQjdUiG{FOhq8$*KBk4(G4>f$e)pI%=j(PZQKOdp zqE?C|Wz)XI4~jjf$OvJJZDcNzz(oPQ^-gBi+zun@7sYtpnL7hXDES6W%r?V##P*&G zmw~Bh#cY|HMG^|yH?S1U1<=(OV#vJ)6X3LzzM$gSCf-QFqV&qv=GGf{M29f0e==BM ziBW<Ss|~<knZ>aIkmsb7Asq#=Ou?FxqNz6bOz{QG2JjJl9(>>Zj?;B7A~$75LGD(0 zEieq?T4bXUn!HG}YR{DvOOGIp$h4S2sti!B5p+=kPUwf?3`m`76tHLmVpB+xepKb= zv@6y~#=?Y^0r3;HKDWrIFPt=DMh9IMx^+ouE-J@X%W#5$>0bOxxy=|%FXF*)aOzqq z#)V0#VESm*48|QB>siT6(y`nmyEG?F|Csb;PnhHsFuFCnvQeBV<(VZ&B>nKPxX!fG zvm$$1ZaW8<OpQ+Fo|2l;aR#6V3Sq#kX!y3rD{-fb!v-JuVzx$*`u5mS6=iUcu503Z zXit!3$A{8rt%doZ-(jvki87FPhlWmksmoMN{3yA|Impm4QZ0wdnh9y}G^CWxF!)N9 zWqu}0fi!NGbF-nh0311U^)Oed-D6NJd@sRC9WHr~+2@oi&(MT6F}!R@pF``$%cNeG z+JHog9E5~9AKgQ*7=VB<FAEC_z)t2DQ<=pRM)Esiw;Tmi3>=e;p~;kT8+yG0M=X#G zKpr1hEFBv7ZbsjY1bKPV(P`2-=G&2xDm0ggwc0pi@L-+jJedo@xQiT2KXRg6YWaE| z<J?Tvv*1xDTpT$lTD@G@jA^xA)u76isR4V&`+9GdNkb6OjxA<|EMGBdJ1||0NW9yH zTk?}Cc1;dorG;)v(z>XM=5QuYpLJx-pKJ_!klnP#1A&S)7vH&2I%R@IDk!BHUdc}C z-a;*$ltqN9!7YZ?jNK=c$zBT+3SpnC(T*{8<GS8yfk|B33gQ^`1{92dV|tq0?0IE- zb)Yc{VJ?2)W|fr5{dWqLP=}2*PM+jXi=(%OPld)zh^1MIZZfv{H85jD>Ln>`djR{| zB>xHyTs*Pv+=P=SWa}#P(d|8qG12NjS;ei?Pmw>6k?_PG4nC5vNuj@3se~mgh$*(F z+7Lq#NJUIi(S(5|<IuGd7`FR6C&2M%)ww#@r3O}eIky_t<yJW(Ql_y5+o4gOHCg!U z2}#N@XMsO35udhwlIU7&=nJvVs+Fm=#lX5u%&Nk;V8S&7ieIeIRbH+?N&IQhV8(hN zc6D2?H{gsGaH_qnxP{oQP@1Xa)9zZZ(=ZUakZlRKRPc`SL%*UQ9r_t&>3=k+1bIF? zO%wo@p&#JG7SdzpE+Z%b1QFWaj_?Ao#`2sm)aby_Ygln9BQ1!$t4(53%$B(_rQ5d! zDDVuclgMn*+wxJDHnLdNCoLqG8EO%^(F^#XPneO@RQx8)SzN2dlt=Z&3ocx`i72uR z=K`$(HGVXTmRX8H!}ucFn*k{;9RQE-_VFa6Yx0eBGSXBeigH!*Q9#6SQtz1KbB5YB z9!&}|^T$MG&$*`}e?wCE8Hu80)1jlk^{sOe3LhKNIGD(GOr!oYj+^lK{+wyV0Ruv? zWTq%9XgrECmi0JWU<BJTco10eChd+C&Lb2l;+zvFS>LA!`BiTcqgS4w)I|AZ8QI$j zhbg1S1eXXWG_<T1&>$4%z)VUsS&mYaX>ENFs`vCxe$+ae7V^1hbeW*742BNmF#IeV zZOB>>dqIrZf>l%b0_*0qK`@%C_(98Z0&sG#L9{*)XFzbGoPINdN~zX>uddT&0z6>- zRU#a8g%`sFJx{&NY%b^sA%{S84NgaNgcfe(%pe9Hbx?T#4vljUH2T+Q$g03>fs#j* zUaX&32rGKW(Jehe?qsVPs}>MrD077I66JeBUF^|ybA40cD9R=Zc=wi_kO%0AEGl}v zIGALjR+CysNpy34SQCkSNJIQFjQn81ZGf_T+aOv4-u3BH%2}}`&z;4mtqN0kELR1s zj5%?HKHz&pcw)*?w~a7(JR_vfiR~BLX{R})k#45t0=-o(J-ICXh1ITejB6SP9a3JU z(b#Qjk8?F94^w4g7^K5d3!SWidRi-|C7(E7LL{CLWohEUg@o~Ii;6T5k_nkW;Sd!C zasovVh6tN6uNekCDU$L}*}9C0dC0(Em&#zi;YiWjBNc{P5Qg0(bDeyPf~{bN{u8pk zWVS4k5uF5iJ`5$DK{yr5fSzO^E;H*~oUilEr(5_E6?r_U8}chCUlxynnYw<Bz@x)? z8X0I)@Ml8l*Yzc-qJoR*1KQlamutxhSR8)pC~&A6U4rhXG{~AOp-sZ@xJsc|=y-tY zJD*IWD8TR|9U(_iW}C1=b$t)%a#h(dluYc&)GsadZo;Q>T&O1yJJhjq$@3CQWRCxR z$i!-&4GsjT4o?J5AfJ)RCqrxv1I<kw?rY}ijt1_uqI?A=7`ft`g{4(7OqpCKbFEW_ zugWKwP>-@sccG(hDRiG9^!6IH(ufVD63#pud8yQIq9Ov>0oqA}SQ>aTXvmpHM#-u( zPgvBVCMd~TiZ4+`@ccB34^WcRmLVw4DTgf2865T9p{g+el)-WQ;7A+&t-!XCZ0pmn z90GyM^jcNVG#1~|DEbtxF-fQ>Pid06Z(PN*W=o1u4L*p!hDN6UvzSzu;>iXfR^F3_ zO4A{oh{e04hlMF6kjRM@JcJ3&k#4I9qBN0$nwV-SQB~}K3mi>C-4lq2RgQf#sjD8A z>NKY_kmaRHrm;4XXOrY8UW*R3+=A7jgg)cQgWeQF%HE?I+<)~!(ds=00z{>Nu*De^ zL#VuXe*A^bljPYdVjHA^28<j5imk$7TSv@Aga$JDtq2zsb(!Rn7_F@(|3E~TRU(4| zSNnHp8AUDrKqQhbOJ#0ktDdkcNKT6kc!xxOWY9XsBYgG~evIFksH~Ed$k0H}SDdPP z&ciIv>>)z#lqM182DozGOG>4xEdmt>(jgS``K&4m(ZwuKNl@0ErpduanyiMD2*amk zsPG^$>wA=D@W7n6oT)<sLr<r#{6@HCfIe3<Q;a3aIBhVWA>PJ1C07ZK%kCcRU63nh z($`rc%g*!V<be?DIuH)_>0eYK$rpvYYd81a4sSvJBC*e=jeg;W?<~~+nt7WWr?RmV zSFJ)vO)!h}WbL#}%18PJ2Y&CWJWXYa#jt2P*T&~Z)<%NedEVR}pFA4c;uceWH>)(A zsn9_pmY$b0<)VMi0WYWQMe$6JnoknrAyvXiA750?hL*{=m>qG#4F}!$u83JgIvh6B zbVjp5y093cNkvzLA)OTVw{UpKqVl=u9Fv6^DPDZMj!xGhop*I^J3h#V_jH@@NbctI zZ!Z;!>l}4W$y&79KyHc^J&dN}NSD09$Q7dtifad@H1EnjSu>HD&St~11my~?W@$d( z;G%c0<U&IdZWvv0x^1wd3MTTcJE9@RXrBoeg*J`{uajlb4GyKKQz`^luTX&izYm5{ zHF3A);o?-;zP2|uSKd8;I<A#h#Aotlh0<S0=6$PI#2*ZMbGt{yxhFd#vaDD!+L?DF zqrEb!&;I*34P@lq{WN*BJ#VEfKe#L`z|2(j>P5y<Q8&3lD?I2HY#Ho^uQ+5h*i)I~ zuX2OomCQYKbD8jp>UJH0sxM-cl<Rf5-YKRWj7)<`q3rsGsPj%N`K1c)*f}zZ`5q@u zs}iIlIv@k7{12+eD6|;zaVnNhcOf^Ek?6{JTd&zT+8f5%Gnm`CRbR?yM=;+XvF}+@ z=94**vA+ArU)xoKLGBx+o=k_9ryUB&X$$r^>2(BXQSI9}lD+$DjlsXDZU4^nw$R;C zaj9yRTU{r=w~Z}c&)uI|y5e6p|I-Ig{Ltx}Z~9nt!|o5csVPV}_dsfDTTdJu&p2^` zLyXlb;+>QQfBW-0Za!h>itTGZz2f>C_wK!W<4<3Iyzh7K8~@eMo7a8tu`9lE=_?<- z>4rlaf5*M}Waql~T{ZfR72mnwrhESQ<KtIdb=vx9<7J=ex%iLH{&e<3YcF{DGv!M! zUU2Y+J62sjdewKH`T2Wpyy3LorC<Bp>2dbtXz+n6wygS4-)YyaDNcQ&&$6HQ<on#l z?9Vrz^+~>Hj!aDMxR2ejfc0GN__f*Wm1~#Zxqj-RuT51xxAl&6@1JhrgQVfF4*c!C zcdn~cHvG?RT^p}>=YpWRZHe6CGL<^ow{q*vOCMi)?S+rcUbKHfXQ`OIc>Si!9-Gem z(?h|%cb)#&?_RjQ>+pvk`|<E~|KsvIK6v(Le*Cwi&wl=-=4VzlulwD<`|fAXK6~AJ z&N{Ah+3IkCjEr4z@Pjwq_}+5!!k<4j|B65Q(zDrY@v^~@wRgH6XYX>|i`+E*-v#e? z^o|N|G;SGqa{046mhG9|mI^YJbS525N+{7EZrfK|zU`r|7iOM$Y|%4+{)2b^r|UkJ zx#5Ae)hXE)iK{$#8#2*{56ELqCUn`%KmE%ce|h}Q6)%79?yIi(*!P=v&(yAd{0A#{ zEcxlr|9;WB8#d4E{lt^k-f(ENqlQe*zIgHKgExKW^qU_2!%vKV;)au#Zv5y?>)yBK zrOlrneEOLyUp{@~rR57$CYwhyKmOL?&wTQeA35uc>R+#j7j+H{PJQr;*Ijhh;B_C& zOr6<RTFDCf->ZL^eSP$-&&ZX?WUb^<-@ARm#S2+Al(X5A4Bol!U!U7HRlB=(Ti2q` zBa@6g^wJqi{@3Sg519ki`#SFZY;|4~Ep{k1SEo{yTW?+R<t63I|KyTOf3YB1TaJtC z#=m&e9hqB~4?gyzk9_XT6NcXNt5aS-Jn^5tJO21b-gkK27t25Vz<o<^x^9(x<<FWw zdThx@mi*}n3;u0gW<gKt{2w2@;)WZSzxVKko3Hreo338}wQTk?YlGuIb*H;aWzxUM zkCR&PVdtVPJU#8Ie_%SE9ByRmJSp^$Y^~H|7*nZ2xx{XB_Vdo9cj{(izi&24_NFVJ zO#;&0U3;+Q8P{E!!;cF)YlU<E9{)S`q7VJ-pM!IoWBd1Wo*L&c`fc@kSWEipr^D5o z*kAl82bQo^bSQZEof^*>L#dS7E$(}H*G}EH+U$I|*<=qZ=b&-E<Np0%2=Cih+N9^J zq^Bvena{_`df-j`wGfyMbm<)hkm9(=uKPIsjs45RoR@ewS!L_)Slu711_o)7@+Ha6 zW_*QInSH@!-LpM5w)a=%a+*`o@-?g_*?XHxkELWi#4%3m>YC@bEhJ?=$N?*E^Q~Wa zaHzij+Qu-JUz&f&9~^^CfM)RtIdCb-kX4za3X=V6w6+2p`hjkam)o<az`=iq*QupJ zdM9>m8u4r4k#A_!PHak5ChQO1+qDzxFE5k-@(8DqjQ!h-r~W~6Y~Q{^g)g(~AN#a@ z-D-pN^v}+n!p3Z6*Q2wA*-nhEkjXn$CbOM~4jrJCn=kLGH_K<9`O4`JH+RV{Jy(;x z#Ybc!aLOEiH93BZOn7GQWx}ybKuTs0b=~(_FA<f=Pi0(XaYQD%oB1f2j2HHo_Z`5d zp({JS_rTsykB_GhVBb(n>q(ZF2y#9UrjD4Wb#;xOgiN%CD&7axJc|w1V?*VXl!x)R zWukUkZ<a|mUF!JCh@@uH$I65w|7Nh!n3D-(<hRJ=Zywj`?A$fBH=o}JGY5XvwR4lQ z#DPsWJ9dUQPcskyO!<5zzthX4Tz-zT0mq*Hsr}C{NOR@zqgb7Nh4YBc{n<O;$tHUQ zG&{TZfXbwXOt8Mm&)fNEA+>8}rZL;ZBJJv{?FG#er%rj9EWBQ2A~Q#ilfaJ$<x7F@ zP^(P3)pk|GwBFn}(INL!*?g=_wBvBvBmLCl$A|ZiV-z|50y63LGCA%Sq^OZ>7?RCR z&E|RpJU+W;c=K1k`gROk55A@ifCaRUI%G1Ql6}LV-byAapJbfuEUQe0I(L@mbeAuG zSJum<rMr|TfBsNwaA}R+2*)o~OnTS&3u<?`zq#+w@Z*eTIA{)i_I5VWcfIq}txsbB zd*J1l_juif^(aF?(oa3La6PuULyxl4eWsb;g-oa+c9fmiKhhKTy<GFU3xnOAhps&j znW*mCx6hbFCW-DkVWsM>V`XwIkGkN|aWbx^FhGiPw-VjeMR}aG)|t;MUU}9lSag?{ ziB}Qj;S^d4nY47*C6^rc3)NlCZnfP_H8-W2>&J$N#>d8ohrjw&B{bvdp|_P9W{f-c zm-PJCIhi2sxp8ttCPi*_xY@}UxzTC4XDBu74{O;{_FFBRz11w*9#wov9|!x#e%`*( zhfQ*BqT+jqXX=+8J!g?+p)<MYk$@~Cykk?lMd&`tx$sXC?jxPzSw2b;H)h3JY}-3- zXxSRJ(iNe_Nb%|YdR6f}Ra~tu`QT61w$tJ!X{z2nagH`T`8u%*RbJ(M)6G6MYHj+t zgUX3_!7Go3vnkc?kaHK?WpMst&!gEAHkmEn+wKN<jiYdN+imlqc8)==pPgy()vR!p zj@DJ{?CZ)Im&N|xem(25sBL(DwRbD@pUg>PG52cWXV^QyKxaK)@%gTKDl;0zixypH zw_LV7%2VgcbxQ9#Z2tyngN>qgj!8Jl#|qx(=W!*jp0n5&&7*#`tM%5BN|Ozn!QFb^ z;ykr?BpqL0<ZMKrp6A|d84KB#vx>6}7hQwhr1opISJe0o0trn|I$lJ0`$uml2_1sm zCU-xb(9}A3Ua-+jXlqz`)UzZ{zA<wzcL9Dqa$RAI)}=(4BmlOT>L`zVk?wO^hbYc3 z$|Ys!`HR<`+!=D7W5PQ;`8w!%j3-~K=Ro4>d5|8@%{uASeo^fy`=a-NSAMh(Eq*Bf zIO?1$Bz4chAGc3>7#*XQg@HO)Gpnn7`SD92#j=BeJ0>+<P;l5RpwZ!WZ>QBz|FO_I z&yl>B$l=$*)oOM0AOFFp6?S&*^o6OKDEoC4=FEHdV*3Vg8lI|C3<kTq_aE3x9@3(> z_A+gkm@f|L_an|cd|1zD#$;-2_LbLOV_qmHqhFUL*;UU!zkmNJr$CW96bfY-zd+YR z5~Cl+Qu~9TT_<c{(XPNg`KH=jCx5dn!mx%{fPgw(-9uwbMOLDAQOca&tIHqahH_fR z=Dx9RNnwG<NpV4tN~P0<GlQY?&g<+<tk*ZK-|5uHOv;hp!%g?6p0Zf`sq$ENnOQ+i zzmfvAG!InC3xM)}gG|ORfurUaC){BQIyA(*YBhJb-P>t3)PF1-C6lhR-@OnE)296J zhteE=99zvXwZ}Szk3gs#N+k-W&9ytMT&XhY8g3$!ef#!ulBYCZ`N>I0Cy_~K=Yi(I zLR%(qoX7+NLX6p{8{aJWOO=VQ3-n}PdEfX2`q2$!Lb<8^T8-8pmb9Md-y)Oo?xFFe zu!c<NG{|Iplc&*=$%|vh%lcs^_Dg7@<Bm&rd6{fF<&;7}=aI3oozna?>t^O)j;cf^ zJSX?E)-Q8BUw(bx8)X7}o<50MGEtAprUfnj_m5vf1&6v+CK_`!pl-NbZA?3Tf7b93 zNY*G?`%9V&!vFk&t_PobirbN0EKkOfgLHeD=%)+#q6D`pg+i&ca^(=U>l$vH)y=ms z_RenFG}g=ttDD(owlvFHcc%Nm!2(}oAy&(plL@OCJwTMT@cGa4Ws^iEl&&%vf8}FZ zA7sL33#dl>uO$->NB@oEWSm8om&vf^9vW|sC+kS=EO>5!wR9RZTyqL{^B2E3fHm{e z&GR-54}aqu2VYYjcS?c2H#GLR4p$n{bC7385bKlYpMU*zP>hp=DNPTxIhiE7>(|Jn ze2L1l3*F_#Wo=s~YK#4rGEwqMta-`4XP%sTMzj6&r#o2@ab_!(8yeEP3;j|}tyU_j zra5$|R7$5gV^crqflO3)aa`@#N!<rjcX^pKXHGiMJTr<CnH;6NP^gJaLUh-@eTnXp zM0bsMe+)i!ByCFVfA!U)WRmE{-y##%uwzSyx>k-2bFYj{&|SU(87GNMXhn3_i{s<3 zzPf+^fdgJ9=OL4V_Kl&`PF*{yOjtU$Wm4a6OP~7GfmhL8r8mk1{=7^=OOpliC)uKR zE1~gju5~`*8{N5c81=kFHB?B_^EEfU;|vy=(5lNBhQBw8%d2o7=8c_?C%gtkz``f5 zZq%}0%)AsX^Y}{m4)3<@9iIsMg>;#h=FVd@8kX*M*q_@dxx3N+2iRcYUs?F`4@I79 zo!9tWQg&2m@zSkfZ+}Qp%1eTecu4KT&n0fAo!;|KUGHx3es_yr%WdaZyGCA!@fAJt z<H@`lDm{@WlwKIfUu4%@;L8gM1Gj`$9l6C{xsWcRO&+{u!YQipB~w>7tSUVgBAm-u zi+PuY>sqc4&z-;6j<@2-cKa<8nlFqKS318m_^}#f1lvAbZ>!e|8y}_|AUHp9Q=?UD z2<v%{>Z+Zjy4W|4!i3yBT5V_nu_WoGI2UeA%=fPW<)qRwm!$bpea?;jIgQ5iruK|6 z&q<&8lF{u3-ky-K=M6`&LsZ9~-x!NiyF?9pJ4kp?*Ers(sU4!`<D5n1%{ju3_=m7_ zOz<WkI`ve=;7|vp6Ztm2QsZ5PutLS?)k8|Jq%+hN!6|g|3tO&+75s}AeM<c%up<0> z=)_|ZuU?ggRZjvY;*q;z{B8zcijPpvG^sihPbMCJ)rh0O`ecshi;BdJS~TF-X*dCj z08aYZ9L{OD^&;pfhS>7Wqj&yjAJVFC!e1L=2v|?iX*A#wS~nYUAwixip}dnKXrg^k zuOVnrQdUFy^I#J^Ut?38LwKO^(X@I|CK_BEtXh2+AR%7TS*}3P_9Q_sHSaBQ4HG1Z z6k4zLd`M$ju?#vNt+hzCyt<d61vy-+e%q#PIYB@%`b)s7qZcH}6|nxwWsXi8f!iuX zU+#K1N$+)YmR}MMy`wq7@h@lSyZSbuRz(I{g#BGI1e0P6sY}#DU4`Vz-HQ(q4qF~J z2M}q=rX06;Ots6mRzjb<{6_w5U`gB}Ydo<ebBlz>_}i>w5aLj5#OeK78?*%A4ShLb z3p~-&J^|iVQXh2w+7?qQg|7js+;@>SE@{rW_vc5@Z|Q57;aagvUs8`YwTDOAp7sqP zVdvY~iN&u!$M4OcBm&~xQBj5`7~pw7X82(mqj7NH)aBKuQ5Vp>MxwbMle~e~H|e@L zMj=otB-4i*dIK41fE+ntpFDqlM%08#=m=Q6`U9Zw25_x#TQsc{S^$u22bjyIiC{2! zHEr=EbV(c-67y?8%g1AmHs*+HTV8Vn<$Xyw@LBLL{UflJAAWwVc53kjN*U4Hc@K$B z4z*eNg+7n!wKiyeeMY3P+<0g10uBBxVOp~_rfKk_O7e9!tqjI^UpuJSPWIrp_>9`6 zu%wibpTeN;`N<{#f<|$^3y2dYI{X1HP8*Ve4vH;No)5!LPoo(zJWN_BwmO<j81e~+ zti?AURXNGRLTPA1a9S(l^Vc*06x+o_X2LV&N2x9ev@Cs%>sx_AXpp8QWSw64r!-mm z9NthyDH>GLop^a|`$MW@`3azg#5pX4vZRBWV{?eU-S5mArJ-G-5l`nW8oG{uf?P(j zPHZj{Z-j481K00|;9Kol8Ewf(<4l`>xecs>jy8;0Wa2c5c}i~;moPFw`N@Q#8q?wj z*wdzj)QiiRZZMn72|-lF&k&*wFl^ACZ*64RnyDDELE5ooz^`<=@%!GQirfarL8HM7 z`$iNTZ+$k`IbWQ0kEAamHK2uQTLwM&MjQ`7r36n;l&nU$Ab_;vy*s4+Zt|ifBJyp~ z57!g4D6F->`NHo%(FwkuUHSqX-~GLjhC7|lRSjuTsy~v{n<7lnNgumPpB0fhh1J+w zP<Ma@5#*d>lcK>sA$Goj9NQ%;V}s9DrX#7`>B1<kx{jz;t!kUgXw)5{v$q8!km7S2 zlCJd1$f$p+Mfnh`n^EW1PAf~)#A)}b^f}H`a%CqK7KSyc?IvYkBji;+?Ohd18U^dG zJS4@Z^QOzi)o?Ty^>sMZKwdj8!)%hdal%TeE|M3$cSvhD>AsW9Y_=PaTt!>ZW{9V) zPaKJ$m(J$W854!;YPlT|f(qHsP>d!65@f`ojf+S^g01XDuF92BtUHk`7Mxr<9EDCf zDcVYyo;Y{pTmv!+LOa5a90raC^#l~v$<f*DT`N)LTv5b27*M&AjIC&NAXAcJcVr#? zv;X{VHc8~@*mknNXFzI~)_QU_kDW%?ZKRi-!_>bFYtE?0<R?Zkb8zvURuzZKTSm}y zy>ih5te+Wb<?b*<dU+d7(m>=IK>5Ijfeo3Aa?5CN*nkxYEf)pdCB&m*Q^pq&9;Fg7 z8ybDC)h=|#hEB>mbl?Q%(k`q$b1F`4aeqic7#3-)2N*{#?Wn!bk4)ew(#?(7fMG%o zr&}kbNC>GqMl=G9I|4OP?DHuQMGxys>r)%rQn&+jI{Zf&GuEZk28=k}VCLXrHC+w| za<C^w26*JOAEZ@((92u|^9oD9=^d0pq9n;=A}3dp!h(Wiy@$Q1eeCyvBz4#t4uV91 zQINJ#SXR1kV<)PihlP}Ui?5N3s@l}lD>fy`hq8PUD^$pbmMgomqqp@~S|u3l9pH_E zV<2;u2CTug@~nXCv|*H>g7w$dCD7W7fuJ@AL}O%1kX67aeFQw{v}%5<(kxmH)B6my zrxi4l&(1ZXfNAsv+D4o&j0fpVDj#(dqBzO3T?@x;z~&&(N|4+1b?&gfUzh4~F1jkB z#HgYb5W}|+16Ue_wqYQL`P{pe**KRr@+kJw>`Q0swgh!0Wp#FNN)~|21%IhXWfxe} zndWLG<wM>};f~_NUO90s180Ua8j)~qH0&KIqj}3)`7tVV_pFuDOVTjsh%4SfDXPAs zGDNO&@%*r)bR@94YD|SG%YM-YQ&=*KO~bm?t|OD-2wTJXpgFrH$qG2Qj9lb6Y)gZ} zPOgCDa0x(8J$6~7AqDQm=omOI-?1v7%eXQZ4U5#-$r18`LR*!FV!LXJa1p7+w0h(X zB<Qish0>UagQpCtsZbofC5Bzw|DqW&oaKSADKeCELla=f1UI>QBt|+phbXsU!t{sl z(z3+#IyVq;99fU0baB>zEaWApu0jPh?}9MUyu!TCjxS{q$t-@GgsVw0DN!{W1?HS; z7%_;%J`zuI7OI4XZbiDSE;7uY<;d%TsA?w}9jJ!#K$sDGi_>KSJMY6Ntf?|_G(sFj z_^TufP)zU=QDD>=-E+Hi_6B4g5T`qmWnP|ES%m5;Ob1RsPFbhgx!e|#)aW0S?nP(t z__!KXtc7(_;URe=UlzmH)Ud;~LDZ*{G+!LxTEUYm(1c9F60I;I(NiRfC>gKg2M2J% zzo~Q8dzfkSRn-V7R07mX+Kc3Tu4Qz*J-1{^X=LFyPzT2s%ThQ_Kk6yxF=I!h?0zB* z4MWF6gYlDzPHqRNHXHCP_%JfX<;ahxNVRS0z)AXeq$0c+MIa<MhU`lMKlG#B1DwTm zL8p<b*a&(#beyVEF%3bSnG6Sdnu<hynQ*NuaYE27a3=EX*tzJA(j(<UCQZv-FyY)N z??qwk#8RD&aws@iBelxH2sX7T0!OKfIn<{zXldgW)k#ePKXEX@F%m)4pObS)VsXj9 zs1U5Yy)?vF*ro<_01~*C?n)d@t$G01sxoB1CLhw!@>ZjSoH)!#Q!XFoLF%VAa3(q& z<3#l@$^}bUa$(f0S8@M}Y0coItk|)5yQ=zdYHFs&nKI$vZ7WvQNDvMN#yDdpi{$S_ zi!U})CY;HCD_@`5PH|ERC)_+U<8;xSm->rS;_esOL3fp&c(Ni>&=o^flu0J5&^fqw z3>Z|*O5rpl3Sm(Yx(e?&CAYmBPK$ajpsU}7R>BC3^Hfb7@$OI>gCuU4A6z^;Xc;F{ zX3aF?zrUkS2?NuCO}h#zVY&_{wOeI1Oc+^~jT&K{Z+cW3&fHUlH_L!}yRnQOzGT=v z=o+$x@yO{_bqAwG_+89Ah8D4t2nGJEZp$qw`zG!;7<h+4okRMzI*#gWSQ{Btia=zS zNv1@vk~3s2{gWX-2_0TUHl$|IG^STySeuBw_Pu#0LB3=RRB3?Th<KKhsx*2DKZ`+2 z4injbmdUHlL|U+Tm7s*-K%a9kgm%VAHkMSQPPxnwymBHh?dQ&+W;Re2xiD8jcj`>@ z(Scr>LRmO*Bih_H=+8!cuYyIiU830rte)!;(Y&djiIlA3qKK+XNxfP%BNLP<9hO#Q zOmCGf+f&BPqzgf4(Ljj1bk8|C8f>~mYh*I<#TIqZL*ta;mv03+3`wVUOrCan4kk=& zI!4fY(Smez@%(CZ_x)&qGznAKjnfZ%)^r;}L8N(ew@hv~PO1+62~lAe;R!{-vD}6~ z>g&O)()@NBq(cTr#eggpVw`#y3`#bYUk=8`Jp(UxD20ZMZZB7M^L4IgQMB|_R*jdY zR;SQSyviS`AX-R`=44_JrhiX@2|lghat`!_33d!lvsMj?pNQY(IvEZ3IQSVg@&I=Q zf%4kWxhSpLanRfwRnaw!k~-(mDBt1kw5*-%uCwxvt3<GMIP7<#%yPS;4<%Ef!!O7E zxzt>R5f&QdnX<#k7HR5A=!_p(;azfNJe?~Cbi1x{UYV}>W9lZOGmMZ+f_&PE-N86G z)rA*_OaeQE+LmOr#HW&7bs<g2S5E5kl%bjQh;by5ja<**q~nfAGI!A(CUT#ByTN`j z1_fxR??v)JtMyffz#&PfpQ8XSmbSNnegX$yCA6B>CksiaB=l!|ay-Z&6zH>{&E6^K z4d>U<G?)$@9aLJt1Z#vfIcrCqi>{7Mk0BcJ$j_izvMGrmNY+TzQZ}?XJ`Gv=Fg7~9 zi#zMF#4lzudAV5fISfG<r8={)%nMDfXW;5HAp@bJ<UV8~`YELrut$aK5%>(Plj9*e z{~KO~#TER3X)wXjV(<p|)(FgkM(X&{0_{fs5iE8)b5Ob(ul82H9j(v^s>VepS~Wkc zV+J0b8al74X<DMoGJt)LB|jT)dh?niG^~eLk@I$>7Y74g$Fm61p6`O3<^GeVkaf8d zL!VnrAn;eBH82Mt1MN{#E6@)5ogo;Dco60*;BJ;o)-3121cc3LSN1b~7ir{x^!zMk z#ZN?X4t}kjzvAhPZ(hc*=Jrc$UCIw>Q+)5_=v<T`qS16cQLUHn(DY>Ql1Dgbt0=bm zh^maR<oZsWZ{)>dH4g2zQbrR??oJb1E$vd7gpUb{zf(FRv?8OZwvm|9ML(J{2u2pJ zMJ<is0VYSlQ{mc3#2HSQ>3Gpt+d#X)$i=!`07mVVRwmMn1egmeU{p31>O06_Wj8&^ zYG<z2hyy0#ev)uVs}GSu`%Z3_g(6(6WimI*$ru*2Y*{f7Le8)NQawiP>7te_S~a2* z01YHpJf6`(k7F)qNiNQP0F}<L-`G1X9fhbk&$`IGO)%xv9G0kQy`c}MQ@Ige23+YN z<BnhGv^*;4S9#QPj&9`&ou~-9S42jlF)TM7cT?}!x@44*J2*9$9)z-!TqBfA-zLuT zz@kvFJO;$nT$qzqm1Ro><AHM6V<&|PDGV*#^iG7upjeI>G<4#%l)%$0Ra2R=@&eM1 zNJBC!H~=+iMt$Bj*@ORlk==_5bjF|*g%Vggx86lLq+=LID9>s30;!OwYo?nfk<dOX z*2bCQZA@cCU`Gb?3@#q`L}|G{OiO_^{<baIxUaLD^prKq9Gq1N@NSJkfZeLn5kLu3 z6TK>EkrW5o__zvwB2_`qcR;E;2~AloQiZYtbBFaFy-b8s!eRYvR!Bbsj<hO-Fc8yi zLlfRZERl;{KIU*d!+b&z<sXSP#To_F_-wzCTz){NT~yKgs@_o%Gw3aAdvoC<QaxjS zE~-}hOdJ@^tpJxHnnODujQN^a+QmmNPU*4$8X`p;63U3mC<%c;kr`GT9JwX$&N)6P z=TKZl(ld`0;*pZ(yxK5mIP1wVsZHprih7Y1%L%$T^Cc!;Wmy~c3um9Wj&p-fMz7=t zOwwk7Gx*4(G--XCj<zbDz_9af05kyF>s)mdB5~dosYiArO{;Y{3Y;TA+qNK5pJN26 z3q+1f&~ov5YI^*q_b7s{p<~8(H0=bmi*Fn<Nu+so+_nG_z(*3W6l*nwCAT$^)Hv&t z)5elma17j>izZ37m`fS0q$o$_6`Wp<e^#%N;{rsEi-Pl4NCU~$w{knwOF5wRqbeS( zvMS1p8t;U@zBv`P^<xVJs=!0pQzM(tp(u1e6J(2!1EDsL8li1uEUI<;R1j*!Tf?`? z`I&HV#6hyEDO!}0#5{%Opmsgukh2bhZI=X<XVF7Rcn;4Qgv7(7Q+<7lIM<8c1p4iW zs&kXU1P@Frddol|!~`&}x&bh(uKg1R+i4(x-eW%1?!BN@Ujpj0Hpy#famxQN$_uR1 z=TyC%MR*CObCl`%;Kp;;n|W--X&JQK0-7dH{OH>bG%%p$@Nhv})Y05TJESdPayzD} ziQ(ru2K_iBR&iYTpA!d|)~h2Qt0{!lg5<?_GXmW2E*rF{`Q`1VcADlGSTR~!n|9Yn zl@1o$+>mO)O3(PK#6QBvxzh67lv%-P>M{>D4g5~c^LtyI)dO!cj`qZSy+=k&Sf{{X zU3WfuHhaqoQw-dO>3W=9827n!gqjSTmVaIkci6H}I<~CM4xG#8#oo(K|B$SZzUl0> zx+U1<T_Z9mu~H5b;6D3ezBfKYrt5*tNuFug^iHoXXKL4^rb;wrnw}u0%A~{k;(B=O zS0zrbe^GmL#Z7Gp<1O3|`eqH|tD<U*@E3Al#3<~!bPX%GzE7gQ7T|%B2j_z9lAi>D zHF1FfNi3^PL?1|{7hCZ~lJ9riU(s6A;rvWQo2~83uZtsFDrAh|5o{_LFrAo+hf&|a z<nI35iPIso3L?AYQd^Fe=8hw4d-k5Bjcrn{l(($C^jmAwAzj@~gVYro3pz^9ErJBf zcVH@8&wN{g1w1AUK42=*9gV6yL5wpIZqV;GMADF+j>S$GkK3dcbrz1d51oF`BQibO z>%^j_Kj3=Jf4@a~-VwTJfKO%LUPT>!U+K%JVSJRYEsa(&%8W`s;S)bR?O(((hzn@X z9~I34Sz%It()STrdk$ayn4B`-jLN4kZ_LZobvCStdq-!V^b2-P%+VEAbbkzQ5}5{# zcN<SO?quyANY#j&uTlc66i1^^>5Xb(0{h9?2MV249^<S+d{sJD$0IIOh2+<uIXiGq zTDFsm>3m+V<cYY7Ca*v7JMk4MK3o##N|`=-DSco?$Z;!tgY#Pwtu?FU0?93+1LuwH z&l(<J+9+OZNqOFm@Uh9f3E<K>WZ}WLMdFpKx90~_j|LJ|Zu=e!my4FLjX2s;m3faW zx{EJt#`6w;<sI9<^8XH2Ubt=f=?`z-adQ`E9?m?$IJxcqO#O+V@_*j;(w6#vt1Lcc z$+jr-c{yOFUO#!&<>xeqAA9V7-23l`A1OZZz#o16-yd4C@<)$X*S|9}Iq=|Q$HG6k zeY|JMFIF!*VcqyE*RFZb__c>`yyC|<{inhwK7Q!O&m3NI!4)^{_}T0$AA2Qt@Dm?D z`_Z${djG%w@}@Ix+|>7XJ8u5&H~#tbgO4x&m+`-SrF{CM*WdQtXWp^k(YN)xhv8$# zpCXg)=Qty>KU04$klSv1Df7^sLFKmFHf-6k#m&#i0v1K#g;%Y6=EBV%zv#ZPGe7>p zMIAr>)eRrn{p}kL|8Zyj^uJkha&_z1T=sjVxbeMPPhawHCyiYB_4$AOTE!FxPW}A6 zCB4h4TbKXq8D9$@`u@%pn{QiuX=+7o_2-v#ogFR61<fVzzG=Z(m#u9sI_t*w-MHcZ zns>%~I%l3deZ|_ZpZ&$>-&_9SwlybBEE>$LZ{BqHM{ghg-Q%20Rv0%xJW2nvj2l7Z z<-@@E<L|h>ck9-#T^!r)C7qbGGN6w?<KDa2IbON1Id#*4t{+~1!V9+@*nG+huipLH z&;HZ*&2!K7-geu8^~;|t-~CeM!1v>Sdba1pCHIDzyMliloO$4btCoJd`S`)Fz5b0C z&VKRb4ZE-TW%CD1-+%Zo9zNln?{p8m%`r~4Y`*vQW*9FFw*L60$38xG>E;_ha`-FF z%g$bV_|Il;JpYehKjHc9pL-@V_~qse*Iv<c_QZ$x9=d7m6`S*a_sjd9x%&H?F8<l| z|LgG={^hcDk6v@%)b7pW@BPPX?ygkM{C@m@KgV98;P~6zr`HcYd(rU;j~CrNb=!gO z4}Sl-t`m9}Q0>&{C!YDY&dsuXIQ!mD{CKInaOkG9zIN7iH{H}>mfYJrb;lQ8oeZyD z6x?~|{0|=f$(bL%>6i1b{rQ&cCCkj+w|(v2d?}EBef{;Rhra(iJDa!ml^1rD*WdeR zOP4KTkHbyxd(Tasr<BKje#e!cIrV+--IGQp*~t~B{^b3qf8)o?`!2tG!QzS4D=uCC zAD12ehqH(8JfS5McSI&CA1VL*=Qq5v{_p>Rx+K6BPY+#P7&2nHm(O#?nPS+2T-v0| zhgO0lncsV=dp(15yHg&eyEbL#9(xYwAIgEc#$myD;oIevL%;gf%P+G%d9TQqn+Fdj z=coktM`Mrw-Qm}kV%Rj)l}>fDQL}4jck20BDPS1HSr)1NGqc^@S$|IB!GleXw`iWU z^Wf9Xod=uxSNg^`#?(H`m#bxGzu0DN&Q0PV+%hp+pDsvOVK}kTVaND*m+t2uN@LGM zp1CdVOZDu)u5`K!e0O(OlM_fvd9+`2oQ!QIM*RxdMGmPO1nf8`<Siv>ufni&rDmjC z8ifYY>|KUD|K;&=0V_`}s|7xLJAm5_;^8ClE!!pP#$H&J38w{OXrvihGHIxP4z#}f zZGF0XkH`7?*Y{j-;hsISvsh{o?&|uV=e~XBmBL^BmD(|N)sV@)=4^5X)Vzm}8~g0- zXTKYn3?q~ESZM81nY=Ko(&tpay(*Kvdyxs7y*b7)+bsvW>&T>jP_naLCf;Vwm#Z~C z@ym0(wLM9k`2FzUn(Ge~_BD}-ZntOuugWBq8r!rIant#R+u0JCtX%13LZ9iJRhi6q znP3fYq52iZhtjl_$kR`InQ+JrnBg%>ka1+vJR%bkQ9^lqx`78@8{Q<7ZW(*{;dU&W z&s%9RVMHb~GhJ8~w$JBz_E}nL-&|VtXnpy6_-A{MmPzBr&E0!TfX0oDy=dRw%opa* zr=gp=2b^Y`J;j&v;r}=eE5`*PW@nsJwQ_xT^WcAU?OfVanf%}fjLp621F|o*hb`dj zzQ-soyH|dKed+u?Y|rN`PaP-6%4F#qE12-8tm=Mvb=MylW+V9UIPFZ+j3Ww0!uaMy zCYv^E8il3c$HrIs1UimeGGX*~jkA${Gcxf)(SWAM5CZyLqyz)?Gw}#kHA8-!IL3)j z)GUwRM_aYqS#!RT<%J86mI<eK`f|p`F!)6#s<e=aZVRV8FB5P_ftQFhPyK_vN9(S} z)t^$C9H=J}`{|mukL3?te!16O(D`y#xtyFgbkVDk{Fg_bc@mjmLb4LwwU6!gOVM5X z3M!MXM*2Y0%Y>syH(@-A?s_G=tNAOH$-yBnld<N}x~l{Gt2co12ppxmhSOchWN282 zBE1mZg?&Y$yT-=bxpkZjfgML;OP9{+u9@gKwa4(f%eR#p33&QwKV*W@fMUjnkO@kr z*`3G)9&}eda-P0#eE2uX#M*_N5B2cFC1L4HtFGY*mD0zy?vv_b%l9aAP_bptsIG?f zd_;6m>}~tdbFHux4d*Vhekk%hQKzAvKdknCop^^9t`qh)f=7pW?7?ma&M<7*DYB+y znQY`r-A{t;Tsypha^om|64So2u=Le@O0N};j6eJERF*B>p9N<%P1{Z4`nla{*%A8l z7vt3%2=mBnHD$oF*0t}_gtq4A;U?*_)&3&!dS4l7U5wf{R@ELd{+8yCBWbk(ZRF3G z<P1uW_jIX?E~Q%Zs9Q%bd}+B{Tm13irr4^zW80<eFYc$Vx%SzF;=D}S_Kdl6D%S;^ zJ=i**5w~`|KOeDm4x^rz*mv!}e2)BT*BEZV{tz}Mx%nD|moTwg%s85GLfIgEJvVQT zI3K=Fwk%FdT)M`Wi_IS!ocbcpF05|R+t-%OpysPwr+3vGQp(@AMQsq3UJ}-`7?ZON zdC&O&Xx|d<nIz?C%Z4OzjS}{RzU{BEl~sLF-rF7aVSl)xbv`3@i`s^2tEn<5U1Mvj zSgVH06X9NO$B3Pxo>6&PXSiDJ7U9v`H}bGjZ8I-bp4;|}nh#cbHx{<^3`Y92#oJs` z$ApiKt#U-RXnAu0oxYQ!u%~lm4>weM3MH(H5;$7l%_aY}9=<Zc_??+y_%?^j7dEqd zUY<Sqd7b0C#+I$@Jji-(pC7L+J@Vx*fA^_9jppp-jOBd?u~pwmeAli<et&1@u5@~* zt_<|tUJmkY)>JjjjPYR#zWUn&e-_$Xve?6|;jaTd;f~gmW_JC0<|aK=m~(&EuODxA zeKxheAj6F9&TpbcmzFt?ZER>W@u(T;^7>3y1fH_G{9zHqDbkmWW4T4svqCvAT<#vC zLhCs#*3(Yy*Yv8f79gxIFtc)4B``ZS>*o_yd6;f$8h=%@ONtnhI(N2>&XLY<W*?kQ zmA;UDkR-rcRyc`zi|gTadj90h%rGSmk6(CUw(+u@{JgeY*VtDc@8q=W8R7w7s4nE@ z#T=1b>TG7;PmZO-kMG5}uGYvmF28)&PGsUOSxcoxUHBLP6$LZP+2)=G7v_68RA7F3 z6CAhlO{h6UV$YYKK_+TXizS^}@UQo?_|PU6W5@(<noFg;PtPsfOirC&lQRspxjiYZ z1Akqcnw$1EFB#u7u6RA!d3bzpb8HhbVb)h0uEeITdBDpguV+zrjXe$(wQW>lZJ8)J zl}92I4hHn_fk~A~XQM3>dVMP<iT|xvj>l7(NLuz47$*n!yxhz^d!P<qW6|-44#L74 z#>s#Dl2&P|Ob}4FuC~uSbJzY?_IEbB)A_vG7SHZ$&g@~F@ZAskH(xJN5-p%c)JbjA z-Uun4t^7Jj?yd4Nl-0W@x;E^k0NSpm<D?6j$Tw-6F4>n}ImQ}b%#V}grfg{33yJ1T z{;2U-clRZi40Y+fnU0e}*^lJ)l;g*VUunrNela_q<w4LFIGmhQ*rmA{n?L`pindIY z-^8yzcH>^w%eStOiE6FRy~!gXRu9QqLeu>3Kyxe^Ctc~;Lj}gk!N$u&Dif+VcKcr( z;ylbZjFaa3SE>DCGERn;4(;kjCbj*qG%jy;@7%OWZHv83>O&Z%A`|^U%rP=K^USv% zC!K%(>-lYuli_TNFOBFy*<Bposb`M!;A|`zC-i@?Wn`jyi!;pWElK}moan$gN+!** z?x9OA+0@0IE_Xl3WPI=5u}#7_f%Y-u1ev@WGtIHC*~jf>KTb9uGfuRKWZa1G@UgDN z@Op+D(bi%ni1gX74`;oL4IuH@=$SUY<&p>pn0<|RgK(ME@Pi4x=w`L;<AJ7RK`Z_C zd5x|3b~*kTv(INp=WY8mU(_lu^HM@T(=NYVUORs)%!kg*#ee20aDjr-2(u&VW;jn# zUBUiPY3uok9$!e}7rm-sUJyQ)U85fC8hyUDedC-RWG=}^T|6E6LMwdae8z<CtC}Br zt@P1@{$*j1F|G8s%(>8^ZMSA^tmV$RRqLzZmcb?v6IO6v^p2j_sPSQE3omUqvOdAq zR`?IA)__P|K$!G0*s|@@GZJf%lP}6@2mZ<GsD|6+sQn@#@;P-Ru#sJj+b&P-92Fn7 z`D&M6OYl6<P2zcVdD7o&N`+;KQ=@FhBiJ2ZGK$di5?52Uw^NK;F5%Qu36GVAw^w8u z^I_+b{DS?W(ouUxqjl4;(s3^)bk&a0w~@wcIW2nFIr_S%E?B1TYpFvkeJ8FX-0A7K z$?I`7d_DYmm&8ZFYQGQX!jbpU1-+|1)|ia<M+pi)``4&9t6&qF5^bFk-9;mv`lw!C z^#buzT=dE3KuAJq7hpBLK#zKAx&aZVuk+NeF$qMrU6vK6D5Dp^XgUwpUvrC}A#)oh zsD&nokJLtE{rOm$W+Kx3b}p7Uz$o=bk`pHZXL0ps{1p#ieLC+mdToHQ`GmQKB7EAs zgj#dqB}@yW?i}!9t?HxaFrH_c<QPaLWEE$9L~>0iD`KuCe5^7WDk{fsqJ>Hvt7%tD za5OR`1dF^QJsL|&56Zm4F_8)Cn5*@ncB&)<2f6N}%W4xlDyL2Pv|QVHePpU#)i&Bv zbxj#jD@&~i5iDt4TS>|`Dzs>T(+I6UP$Kb2yCp1$B$eh@7)Tr`g_=+z+lp7TZ<Mf= zfNnYm>fhE1arfta&srRQP!MBVtSGJpt^Ag~y|6}nu9b#(AJ%l9XLixDIYhVZx538; z?C1an+eqh!PAlYfamx=8a<{<xa;H6E$cMK3FU8HpH%LVSmsGWt<rv2t3Hgy|376YA zlaMtU*4<Ta0FAba%UN;WV|-)fj3VbCSL!>`6k?4==%$T1fWzq|1+T>=nwDu^T}^{M zD%)J*wnueI0zn&fbh{`{`@U9Q=}bqV2E_Pl`_e-CL@ivBtexNuZt)G_-yAfpXg4qC zrF2Yc`^JKJ2d$60+YoEzjpx_2aYu0<we==(zM1?bB!ySxNy?;0X?#*X*Ew2m*&YxJ zG!5$9(`y$DuK^J)V5@1;VslmS9y3V3dWdNGX_5pI-;o9=u?@h9BP|k|4E5zOy*s2x z7bttApCAg;M&jA#WCBhj@TtL)(6S#vw+B^XOL(pr-LKFJ5P1|dyuV!?*NzE4)b`=# z6F-UiJP$so2Ot3j889MNIl>*}y}f=+o*^5-JX?c_Lq}vd-k|1UQ|1UKTZ}vSjxksW zYQ<}@oUG4~ICYVWc73C+*If<5RLICE2#wYZ0Pmns6AEETc7tWZI9wPBe5G}yw3E^U zEFmB#RgLXFGPvxe0uyCZV3WCGt)@zooW3z4mN+s6<q={!*mGE8kL0MN*g982;>pYZ zD2J-bIUaPvBKgz0H%y_tdqd4ObmJW~*keuH2zea6eI!?*0zq_<mfpasJt|v?8VQ$% zyoqnE(sPkGu3%2S&bA_aOOT8eewm&gknVVl4}@C0i`0XqXrz8hm0h(Irp#f#SPQ0U z5GMue*<l-99NfvCk4Q-d>EO<c1pPuD^c#0|LB+utn=sh+Mglf~N7KP>QziHD)Pp+b zNZ^7eQ1(<eC{lQCno58pLS+-k`H^Rd1c8^3vfaz-C?ke=W&mzAGCa=J?ID0GMv--+ z5{_6xMc;}cRh!f}rR=M%RS672WdlUyO1ZTo8{@vwQcn~byF=`TTsaKuan;3A@7ThI z5<}sZg6xV1*l`y|qq3Y;SY}10C%T_+H^-5C0Q#(`T%_*((r=;)72+&7Y7*ucjgp=s zhUm1WXwO_!juqT@#h9YX7%&V?8p?a){<Nd%OrSk`qGUpL#I7MGbS^IDY;<Q88x@nb z;;arCMr*LfPE$(2_7A3vkD>=`p4ix3yrG{1r}W|5wCzU5lv~Zo=O!Z?TI6NJQEMxf zQ$WlJcjXhrMRFB7Mm2yoya$KoFa{PGk2}CjYk%ywfwf5rHW}*Jpr>+w2LmAqwej4< zfS&(EPf2TDp$Bt+0~fx^qXCi*t>`mdwQtY@=|N#06c(pJWP7?J$<ntv;x$gC562tG z>*N8kMe-R_kJ&{o#uW$1s$)brXe(59U>#NiwYOoDI>XJ8-DMR|FQqhY2Q`a-mP<O8 zlp4%^$PP%+Ym7jVfrJ*eCD;Jvx6uS)V3aJV5y9paOceGn((q_d?dz}|R<x>7T#H<q z;o8TC^1)PTwQ9h|8%zC#dxh!VI-|;klNlG<Y@DXiqO|U1PfF!{4nsE2B5fJA<{Pvk zT_}Q2OUWKwk}7n3^f19t{2WB2b%fwgAuizIpD&|x8TB~gRd%h;N997QoszzW?a5ul z07GxfX<?Nlv^b?Q2jwC*pwqvjR8bfhs?M=0!{B6^15c6OfUk!sIVvQE<95gwPs%g} zxyVs!g<@0|IR;cqcT+Ddn~Q=5q*aj<5wSu$&d26L3iUvNjfid$IiVbCb6k?yObQfE zq73cSd;|yrB(Y!t2CvegIz7qh*tauRLP|34a?B9EbA%DgK2XS}&wAq0GtDRi)d?Qd z5zut}{y*B@2f(hXI`m%W%$ecL<%T&k+{7^kv*$YCB}BL~!BFI5_FOJuOjCLZ8G<R2 zOPbdgs3IsDOk=X=I>bxLqXP!2Z9jX>0}Zj2)M7u2{p5;NX<tgH1;4)5Xyo}>U!O0I zLeR#TdB3&Ky)zU3iIuLIyZ@}c_S$Rjwf8>zoU_;2+V^p_1L+_Elsbig(qd=PET5`$ zl<tfGgGv(^l_u1Y<mhSa3*=x6W6Qd8IcvD=#yXiZf+CZ*;s*47F{p$aWTLAQcF8E$ zbozK$Go4|Rab@GEwkG--CVLXv^jZ{RQYF6S9X;3O`B?q9Q^U%uC2AV_1O-!ouBSM% z#t<9Oy3~vqq4bayh3bJPb_%^;g`i-hp%HIBh9B!CN)hnzK3QF$jf}Zp$C4dJO#}M$ zVlLTQMk47)sD>fguxrjm!5er*M_nyJaiC$N#-9Zr(gO8)P?_wcl5Sw37b>=+)>#M; z#)Qo&KW)r}c1AX%wJ6$%BFG@@7$P3J0+Eoo4DxeQ#w9<~j)>K5uFMa@W>Y$S(zi(y zxe(wm?u5Bh<DDI3>IlW!&ZO6JkI_94%x9V<D~#qx?3QN!ilPB%tG1Yv$@1lWWFM5b z^Daw*H&1XmoPbZz6?D7k66~>~T(69uiG&d*V<rlMppy;Yl(vXT1b);&R}AdLR$1s~ zn%`<rVg51OTGyb*UaSgRX<R=LDCRap+tt^Yt5Z?v-GjdZJHs_5UQy_Eoi<WFFu}J` zJdY+oQs=t8)g`Y)Wq?vSK`$vM(G#>tE$aFN-#aZhxt)o0DUur6($Rd{lR55il!Bd+ zTAidw+l5v~I-b@UeP9xj-U1ASotEao(3$C8G;WP4k7{dnlEqo(%pE%im_r*uK%Cv1 zysnfQioF${yig3w6qzx*Yiz~j`B1pqh52f&!eNcP(d{noE=Z~WF|j?n_LXWBmUrRm z{^o9}RhlM)k!<L2^YORyhVL}zgFSJh9$;q@)<zc^-V;m6MQ0oeF?+(AW5mKkbY{sQ zW6V?j-Q)+ULk63m*fsLqrs}lmOj>)@oAjE%b<i9^RDjT6R8{FK)Wr=VQ(v5~hdcLt z4O2)eCXpN(4{O#KL%v4%8O9LCG$96&1+JUP9v1P=O)w>04<nv3LRIgG!qsfJl+2`S zEU>|ZgHeB?BM2jbSO(5SU<HFPS_5ndK1#-AA_yTSWBrV8d`%$GkxgU6FrZU|j>w5e z+>)(dCP-3}Fg%57bCYR;jj^E%<REhr)b%{@NwKKva!Cf`kxPO=J~{tu<=uDEc9Hy- zpwwmWf&tf_Ut@$Z(P%xEn30@g&I5lf!a4d7`dR%*2g8|3g~`NK$5F`+Xm9U+Vw2bh zk-m>1SV9Y7kjhA+Z}VDNH6`-v{46CYq^{A5Q3a={P)4{=5)(G3cCg1eoF`q4bIU=4 zZatne%zz_-c|Gg$i7<=?2dKG?<^?omRE?^;M#gjF$bM@`@3GyC?;X1$7&B1?6N3)% z_@xDLbdKXew#E$;dRIEN>HuZ&MExQX06RfC8y1+rs1f4P6C$0vjL^Vx21kd*lh75E z6B*6Q{`fQ#gas3oS%sIR&OT@9<N^%Td0wNOR0K6337Kzqv)8>;=P=Xy9kDb99o@gy z5jUBeWiJNx9We*n7nq&yFNdR28Smrz5;CyNAK>eWh|NM)B{367Y~qHm-CrRug?J|( zWqQ{tCw1B#KsQ>qkX+2~is=O<yVYhN@U)$A@F$tk@G-7#e--AXmYFO`<92aww@mmI z-cA<?cOGczd^$|BQ@i*Xw2gagoWp$kn5sk5SEh#5@lcobGO^nXW=7AT?1o`T+YU=B zIz$M&9Nmti=VZwn5W1rtF6x+|78p=jQI2$L?W9`RN?N$2g;oOh36{)()f*fKMx^T9 zz`HnMJ1~omtdwmOp@P80HR~7-fYblL>0OvutBzdJLDO=r3QKHhaomJGF~!7;t*``Q z4Q(6mIAb)-gt-oOrLcTswpmIxYca+lT9iW#KAUn<H6wqLFO{Vfu|Jwx+;V69HfpGY z(yK<dSA=o(Tq+HMemrUn>GTj{ul0K;6c2)bN5KF5;A4zQmRU8lSWLy`pdgV8<dJF~ zszAa=83N$|VL8J@vM+KPjF1dFI3wo2j!FnBLq|8xg!QD9H}@leA)5q^D2D*1P+;w5 zl8%Lei()ew=e2nLPlOa<NC;)ZCn;ye?r8ZcJ}};@hgO9YrO}%J!^(!c%8(L!9X*K~ zJxzz&gT?KyW8u~nCB2)9zS-6=kFBf9Jmf`wF)O1<Nj62KMOg-Cxx}>0a?&y!{^)Yf zp$j=7+%OaHrJ%fiw}ZOraAj3ljk6K=;bL_f_Nz^80HIUC%?I)NNRP_KRM{!_v?kAm zkxpWP*B@CVoHRTVq1kM?5p~f)g|%Ssb-ZxH?5~sWq&KTgXQd`1DtH*>JCM|n(M22u z)U?#Rj19oWy8Gw$Q57^?73Kz3OZU!L%%E?=Opf)YksE0;L$_53YpJKM^TAddr*Rjh zW2BWNK>*&Wo#qS>YlF#aO%(cK$Kt)hFtI-FGZCWOy)78Im5v-I1u@GKw^Un;s!$Gf zb@d6Z+0^9|MJEGdu%=*$u$f#N@jh)?zWBu*yfk=%RAH(Ztr{7OvMi_J-f+mLkJ>t1 zIhv$jAdb^}SN%`KO{y#rHqr)jAJ$!;*0=)63Sj~_h3lb;8Iz~R#`5V2%R{Og&3S=d z=crJ_)<Y}Nk_w%!*c(N0UoTk7TG6QUK|2?RT@D2dp26o|qS1U1IZ2)nnUD=S_E;Kr zo3MAB3)GSV{8-0@zS+p5+#_o>FbkmtoBrE`nSyu<ZJ&Fesun*Bp{Ez_?s(YHAq}G^ z)Z28PJCmm(p3;gq0x_$Lz5<5vM1%0LKpM+<Y{4i9D@mMmHE$g(7AnQ$;_$4bzU+{7 zT!RdYqg~o)lP>0o$)KsbXxw#{2ZN6|>v(APA#__@QY_xp{WeC8O9q0Vf1*g`U4te# zlTwXVT(3TZ{Hc=k>KV{+wh)>NzQ@U^)?X)GL)F}y>440{ozA&vu*zVqOYga`G|nMa z9J^vo2Fi6qD_p|pv~e?NIF!y<4feQ0BliZ(3y&F=(njXh$l-*(BhJXU842|qN$Kwo z3R<LHrH;@YR00ZDkADxy>t`p$+gUV7e>F%n+0$sC4V-;M(BCyHD$<As+K`Jw3qSDD z3_b**pkk!ZLZl+;g~2JlV5|~oqSgP9f5I?ISR6$LBtQ%rC&F}B7FNb3ka^<JM&?nh z^E5~t042GneeeWF#eM;>goSft#g1!QWL;P`qH|;l)_a=O`H+<He$)4VBUanWY9gT) zMD$IILA}`AY)dN147i!l2?6V4Cs3xCNGo(AIL3e;dZ((Q^@wu$_9Rxr9#}@FAC%Np zlHQDl#xrML@@*7a&0ZrjH@!|oj5e|7M+E*faE`0<pkDD$XWgx%P7{=<O*n{ZVlj$G zlo{>oYy}JsrpiwXp2r5E7XY)X;#yvegsqkj;EJS9Q*}YAzK6-mOqj=QmW6vP4$nY` z3?8^zs61_;2$Mc>@r8jVu^ns^X;J*o1v59IVdp$1V3jRA6q#iLUXwz(QvxejMp9c- zh<FB7+laLE+caY|t}EhRdF&5v-$XAvX&^T7x!14WlvFc=pRc-7bxA1i6sgu5lDElS zqsx}+GfDVl`*MsUTi=-M$;B)@<5lr$sb03IEQ_v>cTBE(-|9FQRx*~^)3OhzCu435 zN{z%`Y%QZGj7q{uExyL8<G#Vz8Y>}lYG!7C7d27>R;dIgWPC8rn232WXX1T^XHlc0 zgUf7^ndGJ}Sq<4`&bg7x*)%&rw(ci*oxo(|!ZUlTIva>wXP=Hw&V;K2CzM>5<^_{E zYxwFTs#y>xxr@S5(vj~E7bW2-xz~2)j4n7;F;2Knx>P%~oW{jDJjFRda5qkGZ>4}z z0#=qhN=j!oUyn2ksbdi*{b94`mLLXCHn_)QB6E+z%I8huOmcZ(qcG~547)B*x=#H% zeWj3ehE<lu;e5K@ns8HYhb_Cm3C>v>HSJ@zV3Sn{Q@t*>aW%BoF1V`rwhevxfrYPq zb1CSue1T=fKwMZIZ_VGl{OWJ4IAwI69O9!6qy09Mi5Bv#uWV?RbEQwtb2WD;S~F^z ztQ?pVI~f4f;{?DE)3-<1i^P1fi$NP=Lb+6mgMH1w<j!>C;h|e)nY&ZEwleFWh+T#? zMx~x}#Ijv>mo%!fg#zfDkN%}}bwBt7)22iYbCmv=wV=t_ybYW4lk1I`)AQvVckdT0 z$+)HzntPO^{zn8*kOmzHA23A1aDwnSvU{}Cm?t^;Zmh1m#>tY7ZwHVv{*JD`j|FF4 zzUJ#^N4vj%R&@8S+RmG=EIjd5O%EU$yDhV3ZE*P|Klu2^kA3CrwQHBZ^|>V%+^}Wq zQyZ?|_{9&@)=n)sdCj)#)*Szv?>zax{%!b+S3h_7Z&#lE&WpZv<tgvE`Ca{^qyPF_ ztG{>iHOJ5U?HjgjdGETPO&?!-_VMF?^6{@vZ{M<Q(Y7tywqJAnhHGy4FX#SX;q~u- z`?^C5S6;fVw5adq{6r}__uSW;;YQ8<Wbo#77kock9o(=i|8AbGoUPSWi1=}(>G;iC zC%Ff3A3872+?#WE=KlERb^Fe(Ty*CL-uc{-|GaJezx~<&T>0#eH*Nmmx5mGI>>ck5 zPX6|HcIB(LJ-hw>n;XvTIOT!2AA9iFhSE0kzst{TI{EQ0eC=ER>-e!ZUb*+CuRipR zx2*h?`~Ps{l(TbJE~&g``ZZT>IqN@v;DO;a3toTW+lPmj?E3q-@Z_G8v?=R#w?puG zP=QCl|GPYXn19r{@$u-xE&Ptu9}l~C?MijR1)4q_j*}q#jlJgMf7m;(vf}GEL_4m$ zKYHrCojad>@;3K%&8NUlu{Bo=Tps<?AAkJlm1kW3ns={1e&I!1wrrVy{q@ft-Soxj z@8I8X%SGS)*CUPpHM0Hq4ac?~yXK<Rxo_lG^=*6Co36U**bQ5cuN~gD<%3(UIs11m z_`vpS-u3mXfBX0yU;mvCZd<o?`}?<VzUCX-F1u>`mg$o>z31IqzQ6GLOV@44EnKl- zqO|Be%N9h-AFVZil)ZZ8x!;L54X#;~Ifwg`Kky1sooGe4uN>Gl5z2?<!=H;WVRm<( z`WJ;$K6~L+7wy}6!$r@&y?D`IHt*j#_5THLX?*LRJC2QAKDFq3_dfgd`P-ix``c%C zxq`dnoVCy9_WbC^E!Vwk>R(p=&hekFJ?Uc)K3O^Af68}`K70K7TSgx?nd*Wo&su%u z@jpJg<u@-lfB8jQ7o2j@Ylkm7Yu9%&g-7<>FSJv=&K({wqmywOSDz%Hlc&GK+%-O- zx^p6KLew93A=W=Hc5Rdg?rZH)ou+!aPxd9?XYb(18Q{I|Ef%xGM~^9OxPpDOn&;BP zM|*pF53(Eh*wj=*rk^=_SULnVAkL+D_@U(3QO-D-?&Wm(>8T;fXQrPyRy=a#U_G<G zoGnggGCdE##=~cR%K40JfIe_wigSXn0eC<UvoWUP&l}3;Gt67BpZ5|X&Y;Jz_0j#+ zoQKjA1igz@Ms}i3vs;+_l_EP;v2W~zs*_{OdU1Q!vws!P6U=bgxvV>7Iee|W9jiNd z`MuTbpk2Nev&gZbv5w$}*wJyUTyGDjHtKHH7u|mCvuP^al;%XJ45w$!oQO@g#(LZ| z?>Js0Uz`3XSMBw|N~JiBPO?gS>RN0Z@%LX^KHB*}@4@;r`C}sADer&gXqgim*<}07 zGd&MHJU`10@67b#q1*rOz59E{HfM&=$>H+Rqv&K9ouCa)hU3J=1Jj?0(Fyyi(FveB z(R2T9ypi)OfmD`L|FSJp+n<vCjdoal)ybuo_W0fO!St+7uyn|9hD{O62Pl^FJ3%L$ zIink}hlklhKRv`=W7WyDx4-Z^vt?{}dih#40c`1{XY<hd-t~008J#@8*<W5K>t8}A z+Nd6;r(aeld*c05N;l0hMVmKgyiWe`Ti7^Ki|I?tmCgr-hp}WR_8vSkw!c|HCqw9D zYO3df`SY`xsp-e37Y~2tVXu?*L(dEi9p)6BFMMG$I(eKExYlmwIKBLV1Gm2woxn2H z$>tfI=s7$XC3w5b?8B+Rvo7bQ&PE8Wr@b#ttq!N>sV-GU{pI&&ro2vQT>cb#`DsY) zA+~SF7><1V2@h}Qtgb1q6B-Cp8D*k6;lQA=VMZYOB)FbGZ9sn7{u-PMZJlsJq%wFO ztS_>e{6*l7dyZY`(bLl}J9qu*ycwOmuUyXfxohX+*f>(w(4~iIUu=DvhtbKO^-eb{ z%w6bYXliQs;UqbhIYP_#_MEX?riP~T>!+WYo^CV_)_Z$5XWuvd_~Yngi1Wd)%YInr zF2qUaF4ajocX4tRCYP<b>*x!r;d{$0R&}Bcs4X{d-t0K>I`MPY5a)W$&RxryyU+=z zEvf}@4?1DzJ#C%pMD4fRb61b*q;p0m%w5wxI!|hQx7vSJ3_>rjlZ}$_n3dI~-kbqt zy_e=PIYOLUtkShD8$HDv><rs}pbK>oOFpH?OV3+O=?7RbO0mMl78^hoh587BdlNwI z6ItY~fIrVpj4uaYlK@I+$swF==u!A8M@sMI;?2L>L8kVP>T5c83V-M6y_433a~40E z;k-j=v~HzqD{7M&MkAH}TeQrXIOj3O5ob|CuXQBf=gIdKY&SoO>?_*%G#~bmo}Tnp z+1Iw(NaK{_)A?+d^5dMx0pDie`&w;>pR0rKOUv<e#I<b|FMVo*2fend*9kU#`pmnW zU^hqug*Q#qe`V3l(LIV^$_C)J4~>USEqlmovBgsa^ye>);_|f<^`gG6<&n`QWlws= zr*p<3J2pA1(9`E`622Ty0(`vfA3-mN@>Bap_4N$HS^9RqR(YhWoII=liSloO=W)|x z?(Gqq|5Rr1T9;es8@q05+c6R^M8t38+{FhMEWobuDtIkWTTDHNQRCkJ5ns=YOp0o= zi9IBB;I3^&(w2=jY@f@BFg{)R-D35e$8P9&-$?l?hsugA=Q`iE*m!bY<UML9NnG33 z<J*-$4dvM|Rx!ikC*v`HwyN6X2R#_M>3H;jH5j;c>kc12axmNb<EIWE;p+nRj&jG^ zEVDyLr!&s-GdhR$Fjqm&NyPhgLBL6dytf@=rPGn^tzhkZ@aVBGVD<?2!-x0p?|C5K zd7e@&KX$a^0E?UbZ^f#MWmaC~p@(&%Wj5pVxxHLzu*6~62oAFB##FL7uFlo^H>T;G zo$LLa(XqC(+>t>BjIXh@;wu5Hwy?<N3|VNI(b74duy!KSGt9!%+xhD$_msC|?6g?v zG<`j*tT9<kOZ58Y@a4459MpMB|Jw7^9I*(d?U+)u=sdwp`=;b4sN{J%!N7le4>~zM zbJ1+;<VfR4J$vxa?>yXitLkJkI>`>96U*D3IJAkEYiuu;qFCUoPB4(lZ{B}cYreiC zd$7X#<zS`w1zo`m4e9C4oZQ@b-qe`tB-3$VZO_!P3_1bwECGLy`lC&aawXZjpS6za zL~T8@OXgG?8lY8Ye<kId;q@Nbv6l1BGtBnrgu9x>x{|^cetW7DJ=40K51mXcUc48b zq_DoMC#CB*4SJ>*gSuk9o=oR;!u1_%F4ZOseExRnFR2qqe^H&#!u#uo4r%@OH!&Xb zI`NhA&Y0Sk2J6-xX*BA?2Y0)2<E?My97SyU-|-HXh0A%v&a3dqpB*|qMlbhflnjLj zhCZE#>X{#(Hog9t;e+2`iBqo>>perX@zgU-)>c2*{J?p-Y?;nxHg8_L{>aaCRSe|E zyiTZj+DNJsJ?D>cs5)8r?OExR259Sq^7sZ!+W=0_pwBEvg6)tjW+kPot@YcX;csYo zczZh^$0$xMo}SeS`C2+zKdTdh*qo+1S+C__L#LeDrT=m|Ibom-w{)U&*Zw9m8TNla z`H7a9LVKK)%Z-D>z2AMbd?cgiC^C1wgOz;8a@}fb87V5Cjsd2Lp8XtC$Qg~F*1L<2 zwWpm{d1km5oh+^&Jysvn*$JKO-Fx5%`3KJH>0z8?kCw|FV`B%~bJv)kyI_bFDl^^e z+%=?gQwR5bZD@(9ZKHpiRcD<&*b|bFj+5ofJJu4%BC&_N4^~(+a~J1Cw(_A9y_uRB zC)4Hi=wzC?YmB*TD4n}fo#@;}Q)xM`R42&VmQVc@ydPR3f!vxYaT(&ZSy<$f8$=)D z3(Fe+SZI8@cwe_|Xq+c!;Q+}&?!NRq#jcTXi3QPhUrOKg?aT;N!CZSrjmwDIM}iMD zKjnNlrH}q=>T?0~e4-#dcTsL_pTpRq`-hg#4k^zsl?z)%zWIDc>s-dW+kVFSGCrrW z1Oax7B@h7*D{YLQJ2g#j$J_RdYWJx5lDnZ&dP(W@yhhE(*^{ur|6I!-Na>Z2$3v%n z*L|51fgt+b7XH4rAA$yMZN&#dt5F$m$8W7VqMS)+4&h5_!mhx}{2P8upgLi30q5Po zras^Mv+Q5N4spU7rNi#f;I-`!J4QcM&~!bYQGM(qV`bs&CwY8L{E?JzKt5v9u`>15 zj?pOMx`}30*v(*5pB*Eknm>3frEA+m@+jUaxx(vi`cxy$GvgarcsoYTi=ClSI_we) zD>U%8#2vo-l+n1hfv*ekGWo=vfulZjfZ9RQCa(4|>gL3KXg_p{|9&g|ww9kweP5m< zeO)WQs+~S=$R?cIsC=Y$*|;IfKB-pvJ9&2!Nfas41Mft&A|i%gR-|csNL|G!81K$F zt-QLLcAAxEnN5xGP}4Kga+}2UwU_Yh-QR=|wfwqpoEF0p)#2=r2$zVW6;c;QichhA zglO9HAQ<!17RFA?C)Uh1@taNyYkDCpal?;Z#Lp78{*RZRHVriuZS|#0RjjKjRahmQ zg2ij{;zNA}>qFLuNA=<7D(9??EwU=I=6p)aDfx<m3auz0XzClh(BhOL9Ksjla4ime z3@2#^=vs-@h<D}&tkIZ)^)A_4;Z_`x;2anxDNSkf4tW)>NxYCyG`Vdmgpaiy+X~DP z1WZ+_Wm%h*(u6I-mUrcBl!IqZ05g}D)ua}}hl#4+#ZU9G9Ylqk17#o9@@Mcx%|eVV z9KcEHu*m`)X~+YK#s`Y6KHp)BX-W(4^S3bSg%oS%#ODO<obYWbt)*C%XBJu&nnlcn z(iGotp|3zVQ~i|2cuB3t>Sj5ncM{ml>;0Ez%qbZ<>>!9N!RLVv$n0IuL(W9W)bNo& z9KI4;AM(f<$B%NKnu?*bb5m1kDW|>%LtdjH-QvX4b;ADrzVg(%`MfUmXaOIEMgwT6 z1x~3guA+2DG2kpeSf=8EU<ZccAvNA>InCLKoelbs!8QEN6olk6vDRc5J}-|1DWWw! zK0mb;H*@?}*cZ~qx02_2njT((Gbm}9hOqt=NK6|!jY?U4mqvV;U<5VWfuzPylfs5F z^5Z2U=835t;W-e2Piy1}=;vbnzb8}2YMYP<I_08aekXtuYJUj)WFPy?pi(l^BBd;k zPzEhjs=m=wbD<PtxM;0Ie(Weu73y@?0T3Ftrr_=F!*%RHz&OCQ%J8En?AH=pU}39S zp@5l%muZCyzGUlDHBDy*oxi*`S!aW2w};apaO(Gc9=-Hfeth{Hq|p=;;y7lRu%I!O zGLbVh#n(q0p~}pqTdK8qV<r%*rul3pt%|Z@!$h;tC6jStd_Dt)SLX_vk!3%b6ZX(M zEzU#5mmi0E)CLkE5`{aZ;_JpPkzF?Mbczuj{m~?w8y(X|7JD6;-8MuqP}nznkLv;1 zEa|#wE(L=ZM6i@`LVOiCNkS`}qr(e>gpCZAs_?)}Gj<uDKYXp<a}wovoPr{>*&;Wo z(^itsz>^@Oafx1sr#ZMtR+w)lJVjc*)`~|RdPSn-^g5MzO$A;)dEMRkx65PxnNR~- z3!NpCw%Z8djY2)~jjBw_Yl6VZnIlf>fg2f>hLh+^`qo}@PfYT*buxDIHLo2dL5!?n z;v4NACqGh5PNY3z4W{dz!W&%W$W9)vE0!qXdUU7Sheo@c+Ix|$&ZbK?^s(1H_E#=0 zU4dakgYugJl}<(Us>ZNW#F19AI519OQp*DFlKC2Pd>Pq6Z$LTqIAOu(&jlX^6Y1vv z+I2xW^Pe)ITxsL7)dFPHmQ4}_<Cd<Plg!}WyzXPx)-^K1{uYIjP0u7LHRyFH7SyD5 zD>Z4thK-bnF;}&tU8JwHlA==Ai+-7oerG7riQ(BXOnG`;!d%pB6lWRIYg}K@Wm##6 z%QI*!hgZsiT?}11P}JQOn7k-oY?-2<Ys64C`!>VO<nE+<r9KxA)+Av$810>KoSjk_ zp`3DwQDVc1*p(!zSyNT9#kY>SmO8?c?nR&!f&x|tpgcZ;B+6UC54zIzloA;2JdQ8+ z(}mbhLJM2C=)y=h+AkDP3XU`jv18}9Q8n0wK^=cW0(Rlpq}1DudOpFEy|QeQap)Er zU47FeCXkAefdXw+2IH*IyC)lxoXPjPX*UkL>34(ljn!HVWm)<v(nzSHxGO`QX6u~B z6IpRQD2<$`gx%7~{G>ftpaf1i%YoOBRoB6J6#01N&3Gu#><nV5n$X=Uor6o*2~5k| zAi>It-Vyeeje}R%pF~~th%+(iUCPaFp#Sd<D}l}>QQ#P$*VgWd4dxJG5KNG@x17O# zEaRd~sjpUos&h{mjfWTxBY`%*%EI>BkU*ZFUNCBoKmd1UO^0OZrZy!^fhW#BU_HtZ z*4$!4=c<~C#-Wikr^aX)pG>SHZ~<e0nvA0lz;OqHpv+i_FiL@oIZ=#<-}&ISh?6nV zvtsY!N@5VBa0weljhGS^0xRLoj7u#zcF>JV0V!6R$c#pzMFh1o0BonasetWOiG!2n zPr6PKi<eOXT929ohF=nPRV?{K!sCv1&DLu1mxxG{QSQ99G)AX%bxwD!(IEcHBhH1l zDKCmx#%^00F>1Z^w|a&O5ayU)6DN-_KAgvBIa(68^%LIo1j0D*7+NUJC6UH8-2Q0> zfT*SI$`oXjhLJ+1ij{CeMvZW`OU&RmYL;k{ny;O4OaRhkCEYOWiGzjVse7iGu@MGp zaAJ#@*AD70(I7?!<AKL690{zg**ZPLMU#_7+N&nJt?H0&mPn%vvp7%OGL%tRbcR?J zPXgsA(MGQIPUs_p#F=SaRTDt$fKD132D*8-6;BX20~!ymy+pudoX-eoCAiokmo*|A zL<T#MlR>+&DVdEm<DAu;XKEP(d>)GdLY2Zz<Z$<j6>|qQ=H@=np5WaoZM>AE(CL*v zLJ>BLK_u2R`URkZwl6umoNs8EC{Zk7FSvJ8%~2aI(+Yr}Lc*1zVbKftTr<+~O&G9| z!wsyqP2#MqfnW`@k6uC|{o`7ki1Eernq9#}Ahz7gr02?6y~tpr_%=HkjF43hUETSm zU{Xh0Qzx!4B9+~5Qh`YY4x^M|bJlU(3n4(IkZX}a#f^+hV(IKs5xXW-vcMz}`?wu# zssu8kPTyy-M_7eq?6Fs=Odt~oPm{r+S(v2K1Mmw*E^*<5IU6^`jZ}==$k@bez|1r8 z8i+T+03mFt+vrMzi8bmSXBnCBgYC6FS-RiaXeldC;MNau`G%>>M6RRD^%iW%kr5+- zb`-WFmOPOQ>_kVH$beX<EpJ6GK@N_WOZAme3=pPKjgb%W0fwN+)6NpF4=9N-9L=D; zAb{L}a89U-4f06{doN`5>Dah@R_>xJXNppEeR+t&uue|nMrBF<cfswJGd3~<geH4` z!c1j$?!z>Q>V}2<QbVbwxVD=!3S+`pq`184Qn4BV&TS-z^?Mx^XIP63T`0SQ4GwXr zd4p6^)ah8v5nw8!R?28MB#0(>n1IrDg;Lw+%*i!2gF_62`Ft40qaoXArK1);(!Xn` zlc470t(hCbWUvAm`#-CHP>AK9J8OJZB8ivm>@pqn(}L8nLL2WMN1GWA^a{H>*{$C# z89OMCAwd>Z#%3TMk2<IU)A$>7G>|3gMW`KGw~%;<(z$lgUPvEHQ&e&Uj#hBd@w;#% zjVpw1#Ii7PwCmT1>c>NpP=|)5MI`A+D)gC%P0c0jc-B=P8!6F|Y$Gtg%NKLQ;M!!( z%`2EHx;r9HwHX-;q{;NpVFqw0(aetovT4LHM;eK0?knYn=~CHMCir)<2IU<q#ZEMA zxzCQ5%bH8XMZZ?%J-5z3aR`AD`{u{xs`WJsiH4Moj99Bdzh?O#{io97b@ZwPvTRN4 z+@+WtO~^^fcrH>lm%xrv!W`!dHbmYek>gfjBAm39h)lVA%UxktnZ^4dE&KBoks?Nq z$S=IKwqk2h;^x^vfRRL9`f-B~-8<3FDaHy4)b*6nG=2&e&5Rli@Kb=ys>ct}gWVbR zoeE85bzZ6jcA$zfBy4cRBpfjhLq*yg^v@Bwn7M&t?ktOQ)|-LwNd~&Zz0BLSJrWkf z-ozjeCTrNH7Lq=)UB@ATZueVEZ&YG78Y~r%l&AJstUdwHQ|UZpb$=V~7bp9qM(vl% zV8G0h*U~YR44q2Yu#qFCH7kc0vfw*z8vX)b?N=M*R1Hs<mElHeT{)QxIrZoAI5|~} zCS8W@pKsi!E$6VibkgC9C=899pRY@DvI8ByHZRxabs<W3HVpU-RsV!L9qMpuz@cH; zVX?f4!ok{A6Swy{u@koB!f}ymtB?0H3Xoh`#Bj7)8ntH6Gb8w7=qO)NC5nz{WTSDQ zqV)2Qu^eK6p$nGj24l_<<f{=IouuB*DW!L2JOTzhsmgFQ>88J$NU@VL`sluW6ry5q zugGyONjDnPA#BCKhY^J>nys-Mut8Dhk}i;xpHOkm(G0t@x@bYa+yaE-E69tS0P}|e zMOI8JG)N+;I;VI4h6Ik52Qv|tSUq?WbqA^>BS3e(Yk}obrMPcXUM^cS#?Uy?=mp#? zCL@*&{PS6dMO^D#KnIXA#YJW5OR#H1+^X~y4KlJ0ZpM+@>6X#!kiAa~{Co?lr<D)2 z6#BVwJ_<(v=a6VUB9M-TPQkVO4q;HimOCYn0UwFcoAA062@(h9x<mpkPqAUmN~00; zT}x}JMO>&x*4U0H*kDM<midp~U`?c}$gu26f+^;jl~F0A?^?3+)Hr_BO}boT(_Oao zPoSE`DPfj0bg@&fVp?{-Wcrl^1_4VvsTRvBjBBYd2ur>pL{K*7_M=jx8_Oih1Anqj zg(O8Q&HPM1!lbM;pT&A;L0Zk0JGnD1aVgD}&L5vN#pII5jN#KjaVaRs25bl5ZR2}c z#52}j+qck^C9IO08w#V@`A_&Xy2OhHB<ge&EmSN7%fIV#Do{>Q{IvT!0{Zh{qJ~a{ z*;Z8At!=EHK3_<gk;@%2x&<gK(5+cN%>_F*l7>fh^~98A<0u*7HpYdOd7J>eERp72 z&T_?{EGHADcO;nigl0(Wz3dLI^m8wpWOp%&s!a-ZUy>@74w<7=fV*!&=Q+vs-YUVe zE^<A=J|4hQP(bB!d}UDbT21FW8m-_BgI_xyMnOnRgiO-VS8a(*<g#!rUW*TNu7XLD z-Dlzfgy>7^QAfe)Fs)0!8($=4m*XmscQO*YX2Vzsj3A-Wran6iR&hacdVj}ID)1cx zT2Z@aUrcMpZX8lASkBiH+hED><s`s}CM=#Ez90Td*`~INB&tMy0#+X*obcj2lVyRq zq!3i8wgp+zl;?pXZauq3cw^v<Eu6OMWNoR5GaEq^DfN50xcRWv^3J%v4+Ce3BF=8? zx3LXbME4c~YEcN1EEfpTFDu-bYjo(cbK*|6ZiNETZYu9KMsinIpOzL;W$h+hDYFjW z_eL*;hI7BBh*tH|TRLksK}K0P(|n;}4cAL^enRBUv73C)#0G07T*Na+-&XxJ7W2Jz z^q<%i{hFEqgo%8Ro!qNc>yv>b(ox%ht7R#ovx%5nxnUug*Ron*;>d)wkM(iyhBJ~< zN{Tl|5;IFdqmB4j>I4qo0TdyZ%QzE-rCH7_q{%PiGUd5c(JBGG56)?kmRSQqG_EZP z1pZuAx!l+YY(>8$6EllZ0X@MG+LVsfjn+p8g?_OjWc5hZRWgY(5j=CDi+9$T6QG9% zC_zctfb;IGucdmFK8!;llBuK=lj>P|`AN#gXw0b-dr8`|M9l3d8qcqP)DM)(sN-{3 zA9xW*Y0;p#7fJIdDn;@O!HKhqMJz8Q${-TOZooL(sb~+b<<Az-!Z@Gd^7;<ux(a1z zhxU4K#&~fu^eZ0>FpO0(OTOB*@nBHg5?xd*qZI<JC9k3N3ngBd91lD%M{jyg<mF;` zLZ*2OhF$(`$RvZ-hc$&Ve-9<i<}oUcg0_mI0E%eWMywajUxO)kQ03Bcb-^y-hgeVP zNHAbDH~$JHb(}zIa!$h@lqDz+uH`GE*?dgSGx!$^Xu&4}55Joe6vI=kNiBR?<_{bU zuZU_Uxv&svbsT8@wZZv7L*0qc8U4ccnER;7+`BQ1^La5FIv1F-G#gD8FIy{TPsVrB z8rQR6x74{&kjA(S*0SAiteg^FV8_>0atqhw#-mm5J!IowEisor!t+G-l2#0=Du`WU zq~whM{%56NOvF9B^p&;AY{?=6%;O58FPaKK(lsPF`4q#tOC@(&nH?L^3A55<@v?zx zen9lZFgR9LJ$e0TvNa!eg*@jSsLE}7`Ua&lf6JM1yepEp^F}SCAa^dBjIqUB`RFMO z&`KmsU=x&DH%&&YrJyWd2Uv54ja;jpSXHX}Rb5=dRH--$Di4yj!Awp-Fb7mvz||dB zXub~CN@_Z@Kt8Z0I?I%Igq1rtwR1Q~TR%|LUgLOccDglOtgk9xy{6K=EJ&ht*{nYK zq0VOf%&l^AW$8rWuH;N7hpdczCMvDid1Ym^vgSQ5&YSZ`c3mWUM+ak*UCAV}v@bG? zlCuZj#M6<?;YK&-%?I%=S2KmA9vC&(bm79CrF@~VNk;2@Ya%MJGz%p%y0%&L9)>Kj zI|GS0#k+el7;j0M#91>TITziLtV*t;!ED&a5jSSwOqUEw<>v0F_@t5K{ni>;b4!z4 z2s3HnrlFB&U`d2}CK5S|H(KYP?(f;evR<M=wc!IES`%DN>Ao4smWx=jxvmUd+a)v{ zx*3O^(METz#^%#8T;nE@HcCsnU5f)gva|N+{<!kydor2Hnse^i|Mt-DcmK}V+6O-M z-Fvou@a|jS=U{_})B33t?hv@~iSWt2dvE^O$JT!1__1&Pc>D5sTi(C!>>DoKcF_%u zZ#NG8_g^`-b$Z*e&;0DO7rgaf<=fZ4?Sr4mK7GUE&;7&OZvOi5|8~y%w>|Vb2OqxX z=rtE@d-mYARX_dFJJ(HL|HWh5zWc7TU%&9==^vh%{kQwi-1)61KfUDeU)~?=i*|ND z`6u}c?%432v(MhLakQS#UG@H3meLMxO`*d6h?CwGWL%@GerFK$-0_C4+x~uhTt(y# z=l}Ws?dH~a{^Z?XyyjguZh7}Di#POL`P4gayy;y(``25RZ{N20-Iwiq_Q5yY^4!1u z<g<fEKKS%4_h+uzb<gCcbAIH)>gvMfPYplx`faC}$DissEB6=cww`|NS!*8T{^a&^ zp4ch7R%a?B=VTTxz4{D$rE@%*03NKxyB1`6bFOP=w$^ZW(ypmaoN+Y<&1A&DM4fvc z<Sopu_!Nn>jrm{*4Aj4SXZ^3AjOR~rDP``8f+G)o;p3I<Pp%){`_6kVI{N=!N41=w zVmDVOx&Ul|3wG3=-MjPI%YNnHKYe5CwqyT$+jW2b$!oT5TYTlaE^8h-bm)iIO&|Y_ z<6r!vFZ}V?2mkfaBPIK*Up)Tf8+JZ-<ocU`yzNh?kDjyTr%z5FJ$~%?H!ghOvdiB4 z+_npT{r%e}KXZKenzOgO{_yebzcKXpA6WF{H=ldoZ$I&qVBf^f!awYN<CZ(FKX>W* zTMn+=`E=%%6`QgF)yNep3+S4kod3I-BWnwPfBF31J7x3Qv+n%+ai_gAlzZNJNAdSF zxx4@9_%++#zh$U<<@-zL{%G$LQy=`<@vF9Oeebr#3yyvBFFttV=D+*imSfla?D+Ht zSH<6a{}2EB`Wx%<TcX0q?|<-%*)41PzxCwnr?=g;^};t+fAhgd<HD8qFMTw#^X4^q z>3zqdg-f@dW7pn_PG}jPt;M-qCOOsZ$lQ)ja_FS4lGI(p>!dwS_DtRz7VdJh<HSi# zb;4#*fPJBQhDiz-`CKu*cg%fcdi&jDE!+#e6ZTb3Kg~{a!HV&UA{K$`r=?f-T^_9* zIkF$-WjRf<)180UqvmAn2kqcgIv%*}X9H@-55CVsz02A3TVYpy{vQt?;OsdWDsL8U zW~cWKjeUd7t4Aw(CQXkNi!#Mda5hUn^aI_){h6OW^Z8l97F;tMhBAe8&!?W*fjsO( z<>Zb|V%QrZp`N{nJtHUh_2fI&>WPeO2JeueY?<4*AzdSIc?3Gx<4yUvggCXax7Rnz zOxvjS;gIa#-zsy)1MR_YJ0~=gp@knKBipEF@^L1IPkEtE_H&@hpG=SK4c?-s`L(jY z&^tjVLr?c`3{&rc9(1yN`TF%^i&LF68vFNhDv+GE|1+u+XwZoq@H!bg*fYI$?f*Em zw&&#EP@N2k>ZJKV@APS>d7ZE$SapJZoS+j9k5iqXsj-9851DfL@ZsGj=%n|CSs|aV z6Ww~RI^itH&9pC?QCkIcgif;W*giC@IuTOT9&1>2!U;hys*~l*hq2CB&k;;|u2ZV= zF?z{~I!W;_)QRHLG?n$p5h^85Ki!u5rF6n^h%KEQSDi3VzXTxv%pZ^V$Ho>9A3QL9 zg<1h(F0feB>h;5Am`!cwOh(no0}m`7_BvsgbT)J3X9utre1loL{?k8YQ*?#%Ka11T z%|>tUX{Q}HF!bp!Of?$QJ;#n!D%i>C8CXN-ou}tisfPBQqdNKXpQbj)sf4HAH7lv^ zIroq@K+C?CJ@nolkq$e=X!LvIdxm?~Z^!&jcc-gm9kz1Y(aHYx+oxu9vQ};GWSH&S z8bT**B7Ys6+U*PKC3KP&KRZq|-u^Le#-3qhs7_M4m(ocS8=Q3R+WrxC@V~-wvj6EJ zj{G^;F{N{tTK)OC>)^q|<>@KruKlMCsRhXc*!ZH8u@0}3sbdEYbWESKv}5cOKg1-L zx$D@m{nG~;ix=-_1{wM^=awFk9<;&SrE_Z!=LvN@!`vm)2Osux*TX-ZYR|XS^^`Nb z7QFNPaY8-34pMtW=8U1mi_ytqY^#Sl)~-h<?6&V&z8syb9owur8Qc6qophoTtsVBK z^19ct|Gov-F)|Ci*xdDeowRebbl~SM9Ze_dgj+>DpE2TmMxSA%L+u!wrH!1^XuOSG zYRA~(7PWlYC~B)0F4%}Yq|#x3sE=t@j9}A;Us78=R<w>gs)pvlw(p}X*PW4QA4|Y! zW75BxdyNHU?%6fJ=7X%%wqrDv*m5VpZ3-XuQv1c0ts##wt`adkgh=V}S%xY^>!7uB z@__TUn$bqiPt-E8N4$!25?APXj3#=+3f2YSkqurvU{~4CC(1V})elKed6yF{6B)6C z%uJ;AjTyC*tn`mkUI}{gOQ|dx_xw~Q;<?)fa;ZHdb?hU(1fF+Fshnw@;;(3)6nr{u zJGp2ylEIs0QlDS4-eysaKsQZ{pU-Zk1uYxA6`Oc|SBRvnzS5~}pxQa6_KQOLj)kPJ zxCt9S>=0+qXOy_=>Ah{>$()^8zo`&mLs-|d2$lbig>P!*<4IO1<X8G@f42*_Idcx9 z+7>GPwWN3O#7oP;50jQXCHimjA_Uo*T$Ej+{wC}eRc6k7ysH0ZwPW<Qj|*<PaYz5s zD7uHTw5{c)qQ*IYu}zPCVu3hGUf-IJFgBQaZexByVs~7X+DZDeB15eUZH1kpx0|F5 zQ#@x$u3M@$pe@@_^$SrqZMY+CL+jptl5h%nE6#b2YIi9I^Pe4&%#XSsA4tcK;uLP$ zd&fOr{&KPEBQNgw4Ev|{PM3$j^mk(&%&DJ0fGu^id8Bv_GliZz`{#Eub8Y_9Jtuut z<Hw5o%dgu@j;W*dz59oHr>^KZuX1o`?Ab@T>TqcBzK8OgId}}ypznO=1w|@HV5-3t zb+2B39(w2swUg(hz@0mnETKvM`2~4e2<+p?d+DXhV!dout9Djj(-}P}wr737Pv@iF z#cS7M3%9v*IVaC;<{V&7_rm~eZO7Uk%<7geU%YraKfITh2}P}o{;A!Tw=5c)UVP-o z9hg-%(-Mc76FK{AdOruvLil`O!UP3gxwI!PPn6Q`@BQpZrc-s2|JdBTmAwb#73xGb z5C8CI>$zUY=eai1Tt|k_IY-O;^bdABnHnDc(>=?7tnp*VrpvFx#%k|WrOuUqF)M=e zD)phUeU3UDc;=bUJ$%mg;kDSG552Tb{;^I?=jsHj^;9RxN9W2vH_Y`MxRmAd^xp01 z*^6Ayr!)Hff#K~Z^Hb^d3ZAY3)OwR^J?=32Qk$~v&@WbtN^~-Hf=;k16qa4+<QYn7 z`eLVNb;1=RZD*g*3jaKvl<UP>Ps2>c6DR7Vxc7nkF1)Zgneuv3*B3TTzb|J4zE{g} zqo42b<D|1SPJ&B*{FIK9pZz3yRCPjz^6R+k*gt*rAf|}Da)q3C^q}-S`{OIF*vmLc zbmVpn_i!5X-WQaZ_9>Y<qAO1|T$>#yA#Z1Sb^O9SEhfEsEIXjqsOvE)<?|?Got;A& z)?cj1xB<r3al*X`aUCaI`St8Z3^QenRWjD7ot@mx<cAp~v*SeVfOUnScBY*tkz1Pl z0DoLP>w7;=Jmz^$Dv!1a33HW}(v^#~2P&$Q=VfD=`AT$xo#)i%?3@3uo(>ispYQQz zxt*<Xa@*g1_tEL8Z1!hAS@x`!kBsHljj3g5<>2(x*y5fmrp`NBpYGZB=jh}>N5}ld z<mb~7$66+$CrH%N$v+;13+we6oiI*Poh<y96X@qexEE32#Kqyg+lRI@$1G<q8qTOC z<Iv`lPafK=_KD~ORrjn{o%9UrJk~SJIpy!r*=sxNE$(i-PG-l+dh8o#b@I$JZJn@g zXT9om!Z?`=?dJbEI+>g0Wx|*Fw1|ghdfC%efWQ2)BS#a!FR|Xg%wHBYKI-EypljzR z^;HG4YHeG_j6G4tjIMT&@v_i*ALkXp&*hh~@PV)A#+#W}soa+pE~4#QaJun<Ya?ql zaINsZR)P2L>VZ%F)6I%jyz2cI(xvH|_^LuY|GRA&-)O9|o{{m5#`t(UT_djq+Vn5Y z&nTN~e?FZr4SD|kV0>1d`OJZcaO8047qej7K{{sCBx1zz(9d7%@JNOWFL)f-C__47 z@@qG4!CY=9us*S%Ho5wy7169|n4%;(^(Jeq##0;t4-N?misK1unnQPfmq}}~i$LXT zgCSu3&qfwf4I6&<ioRmBy!-=*J4(?SShl(<mOyBUS8<#proK8NR$Y?@2->QmoYi-@ zmb7NIOj!S#U_8#p2);b9)K391=YT%VRM6xAwrlV$KgC``!*^=fYKr~^#1t$)#RVO0 z%nW-?PN9`{VtMVvSw9NJ*H@bxIg-uFosBIX!irekvgj|m)=Q<YloPfuX*$iQu2ol| zD9c*BENPY`3AIjEpL+S9zBZPqNQ^|dD+!gKBcuhPabv@@K<gg>8;WVoB0f*sv1+5! z29pLXp7l+d#%EnK8zj_@wf#ArT<O|ql`>`65>t0~P^gxxhACc$MCMe&=P=%V5l1t= zD3}+)n$WUSRKfC>_F^AxIRORRIBnsXI){P3Jxxh`?!={uaeCuwN%B8rX%dblO;2r+ zsGHIHk;%ho>Jih5<58ZvMoxs;iWyD!zm!5_9uPN$6C0b&A+r$82HPPn<Lw}_reV{< z<Y!Vekf&bCTWQi1b<@)Ho!|@ML`mATC(=#ofSUo@;I(fhW;{lD8Xr#MVGFN0EHhaf zX2-AMp9j$9neGf|{i*yqGNEJ(=8V)Hlg8AwRw)8T8L_e=sGuTKML0qpd=1OuG-B1E zJ(x+A#awU*0fgd=540So5;-E1FgLN6kNhCO`=937z(xZd18j6K4Gv<7{IE?Y>!h`x zPwB~zhanVs$e>%!_}7pJlZIT2aT_0y2+o(9hKRI4X)>Dfn!gUMABIME&jdyk{KgHo zAf;i?He@4j1A@5VxBnOwMGX7#cD4(U&?8M4x{@?Fg=zwtj64>*lp-Lz<W=l~o)0!C zDz;<$4d_9qV?;Zt)>>Z=^EK<R3m+OvcnO#tJ4%3ZtglL&y7kzr9rZp+usOH~dYThi zn3jcqJ869fAmTSjv;8UwNTZXS&J!Kyx3eL0M7mXp9phqDpY?>wpu$+w9YD-%sZ2@& zAdv3+EBlC+^D+>rzC67CE6%umvBnKQcv||k)v#fUJ{}Eot8850D&2Z6BfSjPNrb5q zV12Kicz$V{5UG&sPtV5WU9tquMiT~=vi(u+?VOO}#6Nb%9ZqY|={eB=u%RqqqIVqp z2zZA<m`pg|C=%go@hH!UgIs@X+QBHvA-563SDoN!aFMJZ9VK#=DxI`eBPj=hHiH*( zP>xUt|F@AN<D{O4C&~N=k?!J5b_Xz&uHO8(L{VP)%|^DxjD)XkF#Mg24i?zfH`rC_ zc7-@9cZ+61B+8A_f{YGCCeHwVDWt>N^hdD)6&S_FvV?*ad|S*rx4RbCnB29w8Hvag zT9LPlC3B`hb&?FbUK5T#QLf#L<S5C7LUZI)Tr-FiY;WdU?y;VQWD1OVEx=%;8`Xo{ zl3XxZX9FL3<H%W#l?ts?1rCAmPS;hHR`R2qPGJ)l$c8;@oE6$s+}kW^GGJ`qNW#RX zP3*yGxy(P>cCgj_0E)2$ER6XPQt_PFidf=~cnVg%5_CE!0|}k>b$UF71&)ZDkbbMP z2ze3<*1*73E&d=mCBBo?Ng20{BFlJjCTHQJSpnQ}u9YFd04E47365l;%lKqV_alKH zpmZWuop4e@OE2WBPmgO3aYQFY>lqo5YG?~pDqLoylx)&Xx3ZCt%@!^nhh0cLjYCLr zhHS16-fq%&?GX!|^PGCc=Bf~cw!wpRYsoX9+k+$I52Zffp!5(Xbcnt)g;bhZ8F7S; zHkKthBn<&|02Fwi@iviKs*AK<PQr#~Lcsg*P*MHPv{r(~0oVFL7t@|9zUagX45~wg zy^<S-vItE^PUQ4p1e?<Uo*ha924S>>WPnkR@3RQTz?vM8t{%J#_$sug_u&CeQjA}b z`Unm$_7%LM{A5da_$!Zz{3y`E7<S03p>Ujvr9~=ZoAMJTgUUFfkTRipfepxHlz_Gu zwpjUWBSaOnb?7q1Nc)rJO^z8bk{OTPpy!=s?Ytr_g;_{50Cff*VS^w`Ji?+#Skndy z?S-E%QcnQAa9c3S#~K_H@Wb~G#vh?+5d8#w$@!Zs2iv562Gul;sH!8UM(z?N)GW6M zqk5@}B#_sVi~lePnY1E3WeCWtqo6TkEPBvSeCTf7e#acDYBXv}9e65{O}fk9XA7m; z!WrmN&<cBAC;yFMjaoP2x_zGsECd5-a_i-kVGvj)ua`+fBe?m%ve((354ow5WcG_R zbkvC02D8^dRckw;!cxrXCMeOUVZI<z6srXrj3|;~w{(?)0Y(HPMH?hJRD(VUG?$@q zpa(n`L`oqNIGA?K`)Qg@EV0(l>72&ggX3{NP)w6mXFToFw(_c`)sU+sRP)QyXPZKW zDRZ)NS5fjd2!e`~bzpg!!pPPV4qF_7KOA^iHn8AJ^o>hK4PEgQ5RJf>*+Y9{{N|w4 z3%fv@Rr`-cqySe*G}ay`v5rL`VgVwVKQyH{B(CY$03+oobO%zIJWBaWfI_*8g#Dok z{1%nRBsepvE%f?I8g}wpuJfqhZDJ~{%C*SvC^nYHz_=V@nl5$*4cn#s`xjUYn7Bgy z8I1x3T@HwAeI5I-(oXI%)T0&{bm``0nU+5kI-|{Z2~8w9$D7;&`T$(2Di`QDN!N%* z4F6K#7nzMFHGNXrajtNYPtll;6WoTWRkY<*H#EPK5AV_3q*4+J)`j0vy<B78X!790 zuuKQhj(?5`V31WVE|jJ4j_WaE7fev+n5`_ixvpCB`kw9LV006fNh$2X)1k~6mn<NR zB8?V2sv$<_d`nsEgS<m8X4r~ku@zx*2)Nu^WYMFh;j4f_g+uv#PiG{fJVp~+<(pu} z@cOXV&{8s5n7o9MsRONA5Weg|Kr-kTTTC7fg=ZS6YiarVm!g`LE-?ccvmnq~P1GcS zSlhx%k!w@<{X#aNaS&B2#spGuZ}77iJuQ&HL?g_03|F6stQ6<L;-+0u875+bblh<5 zBMw+iMZ%qiI{D4PV(JY=hXwGlG0M`Jh?6uV?%<L>T5i(Am(eAQG_Jp-QSzx#2XuW# zz70duVoRA;d%q1RT93HV>jYO+Top$JLLNVN;R05jR+<m`9G<rS^B^o2RUH{3#u;+- z604AbCg3kmzi=`D859%+V=ZjN>YAn;QFG6UA>~(mBU&(tWi3)|*X)Q3e%0nyX_b@i z0fu`~`rH%UgNb2$EQ>cvnI2%+t4beNA)KLwbm5~7=P_vwawIC(6Tug*b;wA8oP~C( zDHLetf^qQiI43&bfKfh6A`#n|bwcQ*tX)*gx&1bv%s`4kGMdPwWqM!`Mht_v+I=qh z!fZSag9#hcBoPOU)h*A#LXmu-j!Jza`tm8)`y_ci*BNO#K2o3%38A2lNR&bz$%q;s zKP4Yb2E~RA?qb&Q-HQ>sQ67^9QZK4YVIwyqx5%bKm#A@Ks6*H>MJyo%4GzWUwP<aO zJimCr?l8+tS&I4iUJjI_oSc5tgQu7M#<*O(N>)80CURGGC*x?0MhSz}!MM~p_{@fm z0~i^XPg3b|JHa892A+tjgK!p%54OgNFM2lZ=Z5IW+Vj{t%|VO!*7|O5wI2%M2#;02 z(dK~8YE#*ZJyyG`<)R-3&{2866e0Ag!s7GLj;M$#4N@&|MhlbNs4XC)wS=>*HAsA= zkmw92HH$Vw^3;4jf-B3?X{mA)1<XsnzLX7^U{I@Hq9Dm#Y5QaPaNwoT)L#y?W+#Bt zSb_mEUOy95TC-7>ODy{a`&A>U<&3A(mT`!sVENVgIRaMONPoXb!;s^Zz~Vnrg-~$A zQbaB;(QjDpk(C*l&X1)Vkzb@>?O$Bl)nV}4NKDDgFZ6zjU=aK64W2TLQd?mYO6;pE z;v}>pbbanK;%uNbe|5pAnUmJop$2VYAj{=}sTX8Nz->WHo&CqHSk;h<NL(mrgJ$ff zbw)PeC2NmVk9oD6o-d~QH-08XRID7GgL^Ix3jUHL(JEC6BE2%u8Z%A|by0POnhW{6 zaN!bK<)rI}beym<(k?LQR)cVma*iwGWWMM+m27dVkCO5#LE2}Kj=z56?$g^3t}*ga zJ>LEFj}o^0w8c$JdJQP3NOir+rje`)=w||tc=eo)PZo{mW+*D2-|aiIM{uMr<K#>) z0nPZz!KhYfVJ0ZP!gQ-OzLKr{vGajemBlbukE4h{Zj<hm#4AzuIA7*3RB)?^SL~%F z<TU`+dt^_rN?ZhX-CBo>V=Iy+1EA0*v^MYA%Ld~gX9*1*^pGN!#{HvJb1`MQv0 zNmSUR{H#s+`HHAcW+2Q_O_@_}#V7v<)mV!)>ZlTc%As5?*{h+}98KYVh!QvaGnHF{ zQrY0?oQ5OS6YhTu<gW63jZx34v&L%Kd!2@7OJ&~q#i6Q$f6b`p=W|C?a4wiMVm)12 zE*RZ*+k%-u8}Tam>Eva!sm)cgCf;qS=a`{fu5tQa8>M)M@m@@z<07buP#{h{U9_|+ z!lzDN1&N-=V%`!z({Qz=Tn_UtwJz>1idnkKAQr61^ota1k|K5CXYKhN{z0{l4;Nd1 znM^w&HOIn|O7a__1vs*>Ne0SVq9)CBQ$Be@w)mH?b6UMQwbr?sWtSE=*f$t1iJ*vs zy{!5y7daNketL~mG%g`dyNi*~j>Ji+DSAmMS?gs@SEB(`uQDX(Y5O6eqYZg{K_GAS za)urTD_m}g5S+QG|M|tY8lm`zb}K#mJ(MPk-%i>9?&T)P_7hLag?dDanL!)qdJntX zXh6bI=_;@=hMdBGeu*zj(Gq8tW}ntKDVorhmc3<bY1`SK-BftfS<~mPE3LjdUiSJ@ zHojfEOm|WH)te`aVOT1JrP7zrKKJ_Xy=iRk9a8#=jX`9yaTb_2%lXv`^?kQk+=q<G zzEY{Q?Cf`5eEr^YuisR7YVT)mu-k5lFFf1QX|vHUpcCqgnv2CpN~H@=UKRE%S-9kT zOFzB0$J)nM#nGxJw<p<o*=*FJlaY%{7cSmb`sXE|ynfT0mP~*AckH^W<FmI>XKLM@ z{bko(FVIPmfs&YFu~f3}ykJ|n?dl(0xn|K*)5YJC4NId7-W_JMb>G-}Us-(fK2s{W ztsSAg`05+4d@}dxvD-_fzvVvn;xNimlQ^3$j9)Evcs@Zk(SBo!jnLYw7hO}zJ^7wB zYfd}ssYQ!Q7v3CQbPa8DyEe}&ZX4gfR43MMJ?Rwt>rZ}n&6B5%JvFaXT9J)T{`E&Z zvnZQ2v<-SGUOXaO&%UVi|F2nhWp2?^+n2ttbjCZQ*I#sT%oDg@q}&&8mKUhxg=Aj_ z^<^H%z69KVzli_g-Y<Wuj*qw9m&o=@pgTYLOJsi8tiGyHe7XGxLUC=^2Vda4blbdu z^xuhK-}G1h1^CKWzIyn%13&nt$X5W7AOGOH-~0ig0|yQ~{#A%xDc!$QjF-t4ess3% zuRQ+Tfx|O0K8K9oog?GRFgYRVmGXO~bSE%>nMkHYVi0~Q^9G*O2f1QsG~V^Y)Q@_- z&qLHS&aO2U7ZOAtX{CRn<+si~VTI`Pb4%;}cD!q(h5wTG`H*5Oy(2usD!(-UEdIU} zFRUg-(@pBP<!a-*T6nz&ea&BIU<K`|nN-HlWiAB~<UW^KsXi+akC)zAIxf4Mos#0a z-kkc$-Dx`KrFed%dtc8#k(3X95O7{f8ox8MVl?HmQWNA(<wIKzr2c~Y((<D}%Z&Io zzOR-3?KFRMU*=aUWQabTmY@6ZrwR=x@}bdB@_jaHnw`*cq(jdc0Wa6715{JIxLd1! z{ZN<~CEeAQw&+*+IBSbE9-c{Dp%vHjQ8Zs*okt6gi9LVLJuzT9R;BpBrgZ*X6;GM+ z4R25Rdbv`(&mY!PysovQ#;1%=`rfAs8rSnl3`^Gz^&NG)jdWy?L-?f2N`Ib-aPCQ= zOgz-{P)OHvP)MZ>(m3a(__&^b;`2NByIDG++~0y;>69?Mzm@Ot)Q68gW_KUDjB{7& zv{^QluVYn<E}Q0)IE@FRFlm7<UQH`ZRR~#rP9j|O)1QU`t&<0f5KtN);W55v0a7Lu zKL^}QSQ+YTF?Cy@OHF_8;My4VA!*00#^K%Ye(Km(*ra}RzYJR8uj=FV2=11kwLaHl zJTla9%Cjnu5+c1Bs>3@2P4VDqaIBM2tA5x*6R{RKn<*Wxk?KrXV{!^PN;b5i6M?wk zk*?&Fg{3m4Mu1y2pAl?{uGB0;X(hDe75_hTHSh4$fp=R->m#XyEGGi_JtFk3!L>f5 z&TNQHPpw#+ffX&Imuz#XK(*p}FEbNM#qseOoR77+dBxGEEl;aA>Kznd)X`EXBc=0u zw)0wo#uH@Hl)zXiE0Ja~xJ3&^D&MJ^U%{r1ZMA;NPpN<^KW$DEEi0AFR%3I8XA83R z0u_0LPYKp|3eOYnJfJ1+!BDma0@$MmqAf@_o2L;bUmviowA4#+C!kspllm&LZMBTY zkR#OiWDDt^phA^Am}Yo+SBKpRU|V9PNOwY-^gp6+$N=Z5$}@vd@8#x#VGK7xsI<UL ztwwz~Aa%A`9PE_N@B^GWw&hcRBkaK!rY|d;BZFySp;Z+-zin)$B;E`9C+E6<N9T&V zXCFC@L94!*TXWA_(^?RkR$#D=NqucBb0W&e6=(T{-X-u-5b)MzZo$xL5vE12{9g!W z21R<5MzqSl%tcrEP>MB@moV@>!k3bdxS4Npw=LPsOlhfJ^gT%A)6!Njuxb@T-Wk<_ z(-h=Q8`bDzywg>55_GXasL&!Y#t=`LC<8x7Qv?O*RN97=H#h(Ku%F@LRA%LujwUCH zaGsh^Y5J$m`r1`#^diu@;j%obFdiKU)XLPe@hHUrMtzsoQlv{!fK^A$y`2UW(ybZV ziW*79lJI+B6&kBJk%m)tCas2wb7G|^T4esHdM<BL4%|EvwL(d<(iPHPs?X*`^0Zuy z@3SFwxiz-BLcZ1NB(@|Q35?c;?*urFg~oEbY(zna0NFO!uq38husRSxM6$6=YKsWQ zVC&LVrdYA1dNXlkswSarR`jdC;fRS$Q#O$be@fC#EBY^5E<c{pu~C4{4BX^2#82mj zxsGi*w&E7@d0^dS0chp)rD9-Jdw>R#iAYY;JwQ=(xuQP8tFK*-$(_GGNyddQPm5#n z==8I=BTU6{d0xhQQO`7pIPw=6f-JPQ&ol3)w8^wgEk@@Wt-Y^-MCpD2jZ5TRYAmn2 zNFrx_2_L24K#b+fSy6m<T~oV>!19UtFzTdm8q!J9BnA!XG$DpS?CFTd`5HP?P=^!T z*r$mdje6a<gZ(J-2YMa?1x1@WDJP220I&8aPdEI?BQohEm>_erAroJM@k$BCBT=>N z=_rJ{1MJ?~?V}JyH40{+0|eaoBzx2Fbpv%pD487aOu^VNQk?A21YSy8VQyy=YnOFK zcM96hNdiI>h0=+yl|+p-am0gC61lrTu;avzP=}JE6=ebnEd>RU!f@}V8p6}ss&#=4 zZJG4WGK0WKUn)vTR{}iN3~k7hL~<GvIETMUzpWP}&{2H~baE%>f;`fx6^Y|<6A1l3 z<h^GYIkv!A&YvV#;EZk$wY|0yo*prGz_z?IU}wc>P;nz&Tts=BTha=bWu=)M=;Pqj z0aLZ55ffUWZf<~bXi-zK<)9!X>Sm~n)~6~+sk;DrRcEM5cOl!L!snK){3j<>Ho#ba zVI{8rEwD8rlR?coQt54F3FQ+>Wa{AxbDNZn4rM{(PGmqxYk|}DU_!{#S|oYU{Vu39 z(EgzxdDa~raYzJ>Ell1fRuxV@9mV0eab3`|0<$z}bQ_XuDguz-Mh(e1tHjD3-3MW- zn9wRe7Lak+U$gA6kP=-bGBw}l#B^cPgOr@Q6h!P7evNcH#(F^IEL*W`FgY>;#u;g_ zmHRH;vuUCYQf3q<U3?7H#mVHYY_W+h=^P;&`+57v5yMI5^pVp4q3nI&<0`I0|8wu& z6<5n*-4((S=8s3~#R%60f%xMPibl&~1fl8LAnXvp3*krkBs4V%!7c%Nv@Gr-2o=;2 zNYk*mgg8nXXdg*wXvsqS^5f;Xn51c%wjuGO`Sdku0&4ivhWdGb-!pe*3rPFR8)@(S zJ9FmDIWuSG?#`KET!^giVVrOUlG2tHh;tA`$jCKu2_h}?45pmo3<#nsMeO3}Zb%9o z%Q`xZWpl*|l%u&dASf)M;pbreAwMj$*an}WN0%eE9jEzDfxH7Z2)ql(Wh)d%qnOZA zM89{YKN?4>(>@UnYl=E1YH|2G`4~-uCC;b7$|j(We;QfL(g8Ilj<F;)0#RK#g==!7 zg{6C2Me0hbv7XTjFXB8s*(uU_%Jc|gXiz$hgqq&{A)Hnb>AjoLO18Q{O+6Y&3vGgO zabV_V7IBtDHs_OE;-+@c!C)T7CBw-N4N&v|n&_glq!A5{YYpc_s$pZ(<dAmC$>q=u z0u#?Tjp9Pp5|OuPh($ZDUs$DiUX+SPH@b|-(S*w7ZkAq0sqM&7Tmx-4pRp)ywi8Wp zPIllmq-JaV1gKo5NR9(rw{;@gohcAG)(x>CM@HmfQnzKC%{8@CspAA?o}m@u9N+?$ z5f=d)xsZa2wcqlHXWCA1Y~zZ?Df^6WNM-yZ3p@t3qy1qM02+!z;ae&N2Z!X>n?Q*E zrok6EN>lD@Egh<S7a>-GjbQZ;kCLY&LzodZtVoo!Ozi5Fa!VUy(Pc(a8`%y&o4b<3 z8g-zo%~@(@dZoq_g2*yP+Z!eMU@_`n;{Y&0k;XY_b<?5hcz)0dS^=o^k*A|NWFA^2 z5Eu3gNBWM~R5h+H$~y-i826<V1jD9k$hZPkT7<=j8&S}*DB7nPcB+=NDpYv`&Z2Oj z17BM*EmS}lR<I~n{sx2Nivr!=m!KIAvt%4}OvxB41?X4n6D@cZbMJ7#816k5LL{h1 zYup-=lc1<n_f~rQr#!eDp;!&XF-Mj&FGgr<i5%2qi;)2~Zuy@UGylzTpOa-akg$f0 z>nRc+8pOrGpyX;tA6GC~)zr{K&!X~!+{8nCk0bWnbL~KafGHFOHrnNAO+xT$3+^k0 zoj}%cw@XQCQ7sVjqBH2$;Zp-^Rt>WQI*f-y77P6&kikC=)f)70jN_m*=uU|{Pok-c z+fGL*S#s;j@Q{J%;p2#YrK45HYg@#qkZ|NW^~I+CL-d_ixGW4wYVk2Ucr?YeRQEUw z&SFubZ#X)pgAVipr*?CHa0%3Pv4+Yh+H%q)=-M-?yGE3X<`iW@r~kOPn2W>hItay0 zH_MK%+v1!Hr)gQzECP~%#q_G_nL18{C&$ptmUaXF!$Z}I*PlHa)|K?&=ka3}(9EGD z(1lS@Fd|l(uKctNaqe-Q7Ma6M0Y%j~b&0!o{o+K5(~@h5>ye<uKJpz84WA&9$t~Y0 zFq3I%dPg*)L-4+0kQ*#Sz9sjZ9NkxS#x*kx0~c?D6v{UmGhun^uEJw=Xw=S1#S<-v z30plG=%(tP%)=v+7#CF>Kj<a#OeEX4LFheLPCTNJqQ`;bB2aoAM8KqdJG7orW_U-@ zNIgw6%ILdpMGVFnZ9mY=mqrN~5tdkn)E!Lw{YA2%leJ3(A6+ODW$hj9B8XIJ8P0t^ zr!*7jHFhE8l)C`L5$A2=oQVS3BX${y2A@(fM}dwv0y2-V=aN9l_{wVFT*IlB1q+*d z!E*HWEc2e|n#*b`E8UqmD?LZ{L{_AYLig~Da0VyT*A#>q#FmB_rc-j29*ZBZ@6WnJ z7;C-N6%!HW+|fI%p?E{-wD4^FLcZPPT&<occ&w@u2_u&WMEP6yP$Wmt1&I31=shYQ zRX#Yn5tx|rjBm6r1&?&7po5)T6fuHA$8*ibQlYZ!^2h$WDCN5x`8t=`XX&6WoG8&x zYR82#1zN*9hEt<EWu;8faRYfM40QAPL=P#>N#MfPp~mfv?Nty~JGG{FRP;T=`N`oB zM6qNSCy}$JUUs#I#kM7EN3}{>Cq5TiBsblXfV!GG057Q5TPjL52(#8fm(iB2wL5Y} z*33}KU~-a0*Od7F?_)(hVqG`Y8@b)}+dJjzP%IlYn=?Qm0Mn4%&LmkC-fD)J!yZP# zG$qUhTod7HiwQFB>JBdcQKh%1LHEBb$crfjtmo)*VNX3^CJJ>%lV$$fCuOnF-`Zi> z2-@DpwPj&@C+F(MFeJEJ?69sGTyJ2Xsk)>Q<Sg%j+)k)Mn|Qh`R>?wNpW_I$b*k>w zB&wqh?;@V2Li@RUjLKl0FGMoxsEx-4Yy~t{pIvvjtFyP<WAJva`2j6udqQc!k(oQE zmv29UK`R4}!DF3El4AD5Ildw=ve;tz#_!|Fu$_QZ`waacTj5}Us{<=yO_=o8pw4Mc zSr%rHJVG1z8`t_AAK0j^hBlT)jFZ$w!zK*J<=m<i!*2mT!4(eisf^svNPjKDjN$M@ zYesVQp1Z4*o7k8*OD6~?BpeE?ow61Aa5>i74HYqBPL7_KSTRC;xEfZ9c3kq7uL2ku z&Qx;eaK+X@yd1&}v)$Epgg&9O1T-=r8N$~p4#xwU8Q&Vx546)9j3f#x8A2)>S%wYo zH`7W1aeH+R0Kzcw;HW`837q$MA9p%(kgz&K30x2$I7lWKJ5pFlx^isK&)CDQqPR;c zR@y8U*WY@(c6Znxtsb_L=eX@SS!=b!R7-2E{nf->V8T`5au^=2Wju`3z)Wj^fDT49 zRR}jVs|?T<aVeCNh!qy?^cM}uk}u+WH&N7ynt6`ibt+HVG!bRs(!&D^yFz<hQt)GY zSkPX&9-_J<q>ch*G*e}8r`Wj(N>+K5>~W?za5#4f*nvviHc35#4mto|@P{xcM$T|| znVHe^5NT4+c*9Zu%uJ8+*_~Q<NCcG`)|7gI$1W7-2<G)6t#RmQ;Q#43%G=67g=hpo zsF@=0VP+%)cl+(tw{eudI`B$V)DYjxgmR8nn~1d}*j_@yI%Yto3gp`;VdG=K<`I$u z1B8iD#<rSQ<2baQD@D($zP%@qiOK*=<W>E&2_@86GOV}wHMeWe8fsX*3?-Zr7QY}- zJV;_N2^LYc&iWAvxIm_OV}`?!_l|N(s^ktOXV6gwC=`QDCWH&lq#Q;UV=@$l+YY54 zp@e6WmcWHWx&A}g@&d`pNF$cTgO!2d5aol_hoh~<Fxh4CgYE0Nj&~&DyM~QWDJ##x zdPPTRF}k{)X++k2piSGdw_ECG?uDE{5~G9KA!S}ejL*;oIFivr0z?g;U^gi&<FQGa zVN6#I34FW&wQGe}>dWHxP?L*+kvst=M4nRfxWuWXwSd*cRBo~DUDe9dlXQscd=%+g zTFV79(RRtw86hsf(40xw4@6)FnGW+)0ccnwt+K>p=a+(0%s&vtz~|##%=lOpOt~gh zH8H-21Z$K6v<t6<Az?X`wxZEltD2ID(l-W*$F}EInX+_imv?}XG*Yp<2r;<~=)QAD z+Q%!=NOT{38O99O6^8`gXe*3dLX(E+dNj>?EfQ*9c2w_Ljrdd15xK#sws1q0X}se$ zzW+1D9?3?lE}$l=s)dX~`F-t?Oc?M691^&cdE_(J_}HPS*C>w>V4%J*N}$XCJDq5z z{oHXhg|(0l4Fy}FT}vn5WSW>*eRyY}T>*jPKhT=zMQjMB&an8{E7prXu~OCAC}*jH z3Mh%wfexcC)~Cd|P&=CTHlJ&Ks%F&T40KpgNi<`+C#fZLmp|W<u(pThQB4{&jHAea zUlTmx$|ql$CKz%0fL##~VU-1xChJI_ca~D~@KOK#4J35Bc{F^n#uwAXtRXQisfyV< zd@by{AD@;!j%Kh#d0ynN*0UhuUcM8GPbhk3E`ai4h)v-#GIU+lPp?X|qYod)x1sbT zgK>ur1)S3nsyysgPh9OU5=K`bEgG^N)+hr~5XM|7jYKlc`yWHb@FrnOz7cdQ2YJSL z3|geBP0>mmBVB_~x1xe)wSYrft+ZzCH*)0SnRymz6h$c^Ft|8?Xn9&O`<Hnq8uv}L zC4KV&PpF;OyF^%l7zL>__5!g^GCm_ksBk%H=@14;86<{)r8YlRu?#gD#Obr{G^7vU z)x$ae<h{(KOomP~@sPV)>URoj+d#9G88`!$@ce-fn6P#I(692Ne#GPlCNwYTAH0S) zJZ@T+_lpv+*Y`%p=)QQG2DNgw4j)!T%i>)VOfb4qgTvMK!}VzS`AIu5g^CT&GVT$~ z*YZUsz$H&S5{IW;7WGW5$L{L`6N@Wc+#qYY<sd|G<*T)2;aa83yBsg^N7#wM{;Dx@ zbyfR@gAP*&?R(&KnQp4re?^ftB7##z>sLOx3!Kxh7G-F%oiD8_S^%DhtD!OIa-%wh z)yGI!9KW_?a|LEgdrht$1^K8t^sF3ZY;4=nlrEjjGPmXu-ukt7IMobp*D0nU;j)!Q z2M>?4<z;5Y<{puY_*y|lHdBY$0TZ2P80>-KkiucurhpUu=GaX|;>>4iJ<;N%aBKU> z<cPhu7VdNo09%uqPDGJBykg+{RVu8;xj3N%XO(8(DY&F$y+d&&(?<IprNr?j`R$hW z$vFB`;c6GQr(P^YxhlG{hPPyd@9%&No2#x3dWx-x;~0{)Zf#+G{st5AZ76Sk%WC(P zYByAp)2>}LXm}GdcKOle=!jKuuDmF?Fv{P-Mh_~IHL(pt!^q0t*Q1@YIkTOpYvv4J zCnU*naOKJdB+(nP&3jBOSYM!wgb72HFHJ}Kb!ZLtNVNId><JI=Fww6wYNLsdqxwd+ zZI4>gV*SCK$F4>xSavoBazA7K;{G5jL2YIrXBP3Q0w3R9`%tjm7!=&vW%d#?Qi^Q0 zKbknA`YUHuFOQ<ZYu1#^c+=4>vq?VY8nIqiW9P0!87XgM5KL)nluTZhhWm4Ie-sQ} zy8W?{ok(Z#GyfE4Y^*YA*4ul78dH|r7XI|9E&Jd17uVl%%crLQVe+%d+QVi>7Ga2% z3%<JlOGp3RpB#CIyfQsJIW)XiW$C7R?t1Oj=@p9)-MQ#zI~L_;PK?Gb-}j+QK2rMN zmEn%YrDtCJ?R{@Nwg25u{rkt3opH_H6K~%9>X$FscgfN%SKRQ#v48Q@1zSJ1@A%*T z{+6q@yyvF>vwzDKvk%^Q<IPvxc<ePdPQG#7r7ync&?_!k>19%Phir&f=f<wR;HWb% z`|@(xvS!5{GmqCzd&kbBR$TDX#=@zbQ(m}oyMzr^6^H!6m(Q+cZhG(eYjV4apZtF7 z&(Hi^c*B|R-1NUzp8Zs@vgO)e{rMOE^r@x4b=~j>OI!Z<vbD{Rz4YbBANkpjHa~v# zlI#0_`{<1~e)VgmlYi^uoB#a#M;~?bMV~wO>BIi@(_3yj{=|>{`)fDuTfE`r&L{rn zv6sHLB>wyV{oxybII`ulzkU3dZ@B50TYh`%rvG!&&1Zk;qOGS~IlcWI@e%jllg~9C zdaU;oAAJ9F@$j}ghOe5Nsk2b2*Y~>6P2IL_`mDuw-LW`(+h2BG{qosgec;|d`%dTe zzx=oVdE}?y?Vz-4Zm;Udp88L>Y}vo|pSKR(5`X%RffJMRVSH1={E-{zeCRLoFFb%u zJ~CZo(0iFoA(Pi%otFNO&n|lXTRq`-zPR$`D|X$~`;p78|IK>w#U)RD@7s?&Qh8*< z{r|r2bMJWl#l>e`y?y@`Z+!Ujn^tc9@)dva`j)k$-`jfQ{+n;y@|!o^vi0V(_8+ro z!wDZc@ro^re(k2~a#x*r(R;RBc1NSZIBCeo;u~}8Y8U?6lFME{v2f%1{Pgq4r0hQb zzUA+JPLhG&q~q<nm!AbyCeMBPlxuIfX-zzNseJnEAGp^0e!BiE>pt=~-~07_XD`3( z=4%i6kAIu}V9zZp7oGc^YhO5P;<3|z_d7TKc*Vc|=%>H^{nN~bU$4FVzVB~2?WuLu zpZ`FPx?yeKxz8W+^4z{fn@{|shdz4AuABekrVovOx>d>_A%EN1a^n}zKK`WZw*LKH zySHxo*#7_6O2^uC%cjRac-O;s$MT8$7A@I+$m6&F+1_U^Y)0D-kr(HZaB2!Bx9QJQ zjmEKOojLvS#ra#$?7Y7JhNtd6=8nJphy6?c<(0pjo#jw!J^x<MiskPcXYOf>a6mOj zV|zEJXXC%YLA#pL=>(TtvzrtD(>$JfgtJtRk(XZLAXrYOPHzekj@j38nM{U3tao<w zJt~#iPT!us&IqR|b6jtw@@n6ntQ3n&ISaZ9wBC-g@1?%U(Xp5R@s&2Xa8K^z=wDyi zXtu9V!F9L~C71J=<}0(@C{UT5C6)7O5BvN)Q5h6&CX>zT{T$gdMygBoq}syL4hdYQ zz&XIV$<ZusQooKpO}Nd3;(9ZRaQ8vq8H%RQpGi!zI!bU+NoHMPX<rASvx3nRi6=AJ zkxW%@R2doR8yy`jyf8Uwjyp{_$F_UliUub+Yq+>6w?QiW(YTb$UB8Q83LCbLcG!U4 z8HGUEsyERrl*xZTO=Z&8`SBUAWRxEJxbimVWdd_M`&A~J_6M5h9WvQnIcD^YYDaRp zC%Hp}X7%a)CojDu!p#j!3*0FI<w$P#Y~LQ~e6+*Ky<M4{F<C4YI*+!hl$Oquvad)p zMo(Jy(o0;uAlwN-e>o_V^UkYO_Wgi3{Rp@5E6}bo*|bSzvS|H0Nf|l@GV!v^W>c9g zU5ZRN{g}q~g`t;;;zoLsSD6%+aw__ym&xR8-)vu@GnzxH)F8rH!(*J5p2~#VS@OzD zWb)d`D^=+ne|&WVh&zQBq_gp(r)eEsnRL1`Q5?<PzepzO@xwuXXREf7qpU|I;85(h z$RzvP$e8AK&Pc~e`z)17EPZoxK0#%YSs)W6BFKdMFgOc7_r%CwbqaHjKDwzZ6EH1( zl5!kq-I@H2M;RxRosWLBua7gwE8M;D@);Y7Clv~hwm74HZnX2{>`0M|L}aBY7AXV! z=HOeks%_G7a^88HHeL368#k&<kmAO#dYP!~RVIDEr*P^xpqbI2cb0IvvmYlMUd|tf zICG}6E_@gu++@O$&she4egntKPj29(^vMl{O<T{H<Op@3^)T)9?j*evWdmGOcSPry zPQH(;Kl~Z+uWXo{RGCb2=L`4UjNY{MG}OfcnRFN@o{r}3=5A#4rg0K1y5uZK%$(A% zn4x<s!|fEk0qM=UOJy=wJ*c}<nf$x#@iO6s8<_+PbQdz|pu2XXKPEe~`)>HF&gk5u zozbrDqQZh#g4C%p;Usv*=!OlWSwVL_`f|1p-L*8&<tVeWW1Yh6$V-T??<ad-X)BeJ zeX6?{)3|xvRlU=gT#gg(s~z)Iue;`D!uqT07!WFxGxQ#t1G-CPB4ffi+g!ioWpW0R zP~G){%B1oeeH-Xih01TByXZySJCMzAUc0&sw+Zx(rQ_s?Be+kevzsA??i$N%80GN% zu`zViWIo^5x8kJJ=H8^cyi8Kv^>&%4%2Wrp&|Qy>$kvwj-mT@v<Bh@*@grBs$f@+8 z<>UT-5h<T91I@HV`dV744wg+c)Nj%|Mf5(CW{x{RDtu`OTtD##jQ(bl-h)yeZ|MCd z(y#gWi^scnivXLXc=b*a!=l^Ym$LdmdNo;vVk`r%Uq|?RQxuQJSy`^``sIoY+~39P z)A?>?ggjR9>{@8{Kf%~8U#a(%RF<u-`1?=vo(_M%2}(roW&y4nzp^p#=UPV^Pwz>A z7kXdHW?pP4&+Zc(_*Z$~-{nGnO5;3D2mCIc)#_)HWzqTY23~!gdY;Hta^5%G9n-}Z z8B^$;$n#x;Ir*Iv`TpnzJ98O#a4gq*Ot>TDzV2NgVKUNlf!5F0gz%8>d;A?9ad;(n zgXsMuo2|Pvy#s{PmS_N9!>?!h3$Nte&fkAx{aquW-ub~k1nx!Aax!qGxP*MgyIy_b zgOul0K<iOArR@$=I=JVgi&x`%|4A~Uc)4GNyGt~#>C{X4-0PdW_m5ov?9^tyFy>y8 zsZ}fU0}8VqoQpNC6X9l=+KeX}_df7Sdm0}Y(ECt^qv%t>X+E@j8tF{U6n>+>PvujZ zPUW74d0M>RXueZ<`H|HBl)t+rA1?mLuIBF?eRI!!*Q-yy;vbHra%FSd66&wK9~p2j z%crOlo!vLbz*ddcIq!*|{1mM*xm4F0>6gD=AHFfl{bUR?{`qLB?w^KyTqieNq3=<q z^hc!=j*d=tc0c(_=fzx(89<(VvQU_N;w9Ej+(kDVoGaOvU(RIvl3eGFeSLe9C1}w% zX1~A+VNdoo<{fD1lMHKuE`F*WISn<^d1da&Ctv+HYD(+nYBgW4EdGQpp434I3yXCc zWDe#&xigp>SY)yK>gW}CnapXY0W_N7Ml)S+vEIqDjgDLBfM(WTI=Pg4A2OK=VeY5X z`{;asVQs=a4|-!=I!7?CQ#aw`^Ofj`kulaFnL<vEVij>X8jm$ubwrs$Rn+m8Khh7} zpY?|PRK7O%nSJ}@bMk=B->FR0=OgDmVTN@6pL~l<s$M2@RH3sGZoUp5(Rj)aI5gXp z36#vuKH1*=BKOcSJIv0$@Pf)DKgL~jvg|h{`;(t!Uh8`-m&+lO$G!qBIb_ne;RmcO zQ<-!Y$b{+fpiKOF<Dg8GYckN6-f_W79%hYhTGz+CpfVBe&XD}&5T43pOh!**9i>;( zAQRzUEM#&RGsp%YBwKi)!?F>Xu(03V$Guyu6T<#Jb=;5Aw}Gj$D-(~8yU!+%AFJH? zhh8Ro3L9pRn(M5lL%dQQ9o>-1gge9z@M{6zCS{CeHLS~ae%e7MnM~(%od>@5HDxiY zhB?jBd}Q*|F|M;fhIFouWy166Ryz<)1kA?|)Io}OU73tQF*jB)P7a~bbF<C1yja|H z)KMxEk#@6M&5zCTKJ@vQC$oF^zVce<v3I>Im+#y2rLRJ4j!Ou~CSMpEd-P>pZ%yhQ zfZ7HelVxY*wB5)`$H_sNbQmXd9d1LDfsg5&uCT073S(Q>^&$EVyg(_ZWo+w3_)B%1 zjET(4gp1AkxIYex56drQ{Q=~otT?Dr?=!2swwn^Wx!tgM${3CtY`DK>DNq}bipIf5 zTIcw&O<(*fE0@luJx^}v9Cgt}&;0<IuqN9urVA}@^!SA`*;Mr8^7_~5M<(}W@|{m2 zll$gn0yR*sy2}h5^TKC7L#G=Z89gYIAA6Z-i{Gldx_8s*VqA0SM$iGaLv%5@yZOp! zDid@UbUyjU8=E%GvGTqBuO>VH_>Zq-JIT8`Z?Nt<V(Hvm=Z(%6xISig_BEBs$jGE> z4o{bM7#%0`GC8QbyiEL>aPa3SBHu_>(G0hBGTYbLfK0l&>!OPasZ8>P!o2QUM-f)X zs=M-fTO$j~N6}sM0A#YR@|w&Qw0zc#uu5fBt9LssT{?+OWDfecxlU7OkI!#feg<py z-J3E`<|dKJ^dF$Rz*|ja^8cf|U~RwGU9ZR|Rd<CJMh5b9j&FeRgYaa25)7g*g=b!< z{^5MlM|w08t^hF5MU;<Eb$t^q*L1eNrRnBvzRza@HHts#<`eh$7Q}yLLi0bPKV&Oh zp`TsdxVQR;7t{1^Jze^kKg@dvj#{gEi5%H2n}alH!;Vl4_J!CVdfb1WrmGzzsw0rD zU1HC_0w)LU6r*?Ktma4kDSY&E&G+_^9xvrCAOLT=>4$gWM!NWK>&8FR<?X?^FOMB# z>K9_cvG_>omwRukIZbGP*6hWXTEkZGa(6cD)%fu@8de+}%r~qdE{?dOP<utkSGL{s zaFBGI#_@c*#^=k`dmDDOOXHf(H(1uVH^;9bHFIHPuf-4ZQM*P(e4Y9hzv1Ir9xxH5 z59i7f7oPlVD=o9!rT%9PKfy^x?G$(7f6v<!O867vv_ack>H3Oe-hOdDU7jVM^3qK4 zguBynY9Fca3a5d2dq=g8B&zXYhoAW$2jZrt@q^`um9ASaO!MVO%V~hNIi<hX0=&TO zT@ZE)!jLXB#3_jj9Np-ki{^tyYrwVq)B0!nP&E2B11{WzJ`OlTh<yFg!_6liKslcv zeBFN_oB|W|Ny%aVBF{=p*x8tmZzq}L+MI`RJa>S+!k6??nP3BjW)oItQ_6}m4Lo$% zP}&Dl30RNCFGLCZ9G=>cw#C=BI6hrxz*i$|LJO$jHz*<i8?7a*X(WwV^?igA5|`@w z6z_afLk(&ur83Q#fBxds`CNRfNZ;l(O+O2rCFx)l#JXh<rp-eyNa2`Cg$y*y=!5NW zzT7-alKn?bPFJlyL=Fr{f9BMmhSZfvJJ*-9yaJ9(S%yI6u>zbn(gJ6RX{!3Vu<^mv zCo#pQY>%ww*vQs|8f;A)X04}CbGo&PesjqSh(5YcONgG)jiI23cbn%!2Os8Oa6#iz z*qH@+|7FlF%uU=vM3CmG8+j9mk1QyaEX+L^)?{D$K$3DT<)?)9DAqb}%GZVBFLLuZ zJZF<Ao=G<Xc+hj@@C8M>xHV-#Z~*`^!|BhpDa{L?h7NChT^<NHd;qL3ls*;S=NbaI z;gCm{zEDiJS`BH&Tb=$o9a{6&<c0BrnQ6$9c`%Jg*O{(A&l_Iq55gVTs?r5o;OV$f zr=2cZ&|KqF9lz%*;dS2tZG_?L2I03*eh0?aQ6PLO#0?>JMwFRKNBS|&YBJ9Hr$ShH z*yk#Sd5SgMbso?^j6O?%7<><T07ycgsQ_4<Gf5gTh=Wn=Nef8!d0q?(bkH?LoYAOB z>r}-?apcXGc1`zHd3C2~QpYdj>>21H^-1m^$p@+OIgu|$KHpqXiKoiq)l`R)R?@oC z4=A-0=|{!n5vA502X?y5%gCj<!DGN?9b4WM7X_${rK9M)AbF?Tkysk=p*c=D&6X-} zzep0qP_bd-0g2W?9Vy}@Hh5j<L%KhRAG)bB1P6Ou(oB8|R=X#*K&ms{4|HjMQ7liK z2R2n79+XN*1vmpnewI#|rfoVd3Zf&@GJ#bH!rBk%O`MKv5A<3~F?HH5)d^)^#%h#M zkTQ<2Za^gFGisKEHZOCUE@}rM3E26wiOmKBPL*k7#c2*_0(%B>nN8BAVQ39s0P<@% zRco<w9Ka;u6OWI_fi@&cGTEw3O;O%=jDlDf+t|^{8T}RvSIxRcyDkiu?2sh4Wn^Li zWN~mm%WSsHp;~QBjlK+B#*Nz<1ZM*mNGQGRK6C6t&GW;*@~n6K>BhM3hYZ*thPfvc zz%1yGEWhiop+=SsTCY9K@#F~Wz(oUXaU-rlT*NqiHncW#yFEFIoH8?$1b7XQv0<Go zZJN4?5RL_*tTqEsWJo~_96DbP##ItY8P*UgBLFro>E@>r)ob6g;((UGh1HPR4w`zD z_w_P~Yw1t1tNHJa18aEwan@KeLOygLccn96a7ad%Of|Ngjo&@)f{^V!Q+)e}_{6=J z3Xp~2+_>Rhctgan&>0`6kO5PSYzg*!mn?_}3)(@!NTgp!aAF{9*~%S9hGglfD~F;U zX}Wq4gRm8%Ph?73mCz5ca=GNB6$}s2C5>vCnZlr(xXOY%E|&aGR^L<T6L2CCGfn5A z0~dJ>Lj6pQJN?F^Mt#mVG>+;3OxRMbKePe7DHY*$vK%n}LEGffH0Q%-NWVA<%c21Z z@nEck^z>-l_nVN8MP6ab>t%Kb0>(2j3JES3c;7{o)lF@Kh*^rR5xSzOfUuwJkehws z4}1w#!|`(I$C>dZK}ZFw)6HAXC+X9|9%~5%E%v-vWqk?e_t5VkN3pSK1C)dit2jJ& zKE3iWi;RK@oa~I0$TFK0l*FvfF|Of~5gWF&`x>qb=XKRaAw6ywg8}WXNwEU35f_yi zJ)nsU8<c6Yw!^b#d9N*+sHnT%mID|B#ZnMP5(c`zHl!oEvYm>r4(n2*Uet`dH<*wI zBmf(qz>uUn47bs33gT$WCqW!eAz9zWr4$%#fi~?hKB*E_hJenYM!bm0rce_CNTgk< zYd|Q<1E;D)LDa!+2frXL!q-M%u+t*VYRtP(pXuaaG^j846t@H~90+3-nBy}nVvO%I zaEiDDMJXDq$eqrMsiUB})Fbg7pNyO)l=P9h&}w<7SVF@Cn(GWQIzLC_h%;QUDACZ7 zx`7Qo({cEVlU`C$jn*+lBKQ)P!H&iobQDaHN$Q+ND}+sNb)J%=sDyolJ$xZRKlQ1R zLdb}=fX2qd)aHW$0i0&*M;$fcoQ_@XZ|YpDq}zo{8rGjBQHQK&4!;Lg7l$X1pz|Xh zj%AxcpzJ%A&?y#7K=Z|(ut7NL;p=(tkRBZ)Od4?)NaH$D-iGFEYsBWrcZwNC2>sRj z%F7DDDEcEwFrP01aR_ohmBwkK6|V%Q;sR+n-w0XylK>M7Bp&aD1#O|HLYLi3>y$jU zs_ji?Fx9eR;UQ&#U{DZ4wboni+GeOx<rAHHBI`t(5;mT9i1Qt_#P(<bE4!S?4AN;3 zb5UK~7p#Ub1Wu`PY&K1Mv}616VCb~tHu*@_Qw{LE12c7p5%ELA)T+J2?@uZs7-7yQ zn}2(VJZl(fK>%DTK8R!{;{*wni63!_Lmhg8LO=%E7@$Zv!sLRy(-lAIu{4tgGFRYX zO~^0@(k%KVMHD?NQD*T0$0Qj|`$CQ?0E$4l4Da_tH$xP6E>p6mQ?#dm*U&_`r=GyC z#cd1odc_d63<B%@RYl3Ttjjpe7pwtQASFA}ke2Sy4Kr*76&c|YR4)ruWPg+#mfYze zic=J`v`N}9Sbx8?xd^>p0TESZVG!*x+DCT|i`=Q$P#&=yoAhQF3`yXUiJ>7vrk5&^ zNy{WPLnn!ck7Nk28~@>L&kvF1dJszF1*7~(co*)CLo!q;fEBbYgO)ZfM7DhDF2p*$ z&cvmRV(9ZR^Z(+svIbDf{ySyIbiH18ifYHS_I)Q)rXDJkLFLqujiJ{VW_><6Lz1cA zVRB3~-NEN%TU^`$F)}>y7;P-m<W@NsH6IR}kW_bhGehlI?FtTDc0{pDpwY!YVw@P_ z54B@C!77+b7>vV+7v7=v2a{U4mc#)xidrqv+3NvR-Punz#MUuTj5+r94muFa<>rp~ zo`m$4oi<6MYNmpws=$6~ue)YamZ>8pFw7JD>`ZryhKryL0@tH0H()gJ_TF5y9Tt;e zqN(E?3=WNphQ*ecUjuKH5RNB1rG8kmC=rE>@FQ>;uO5Zk11l29#yA)>5iFK7i&;=b z^t?NVs6_68t6G)lW&|V671qQ>jY~!!s^}wbm!W4_eqv(2nWFCmux2>}R0ae1o_=2e z+6V+{Au?seN@#&%2_u2g-jA)`xY!{f=YFw~4Qd!9anXq6OL8*5@zSZJq^$&b#I&%h z97@~rd*2+bSj2eaLIXxR!@n9T5|J9q4|~c+Kcs0H=47^a9HJ}Pi200lK*=^u4-y8} zg@M$t36BQCs3N+sq(`GSM}isF^v1cBxAn{23fbT@fNHQ_-_>0^vaBOS#-$qe?`VWp z8ezGZgw}se5P*lpR{8-7rxE`BORKJSVqMXqNDQWW@y<v==-3P}N4Sak78tP>JSt*- zIH!;psDnQ+ctGyK0vY`hSjxm~5VjFzARX&Kb=H=N)o2`jtVon)b{O4Bj@IHRiQX2s zjmTbxfjBF!j-daUqaQHaBQK;o5eylFJfNJF@lfat7{X=_LU6&fQf3%$MZlUe#hw9{ zGW<zhfaIfM3wr7B&l0d<6tg<Y1^3izGcl84GCc9n4)n=|&B`D@??+B<8aS(t262`O zt+Okf2<F@`mP$()-o`@pOs0O=lF+hZO9qQjj)d47Z)Ds}1lJClyLkgGmt`dyrZ))X z<X)u|MFdrkP-83;u~?)4=k#UFLSy7|gd7VD3FBLtXDQNB!m&945|;tPpXB>pfp}3_ zk^u&YP%mJE|11%4o+*?yEK5rIt-3A;IBUULGDA7l={w&AianvHsf)`z7Qv+$7%gsz zv#^KZu_3IBP2KJsmHKTcCz#|yUi{=4$>r5rj>9n)T@+~R{3l$#SL+5kX0P?I&M`fH z{y)aB#yDT0sM|!oWg1~6mb9xUlVG!qPg}+2U2ZcY(c&zzIKcEN8DVQZth)b^MOBE4 z@8MkQ6;&CxGLSU41;&g>a$1G{XQa7g%^WDf!igQK8$xQwF*2ETLdFt=`9^w5e4c;s zwg)Fh;Ah}Sa6BrO)sN+!z3WH<A=;zin96WE-Qy5KWTg@g-r1y%NY;=B_Hbkhiy6ZR zP$9A3TpAY2rb^pcfp0|mG25p%UOQx{7>=o1l)%`qEKNOK#Y6$aGbt*~1`MW(r1|Ee zLg)gtiK$YF)l9S)R*$D-PT_`2`|xl$7%PWa7Sylu5a&Cf00xP6B|`iwU6FHj9jcMz zBm}F|7#&5MD5_Kye!41+<hb4wg2u%ryii=y+9pb`H7;ktF{8nO;Z}u{RxAlc7h#0J zm~W_NmHU(aTn{gwfeB?BdSD7_8+3cdwAR5YgoibOMnz|;uFI%TOeIK%^&~k>TP70| zlo-|qr~~F9U0#_Us10oq1>w^vY6!$}aajlgOB>0ss2p8*j5`Fz)JS;}D3W!0hzvul z7b8{xTRNJK3nJNxJui!z;e1rEJChlMK$%dq_F+C)@C;P%e~d;6$4<x$X;VRqaX1`q zq>%-XuO7TdiIfY5T%cs|h8;i;N%)RcriuifTg9OcJM3^mq9X&=2IDHFFcdKv3Q%h$ za;+0{$zG?>W~AzwXm^^D3BoUy;@%12g&sv{vxm#)3dztoI&ozw;cF+9-nc}3GgJ%9 zZR%%w2yIM#wxv&8#2Q71iD#2Uj)|#OA!>aJ51jK)e9BBfmpWUqBdeSbN{UMD$b-ES zPZj7yl!<(1*c^{D+8(E~v<Y*AoM}J@ccF}{AP4v)L1e5omLg0f!4Ot54HqIAhGlG? zZp6)194Q03*q!X~xOp+UtwkVad1;jP2#qt<Kw;$*XW*`Jj`xgwP-;Z(<5%8^&8l!P z35(PzGy@~oP<0t~6kbJh8qC;6M%Z8?i@+uH5+z3Zz9)?1pi~zkxH_M#Ha6$RgP?h` ziYX~LNm|k)(Ia-|9<N4Er99@}7e>5_P=ZJpHY%!s7}>T`r=~|ra{KKS#nybRrQD0h z(YuaNuTn}0Fo2$uZmLGCC6U4HlYPe^X!-bAWL&vOEDXm3LmFSocohCowhL4)GdkH0 z$5TU9g$bf&)k#RF@+GLN>L8sQgq>C?f)ysgct#(Bp^8s0%XFG=9DWEl(sXf)+71Ld zKPe&%*DzEYhHsLX9i;FEVO>L5!+LTcsp|u;;^50gVCjmUB8lh-DLst5EilPz2OtC0 z5;&(1j?~hWwuS+Sz(Qycr6fh2bxELY9$vr2^kSxA<J1&N&CaB4?v)Cq3}u1$+5->A zOUVVfe!5muW7<n~$jT5ks&7bibv};3o1CKQy0i@}k3;>H8rSCI&>OH7M`P)=ju5)P zisuXu@O$imiGa%lfhLNBB0yjzY*xIM556{;QD$hSCR0Z19})BbK8fio?E<0z5+#6x z8`K#+>SN$~2pAAIutxoy46Mm%96!Ps+Pg4x8Ah28FMh@6(VtSEC23k)N{`l1XAWch z)kE|E!w)0LDW$9)P;uS4<$(ng)0xNDrA{NE(-f<Do=)(3+(zFel?^oszMV3S=Bl-+ zk?46$rkoM?P4eIDNL-Mh$b2;LZKSP1+gTQoj#qrGJ$UFY=L?)V;9RxeMW2yoNzg<| z)3q;N!E71D_W@{G%>W+Bch{a;D@eaRy7Vln<o*583g(%f6-!Eoku7WKTg}#cm=#bD zh^pG4Um7O&;9^r8^p{d58&|}|>Q(FpKBZmBU0m$hb>Y$dSF?#DS~eW*&<4|+Gdhw2 z31%d`z&Mm(kmW@vj&dvDDpcswZSgK)lH+=UfD+>oUokJ{{fn?v)Y!fj9~QTI*t9<p z72mP*_QJ-B#9IcWw<zzg1WT@NGl1e~V6dFXv)0Xo7t?9auE~xlXqllNr*cZNGuGCx zklI7ds00gG#YI%jTjF>r;VZJhI;|}T;=6mou;<%TN}I?xRie<WoX;8U4lPX%oSStk z&g45-qfzg2a(g5$n8}&@E3pkCCr-t6iR21ZM0NfhjKLGKw<b|fwFi_zcF{=Sp5Mq% zHwN+wAE;1Ab?U_8P<!$4MmhXe&MT@;NPbDRe#vGlmG6eR;@VZRu^yYA<T|(?#LF*c zF}b9^jdD_2vEE%3=7%KyKzQ*8SCGG_C#)nR)!|BeaD;AmL#_=MYE0NmT#+X620Cpy z&yJ=we#MkZu^EV0@HQM_^zs<=KzZS~*qt59DKJ+RCsg7bOk*R|B1xFK>XE%LDV$s_ zkX;sY-SR2-NhypZOG>4g{LoY)Q#-Q5W^nn+D90DiHnMz!>z=suVk;+d0>?~HwaZVr zTI}7hwT$2`dy-*-$T4i?gvjAjsm>aR$=}}!T96!1w*u8+5&|n?FmK(CG{oUh8tf~y zP+o|5fm1xUI)|ROa`&Dc-<|AjKfV3;ax=HO#?-&v_24JIIlShgtq(qU$C|O*{`O1J zT{rX$å<9E$Xe*Rk<S8iMM<^JBnH$Ske6rS*{KR)q|kG#5NZ1bh>d+_w<PTw-| zkt>#NnZ4wuEg!gIU*DqQO=qqB@b_~&U*EE2^95(#wrcrh!&f}K<eB@5@%mFv+j7(F zmK7`Cb=~kyAKr52nHPWHinBj-<F{_S^p=16`V0F$b<>Tn-|>UTKR^3==X3Q3AKcOS z;$Kh24}SXn(I4L{xo>>&UrJwi{Dk9<UUcG-m!Ei2_Kw`+4M}*v&py|D@Tm{xpE@LP zcW}Dn&hg+K@uGXO$mGUP-Zgjh*7bk*d#_)zd;R~p<>q&N^(S}y_1q2nPwH%c<H$2k ze&ze8o&EZrcm2)XD?k4FF>jo{dH;1^{^aXdoK(4D>jxg$f7{RB_~^^0fAKwwzp(wi z>%O(+!~c8B_5b=OcQyX$++KTb)|{RX!^1KUzWYN<PWn!8!bj`Vk5`88z8hM<nECAA zOikU6Odk4XhDCZiXu6D%+#Kg3{O&_4ld1Z)j=SlmYWY3y?8+p#^{(lE>Dk#1?)^sV zdw&x@fA>J0gTRpCzy03y-BtJP+irPq=HBYNUijVD@^{_XGyEsvnvdW0>g4RVeqL%U z`Z5P+t^4uCKcv6kcH;i6`)~Z68%|mG;L2}Yb;Y&6dG@hyeB+WWt5;mHzb|+CC7W-& z;p_42BUk*^@Rs-Vtvda({+st5`*oFxId045pWU=2f6~arO*d{?brUkVBDeq5n=ie2 z>;C;)#%{ds=41Z@%fRcNT(k6(ZtF#-{O+2?cT8V?(XV{-o5#NIhhP23${XH$+R^zF zhbt$ZICDqtu^yNFzR2O<K6dJ<W8Zi7-+uGn>D))xnQyK^cg^(hb?Ys;=ayW5-QZ7u z{F#6H&?&$6^U43V?ukb~w)MK-+TZ!|AKd=2Ra-Y4_td`KpPhRCAMX9LAAI2Y`(NI= z`|ppvZ0*LrEic}+<2!%3|JCd7dg{#e_x|0zFMRKs{rkT^@$=72Z@cDj_sriO7A|Md zXL|qe-6t+Nk()$bZMw=@GrlhWho9b&d88|oA!H(9n?+pM3XuuFm$XzQLA}vw-?Sx3 zR-Z9HPCju*<H2>AZ?4(xx6`r(w!06wZ|-yZ7)I)5Szoff^xz#XSiWGnl-g^ldD#Bs zUnz)zB0FaJoc2=Z1;vI<*8csS$*;^_bkUwYYI9U9l3Jw->cE!gwCrm>ozy3v>{RCF zzB2p59vR)R^_5pPbeuJbvvp~RK4+vp-hdP~pR#pTiRyHI_A}l04{ewgrS?La&V2Ik z2R5pw2|QUZt1A>T1&rxX4C~k>kDbz}?t0}h$zJUsU`M?|v8h*K`wFa1DVJF{iY*r` z(7p6bXmZRbeHJT7!dN)smij&5<X*#uUADbzn!2r>xv@0#wx@3csoqEX7TNKBpxmfr zJ>FC%3Zy*KZ}R>#nas_lGD+c(NuSE(qDMRIrF|i*GU*@_tUf`ue^EzLnW#jH#g~rh zRF&q;0hzq`Vuu~f2&}Si-&bdKfB)pBIX3vlkxE4nO3VVO7m9}Xs6b}tl)lMPWI}Jz zJ@jvuN&mTh8~Vn4h5~wraV3uJ^cnuMha4j7CO2gJkjW&wgU2vx&>i_$ij8Ui8=F)U zhNXRl!w#cdC$nx-S0<yIyi5>UCphDbg)&Kb@zOQH=?i6oC7|bJO2eC;^XcA?S2SmH zIqmnUW1ll(XOlJDP=I;hzPVX1D<&`K)G@*SabHAj3w4}eCE?*;Dqftdc`fs3r`jj{ z6wW60K4hYpMn=N0BWi89XOGGxmt%u;6_D9GM!hvD>94eri4LNCDib6&iUn~e<cORw zkZ~FE&)zyArQ?S`e5Fg`&wjS4NG<H4Kkq#DVjpx*jbU~8pUVW>#oXw+O<TPY?*?|! zZ|y9d9K#Y7%VU)?G8yZf*I9~S^6U)n%4Bi_yXm)flqb7KH*^j?L-%>px3pTyso(K3 zIsNoYHBH_BolMd)`W%(&i2A8aQsf#xAQOond9zHsW${9p$o{YV$7|RqRy%WVFa|Me zob|eEa^Jr2XPwDZCd&3)?wD6pcg@Z|spEuN_Uw7G*(r8ro6Sx>)m@`l48HQpWXB{b z6%~X@#{DY`YHWc_RCg7NIvU?9ll-lto3JW*lS_5isMlSoOh(6)y`$KbOm6BFu>b8W zTZSosmKvp(o~QftC6BR9U#hz%H?W(2gO|xM49!E;eD$DAylmd4yMB3@sO~yQ@VxG- z$V_Msk;NBC%V%Dh(SthLtH)EnT&WJ+Pey-g&nW%D0-L@Z_Kf4Honcvhu9;n}ey$Ox zan?LOoo|N6Q=38tK==Hux5TgXZ=XXfUDNk44i~XrZ+ZVX-lV#550~PFF0GH3u6Q-- z{!f5mG%kw{!m3@Pum)8h`@^o?B4t*Q99`M<v0GekqM_9q&q@fc<P<GeNxYroV(cGN zdq?aWO|;C{8BjNHg9?Y;B;~z*BD|B;<;L@DiIQSjM!e7Kaug&(+V)j^U9cKDp5U9J zuM_XuV)i!`&ph2X$js4v*Rvd-*I#V>8rznv1aBhV#*P<Bx1Nrn{*puZp@El2Z4Y*a z+tx?%q1ZjTLDrk$_1N}hq?p<l#@G@P2aI=d^?ya<>-GDg`E*_#h=YfGwqgxj-*kz> z^MS{>j7HmC|7rXlAHOoAaBYuQZ3#C+*KkyXKT97>^S(VGIux|5Ol{T>Hkg|SALkBI zo7ydImgYN$`3X<$4b|T)+jKYB>aP9arzy8e%Uv(c&7^a{YFbY7u{Zp*)=4%p)V9Ug zMQ+2^a=_D~coKVncWS2?UfZ>^q+YdOT)o*gH?NFBZzrktkKmfn`mP<_#=CD@`l@v0 zT-f_X6vZ!c)65Q<o@-~?)iv4E^ZUleM83k3ApJu#Jr{IjcRrtc?&T*r`6-usj<p&k zHvHhF3U*f5raiZa!!6fI?xO0Wne;4!-MgQ7B4DQ4_5Y&FSR?Fd%WPH(FJ#yK<1#F* zXZQI!e-S<NX_##(=dszrrbkT!<;Y$0dGmgTC7_1;#&%aS>#%~ueyh+44&{i0&dDeD zjWJbVYD9WQGR*iobuja9z|v>wQf#)*&~qmUG3QKX3QIAioZLFOZrujfmKp53bU~0# z!+qBjm`7Q%y)ddP!rh|}bk;ra!0hY;4-mxHsYqvw%$^Fm6@Du3x@FaXOXN~Nw<`|s zve9@ht1_uPFrT#0&&inbXEwJ7nOy(c*Yo>M8bc;u@p!?Vdl%<@1lhmJU*72~dosvq zJgZwF3X?CqRB1LPE0fQJ0S!`_d_iZ#m(U6oWRjKLul^e{@iuaq&rj~&v*(O;drhwM zLbJ#${$IOPCYe{3G6RDYnGCkxS^_I9?>21RUBSKzYGF6C+a~O+PCl7)2vjC&yR}F5 zusUE0$10B0rTj6kGXafG>RN!c7CGwx-YPti$+}K9I|laDX6@K(Uh*>8v*(y&)DCa- z0onP$1Cx`fOmwZgo6eE4okDX9Wzy&EVlVaO=jq?jy1d@kI%y`Z|6-X;PmhdHp!tT5 zld6p7_dy=#EF6>x<DxrGK2L?+aiSKPdpI_tJvutJb^qC@o^^e>&b|&tg}SPMi;&0_ zpoi!<>2!3QXg|wlUOwqvNcEQ(C!hq)9Pb&l*}HFb{R3Vm)9rqv!Z`7Ec!feCI23zJ z`VkYo+MM#H(wFIDF*eFlSWi}&WH2e#>>0X3)AtyCCt;jqSf+6n0!vqg<H-p0>sYJ? zeMOw^vAeJDyz>|*onL00<j3-ycJOwW&0Su9w5l?pieKPzxlun(-q3NfaqeqfnXqb2 z|8nnAU6tytH_2qFaFzu!IiqjG&zLb&nQ+*RmkIXRTG#GVu>xd8hDET<R(EsuL*^$d z-^Do5vGiX%+5%q~C!CX#LWUoGYl(GO->PFZR^iP~DMl;NyBQ}zz}XNglig^)J=hs< zQp-d=F#?(J$LT9)Wco%qcV%)@DwB2VmSUc&1AY=)$81*hV*0+<H>o`gYBI+hGs+5` zaU#FWI8m9T<3vjzbm0);U_j4i=6l!Zf4$kxFzViu$UTk6GYtjNy`fQfnfFEG*b08F zo9^S}|I$9#6kqE2vCIdEztxU0#ed*l$WMetmIB-!lcpyWOE%{$9t)e?Y69x32d*?7 z`^9rKVc_?3<C;Eyzhd;IG=KhH$AN<8L(|`RUlxBUh3B3}pWgF#M_uIYCht{0kmTO3 z9c4C6m)p8_iMMs}bnB0X4%AatI--+9JY2Utev0q5#zdtluKqy7=Vx{|tO4x6eTdz- z{HS5CarA`0>%yI%`f9HzF%?Xtaej94cz$_5>cU48RI58K=Hu-ei241f>v!?)O#R^5 zhOKFV|LNmSgYnYO8a(IZvxb28=i{D6?qQTBYpVHs7_Em-?F<1FO($P|)V;6qe-Ni_ znTa&r+ar=6e)qGj8b7`UHuZbeS6aJ%uRY$!MSOj(-ka7F>V1vsv>sgelPT{5mzMAP zYR||wkG#52IRTzs=jH>y(05`<*Ux|L+<%^J|I@7boRpaPm|Fm^B&RN~Ud4yQud8RR zl&uD!F7-vFXGoZjYfxWy6u<h`T5&176@Iu>INO#3@J_$BCo1ifS?W8YPMnbv0qYb^ z-Z@fisKpQRF<q1E_|LZmM?gY8HJ)V~T8nQdv^<642&54~Q6ybw=feSOwlpmTnFl!N zR}Kb!$oi*#zx0c9(rUDV6!IY4f*L}P<Ue`%vL^E7t$AxL(${=jxh^_GhH5vQ<%5nk zmZx{5Yn%_!EIS|swz2oa$AftW84v3p-Etn4$8R;6VpTl-=yTlr^A9WMDk4)N!IEoz zMrEGERH&7D*Tt?ZsVE5qFp^30LiKGL{sqr2)Z263Qse-f{{j9$?Cs_L?^5(P%(o$1 z@;#5liF+F|^QNZov(iCFqqTGL+UtCx)hEAT`N)EYudY|3h1F_!0@Q}zv-InQ#B<g< zML^ntN)MC?mG_#Xd8hJ>oM*d-G?6CE!x|z79R<O8z%2+KNYg;^O);%Z-NHfZ6W;bP zZ%di4!5rMr)P<SPfo*>Dy}hiG+Jy=}HXovNnide!meNp%hco_}rfSZ7dYT+6`93*~ zr|mKGkpn(s72eu(IFM~63U&NiV-<xpCPkuOYvWwX7B4bG+{pHlrgvSG=ngTZn5~wY z2H8zTU?@57P|`;KH%_G~3mLsIthoSootqEytMI{8GHn+?ef_lgD4`Ya=lqk!5lMB3 zhc@GrVs;%$$*^AnUol$!@I8{%X5-J-CpgFVHjD7Pn=o41LM;arPGdO7{UDCQoKA1+ znh?55aHaOH#F7}qIu}HK1TwAoLWdZ@60@ESZ}Yv-kz)B6id@TH2|e#Ul0h#|%AjG4 zumRtRV|$y2n~B<N(qmW85K(Q$g!*q9uBDN<aF~-YYC11ppgn)8Dj~~mO`UACF^(!o zVU9>xy0NMZ6c>(6$1@db+Uzv-0E9wmOH=Xzr>e*)BL2N1Ym=80RB3puAa4ubfKf4E zS_AbdA<ha6<B}LsQ>XA11@S+Q0Mqp8@7NHWFSLt|?@2&`smSSm;k>kGv|8MD9aF54 zdD1o;1s1yMa$CDhP33^SEK@SbQqL)Th<^^ujU?bYm4;)Y*L`U&41)3V+mRD+*oUB# zH73Df)?q@dIWE!AlwZG*<9Dj*JvPR3vh6v-MzSNH<u(HOn#+o#i!`<e&jxu;0HAgo zA${t%Y(Es9SMp%H-2j@=f=~*fTbooV#O4CZQodzj2(a@`d#k3W&U&v(p{u~8UA`qz zF?2RqFJ;kvdsb>l-QrJ((n(qRYT{s{RoPVKAr2WZ)X$10dW4IVSpUlqd^Aw%;gRA@ znGnztk(=(R4f(3@ui=SHq?)U`fz{SiW)+AJD<mMK$Iw2u4P_q+W{`0;T$8=KY@tgX zd9hf2*bMC@_||HoHI6M`7TK+qaE65bnkJKh<)uR^HdsR}6yr44vX}Qr<p)P`_iNRT zT`~}0<1)EP=onK~D?pSL3TMJ9T!L!C)n=F&d@DwKj=FBE(+E#4d0;Sk)n@F0RJfWt z+x1nBT9~R+Hz9mmN>2_3ttR+AZS}a}fa7Ofw)?!bs@N$U!&`qZvDQMaqu99Y@S$;t zbk2cMv=8`oqrx-9a8@FRIT`N}uO^h85$CHnAV5=13ATmM=k+sk3}_Rwusu<a%UR^Z zhjDAnP=CUe8#X7A47GYJo5wA7i=YL|)r8SQkqPLV^o3HWyP8rNDL#o@zYcy?cWlX# ziGGOC`hjU+wC2?sd`@|kVBx6bP=qn4H9*Fcb}=}0yU-)GuR%wosMYzQqtXe*MGjvz zqmEdB7|xaytx%n(MlsF1nphy=yhvTYc2_i3nk0flBXzc>r@)k@0>Yjqb0ATZlstZ@ zQM^@H5;>7$foFS<^pK`bEg{rtnsh~a)FCEH6sNDmqWapr6f|gt1qN-v4-GcPc5#R@ z^bXA)hz5F-s21&*mbeWVMlx{?l3dPl)-|Rus11Ngu}W55HRy=SQH|SF1cxXAr-zAi z_*PcaLc7cmMz8u(1XHI}(4LDW)j0sG1E$MCZsCArxMvu#x`7f`_fKuMauDdKERj(T zSxXe>Xb&WWK4Sb+Q#F9;mB+4?gfv}~=pAu5ArmS~c8~b<(BV;EXsJgvMcc#17%UAF z&oPD=?{ok)I5n`c5q0r8!8!6QaLNd+*cuIF_`tBkZvmdt^nD9fM;>ryif|K9Cy_L; zYyt;=5^8VHYseCbA_DMxCafZsNN|V3g~)N238fE}C?v@O?vh4B;-d33XriL(mDT-x z_kC-9P!$;j)M+S#z*7Kct+2B_u7q)DbV?%=LL>O;fTpL5{x^2Q?j(?J7^qgt$+6T( zrX!+`-+B~MFkh{R4rH*fUeTnKCmQe&7N11{P~br7mLBd@qYX>|Ne|UBe0QShR?0p` z3eI>0F0#JhxD~%5u_QkOaaIaR1tF1~x8RuoH-*8Zt?~*%aZyL0Uhi3yu#V7xUOtJ5 zkOaQHff0H3RMID)#EJ}|8ltN2bQEBRI)9)xS@<70R$Sm4a9mx4A2_zdxqJ`h8ab1R zdR;QJtr0k+EFm9&TWkfa28M%L97>|3bzDS-g@5e8Y(PcXiagzbf5_lfI!2V&qR%@; zp*<FmfzfP;X#uJ%*hmvq`9qR6Q`<^oTOv*eCK4u&&_b!#wQNj3?b1RzL4$YD84ZT@ zsHJTTdt`;H0}{Hr`_rkqtY~7kL6djT9{Q03n-tsAky9*j5gRPYu?(Usg_fmfxMb-5 z37P4!?79VT#tKw{){3;I1?P}jNZD8=!sn=WN`0c4NUOK~aIOvhNTi)5k3K45>k^sO z61P+<xHjgcEgfGVboC-FDKrT4-e;!vI%lW}0|s%mFoD|SR`g>JqX;xQ15~x6u#=p^ zw=(X-YL328cZ8sb=A6QwP%^<MQ}9%z*@hNo`+G*5npl^yP<sUO!o1SnlE;Bja-*Hg zwIO1x5QpoIKXpCAn9^x-;MU@J%ZRn)X}3_hI6UgW8UN%#e|2eEx}BSp@c&5w(z#s3 zy2zp%$_goE6&5w5Pva~B3*}S;!Z5T(M<>pR;lLnLaU((_w7y7VF0D(03Z>;kf|iZb zzr!f%0Vp!WENv%THodeU0D_dzS-n2Jeh(MW(MFEf*fEW;^X<kChgO@oTJ>N~G8L7v zYpH6;o2bY&=T=7#6Qn_1La1N@!|s|g<JKYd9trOmfR;*cyPn7eA@#EDW-&+%To}na z613&eZU|Mik3bG@D4K&afJ)mJdswEKPJ;7j%bnzu438E)RE<K^4M5}aR-^6tq8#=u zse)UbRi4FqTYXxe)`?aWgSSHi)C2mm%9Fx~1_1NV6l@s^+mLizMnY@?oZK#vJjAtt zy><${MOCIJ<Wh1rM@Of~DS@S>TpjGdr6C>0QNPY_td!aq@lxUsis;FjvqkFdMUwIJ zXin$8>)>TXO})}<rh3>*ZgVDhs9~RVJp<Y87<Qs%k9EB)+2qz{RdNH#U3<Yg9?Fu& z46Se!o?7e*?9r}EQMU@$4ey^xyuIF_qmvsk-xQ*$K#mF8nUUTpaW)Y{a=%!K>NE#> z`^P2iaBfPXhFWQ<Gc<%f?QK{z`(ZY{v*((UPQ<zS-6BARFbq_>w5br)=|D%h2@#Pc z5b?n|eQ5X5f6Xz<8LQ#&T)!N(EcjJitP;7Ik${z$emuN3RIFiCQ|OsY5Mkb{dO_|7 zlLPFNgx#&K-4x^>*K1hu;oEw1SVb**B1V{Xo&rNxRU#sO^ZR?e^^%N7Oy0yR-SvSd zPn*R)l(5xroml$+sXDtr7z#nvVjX?9okB^}p9F?6#*2bG8fvuxf?>fTw%|v*8p~;j z@kx=LI@GZlWWb7?>W0Ir669Jed3j--5pV_M2#HcIal=l;!i7Y(Yv&iUfPv_;R|KRX zw1=Ed@3M;m%!8Bx(vg4*pgjH-1u{r=I|+saF$QA8+5{nDI1)jXy7@pj0o-_rH$HQr zaM_z!F|4|h5XskUO{`IiFRPkP%GX+UQ^2gUAwioxLuVnwi^f@Fo%Sq!!GI=BcRhe5 zK~N7x`;;M#E`l2*0U_X)KB8{~I%lFeEsaN0RxN7(ce}(g9x7so)ZW>IHqTqhgu$W` zI$di3i?oTWVuL9qFFx*hJLIqyh?CVsX?LQtkSUuHYM}X9Y6yD?@$+I$0%i>xVeG)V z%5s2)BPE^X93b>(M<M;<W&-TvgbDJl!_Zd3bbX3eC&Fk<rXmJN8ez33nPHlyRq}CM z-KosdE=Z+O#d7!$yt8EMN8Jz?q2keTEHo&GA{Rvsi6TQMqqc#F8xUm%8xX#epfC|D zEqyU3lYXIf5y?Ak0S}sTJLz2#gkCKAN}=iJOK2Hxd@Dv1x}BFL3h~&}qsx-udz7?% zn}T?g5nw^imr>|G4FU@~<v6QHanP0Y8r#Nd+D7rI5;gmCVKmV@=+HysQ}JLR?L{Fi z$96LA&1-2^&w(>DrwSkwi_bMwwrrvzvYbkzb8KOogljl7M*canmSznYPcS5E&73JB zAq@ykB3u{D1my`*GIdy6IAB$~&Y&ulI$DL|4I&b~OT^%#DcOI<diH*w*v|_@L`JAF z9$3Jn?ew`k44+aEVoo|NrI~)i>co(EKjbNw0~CN-#;IdB4R2f&85n61MKOz7xX++4 z+@K>KfkSIit#WG=#%%gm49<~Cv8K~^92NnrRSWT{C4;`8Z5!l-B6R|2hI9&-tbudv z6XOdS@;MZ#UFtn{oMAMSH(5>yk-$)_$b1&NB41dAM6pMYoe}F!Fci8tk(F$IGY~a3 zfbNMR&V(q222=Y;RJ`?=RkbvfTpWYM8V$tT0=iS`Z12%_rj{466XK`}QfiG6o)dg< z3=<1+VLk2GP7b;*-50A0F+ypGL~_9exo=#^F(%*m9&h1?ON#=>NMW01K$PiGH8X z*HsD;w)JQmh^9t-yj&USXs8TuB8#}V*m@kK;nH<Fft-)~N92gBb2LbOJWE-1F8w&y z)M_lTqi!Z#1XI%FV6Kp1Hr3>|SREP*U2N3o-lx1<a?_46ePp*OY45R`7cw-p4l2|7 zQXGbm+Ch@xWNL<Ft6}4*b4e-~2Z)w;ZL;)VU8EniMZ)oYu6iVSU&C7ePS2#!?DX2l zr!IV`a)^ah3#7XthQz?QXT&Mj#g{LH)3Ebe3)N#V$JKkP5mxGyj+7V;X#L73U8gWB zduP(1^AZ1?IzT46aDZ2@1Gb4=a;n2!^+BoYcd^hSlTf<<QO{|UuEBXizloVTY97Bn z)P_!#?ExA|8;VUmQ!tv{b%_qP6oi_Xnn~BX=BdsP<Z=URjI380e;&$rm&(w<djol1 z<7<>=&BqsU6vL_3QaQcZ4b4Zp<tT5|R<kNbOV-r}?d~qji~8;~v-WwgKv}TsJbK@Z z37g!b=~58I?$RpM)>l()H6FA*Nl*1mbj7muP+=$54x0%$Q0k|WbEIZ;F*h!@CTnXl z9<CN+lj>u15z6n{70X^17us#2&d4@LdYuX#=Pr@&W;itt0%x{!2GDI{?n#^%Z6=hX zhZo1X_$jc*bFdjk0%t-Sg;683xO^FJ806s?yLMs*EjI%*qv6U2lEI7H5XOd`<3F+d zf{0~KWgr~TwZyD01|GV0S0G>dfR>~!N2`q9SLUHo%B}cuvv*K3cep$1>+d(gbC+gZ zIOys_cLB}!`Aa@2abIF;O1VU0XC<`5B6o1S%{eQ2!X;`<PMZRK(m@^JdIm4h)dCL^ z$Z)EWsV<Osdce}1bEV;F@_Qdzw4@mfPV_!_=QTSJ{X=N=P$5w<XeaPRzG4|3?hjYS z<zXW^ra-Aq=XNX~uEwXXESCE@pk%$tg_r$*?7a<qUBz|iJA0q4<E>+19mzsCj<H7v zVGf261(BFbC?1Q0BM2df581?}SP;t3p?Sol4yI{}M+e~?gad+N0ttZw4aHINFl|Z8 zg*>c;yq4tZmXM|;X%oKE_T{B{juJzfIN)>tYi94GBiRO$e%yP1zqh14Yu1`IYd+S@ z?AiOw%vMY6nkc@nv#U*Am}}d)!n9p_LP=%6r3OtXY8l(|y}7J94<9M?M8jVpgQ^D; zsQ0K%S`Dk<bk)@tZj_SrF)FIa-o?Y2jF+n8%*t5yQl;1jUj5RaQ#qmCdFp*JKTB{I zb$MPgIvj1TsU97l1;flcuCx00g`P7<o-E$^Q09@Y+ZWTTRE`A;l~9L^RB@CsC3{jF zwa3dzDp0*OUa}N5nWbgO8^e5$j!4<QP1oWJY>_JLiTg&YG*Z%&yS4nY^Phqr7GyIQ zKp@|W9H%tMqm?FhE(qrHMN7&Y=PtOkS4~!5s99z0wrz*c&+Q-z_ujFenUl+zPhI`< zPvr($kKMKV*{42q%6IPE{otPc$N#~%Uv)Ogps5lr2${cEdvD{G8`zE4oU-_XZ$1CY zO{XkAKKDD<tp8N*pRfGrHP?LX)JrdYM|bhWYu=x`^kdg7d34Pg@tzOA<JwK@-@RbZ zmBVL$_}Z6p3tqZw(ER0B$8yi#QT&^y|1p=XJ*)HE?EJAyZu-GDN9>LBUl^<X;mC8< zk1oG+qxzd?)Jb1@Zlk_^hds4zTc{qm@2<yBTYBo+Z!#DD&9Sj%KMZ@%%8lLq_|FzB z=)UQ?$M^4FuxjV`#U@m0c+s=_AK&uAogetv(sPPyuDo#B*1xarTfX)8{-nNp_r7_L z?|5$OlI*EREWPkedw%-jKOgtl#vgqm-2Kt)+Xu7D+Ad$3yPzl9y5|eaiyQlfa-ZJ) zFWYatvo-VS7e4>s&wsIVq`vi!w*TuLJFk1|O+C3k-BGQ6`cuEtw(A!CytPzrOZcOS zQ*Yhy)_LbY*OqU2*NW`B-+XfEq2r?ke>`9J(H$1FUU&1@_qTn%JQ5%G?Dv=d=;`W6 zO^mti`e6S2Te!_<F2E+GCFL{j-m?Fuqt>*x-uvGDPi0mO-dTTk|Nh0{^u1W!wYmkB zTU|e?maqxd-uTh=i$8er`nP`cqo;ITy!FE0eKz+ZHaY%dAG`G8YrBUpz3}~KUHY+s zCEr~0Te%lM+;#EB3*Wn7&x%bS#3u9Seamhv{oS{o44=O>KH?kycvg1uo5c%mzilk{ z#b-AD)zi2Aw~;UYZSn8E@xAIbpMCn0V8=5-*DD|2*z?IQ+c%mG)x5j!UV2*h;_edf zg^#`c?xp*?yWiDy_g#<gkHR&7c@N+JT-3h&JuSTa;4kxAQ`5bF@cD<{_IpdNJnh;m zHZ9xw&3*eHJ?a-X{CM~7{p%k4?k6w4YsE=xau>G!%dOp)o%ia`U;Sj;?kl@bU3AW} zMb|DpA#6Q%>x&mHU3+Tr14k@)eE&bJdf(Ic+_Ss>%*A(Hbq^n;_=k(X^NUa3yX)oe zo$|Nex$9GRcmHPlxmP)xWUi0?^1+M7-g9jBx_Vo=W!(wg?aTiDgojsT;xEtFy}X!N z5bT(*e)de}mTxS%dGo(+F<<_UTVd+fzEZIccn)G+@yg7b86a4D$<l0jG_pIkPAT<q z*2g~agoxG8mv3kA#&!d1W?A()Ez5}a)!+VkcK+lxJb2&Ko}d2o!3XR0?|zr{z(e(+ zDftjhe_Na14=KWXr+>^UboTbJRgoQhE+c|vpPZ+g`9stF6Rduo>YpB(_E@o(50LLI zI>^4KW0`!LhJRN03X2f(>-|ErFjy%gyBH}D-v@eC)vK(+F4Bi035PB5WXEGma1<xb zu?^DcgUNV9eD)Z%@#iQQ0t5{8sY$oTQkIgdp|x$Y_*l}Qlp?aV{+wU<Tb8xW?!R23 z73DTaa<aa)V?yLw*7vu!_e-7g*JKmF{+kSAlMFU#XCsrWhTXcOj!k6E@~NjveD)VQ zJ#BoRMRZK@38A<5J=OB#$JqVQu*qI;6A@tD_mumN%PX%;PS#&8mG(}XU83iW+XTZW zHW6IMCdA(0zV$=CT32He%EFf~SFK!01rM>;fgKF)JB^e;>XhJ3{oW?4`mxELDiRay zb9B?JA^8rSk|Ni|CJ*s#C$UNULN!m};nSAbq@+so7*8Pi1YL#=8Vl8LKXv$IeV^3j z{jk?!6Zv+F*aQ-g7KpdMHZ3gnAJ*~l2}LCzTX9k@duksxIdy8tuf=Y}7LSC>N2c04 zGSL>bid@$FgZBMv>r;VhUwROmxF-HGhI@P8*VR$jgsG90ezJXg$6o0t((>I}e3!ph zUYVMD8JoO3{oY^GCf+vQCVUS_ii=I6SNW(U{+1zjCkSt7U46^e`jGhj8taZ#;E%B_ zxgXD}{w=Ro_f#L-%`VCuO`pyu>$kDV2C+$@pdMwtw%DY;aKpSU9YaI?9UGv<Ci7ZI zZ`I+4AI^5Wj)e>FZ>OK^8@d9UQ0r^ges<!uZKBjHn~-*ai_ZnEpPD|HO(+YYd?koa zbw%`Ow{fCB2m);4`^f=gS7H;|!oGcdGIlAo`_IHCyLTVyY|<FJ&@5wDTieSBzx->D zU3E8x`LT=dW+kiV7reJ)ne>mAAy&duxAOhbp$&?!VToU$V-=ep&X&(Wtr9D4k+F;K zKoz}BXncHG3o+Rl451dYS=iY`;<5=lLqF?aY5giSPlAEN86N|+kkNE98N0B_(Aozl z=8j!{3{z#sZyCFoz_PPo%8y+_reoLCw2WQpHv?t=qJ;A5LGXa$dyL=+n&)2G`zPO1 zlyTR8tFV+{cqcM36geJnC7%1<qUeF{A$7-Zgs1!j^At&XnzP%giw2cGy7SzG&X;2Y z&94m}!(Y_a8?9-^WX9>ujq<Rgj=Vv1=0<aCW@Fjw==LwF53rxHC(8Y`c61oANAo;x z2c489Bn#b|eUCgMM%0Phzo?V4>Ac?$DdCin1K{FKQf7~jO7>44CA6W;LYGC(*R9+0 z>GnBFzIZ1yAejA)WZzBtllVOnpLj<_d@Jyp<J+>FYvcClx$iJCHiwGuDiWuQ?<<N; zO6=LYP-x}$@cDg+(CU)Dkv_gfc$@5Nge0EB>MAGK`2J$Dud(U7i-a>Mqi?t>dicdy zFwbWW(*W~UsmTWZT{V6ud;gX;V>Tf!k#snho9D&cBi{dxWKX5XOTJVfY~*BgEBS6C zRo^Y&n3VmE@*PGgEBhH`-{BhB_ehqyyquD6QT7R)?uYc@l=(*Cf2&LIqKCiLCHoMI z(N}M~<l};$EXh!lQD0M1w;Vc#e%TA^zw;=2LM5N%-F>T)Y;|qSZ0n?~rAuzK9g80R z>TUE^|0kISE-JU}m0i>APx(FI?El)mc(LmE?V)#H&e%2WrNsTh7iRp7*ldJvJE30s zJZBf|-o7s<caW#l?jOTv8xSWH$bPJe?>@(1J~T14_mO((N3Xp2;>-1^Y9UvjXzyoQ z#>Gg^kj@7iWq_Xr?2+!z?3MW#L%BOt0t~ENxo%wtcXMp=G`n~h$G8`6=~(8&*bU^s zj~#V3`5?KjJ;PV58Ryz3`nRg8THLXWuVyckJzI=uGAwaFX<;u@rq0)z<$H{hCNO{g z2IddW`^KE4ZjU*JU1;3XWp~?DTYR>Bx0u8Z4N1II;QS3@6TTe&)Vlq`yZrL0>iYG} zAK&+uJ7?R(pIwl~dzbPynZJwE3bsq#vC$%T^N;xww%Sr65%y!Xb6$k(Sgn&gu}NM1 z$<RO63c3D?b~3!@^7_Ol#3JgL!l7)!83^p{%<SE}mop1ou~xFTu!FlfW7l#qzwCh9 zuoVxJD8911mEDC@XkwFf?OV8SuWM1<te3512VH$D*?d$&We+2J()bAANiQM3QcfyZ zhfTKdojJaZ?k7e$CYh@qdNeKb>*ATZbv6-svB^}uZIuKa5@zB#kuQ{A$-Mb7P8xWs z{)#Z@Csq21x_{`2se+o<)KC0eIMqun6zY3?KY8#$`iX4I?0eMrlX}um>e5f77fL^w z`Yzo1)c1tr>Z~72N<Zo6Img`yQ{4{BnJ6yxCQN{QZ?wame=`3T-%nuD3nUItCcZ8) ziqP!t6-oZ2pAaf@Wq!m&nqv)Qr=vvT!ZLhkQ=WXFIy%C6qzSO!&QF0H^#+`zZs{lN z-Q?UF&O=eIpUBh6Yrq_v{LzM2G8^b8sZHo7yXhzL4S34eJa+Bd*Q{0giP+?q^b?*H zncTen?fXs{`*HoLV~#0t&W-CQJPWda5u@;7cx*DwPU?ST3dZ*wnbUP#?hHiCV+u^& z=7O_{JOxs(d%@EDffn{4b}++I>aYjICUUAv`zmT#9yVyzeAg90x}U&0`Cc{$uW$;9 zdzP8PCQ3ad`$ze9<1%c5HKMQLuH<{P%;<9M{p{7oCP_aLo8)PsZx9-FJ2ByGBGIr3 z&(5Ulv-Acv{ckGP_1bOkwM%7RJNQ2>)XjbW(^C77PAER|U;hnB<o^wE|2?D1D;#;? zXadvZpX)tfDl}K1nYPWuLH%vKd6ML3XMjYkyihtAn1eBSffd3RE#FX~0XYz!1E<jW z3{qSI@)%*6w=?(FI6xYbtUl9@iS7h9kZI(X*h$tKLdPp>8<O5bSToDVFvc`75lH}* z5d6EWCCmd5zsDTF`;{3!BX2mGEcg<TS4s^yhz^|u3YH&G7XMKB_rE-k01_Q8?-vDo z$~}H-7zaS9!EuS}2C0-u{jLprB6M8uroj%APZWf+v#S#k<1m!>ILiA)wRU}VCK0WC z=e1t0;RXp`7{5~YZWf+NrlHq)>})cnnxm$?*ThtUR-wuKzVa#cAPw&TunmsLxy1pt z(NEya8Oz5Lami03Pga<ktHEjN2)e<Q1d#GS%#u0CSzwWD(%{1KTC{pmiT@u7bBg)~ zk~&C^W;Z;iBV{FcJpaeAWu?cg==>UJ63*(mwceDK)%a6|P3&3TMnT*v2S=G%0uZHH z45!gFD&NdxU?!sTdDV>soRUVgQ0ap-GI)oC*9voynybjBY7U4srzyE`e!bOJqR8dJ zt=sV4v`vwB`C(SIzmN(Eu5g8LMXyaR5a7#Nz!$3Fq@Pe5E(3N3i>T5G?Fi?<X+Tfv zb8*v-7Rc&yV)}HXp7ViCB!g<2zSHe;rV-I-NT%3iEWMwmvG`p$Fo}lQNF-c3KC#(> z2cr%p7fEwYKvtJDz~CcLu>md5(C_0rS5~{25ec6G-sZX0dlb{XH_hDZYhN@tEi<Cd zXL02c80X?un**PWO(L<L(WLQt2bg$A(dgx5=ST|jg3k#MBqfqA>0m}AAyWp0Mb^R{ z!G%jS>th>Bj=oBegu3-PAU;#WN*$MSl7_7GYyubLXz@v1&TyK<X2nraZ;3G0d1(<5 zN7j5f5B^y9;ws!;5zv|d;b_Y@qWM{&w_J#OwX7+03K(*GT~sIQQ_mvqDkgYK<SJSg z5UWVzxTLzA&k6C~kCl2PCSejPf6^+%t!i1>8KGXQDDdTrdqhs|3SxLbl5|6fqi`aN zMrtjPg^Kb<{aB@2M9T8AJ7Aj#W%2I@<mGoD%Avg?L@;8$m-hp_8gHSTk>+~~sw+T% zu4P1U7D#|M|19<lVV$>m7T_4Eom{Yy)U8Xy1>%s4)C;!m-=||5{9zT|O8|i?DMKSj zIDi$$fz=?(wdjL50!B+J2A`JH1qorn;+nis!>-US9)<T{@dcq$wRD-3tO|BuUH&SL zZ&l}KO`ze(h{T-*87omG$3UQQ8b#!8=75`=NxX>2;sCE&%l935HSMLypus1XaGe^~ zWs1*;GXo7>Da)&Kl%b4=gb^Pzi9butECL0y=|QK`IF|<KbEO?Q*D23LJ<<;)PQrMV zQk>=%Fhj{C$0v$R9$%A~s{~>;l@sa!(86&t&2uP5#71F+p9ml-l-wD7N`{VaEG(Im zz>-L^t=1uWm(ncj63Rj9OK~H}CITsP0@_jDO#{Fi8?pT;&=iz-%?wLBFa1~1fCFWP z)_A~a8jNf%+?COXBcu#}!$y2m&mI@SNL)AgaiV}I6jgq0B+`RY{sMfdfikZ4RU~m3 zdSq_~E5d}D$#;tok`pcCoL0)RGOGxyYDLnmXm$BSD1eQGhqU>pw2Jwegc3-;9H31i z9$RGbvPLh;ir7t*1O|uXjuLfA9$f%I?my*;Sfl+IQa5yA$D8ZOa`$_s&bXTymxhxN znuKOz!4RHEIFDe<EjWL!V;E_|D$+RG;>-f6pIDtPJcG`qA4@}7x45y!VrwZcM$uli z5y~YZtiE6)IVppOT|Xg&hG}Gpb*~8qovfC^IW=$<|3F@r%Zrz>t8shgP80@Lsb1I- z3&;(XDEAl1pmNul)Ui~QF7-JYugjgWtw*aCb+jzt>!E!Fyo!}DB(=ASh@*)asIT~> zR3ai^ohu?5pDVBs0^W6A@8~30#YK@slM}%)LWSB}AlAaxx)^8#HGnG{J=BW$l3`uY zJ5NMJt3t6D7sDDp7fxdkZXhiv9!7&e$45y+^rDF>Gm2Hb>=5G99wI1ka!l7)G<-7A zTuXw@(G8M0IIVorQre1COk5Cgnz<G%v5_z|YRy}j(9~cgAe$^yaafUK>I^B;mYtAN zS#&x+sRW-ifSz<7A6%jWy&BG-mOEBn=N2zgy<=@!Q7Ell3v|&a9l%t9#Kn2z;3Ce5 zd(sHQ=}CiUl%|}SIH{}@966Ij4a8qY97Y0k?B?jaIg&NmPIqu#B4Y%LBbPv#%eYHi z0f~|igipgx9r5_o#Yc!siD|fTB(Z!t&^`|mA3bRDw2&PQuY|b>No;Cg=HCrjC+K=b zDo6hom1Ra+Es-#7=&>U`M;I>1VXeHVBT~LisRl;VEO>1?4Hax%JlCgcK3TY$D-|N# zWzxwtXGX(0?A<sNrznWaAzHTcq#9gzmG<mH8-jFWD_>e7AdV|ZD0>8w)U2}XIdp6v zMeZkBwkIG^Om!1pb@@vr33M7#HICAL>j7ak#1R!uD8i}6Rk`ss=_BC(hyNvNpf7oR z(By{uPr$frz2DI1hd&ofqYAP*Hy~KCEw7p8d`riVb|m35*dQKs-Jb&!|7|`z+vjNp zUB_2X=gtyA+KJG>oN$%IOVg*R#iCDp9ECo-k&lG-`IWIx^m863n%^`gx*cz|;Pebn z!J<>$BH^rjUkD{w=a6gSCuezjIm*VFog@%MUknE%g4)rDXP|=U{x=WES)S}xI?rJr zt|Hwy!_zQw2GPAf-NqT6cjnGI+v!c^()cM}u7=SXRv*v~|MhY=lWWjzo*$g)v7BT1 zJn|W63l0f)cq8FtIgB6BumO)b=Td7&k9d^u({RDVgg4ULo~FOt<x8dc+V15VbQ2%N z78R=fVwWcV1MwQ3)vbO&&hWJM>&sn?aJ!>b_&MZ>McImin`o^lQ)X(!l_4<8<%wTa zh*wfhzBViZ>Vf1;PxJy|+cAzWWmg*d3t%AgHRO<w2OiLu2|eZM^bF7xi^k+O=zPsi zEbq#6%CX|njZ0GVf1c|VDTBZp0*2cLNY7}1Z;}lXk7*8W4xqTkr|7hG){;Q0vPQf< z4gW~({H9HXQkyPRBm^RNl?3bUj?)<N4Wy(Xcn%msLeDdkDtR+txP=fv#aLVildjH` zn)IZbb9pbWB<(?dgmaMMrm!TWfpo*?J(+|K=J038Mmi?pr9YebfRt-O?@MWwg8V#n z05c6d2-Zo>FtvSu65QZ;I?2&I6f?(43Ol<1X$&v{jUpT*Ima?hu9xu&nj>n|82$wM z_%TkvxGSwg2%ifXZWBT)?fj`Tn@S_3p$?k{CBEj%t5p{EuMEvaagm#*n}<@yvqcj) z4fEW70J!eK8=Tg^g!+ePSKs;B=}9l-v<w-9Op>sLbs*^3tXW!8on^~AY5NfF!2tDI zvX_E9)<B`D*Sc5W(6iJw$)<6dv1umUC_0PLAR0P#gXKM;Ln&7WsZS;Oa1k4^;Wj{+ z&Gp*|aGs<!@J;BCBmoI$98#_(o$jd)+C4=k(t;W~Bq)kZQ<QWFoRQW^>z`E#mT)Pd zahK7cUL~%w;v5Pj3U4VR!2;6MT8W~;O+&VYY(Ud%KbK@9;E+k#84>S`tZ^Rg(-7Bk zx!kDG;B~F1k@?sPC&`dV**Piu&{`tVIbf3-Z8^NsBT>;!-CM!Q!y4b^OQfxQheEiq zuP`vrB^p^E?MrTRxubDVmBjU}+Q1VqflVxHp=9ExQIOWHtc#YM8{!MJI209CT!Kmy z$J?uVh!}Qg{J<jBp?P&6KM$ZZkR|tE<N8Y^>--}_LL3o+X24<i8oyjU9vhHA;8DY+ z;G$ENP(v&a?!~F2{I>0cjhy_#Q6jg8PpaSyW1v+~;w>w91U`4?xC8&;Z7uHC3SMcL zpv5d4XBx$Q!VLjOK2Zvpd6JXWVi75op%I2%d3Prv4ND)WhEJ+Vmm?z*35>_+m3lJ{ z@xUz}i-a8B^JRVpl*&+n?y$jac=DqoxK2D8CAUU24o)IrQGp*A<#O*$q;8}tg2PCn z4UJSXQ3GZMaXcEfwT5R(IwDN;6auA{HEJL~U?mVRp+|-!q<mmFmPeWdG&-!6P@`&< zauThitW;NokX5P%A}dKdbBS6BQm8Uq&aUhecLS4_MJZXBhRertVtN!RVy`gZ7LxFs z93Uj61Pr8gzV03JT3CWqP*J`7P!_TwlI<CTG(^iD2Wb()a9L0kSqg^Br&B{2G^7?J z4Nl1+Kol8^m^CWY5JHP1Tw4LV^E9njq{~4i;w_M>uzLf&?zzPU1uax`#fmDxj&xcz zs0IwR#Cz(p+<*-4igUeIQXeL1LykDmf?9(?FBb6K>=rwqDTf}-M{mlKk}pcmNjOKl z{E^B4t*L5Sq<{cv;ZkKL&>_Bn>u}Tyc6>E#MN(ceIEV)#8=De><lPmY7H0CJL>?&{ zd5LG?cis_(JX}b3%7#@{Vn+d;0xY_uE_ib5=U$*JZ~ti1c}>Zc>FTJdY)>oA!Xlwj z=7$3#9-hPu*`mE#euoMTamjyV6*X&Jei<ad<_uB>+aNRqnq1_L(2xR*(jc7D0>KP4 zR#5`E_{kGdd8O%cxSIGmckY(YL{>soq$v*V#L05bUPzXE;3MqnGPQ;1jw1>1R&bt> zgi<IeWlTztQ^=XXln=(xX|+RGING`5OMrNJmpQF;k{T(`#6k?3f54^We6t+`X`D>% zH&h!37DRFOLU~b3fQhV+tSnN{E<xuNN>qnsaGbRw;pY*UXr{p+*2vDJ5H^n;3}*xw z|LPe()kh!Cl2V-G2vDT(Dh_XTNW7&{R~fB6b_B|NlV3tJQMk!YLiL8jd}56QnN+3B zi)P|*{(vC>sD`}d<5JwxETaJjJk%g0q(EwU-rp630+w@GE=Y%nAwUNgSN?k&MH!ab zmUtAOmMxM(Iqcg4URzb_v(g3SlFl&b#yukitnn^C+zDTPs)9~0n<TC!UFkk*(eEo| z5OA5ohR)ofrPEZYHRN5Ogd4AlgWlv2q+Y9)(ZiB5H*`K#i-bkrU^pNz5F<&{l|tiV zya9;C<0lq6QOjsWVjjqe^HPN{YB|QsQ1Y`#;+gT$FxyG_#rFJ(rUfK1O3tFMLLkan zrmzi!Zy>%(gpz+301|?9sJ|rP5<$iV;0R9$iQvIFWL#e(6w)N2A~PuWQm4(~RIjrR zqN3<FCm)G)fY*($T7r`F1rz9hcrtEc;`1}Vn*(U&$EIva#1S%QjY|ePipoNV@((!z zrI|iVQX2-QQrA?iI!gM7<Rg-t4GP<(<I}y&Iu)>}GOQeC2D?n8?8BtuZXF{!D%(rQ z+iGNt>IpcczSrOv;7(SJ755eSa$sJLrkkg@VOWB=ai}4VJ|bFlq`IK3ME1!zAxi0r zm1IL)u^-a`D;N;0X$+P|Y*o$iaCGVchZPA*z?IVbg*U>%oEb;bo&iq0ph)N}Fd!CS z*oqpfDPtv&O0&vF)^cZ-<fVpnZ5W@Vr(HZD5F5#&o3yOZD&ksvh2-672*p>_9Fh`Q zH4%SRWkt^LFi9*tu0x_PD$qnRMCnp81&{)6MF(oohNgl&=n-~w0InsAts&DOH8?^C z*-o0AEjAEN4puc8q91U-(~{{xbFW0H_(h$nd{u3ed$;WkM=AF}_DO;V?I!)C+Rpv` zY`!uPRVr5cXcB|(I*B(Q#+4SC_(;`>y21eGk<dR+v?tndQ0lKxaRF}ZV&^6Wvw*6c zw-ZxQ!$hnSQ6fp}q6CzZi33@rC$gL%k_rP17lrNQwk~POU$HkARnf>08II9R7ikqf zY2zTS#S5w#hUq{R2y?HC!Ted|+zrzdP)~~Sw$+hgFbZs7RL3R5uF-d@=nN8ET_DM# zk$94#11)Y_uR2<22qOcM#V=G%#0E6J-dJ5PSVhA=DnZ<dBU~IUNF6JbMGq&rsG5Zu z#g7IlIc>Qu$Wk@g*2&}?)NwP9=1-l3jAb>7d}dcseMJXo;YlY?n!Q+p*obJW)TAZ4 zRZqvkc%ZHyFY!DeqnBt;7BYdAH8_)8Zt9|pX>sF_9DqKkY%hkH4D%}_9H4g4#n@QG zsg-e1;9MLYUraQ}iRHOqfL}``CBvU3!dcAGLPU%m?D#wAlFHgPl?~2TYg9=TYAR)6 z7?0zl;A`SLEcrT1EshqGCOXJCcl%n9QKJKhD~tu-O71Cdj+{^h&`jZ~&<2rg#`FYZ zBTCeBS`s}aG}6pkRfUde9-`~1(Vz`VZVe(dU=h^Y#6PAY^avD`q1EJj&!h2kV}sy^ z*O`~i*D?>WkP<=HEa$9iDYQ~1R`(V8VuCR~b)tGe1>P`-1`>v0HlXpFgm%&K52J>I zmoTRkpS}qY6JUs7Jw{tJnvadpI9P=nUdV)le83Vx9mZvzXiXRX^g|cLA_rm$9TYUJ zv9q@qNt#0_d8WZNvH?%S;|@)ohHb+%iQK1q5e?$Aq9r28xvUY0lzRp91mfO<nVf-2 zK#B@@S1Q6#mR?aTswp0$QLILU6o^Vu)Mf&mrO+-;6b?&QwbTaUfr|Pt1~J0e@XRiE zD8N!;P3t!$AIFW$C0bY}4y*~wB&+%=G##s=QS?T_@DO<v_Zn$_sX~~RD_o0WfT#ii zBb6&5{>jNu3onV!IeI2}&<V+4rPQfnPMsH(aa54x<e+qtHfGSUCeVtRl-G{+#V6;c zQ@b=N+Bu)@K|1!@85Lc9Ar@*QuH=Ktj%c*G^B7RWD2PIvZ4*85iG11^MIuOi!<93r z4xj~iWZ=si8D*s+93$=vMW>t%<|I_7I(!864zg9n$3nPu%kgjir4wfwDpe-4mX<CP z*#W0VwZaBa<{YG|;jux!x`~Wr7;P+HA@DCq!Z>5;j+4kTl8=zrXhdQONOU6}Yf&w? zHmMe%)5v6BBVdGdg3d#zL0l~&FO<@t(?QV2^O}Y>Nm=N+_%yP8AnSyvD=!`~5n;@v zN&2o9xCKxumcfBhg1i*9^kJEDfFdpjq0(fal}c;P-w`u75mv;E*uc~f*R9NaTnU1+ zrOO#Al<H@aB)LkmiU#eytuP_-hq>N2pozeKcgcVNi5hVsyQoak)`KoU)+9yr{~%Gw zXFJd>y$^W@4(mR1i&rrmA~`@=yNrbNicC#qX@G4!3^U{<btZf&OdOgWW#s?`spTM) zk_zw?;~+GI0~V{na<$~@rmv9)S7c@)TI2v)NX)oq?W8GT+i`|Q&N>A&@yUwMLlxwG zVT%mInqj^;?lJ|Nya+e}{4$g=RG}d%$m!U<T0*&>?4)XRG~!y|A_lA)r)le;*g>yG zOsYSSWk?!-z??yf8?Po4AtNI%=Qy);CokN3BI>4x&_zrQ)W$&dxqQG->bE<30;P>c z90gLp(!8l<DnznZ!$bwO+CWie7}$(D%}6DYIwgMEh)HTarrJx{v0of_b&|n~xtCmN zMg(HXT$Cz{xI)3!)-2bkRZ)e@PR4M-I39znQv7@HEv#4!?Wn{jAY|M(1co@$RB7hS z	L@ck)`bD$SnUwS^X}jD@a@M3Y~Y$Y9i4d$0AFgQ11A*Na1{HsW&mj6H6Pt6B8P zXgP1!op;eCIl5C-D>-G1s#d$*JtbG=*xG|ZbO6&*Pd4ScRzNiiElm1zLb;j~W*Aw^ zjgG!!CkdpukrqB$#)WoJilvXyo5rY`od|`aDm3a_p<3Sx&Er8X);pC3=OkRaJYann zI|v;%ffkzs5?~w_>Oior{YGhkGzJZkm6+tC5lV5W7C#D8wn0K7s;?w+GSPLQTZm!s zt`=d+$)v{x&?dFWsTS1}Xv>J~Xd+8^%%DRhE&eN|bg`ga&B5jt14HXF%|m=iBEmfs z_|zJq8lNRLmjf4^lmSL~8)Mn|+)$XMaAqgV$*gs9o|YR;3S^J(HhF%ztSKg1<HK#Q zKl9VYzcA5LE*qCsS2hHLd92;zu9#WC+i6i4^cY-?jg7@qQFK6MV%qjFcebpu;ltXM zd4y1bTQ~$!ZmdBd^LeV+=;O;pHOcXk**JXLxM95%8bW3CVx>1SIPd^)E=vtHH5aI( zH*Vrs)78=O!(E$O)#|ojqr7QviDSb-XiT0sTv3b=WEhuX)p=Nk`%zoiCeyz~KMXV< z`zhUVQ8zu4yHsZobrnN(UY7Q5$w?PuRo_4|NJ`#<kjK7|e&xUo+|r;&xDz=TiNhMl zLC;ufQ?Kp`58KHZp_s!p2;<fWlPA~uzPX9YFV-YXI36r3@$RUqW5**ax?Q!#CrVf_ z$ygg2Wdr?0bwHEL@zsoiN~?-h1P}WPR|Sre)nRWBp6lE?{9>$IWPP6qHO<ppMgz>l z6tB2h?c{M&3Z*VnCvXI($jdu;Gb!(JA;_yO({gmRnx&4iahwZBm*gWRNas~lbZk&T zAdcRGtY(z$$*3MI(3xRakd#}(8sXCOhI!?{TBEp_AFj~9;<$PxUtp5uc&&TyxZ@<t zSH!N<+s78P>3H~&JHqaKzPBS+&35y>9dt(}r3|uOYs6FrW74KbgwREc25j-X<BLq@ zMy-l0Ms)K8Yb0&iX|<S7ujqW2Jhci$H{C})1vz`u=~QHZ7WwEv&gOE%ga&R_kWs3@ z0q^_`v>K~v0wZz!(%I@R?sqI(4b2V3T$d^8=!Sd44$eg-;_wJIu_KvbYb%J)`{DBs z-&p&~1G}z&aofkQQ_;x1Kd4S$U+lhaWYXFZwQYpKntM!G$!%6`cAol1?xmMHi&v{h zt{dGZ_XYKG?!gZao_w+Tqt<PgMTPQLE-WoSVeQH4$@}85t53c7Z9jQ(=LsiVsL#nQ zI&1l}7xjJc)F0e(^;q$5KX>elwOXZk{r~yaA5Kr-So@n@yPp5zOE-S*nO!&k@V4<A z?Z<Dv>G|vJjdA4@xetugD)Gq8^H==I^jQ3dHy?NE*tOSopRo0<*zF%a{pe{Ezp?e( z^>^GfcG{8?)_?TB-gVk(?>J@u%4K5<ZjX*Vw>ym6HV+iavO$6B<H)Yu(mQA|BZ1s? z==zIKyP~pU-3g!D-YGWewO<^oJaqRB`iK#1Vp;FB_~)mc_Rfpn@<`Xx1$Pl6QyIPK z`{BeldBnWw{bB8vAmW(06-N{&>{#xFXr~Rh$0B8e)9!fm?(Bd0=6i44aMSm<ePLwe zinI3bANcM!_Rs(OJy&GwUpw~3Wmo*m#GcQ6E_2VPekZ##P{YA>mh8>DG*Yy`VBQrc zZEh{Ded}9;OC#wg!6h5x-WJ_G6bxGx*li3Qj6D2!5e_pspQk3<U%D(TUR~UIUD8ip z&YkelCHW=!pk>=Xcg1G%?DLjiSuU1;zP2)U@mU|f>Y*pACoEW`)+~t6x%BQOn?BgK zarM<>YTdDKdGRt;i4T9vF&j6Z)LI-m`mI-dWb^#i^V^U6Kv$FrS`M54e2czLg@?6Y z67<qP=C!XleDhd*#9P`I+;#2U*|y*8rVQWzzrJ+RmzJLW>~oLbbo0`smz{j|?z_8B z>+HIE-QsHY_BC<2I}<Lr8XRDNNZMn|(gnHfJYJiH*(@ar-hAQJcb~lW_|LU;c8}U9 zy5W<##Sb6Wny>hN(xR4LeeFqaIqx_AYxmO5yD?$Vz2K&w4Xx-rciD|Mts5$q&yD_W z)Al$hPVAUJ_L1{d`CLrTub@_KIr&pR2#@>p+Ryys_!rmBd;00a?t10g(Yuen_lT$a zR&5yg#Wi>Dn6AIN_7^|tzGcUTb<y9ROV$!7mBcfuDyyx*zID!pOwSW(#>qh<m#YbP z)+Fan%E2}qRN~Jp;h38~8V5a=Z^i=z68ti!u1rk4>s_2XRFd%TP1QN0Wn$vH{tTUc z|D8i}CMF7npZsK8S#340snAHQuBdUe3~|<^oTF1HaN-g1<wP4fP!6}>A1uc&4bREh zof$dZM)*JmLOc@_OD`814sn`*Q(Y3u$)_RfCpbK+J~YujJw$SH29x~BnLuBi?BDuZ zs;^zW*UNGOD3I!(d_wYQeUNh}YJv)rjBu*Ffm5zHdWJuM^KIJeLmkx9P~0Mi$f%Z% z_I96d3HRV_f>C%(?RkBhh#f@#P-+ujZf}#Po>I(2MX$HX^mKp!o;@=*p`3DV&cyZ~ z@2&UsJ@xfhpAwrD3a`FRjk7A17a=;2Nh)!;nunShn^1mdlgA$GsP}IfQXTc7E&W?a zhC+q!k+VC+CjCRpmPy*{)~#GQ$0i$upMsqCmH1l{e0Zim=%DrwY7;X#Ir+Q4J0vzq zV75(GJ(2QLoU4hiF!?>~fK4X6O*rOfXlQ7|hD^{wxjE8XPGKE7m`%1w*?3&4tPTV2 zu&>ikXh*L3)Q8@o>idV*y0|#rCPIl_lq!|_IP2EiM0mcR(CkaEyi%XO`Nti5`}+Rx z$-~Ioo;_;fN189g1d+T{mY*V4<6F(sI#oVJdzBXHZ6fD!t=c-onOqyTuG&iTz$Q!H zB4>AIIJOO&)Q8%K`qyzxR|n^PrR|u0GS?=nB#*RFXA@47JiKM-u<waYXl$;ZP@+aZ znVg)O;$$(NB6s^}s{a`Ar2089Ea9if+hmKg2|c6k&!FA#q5ccn#U`(IJjKbD)m)*Z z`pUUNf4gr>X>3kLb2g!eNE;n}eVfRsZX8*+p6=+zF0bj}Ht}QEj7?<hdgYag>F0iY zBqzMJC>guNCeJ_Lstm^z(pw@-f?rkSsZ?wt`qQxsn=EVJ;M`SUF^LN9lCwLLvCG*c zQ*RNQH0o^b*wvrmJWdCG%xD?%^r37*3!7RcW0%_fULS9EKf#i9H#qn}+=-fax1?hi zht*1*4PCB+cEF8Y`-ZA59UW7g71uB4R*C!oGrD}F3InQd2KOo~$C;Xht>*C`D@8m9 zgqp(^kyrV7BL7fVeqOlzQuWYDV8+Y7lSH3Cvr|)_63?HpNw-VSwG@5w)U_tT13WLt z=Q1Sy6wl<Nk*{cZQ+O&T6Yk}r$DiTZ_E+A|xsM4i4FjK?8;u86S34gO%=4tHx=WxX zkI;9372$$~CY-Z8W&0s{l`tS89KPsrWrO9<>SUMCCJ`vPS6w`;y0biIXvqh`1KRPA zLcgyYo(=L0OpP-<Q++Tc6JefnCOvjP#)X^t+!XIZdN-?ahc5%4&F5Qa!d)UK=U*<_ z9Npf*?@rLSZcNU}yoB>9C4X{mC8#v_yh@?ryK^>qrU>Qi&j>91G<}cxbdAfG;9zMQ z-i#ML?DZ`9lAPgrcqCy<z67r-xXY#C@yN*$%L(#^Gd%sWf<einoYg6LRLWlHXk#xV z6J3|7=Pw%E5`A{_=(kU$4wh5)E^u$&WQkV@uiC_0LxGH?`X<#SnUOxh`98;&CC{Ow zIU5uyS4P3_=Zw!qn>p)K-a7K^{{H@L#Q&N*1N6A)YbCYN>*IXBDR-}SBT<u2{q#BF zaX(-TbomyF(Ul_=r`xCcIVjupLvg2MHo>?n;pgv?@r7}JLgq&@;&4j(WO?Gn$G*NR zmm6x6`$@TvJAeD5kCw~a?c_c&H|;k{qkJVN*2&3t+)r*=#yQ=b1idZ;m(#d88*=4J z4sBk>oxa||<fbFTyvyZPt&_ZRG&=cnfDWp-F7vYKX%4&FAT*~HGB1+nS`RIOoJ+ir zfFbO7FpzoIfxs!qQ=Bx<yv;-T+@bYqSHayYQr;|lNSoB%T=q<{$y72YBjW4XB!f*J zdE}`{Y8{(=``etPp4&UwU*G%KQ@fbtBsO6zRgXT}*Z1#W6B6p@WNzwi$1?8eayqx% z+c{L5GdNdrl&2iXxy;+7p4l+8ibkc{y-n(=O@>mNV2c@>Fq0<Bhp$TOYp6petqT_p z$!XmOg@fDV@(;B?^;o^%o&PV<HvHC>-!Iqwz3AX--cUbjL~XW-H0Nn*S9obZk-0A? z*H1}5;npQ&{pFYU!JFV1@A@l^eljHegfjda`$>y?9+Bsa;BPxRWd4)^z&yD)tmL## z9%l$i`iYdq+l13U6Yw@^N&5-+Vu21GFPkAH19@3`FxV;;aUgK)IkO0vh&U*p3!3<K z`pHk969ulHI8EMpJ)7*p25CR}-uI-R6biLkz5ZC8kg18pCTTzUcd$uao=4=I;b>I{ zW0j0R>((*D>}X%*u-Jt2Ih{>>KcQ|K{RCSIB>kjC=E~Af5}UXuPAA|UZ^DHwy!dP4 zHhB-;PdImU6-|UZdf4z=oUL9zv`zeeLDdlsxLb2a&Jd6Gkl^e6zC!2$Zc9P*$wX}f z$<4~iul6`JU?At{eBkwDb*9XoKNel&-fcww=>!M40Y_i&<r?Yk2w?+Hr+i1@By^L! zyo1gwr8B=RX}W!kXBluK53WqY4<%13pX%<&oi1{+Z;`Ae`xhNHqNlNk@{1BnAl+}c zSSFt{bjnw6>h${?eIBEQZ$97eb4=oWCc#f9xMLO$qMtaLblE3pnG*bHL=Q_c?6u>C zR%4%H=FH{l2e4(|qJ+zjowH%NBg0l7PpJ{@!xLKc0b%v}9~djX2ed}N+vf;Y!w=|^ zu;DwT9w;hHTb~+nG;*>Bu?f7K+bhU)N#d<>SPdTjQy1oVIte49l{6eyBd%<L@!0Xn zgY40?2sk{49(FW=4j!ltQ#rEl&?!=$cKaFO$7;Eia95Tp=<9^@yM)`P2#$%@phbQl zi9hf_aoEP{M)p&Btd(}@dB-&Q59zftA!f#VXkW9C6|u<TBp_uFm~^f<{uro)G|=Kr z#S(UcSYbB9X!*Qh1`0&(Pq3$FM4T$qpz+O>@L`Ut6FH=mTusoJi6uOjJ8>idlUyLt z<JgmUUKh-P61?tbP(dXxG}N%lBO*y?C&}O-kRVUI5m)NxATWnHM~YBmT&y{AUXu^< zexJt-gl<`gD-l@usj^`CBxnjD<?^Aan}!@n&x9Pr=WC#EPRJqZC32}AB9K~cKv{eP z4YJ{x6IC|?#M3v6`t=E)NK;bHIYTWWzDvk*K-WfItIos%t9@odbL9Ke2%eKzBuy*D zNnnCRL+D;T&*np!12_j)fmovu2a)i0nX8KzMq$D`FpgC=36r|u(#hHhJUR)PK~}t4 zoHq#Wx5rdAJXBPX(y5fgDJ69#kYe#`aT-N(1kU)91HSi}27#O7A4oO{I!UU*HuK() zU(c1ICJ$oRxl)d<&Eab3f|w(40ihLC=5oKrv8FYx1>vW4ks3M0KFqsTkQV2V;H${@ zh(t(O=3o(GpReYir1?;PW@b2TdB!4R(W7fp7E9j3kwR>xr8RWu$UpBnStJOXm#B6n z*N$M7Q-b-;A@NCwuN=onoY<X`w4GH$QUEXOnzn_s)Zz7b;yBd&P!C5y^RIQm`JDs9 zYFTe-`I8zZx5nl8s}xXJ6o8M0t0-D66pLeoWdr%~Udo1>^fm(<mH<pPO*u85fl|~O zVVg-FzQFPgaVv=hts-ccZu$t`eG<~L7ATNce}v;T6p}A73n38j*e9?WRjx84<Dz<3 zbuYF9!k5gej@7Dz5~zXl2)w*|cjoOE+i@3}9IzUg<r#U&io(1lJdxBV6~N1BVv&>~ zAIeym?uQ(uUGXJd$({g9xmX=<U2C`ltrjICqERd&;VSRmrs4Gkj_^gI0YR>Drs=Cm zg9?r!c9EVTA%IP?DgIEF{FGEdX(MAwI1&=y@Pn_cqA;mF{Nk{(L?Bg-8;BPCPG$ga zM8kk7v7O`Jnu?5t4`?H<i)K}<MazK8W~_$wD2rMpGY;~`d$6*LNnBOR4$HlK)Hnq^ z=Iv#nm0_ivECg4g$ME`(Z{w<#HKID?-8R{i0EzB_6%FbP9ogVM60^h$O!<t^uozFG zbJ3Or1KMy!7P$i60Tp5uqgD^7QWz4K3R6_kFz=F4W2ptIV$|GXRF4XSo?zhEoJNZ= zA$6}VRcM1)EeOA%CI__K*fi02Rj;V|Gh8+cWI8s|1sBEDapuq^DyGDuu##^;)=9yW zl93Yu<9U(~M~Mq$os>-50di^Rd5Mhg;zj~UUOq)duob`592kgg6h$zlK@iP|E8$KB z)j=!mA<}Atl`6`@U$}w-$+BH(^rInu1K*4<>fwAG298dlrNE3c77^!FI)uTcLA?gY zQsht>ZI!A?A3%kZ)PoY^O<bX}qr^v)ONu7(#oSb5R(wF@N((;@v?>_K5jm{_y=J42 zA!85kjrk<IVUCEs?+Zo|6xE`XfB``W!?FB{H9Kk1)^VM~&m07jjIU?U!)4i26UV0~ zfkqr&NqZzNbtNkD+UcPby)I13EXDF%;*k)!GO)Dt5bD2A%IrkM#^QCWj4Ylsf%Xen zZb#!}(WP*SL9X}-!is!k-Tg%(-oiy)r`d}P2`4Eh-4p?7D!6V1IXATAy;xf)zjh$Z z>M`635<zTBcy+2y4US8?$`a;w&PA0{C0663^Z{%jVWHH5jS$HYixtrZwtyv{28>vR z%T_G1mKV2@RM<;9CB<F@0Q*_VpVs0BC{GG}>{?j~oCA_@0vH#+wN~j)5+xUw5My0x z^d>06X<|-|SQk$ZBCb-D<#(+_S0f#bKC0MARah4tk6QAqic}a5hr?2EZK}0U&O?*b z1CvpJO^eSeAE9p*rx_Y4xGV)|r8+DUx+-FUII6?qsYuiA3cC2+2{0KKvu0#&M0&&W zP+6%ky`WqoKy<mM<YkW|bwNgpi8i>l+7?MP?TZ3O+5j(i-~x1eI+Eg_``hX6bY&SN zUBYsJ06ktZ#>PP>Oa!YeUI!+@f+XJ_B6q~G3T=fn^0W}tm0WX3)HWKrZ?1ljjI`?Y zQpV-XP&66j9HB+G?CDaWu_kTAN4{M$%1?J=^s5pb45@GtO@`)qw9AXdr6`);5h<lF zRBzyGO$2W9GtI0tM(HPcy^Mw{Gl>pHcqh-+mX3(}v0bjlx}=jD-vMOM49;-%>T-#* z8l`8HMRj1ibUL#&#OZv9HTXfGE+9-lF<5b(BY$pOpqMVUL^7@=xG_=11s&#ga>j&~ z5W0;HENe?OUdIx4C_$<w8FlwAp@gT2z{Th-?H!&~{OO9tUm$)1oB|C=;})iK*hJ1U zRPOgS8gv~=#zh^fVUZ9K>*Tu8$e#Roa+n5#dKa2|L9E0UKmH`?Tuu60oY8zj-avC` zgVve>9{&tjX~dD6sK%m*G^*gRV8VM128FIsDp6>)G=J8ihx$CKqCi=RAiJ}a(V}0+ z!y?5Qhf?Ylmc8><RcbP~v22_smC&M3R#8^LmbevkSMrwDYV#HN(t%V&@3P^zr6HgI zh@!9HVyvvR>q-138jTEbQ3Jx};-oO_JOz?d)_SG^A?pS;(GGE)glN|SCb8LlB29i| z|D*&X9}YS!F)e!%bwCCc>GjDQ5<~Hmb}h18c{Pa(81xLdI_W%}5{1z)2F#MU8IP+f ze%?lFu}ePF=Ht6YTcQLJaUTj;Y1gI$P4X3)bqz|Q><3X^yGG2auOum#z#$voC`b@x z=^Sc+K2~Xw?#=2%_+G2kswk;her&F0wy|d5D7`(*lF=T?SHN21(XL)KiZ~^)j9ctp zJlf(8sDB<*VkQ-hqc6B|po#$VEjaf@+pNlBIO@!@9Uu89sv;#3q~*p)$|XfmMt<?f z<8KHCj^mH2QejlG8afVQQZ`)71jpiCZV8tAKBTcLXnD%^h=6ti+zgZ+fh3+(1=0AA zjm6JE8XjJgLmX&ZfiP6Ca(G;ib67*bc9z0?t<}JoQCF3%-1K|L)kwv-L0wdt8kZfw zmk*7yt)o%~Yt#U((C~^_#k5j^5iTjIB`a8=$Mm=n2$H<s1`5U=R^e9^yVJBnVZJt) zN41J7B@vNWp|Mk8AIlS%GO50}nh(B0G^b{}4G@vuH>pEq?!{xo;sXjpK@3Rsu=}@d zk>*xaW<bpkm%utck6O=<AR-Wk^q|oD6Gjt3IL{d*Df7u#y-9|A`o9dd)Dx?n`^Y^N zT$T~SX<>|W10COM^CpH(M){8<L@BN15RL{2m*$B(*sCZz``H=tD<Xdh%zSuO80P0K z=NJdM&#T^u)%U%$WFzX?Z|D-SAi$vg0yW5whL@?gKm<ywd)2YrRNL$$`3yQ8PZCSu zRJDSeZ<t-K)#PQNx+T_aXJW64<Z3|0BaBN(lPStgN_lq9W~zjZ28_rgSVZ`Gp|#SJ zR8Y+qGgCc^=03tEP!$$Ukhh4?Cp8jbyv8VHLk2|Eso9!5pLNY83NG^kNko7XW4x!? zwGD!?lO`7ix5krFmDEHE=1ey18Y!r8uP$u9q_GrFmgX`!I3~)MwZ&LIimMQjHL8-& zhow}D6e1*#)NYwM$Y9vHD1Rd7))4O==D}z4K&+)YbQ{}$t<x3I1WzjX?E5DxbO`9X zPBdX9pR*w?gz86HZm61&MPYdS^Y|<9(yUM_GY~~aBf%DHsjmUUmtolepn5`1r69VN z=}#OP=E2PP0y0CR%Bap^9e=r16|D{j4O4+m4(@KD|3y!R!3S=j?-*I*uNK{kz7djj ziN-5nX?Cpf9p0#iAu<m7+!TvjV${>u#WvBV%u!}Y2hRS^XK=j~g`hV8K`t-F1WbM$ z{5Cl#8|$*Cq>p*crD|kwG+$FJ1d5b(a-zXVgqalFz@;58;Tyqc>L_YC+(l~Zl5w-` zWyWwB2#S<MGn~dfhy_j}ih9)`ZP5eLWRdv*gAp?`4=qLtNM%|Zc1*&<D<M*(6i#BA zmDdDmn`ImerTRKDj0h#f!U3A2MKK+nc1%11xIHnM3r7uohA~lxOY*%W6B+r$NDvB5 zc9B~xH~K+phN@tSrwcC4KmwIp3d+NLq-i@?UFnnKu8|Q58Wcy1gVq@^Zrl^vl>tmn zxblX24qFYftEuv^C<UUCN4y^p4N)0n)x8)8yOEi$yw)l-^2Uyk1dQ{KHYG@N&Y)kr zIDzy_##f{>t@A}ujxzO0LI@p3eq|W#CAcUbIAzvK!VP7Xaw;lD4a=}1nRZ4k74$7( zmC9+(2*KGe6SKuc>OScaQd~pllFAm1S_MUTWF@3cNVZfwEmP{O+DNBi^v;+YErLdh z1U(QIt$S=9=;Nm!?g;}VAq{CV&P`esS>Snb5J#EBk~yAKni8h<E<PUyJ^-w6w#%^v z0=Kz4MaiZS7BC<J3=ZheI=|1i?0;<2mH`#61kQq3O&Vg^SxksH&Qc1MUsAmkN*hf2 zg4({^WxTCAxs3&O_6Bw0c^IR3hdOZpS^j5(Ff4V%%(P6EGJeowHz8Q1ZKKlKZCn<S z6!3ndNVOXTRIwVdYiQhxH3vkITCqT>op|gDDQp}26GOGrrIAzDFR?U$F=aJF6``YK z)u>QSS`CFzhnTbC#*2X5sE)G1HDYip+8a$t(wha5r%*T>tRrcO8qG3-xcW5~OgA1? zOOr=K;tS7?;-uA-mlgsr*vHoSoQ(4Ymi%SOR{~*@vY=3vC4llvA&-0q13c-=gu-DO zu2IDc&XswTb(NjyNRiuag($73*QosXcp#?(<j0{IT=KFZFG^(vvjFsPw3SJ-RqlOV zTJtUSWIv-8Y}ub!F3Zi1o&8~#m3@xoGW)t__AQd>t_jusKH}ZBBG+|p82WvT!dF`4 zF1+9cd6rzLh7ETn-A!`E6*-!2wo47mtd*sjajwh1C-n6oyk>xFZNdntdzl#119H!^ zQ(<GELK{gv=F0la;1tzo6%SUtPp?F=zD}!26)sUh-+&w`!Ms9VI61SR(5Et@jM)^s z8>mZz%{yR5htBw-aIjoDT$L6XIkrS~jO$RDY^IaF%^TZF423OEP|mO%bRJp0$V3-N zL=U4Lozu;eL9aXTVEY*t=JU5Plued9zHvmCTJjC`w()r?*oKiC?Ll3|)6enPJX4|> zyo4nqo>`X!C`QJNwEoFwj}6%;-u9=QVbdLF)Cl_%Pq!*J-v))Ad^H?dIw)f<t?0|) z{O%xFdhtNq+84yEpy9Ctqci1URO^XzB+Beft4-*>U~DAhZcNkG`cpFE$D2&PD?~CW zPM3_piQgmZa%3@#$Usq!sAclD&bTk0rz$);sqx5~mXg*~bC(Lospqgu!9!E$qA=RT zoU5jeACu9Y97|#hw;oKbR#PQIh8y5A6Ia7RSCkE79><D(n!shJc5XkV5F1+6os~b; zQO;JQP7+ruJ`on~D=w~ZtLc-hQ&}Fg(Pc~=j^-G%mRqJI;U;yoQE}@#Otx31jqdi4 zNJEX%v&yEGR&yNhY**ZH?xsRKc=}GoB65|Z`9;(mxeKE!n3XYDbkQ86V~Znd!IKfq zC?3{UtC4stSfJ&89gfrj-PNk>SfEDL4L4NhtNUcm@$&zhd+9oL#5exwht-$9vh((5 z#y-B{(Z#u?XD)b0ao_TbIyY|r@W;OW_-PmIY_S!Zyj9&f9wOOn*2t);Fnz-!&L$ak zw=Uk^rWUmP{oOwuJ305E|6^O_z6H5k+w55zuKb;2Pg`-#4mCEtqquhApSQLB=@a_A z$@Pm*Tkv})UU~9#eEh^|#oNy6vbj-4&XM#D+?Q+5Kfmehvz|%Q%Vx9DbwMRO{_az* zJ?)%p*Dl+B`Ds_Y<1gsz(df#XK6>eb4=q0NouA(G_?4$__|j*dI`6}$U3>0vyO&+P z|H`F5TR0z^P*-tgl*R#k=-E>?fAT@K=JwprzVQEDJ6_%~f5qk({@{Jn%YNe>7d?CY zPk#P!d)>AhMr+rlY3E*kd}D5Z`PljY&)N?a_rG-9jUU;6-0}OK`&^j2>GW{y^pW|y zAOAr1!Rv$eKi|JNcf_&n^Y3}~{U_8vckGRC-t)B+cAdL({=e-1+KQcb-Y|CD-7hTI zb;J$V?cV?2j(@ct-0<n+-oNdJn{T*b*|Phxk9@iHBma`y+z}tqvUxbSd97YMG<L(| zkFGDAv!wf^llGr;{#p5@n=e>;@!As>UGMKobjj>!lR;EeX|_pL-JQ#A%c*%S-@+!> z{N{&7DwX@P;jN=1*M4O4AM|XE`W|l0O@BEqUw8ZH_S!Q#`>oDvFOII-yk^n#*~fqC zypyBzc5rqWon%D%uE5t`c>cvr*RH4Ud7wQor3%$4pE~E-?z7ik`5X6aSo*P3PL!tq z>WMd<cJ0#B&+C56?tPDM&Mv)m*I%7-b$58ps(Y4wbpJI=pUKis7?fjdLI(h@U0b~R ztmW@p_Q|pC@1Ak(_${AVu=J(LEmu8#&prG1|LfJOelagQZyN(f+GW)d^>eoT!N-2_ zzScjy?6~)xw}0Pf>YKOz=6kmOqWk92l|w6@etOv#A6~UCJ9O@XKfiWyYj@n<wd3Ip z>)!Q?Ywo%E?)sMZ-}Q^7|FmlVtCzlf;XR*TP+#}Xzx&Rz=k{;;{bm2<7k52&&$?#{ z&wb~v-4E5*Eq>FvbJw&7jTNtbePLLykM>w&^{B59=N@AHr7Ru>*hf`Jh50o%kQKxH zn9-MGXIxtw?*$S$u&b8^d)n)L(`{^q+Pk-(eROHu6wAgeMuA4ISV!vptifgT9N&x2 ztdpBKs}u)t+f@rxhVRRFw5)7d?^e+dwXAe|eljpcZ9ihp!xlnT=BtkBy|vo(-pNVa z>DnK$Hnpywn|`}X^JOQVWago2ZEDk|r`m^x`rp3yv7w<0hWh*KQ?encpUJFJTk9Vu zZ~T)7oR+RqSLyuopV-A#&;A`xu*-0LJ8PI#z2)V$BM}JBApVB)w;aP%g-An`MdATc zM@@eXn*^ITi%sb2oJZN?WqpKwO~i~hsq4fhQxY&Am}0%K*d(s%74g~SK9`mRCN>eT zuBA4?W^;fXOii`1{=Na_Y4P^2VvTT471-IZu3xe1O|=hX*pN0veXLv8v8*4P>~l7$ zi%shNEa_h6&ABDD30nxsb$^G-)OM-N<Wsd>({*f8Z=ZhaH>O`UyD9t}n=o0r;IE$& zTTEgTY}2p2O-P?2xoshoY$fZV>n*viJzaawKfk{|%^LbEo>2Y$>uE!XwY<FQ1jK`( zq56gm8`vLL?px(;BITsSbN&J`127eAekBYGD@J=ldCqDwO8pJl<QS(#cA$t&rl(g- z)F!G^(@i#E?w-J==~8K`&Ys75vrXJuYRTbTn>f2|*}7rt1f6dk{jk4ZY_jmIO#7Ct zLoNO6b%57VXJ22(<-z{_YwPTFU}Kutgf=bfy*IdiQg=3~H`zqBPiIv7&70b%r(b^W zL)66E>(Z?fkd1LtJ?q3KRd18&iFMLXX4$0wzwdfVsg>`1e9z;1uv_gX`}gg;;t96w ztXC6z>z7}i{h7B3*~BPYw$wLxo2*;6N^G;?K%0D*925#ust=pU++XK;oNXGs5~HOV zO|jS(@cm?J>KN7G_FMR|i|HS`gr=rsT<~(L?rpNHe&qN3*d;b;9=p6v{MgmpPu%83 za^Akmja_xdE;c4IlkQ_{^(xguuc<eWUBTLxmFyFQ&DbS2q5c{+VefLiY3!o)pvrDl z^~siY>5sE)@@lo_Y$E+*Z}oz8*yhdC6Bme0{!GeFKcNn=V#@^M#LAVAmmZg~t36oI z(z4@;em8dQ-8(dtj$J>drFfgTI$=M6*mkJA+VAaKBRY9e9}TEQn`ibbssj5Kqx>;S z#vgyvb%HZaNi7=Cob9Mp{zT99d;Bh84<WP1HO#W_)Xe79jo~=qkuD1#?84b2nCx%# z`yhD@<Ms@?8+=~$tsd=qWL7uz@=D_6M%94FA^C5qkfz()n1rpFO^0hVg&<E-4zN;$ zpBzWwnoF6iCwnt{1-mlOQ_B(SMR=y%Wr@`zwB#*f-=c65%uv$U$Jq6V@U`3L==ht6 zC*gvTm-vo$@%=u^cuj%_*spleVAGzxYgF}Q5@ipbJS~8^p=7}u{r*Ifnhg>za+i!& zrLHNbg~eV$=tWU<drE`LvR6>#ijgX%_$u}w!tW-0Q9!(Fj9m{ZsZ@`tUL*Quz%CNM z#G4oUeUN@X;}HvI?_-pGjqJH>o7vZRNp+-;C!{VW?_hLPM^0X{xvP8g=<`d?-V*(> z>|b<P_HJISmcL(p`&9DgjxrQ^)lW^R$u22VAlUC`>{7|TMwM~lyl)%1#+CI(N#|?q zcdXKf1&{PK#e=r_MbTu}Rj1nvm3pz;;~$1Qc09rG(my17p9OCJz+;zBd_djF$hv-F zy~m}8!HRPwfCEP^84qS)mok2j81t<1wCs@|;!0pjP5tXH`?=#!t6%b<uxV3$s8nLV z7JKMk-AlKhZux|oBCr`4>*P#>85ZL$z<!^V=-wh{7G&BPt5o!)+}k@CGddUrGA*)O zo4weIIRG*(O7?EghJaH;0vbZOHgtdg)X>DcZXCLQ=>Af*G+OxZmQS4jM@rq<K08KA zJvo{B4vI4!w5eYoQk#T<T3uN^-il4`ocKWd?Nd)o-#>A`x>IoJ-C~m`o{&`70!QUF z!)%*;q3w6)+N2>o1^gK{DVJY-@yWPe-?V9(J*?g)Z)2alnpV}RgV<#9>xVVjWXo1j zDED!uE!^o>ZOt&t;W_?$!s{54-HYqkCoiWOaApDf8CN0G(!R>YYh(sbOMB2VfBwP^ z8+HxdUuVARZL;ODEq}4)m@UVgfBvs;leu-&oa!6!%T%P^f4?;xrQvOIxq71gcIsz> z>rTO?hoO^wy`;ua)ePxAP0y>Bf9Y%{bBeM%OG2i9j6^<Iz4S{qpY~1He~C@BrdsP_ zlY0FpDCWco_O-fUC6NZvPwJe<GQ;ru+Ssc|KjF^Jc`F(A$1(HXD9<GwJQsAZaWR9d zhUB~z<^Vj$Fh!o#pBwt5pX_2zB>m(O!KKGae^K~$;oIkb0h`S3vyDjqvHe8O=#a5X z%lRgN^b_?&{qm_(DMP!*rFV->()r%pHZa>JuUvG1O<YMVE<=}k2%AVh`Q<yEO=#f_ zn_#Pb-)6q&<QtC6({jx=VQvL*M#M@oxQf3G-X`szk@;4~knCP$S2gq8{w<7S{h4*` z8yLmfXZ91Ox}!Gw`1~-pp~gPj>HaBalm0E$Eu&jLQTXur7yZw&iQA{Bvd?==7mznX zKuVwEXnyJ(aQxAfe<-=My#M()KJy%TM^87-8INc3D7lH$IWALky1C>1ujL%0hsjXJ zc+9j(39VF0AML``C7uqWlz%8W7x{mRvuO3vdX1z^UX8;udDM<R+94_9|E|#7p6o#j zVGhcDJ!aeM3Ak{h?7`%E561Moc)78xI^fctG2^Ch3_>%*V^W(?X@*jaOcD|awp<55 zs<Ao1HWN+C8thpDjw>9IjD(6v%5d?cfsh9SCwH)b2G2BnZ@}}0giTr-hfo;3My6P( zzym6O{vDwBWGS4FA*q+Rq$7!c7UXA9zXEYE%e-!MR@VGl@m^Q)uP)#Uu3smfTlbL2 z9IU2-*HCceJAFjT%v~|DhFB#1VKk`;mQVajFq4lN-&_SQ1m^&WSqx9`2BQ&OeB+Md z4(L``5y%RahQMoh%xi?bfgqy)iWTu|L^y1+DJL_%Uo#SDm%8U^rJLlO*ETamRDQnp zkYb?@<xfO^ZDe0Jrce1GIj6n7aj+!B|6G`9A#+0-v~Ktgm0%)KLr}bOS+4k}j7)26 zi7`rEakR)vB3yo|CgUaiA5@V&1B`8Kqbv;%0qAn1<+o>KG?(DCApE$SNQc70A82PN z1QSSnI>9O1mt@AK0pV%FR$gDRW<+$Sys|{h`<498XOxjF9jyB1@C;&rb0g=S?eJpB z2TsbJERwZkDF<wXNFW{dQ<_W;&iI=%Gb;-vWRgtqo7UEG$XHocqq?{*UnPs*vdYRZ zrsXCBGiu4IaoHUeF?M{p)EP6nRFI4t5($W{Lrxf<P?Emk5-`pc$2wm=!H)2b4?uyh zD#pzc0lv6!lhPPxE+M1{4Jh%Zby#F%2^=ayVj34}EXdm!hWBV#fL7LFui71Z;^<!I z;^dW<Fj7yod5T|DS)hVn6ng9kpS%-yZ>cq0C>dkjo5w_mGHDk}94*^2DKckx$uc4y z*oji>kq}u~80nIzP|9+0o8TGHPWcQiUnfE{pZ$^bBV@pT?!cAKVy#vhnGw@@NDsVV zRYbyZcLdF12x}!<5|NAVRz#NED8TSmmx&6A#Ja|N%A%AYlBo;9DCUbvtXLXAPW~K- za-B@;^9DjLKn~^yB%{s|xddE}UGgeSdEmrvXIM>fW|)#^2Z2toAR}c$-Xxa~n&lcR zq(uOaOKct)F0=Kf66lD%Gc1-;SrfFj8Z|7xU<HFxVwQdp1$pbnsT#>p%LL2ih9uDk z7^07x!mt`ib2#iK55xvio;8k499Ed(TP-5m^^BV6k?J&pNGl&o+;JgD&d3amm8=M% zJ3nG%%^mh&`8>gqi;G7B1vNm{y;6cS6TIeb1T{Ri;lra7!ePdOK(crcIDqXEa)N<V z5=pLkCr+;Y)vUzDHZ!R~xBt`$U=d0YTB-IBuT_!w2ty{qXEOM;P^y(QtBN&RDIlLf zU+NKTnh5Rv`E;0T3X)2aD=jifn5JM!QD~iDkmd5A9pcwR556)QRT8>L$ctEy6R9OE zFQ3FqBc#{|I+u)T+4DeuC)5TSzqC?1>}+W*OabFumS%~V_WAa#Ib&NQ=MZuN*Ljp> zxiBf(PTD0fGO5)h_E79<l$I3pZGxUOIV}<mxRgv766W2wq%GjHW#x=|t$HX7%RMw~ zek*c8_!EG1Qvyi0&BL=z5?UmB4Zr4ZCfJ7>5}oW(YEZjmaXr6>0pBGeq(yt4Um7VH ze`0Z3mUHQmk~H8mn=mCx6NKW|p0PT?1&QVS7Ec<APxwuckTK0q1(I9oAx8XZNJH2) zMPmq5gQ*byH~o{7$QNWFl|cGviACE6bpj>Wqppl9u*8!DVC^(FxL}+>m33M%QU#1B zWfx||#gTC@g&?4^%Z>UGw)}vo7@-0;Wx`U%H4jbGv;>Ihj0)vO$uJveSlZ&au}d4h zS_NWY4NIU`?IfD!Pome{q|ZtO7(h++C0H~7nu{5ZAevVqr2-8p(5DQ#q+Sg}xDBi@ z87Q>GB2&29wSUKeo&o7tn&=?@FY)srlu6uX(2TiS>do>uCL#0A5Sgg8Ly*?ia`APV zBqheEpjeRg1t#E<<&vsM_T*NxLX!F))V&RyT~&GL{p>w^4tow`X3u0228hX;(;+=X zl#xL|Ov##YNC!&mA!Y!rn!%g$qV;!gP&Cw1vgU9|4g=x<0Re3|rXV4D75l?pe`3S% zQA)k}@zHD1zE^GR+iR_FuSW5sQp~*nXRUqCoC$$qf8Of*%<T2?tY<yzS?gI}d!O}T zq+FEMSO!1ZMqv}~9A8Fd4d-r;;wS;?R%%V#J86NMC1AK5QU0SEXfeWwZ%EmUPQ`}C z7NkwB#hJ?E2r<RJg9$@%Sfg9_B%)EDm_*9W>(e`YTIw?18$vaGg`{cZX!VAmoInwa z;KlGQqMX1slV}BySX(L!=r))2ZmsW1di-e=MMY6IVc~6Nx63#pJMMCtMQ?$b?xaF5 zK$AJPM)DE0CIeOtOqDHz<tR2m>XlA4^z09{bJ9Foz^K{eofBBaAqqkflE;L~YW)bb z*0TrU{~q@yQDPqvbqW~up*CDPuhThy6I@QH{t$%>`(8Hpfmdptver?fZsP|QOgzDW zr@GbS|MOwPsMkkw#Pp@7yT(k5Hw1x1?YeR=#V{cJn8~Rq>!?)8=ZS1i*TO4GCqLP{ zawHw>DDAFxT1o~y%}C8K@5kxI0$Wy`KE6&Py%)idigDgd(}po+2MG|~8uGXv;n5nR zWd^^%O-msMTx>oj4aHE@PTLOTo`3*tqAg-V0F#-LMC#Is7)2A-peUjp7=gmK8IV4U zn))vtj;Gw2Pf!hGi#ih8K5pb2niX<m#>WUp@*pwpUww#j1(m(1`qI>DWQPl%7%+a= zQZ@227xaEO*?+LVNXu}fIjrdpNo6jTs#Q0A&xU>-pE<qR;r;)SQjnH*VpQrNx3-fb z>g89no%H<7j{rza7KUgJ#&_SieI|@<dh1U8UQVCIUtz-_n`!HO7Pk}n=@&w1Cjp<g zlllv({-ZIkENRQGXhVq{TZD9C=_^+pxol(J3pB0&XyJaY^i&=Cw`R>6Hn6bACT1Uu zUWpSkVdTx9vo<`m(LoZ&#|1k5HlVZN7TwR4_%D>WcZ0rC`8I)2YOj&<rGt}`yv^$z zB!v7zll(u#Oy7<4Z{x;|mgC51-_|B9$;CrXcyiF!Af61maY*cD9#5xs8{PjQF<%DT zXak*9lWxvXt2WyH{*3ySGBZnJFadn~X?lKzZOu%#7%n^w-(QD()iU{~;ll*)H-zRR zr5|xpzCr)eVdZ}@I+8HOv=%F^`kbV)h7Y+LeUFTgI_vrskQMn}E@T7740+-K-cH8# zM2I6nS>LYnd6yqs5;Jimy8l?*v8SUB$7sTKZ@VHMm(6rxmZf&)UF`l9d1KX254(T$ zRl-zDr(4;YSFP!ePdV$9Gtc4xn7w<~vKZ=Z=_%cBKGJw{)|v5=H7l!17q2*ltGkyB z|4x(cKUNRzsYRbLrr`#MhllOh*ox)vc=)O{yUUlpdd>Xl+s3ZCGVTBUhr4;r>jv6m z{VKY{XyV6-^JL4FTTZ>wj}vR{dG<ZY@Oe{58c)_<ZqM8Jo;g3Byl2a1n{;nEuSxgI z%VX!s_YL3YW{1u2*qD8O&3md>ZrpIe#(}@tcE-=-%IA|c7gkZn^_(5^tKU$Xh4=^# zeORmg$Rqt~s<(x0lHKba_X6tpb2aZ3?+GD3_?Ke%?_R%@lz-8QvRL@BXP$ZHD~~<) zmtT3}$wS`|!F5RF$MfI%7DylVm%1SPWzzkk<@-7Kao5WHWB&*s_7gvT^1D7Sk3B|S zzW1$fd<*20Pd@pC=H=($`AZ=!TE_8+??c{B9`Wme+wT5Y?3Y_|e^h*<#w(a$FaMm{ zQMB9?N6AK1xG~sC?$7f3PO@79o}+54(s3V(x0Atu@Be-t@1bB9z-v0KJ4<dPmWP(y z=UUQb+Bh}vTkSj*r~b(2jVMvS3E{2zvV=6m-;aVUxt%O6{?;h*`j-|hU-BdTV`*-8 zc{>QNpOlm@c_ba|yK|d(i~P3)KV>({P!?hP@WS_Vy4U9Z&lO)~wG8jRr)(V@<R0S* zC+;y*_&UxzvaR=yXt+Fqo$?A$4|d1nEx+DZ;;Lud<L@ou-juR9`2E#A#t<#OKHyz` z&k6j>nzi_efY)@@ADxrqzs>z7_?!2kh%)iu?>)ig`8vnX?XPsi6~00uquQk5ZD`8) z{T(i9J2gCnwBrBn>BQgtqWCWbS=Dx=t@eQ&Kjm%7#aOLA&681E?@v)%>3yDaf5+GG z*F*Z_1bcc1J!OVh^X~1A$3MZxEX6A}2iJ78+jH%n7j+vSlC;8GE{k-|Is&lcP_^c8 zdzh0%-T3ALMor5fraE<kgl*M0BGlTkoqj!u38=Ug;=eSse2X1E%!1<yF}!JI3LHxE zrj5s}I@B<^t?mVI!I@j$V**MNZ>M-wyGMfXP7zXH93J8ZoLvZd!5l@y+QQY7dxN#m zF+kV`;PofjCUINHk)6XW^ow!ScfWS2&63B66Sd;y4o?z(IXXP7J@(<zynjK6BL=tS z#wtPL%~pv79N#$NHbTgbkRjFu63~Q>rlTF%#JwDwyI|YNOuMJeZPnc>ghgU4^0cBH z8QThefg`rrpDNO}62Y_JR@Z{B51+2#X~%{F$dk1Yv`6sXmoR*^-Zq)-V&bOvKG0@y zkk*vLYfDZ6x=Gx$^N>ZBUF0+BGa;h7^*J02nKha}pJ`lx^Jdd9IP__O31y{U+k?ij zb68x8iub=o^6SWKdP|cCJ8kwA8sP;HfzjvX!He}exo}wKNQ~N%-c14IS_?uQiLWoD zoLi!v*i*IhI=t_4TE8r$EtvD^*p@#yoVW5sExad)WkCjM+A0)SC00!8DR6i<nv0Eh zW5i8I%XUpymki;igqPl<D9+1_9IFX^nRjT!M#z>1>QL*G^By(yM}Ol&Z%@yTOCP5; zNTcccw2hMJ2Q=<ez-$M?b>LI*8Mb1*2Ooa=u0f1YDNP8-&0m8zk*#aT`l7KdjMf}G z3IlyKPc~u<i2Bu5o~@EpLc;aXDIn{0(ij&O{hZ^}uidJuKsks*P!2@r&?<>cCm0_W zRqIzve*A+eB)+Cu?1W3<q8r_Sb+F)IE6C0GTv&qbV`{E_&igI1PtKH#x-5qugOwFm zQNZLeADR`V$;C;Bgmdy#er1wqlBx_Q1xM=@;LI^1i^K$0Exc+n2TnM3){?zPIfHBz z$DB1RF9}8H@|9Mw;lIDG)Bu?Rq3QVfhPv<rR&A|w{1$4pcp|lcXdIex;*hpt28Q|N z$7fJO6?Us(P@k!&izrrq9s)7utlHw#@Y1V;HUs!IA5=29`vQw?Rk_3#<#H?|Ts%H! zC5dy|ms`=}I~_4753eHY)T<g~kaAKqEN3JL+9}K?SRB(loFZx|0w*%nh;0MJ4q<(; zWki{^90qA^7Nw{}oP{k?8qre*Q8Km!Gf@|0m=WLotfrjFr7kH%^+8pD@F6oY<CT;j zD~o1(#In51g&lelS7I6gB!&k&wWcn|#lBR)M74_4lLjtoTBs;473WA$25E9pqDO-U zpe%40j))6><1HIXjzu!I>c~XZ%C#g^@3TprS`0Tb25`tNCbc+@4Ppd6P$_hY)A%4~ zMes4aj^bnItB6f3WGW_jz>48DOtNz@60)QyhoSr}b|zB^>zhV>{{Txptjkjo)(+q} zkJJ)t8gyK+L^y7Mv-9{FLF%-}__%CRrc5T=qXI-0?&EPp*@&FrQ&N}1WhodI+pCw9 zG;>`Ni!{0>#IfSu@qN}%RO3ZA7L5|B6)(tSiSO`Qzr4$Wr%0&ps^U(nqBDt0M;&NQ zN3?QsWsWt347z~hAX!!mq+(o&F#gDm!4mREQ0COctJaGGnN_j1MEql$ZsgN{ky$mg z?P~t@0ooX9F-q*QxuMCc3@(*%T#?oAF)%f$>XJc~Qi5RE9&8g;wOZcv<|@^yw=3fb zOXJXLr|$$*AWYW$l%rw9TM%8^A&H}y1V|Yf6to#nvBok2i+-)OQOvRCglLba|4zw( zloUe=){GZ|EciJ;?TJGmh~=C#po3~pU1?#yU9H^<Oc1)o5J$rDD9s7r&+ukVmS*E5 z{3cxkC(UfG22W=^e;0TV(NCv}#t}imRda5R0=0Exq`M<6IM*$6K3r-wvINftp8%9z zx*acWv5Jmu#0?3*Icu8yimpeUI2|^Gvzf)w9HHT8c5KpBbb|+^7L)YdIz}!E9wp_H ziR*@NN3mtGW<e*wnsil&>C_{!|A^q7VST3JV#ZI(z$H}Qw4sH=gP*$PI?S9Domg5O zc%~DW8gh<B1{=Ke;@x-yXmnpn7BeouNB@%qq%-v}U1*4zv0@tHrs`u-Mo3jZpkuAp z=OBZ@rST4jQD0FQ5HyhddC+c2>vKZfW|MFh1i~jqWC*8NHDc>$Et-aoqzM$T84E(H zTVl;+VmLycD2$#Y)CnVpHwP!NjY}E`%!%u;^}6p&x<+kCpOCIWVauuQ%%U+lJ4(|D z(yt(}MtWQ$0+fCDORzmR_0#WYAe7_OcxzSPof5fT?xL|YK2Jz*F3`p@;UHDwCS0k~ ztB2;*KguFAt4=kM#@o6DDU?!1ZvtqYvmX~@U8;0k2-afg2v$fL?3PFam%+0eb>fVx zu8t+*3PBZ4Q;Lh?K*bPgn1UmYrKoVk&ZG4NctE4`I4>emIq$vL@cvk;kT%y5H%cTy zj5OZ9yJ|EF)!l~@RRa<%mn3C8jvvx2EJtJIyXs=<c->9;b?TW>a_ztLsu5QrL)_w` zY~|NH3k}hQjQlIaRZ~?adIx~fbZ{HvoHGW=Tx<<<4qbR3yE;K0TrRXx-<+CGTUoSS zuE*Vn4;&dFQAZ9<U2fx#`%dI8OA4es13M#gG8`WVo)yb1k~G8$y$wBPS1h|#S82r| zhm?Hp5~T$=h7r01`vvfqMM+|HaWc}7+0wLFZ7fwJ;6!>VUx$gu={8c=9VePmAjw#` zInYVc0g{@xjicc>8pODT$pj72>14Y@1FK>pgWUvJ0W;%?6$2Hy*C9l*?{Us<d^WP2 zJ#CmrMd>M-i?7jd#&6W-IYf0ZUIq)bg5g+nBZgsC+l+X=j%ItE*x`vGiTDN8tc-3l zEV4G;2dv!W3eK8jFtIfmCp+|AWW6hU1p+71Rh1L;l>`(+fTb=a$$(~H7~Y6i7b!?- z4hbx(J%B3oOFBMkacZ>|*fABO3CK+-hYV&1?8OAwQ7h*_xIP;r^@%<u)rSmpQ@E5G z<mAF;&XJs9sC90R{%`Cy?MD*ZX`B?ansWby+^p?0@yZ{cC1M)W<{cS|Rd_4##y=tJ z4Rej8_}XGL23ra`OL_N!AEidBzNHf-hjcLAPO;Wx$V3mHD6;I&DEQ9fYIPlmuD{j% zX+x_$mAg*FX=EeiG2;5`5S%YLUw#99M@tRYpe-=&iIKWJ=i0Hshf{ks-3<{dZqzLo zxhv{Kh#RRVGjK8dTi;h&XC%TY_C$Jc5}`29X7Iu_CkM!<lO&aMA*lMiK+d7Vc>V;J zu6Qubrsw497~*CYiRB@o@`d5v3NF}B<u;W5UrPuFfj|=4tak^47y)2dAY8|UtGSHF zvHP!DrD_Ez_!m8R#Y;31&oV)pWG=pqJt!B`_aubE#Ab<{on8$i=cz(`PPCJ$A>4g( z>9~zK%v-wu8{Q-yLOPONpTr%8K3(Fmf=SAWEg(;yUv}LR(ZP{gVf3c2HlU~giz3r4 zS>#47y;p2G(AhEBrqSwTndvcQ-U34h$%*sHtd6Tdl0*nGlu;#g4<83w7cH^6lbS79 zSg+gwQI-s84%AOZ`Aeqowu34K(YT9DvAl;ktSYEr;{oDeVY-$}-DG%5?Id6a^BckN zIhn|)@zXes55#0KvX+acC~g|2b&0-x6Z5S>a5Y9_f4M}urmxFLU+gD}o>u9bP%v}c zUpQveZ+yBvC-a7i&t}wic8iYFT_sb?B&uxGw90fv?14x^vKozC(t<$nrth=nLiRdl z@un^+aG;_YxPnIR(%Zy59CfAL>5xX_Sp-R5Fr~!d>ZRpsDp6FI^CU*Pfy<Q~fpR+1 zF~jOhZ5eKCptWG~!p$v$#gB*TI1H@!Yd6ylO|R}(vpi#YU@^9xbB4x-OuiiBb1LJR zu{78BNJ$6)FuX01_hluqM4$lFi*Q{GC04`et~tqGi(nwu5O1hMq%uK=cOH%|G3Y?y z8QO{%s0YbsVq_@QuH0x)O6TXlV5k1;fugL)i8YtSSJRc^)#QpK=xq!u$yhpPYop$o zI)n6vKZKy))~rQ5IarH>7igRDRziru@FZ&o2c^5)Xy44mI$#k@I_Q)cswU!sMIALb z*0rzj{F=pvM_XdVic<z3&z#n3!RjO7Y05xz4C&=~4F~k@FXDSQd34shFeoFYqU=BJ zh@!-p98HqOVECGlrVMpHBypg+kdHXqKPv;yP`l{vl+tHX?IxHZQ(EFuQ`VqSk>z)v zK}YE`Wm2XEU`q@zsxX6K&bYvcz#Iz0nKi~Vy_$-(>MPTD2XQIuDC_S#Q3i_2CmN0D z1{aGGQ$*AqUJ{eYkfwo-wjqNgsVQYq?7AXTHd^jJ6e1wv+8?7SWN~*zPNcIo9<7Er z=kpx9CEiDe<vdaY5*}=q+qkWZnE_8HisjKzeG(q^<DoJ*46xqxS|w#&r$_NBalosk zUB6~Zzexn>zsT4ixzBpWI?F1L0%Qv?!CoNfR@CcN@D)Sw+Tv`y!S|B&zpld4-lmJ6 zB`MeAzP@3S9jMb8lVS^EULd?*=@x+lZG5@Fi25c@WG?x*VXA;jK{-<tfV*BjRtD>7 zk>M;wdx2eDLlG{rL}xI#*Uiv=UH`TFrA~i(DU(%4ePMk$^d^m*#LC^5V#MCrWLl#; zp(BC4>t!yb9gY4@qjo42C5a#+f>F10<hCNcpG0&NiYXdgQHKlCc`5y<?G&xY(L+G2 z4oV(=kt9Bi0Wk&!-6W?e@>LrAks;<jFzOhAX$%YYY5f3(q}n?jg+vXnD}<aO9oIVZ zifC#uLRvl$_-fi>sxKWHtqruKJ!9}p!@N5WcEJt_L{7c5DNMs7*3!W5FOdN1@bd|e z_X!@|o1zD38N(nh>an&8{S1AKatwpPuf-FRuN7;31XQKZ65Mdhvd0~|h<mdsZ_@&` zKF2cEN!$*J<~)avBnWd<!4MBt1)2kV#+r=Xj>4Y8C*uY+K`)IAi(TnNYpL=<gV}Ui zlCBQ78D|pP?(xRMj6GDxY@?B=j9PTKNcT`R&|N4C5~5n&c2VWGsX-L6_2~YihHljR zLb9tn`Zo@2@YplB|Bx1XY}9T3Wa`pLmN0XoxN^A08pn%oIf?xs4HstClu0aBy1rbe zzF6)BYPeIXvZ4|fBIzzHFDHXk22GH9<gl=PYv(1f<L{sP81sxMr9NxdY1meomP#h6 zj18^e^XjD3inb^noiXR>mY(X5{<Pbq-CLsbH?A<o4(D^WLf%lgvg2quS|{M0jnKY3 zjZalTY3d194lxZ-Yn;~eB>p(I<C!xuJsl-ZoXqv^skxngPaj&SZ^)9GxZ5*#y;}-l z!$D7`W^3@_fbY1vv(ve(w~qp#Le0MQ)GhMCsnl5EB<cR%4{dxKvlEqpthd1(vvE9R zerw`m^-qkQ#F)k9S(n`ruPh|p#G9#B%e1zSb;rft?7Vm19`8HlG2W7j*ALGmar37S z>gwvZnyj<GlZI9G+(QcS$H=YYd)sx%eeO2;{1m2=%w=7#{XCNL#>F?cm<SO?p@P+G z+BC$~R}@P|`)FBSm%fB)7y0i`qGPY0{c1e*+PGXV?i8~5rF!W*(%)UKrKK+$YEfE_ z-yvsA#LoCxF9qbP?8o1+<ij^{vTHT@$ltr|b9-vH-12Q#dq}q5c*_*F+rD!;R{GdY zUDG!sJEo%pM2u(!A8+7rJGLJ<;HIaicWlpG@zGoMJT`kv>S~3k(|zQI=azqF`(1lJ zdfeVm-LQ0^t~$9<cI-%R**OyxsvSFb%>3E1Yp<T&daG2D;ziv*JoMu&Z`^j-(RXdQ zV6{1ZZSNmHbJY#?H>P{gf3>}xeBq8SJa^Uie)m7`n)&YUyk|+@r<j`U-1pIySKWG2 z?~YZwp4oL(yz#&6T6JjOEi3-$s;}Sq;92vZ`N<!w+I7~hWXT=8%LKUdk`Fd|H=XtB zYmO>ZsU>kOj%!tVfd`W@x%QcB|Kz$84mSS$2M2!og<VVD_T|@n<>_PpeBZ85?Y#Fx zF_*B!afftPRVR_mZ2v@B8Z*~kcj&o&uQ_h#@u@lO`mVn2u21#%n=?;)&1J7V^vv@s zx4rh=KfC^hUE@nO9`oeh(+;gY>-j4`yzezXEMIxm``_~X%B##3A3WYoX&D!^Kv8(K zeaVOWR3~!Gfw=m{Ms3-$Z@Y0`UwfAwz?S9xdEXUJb)u7_qRs=<i%5<->YGNW_ebqG zFs1FJu;WITKDzYY#~eC=aRHqi+Vz=_?78-%%XZyx%bi)5>SR{7->N#1LN&Sdx|u&a z>bk3M{Mk*}#ucx!-Cut0&=t$C+OneYw^yFD^37{|?|j?yOVW+$XSkzgkxmYM@f+{B z{Es$$@MF97ZTQcHNBLe9{pG%!SAL-Ip~^=(c75ZH5B86({NhPxp8L_2@A%*Y$3J-1 zxBj1JFTL*ivvw5<ALAVxa3UW*bk$wiQ7d1)qa)~qJk|)gde?I6u6yR%9d~~1;8*YX zmwWfD+uptJ{K8|sA6mEX*1i2Feu&?mXeUjbOi$lXTRqbeCwm?^^o@O{?~GR$U$^}F zXnA+^PrKH>W8k#auYUX2e(?OtEwBH_KdRrbYi!M2$CFQ-b!hCgul>d~Ur?RAH+uV( zs*@{@tMOF=olNTyy$ZXBZ@=9;xOYI$^nJmfp7aZJbc8c)eYhf&GMEc-7Vy&XY;X7Y zxU37O40C>elU`pY+M%fD|Bg(CTLdZ<PV44i;o;$tio9;qrk<^YEnZVPqGt+o#;~5j z?XNdU{$WHeSnFAG$SwCK_Hvj%U9X-t4DYw-$-|n)k)W~&IX}O5Z=*3c_dtE`-r-5^ zFW{yDB^bZ2`w^M{wf!QEMtg+Gtqsnx-pl<Z>twu_GnwZ#ot_>}IqulH^_IC%!t?)H z>g^fUcwIl;dT?tfwc@sI>*inaijF5oMk<<0_{(*&ckRp5Nv@HmE}C|eTh5b&)fIp| z$oS;5d$A_<c9|fJbmZrb2Z^Pqhfj}i*fAG#9Gq939GqXr$;X_SE_;=9u}*r{^{y%v zJ5L|M9_j6c?3g=7I)hGnHjS(sK_`=2J7KzN)rFiej7~Ut_yy;q>u`AlKqsFGI+4kq zgZn2-oJ9>-)d_Qx!(ejjXVHn)*L9P<y*+n^oHunc=}Wk$IRCHT{rWuf25Qoe#%1gL zEvf@_GXD}f>Al1!^>4HjZpH~^-7NEF8LQp=Q$_)=E>1+BAD=u}Dz@un;ii*brIEda zDsV>kK`wErJbMtGXgiU4)d>pCRT#)*6L~2W=jXR#2c4{X`n2bQPS$PPx=nSmiL=os z{YmNTwk7D~bWTY}uvS~?<eYA5wEBY(Id5$j=;TR~q{-|!IFDXJP`B(KIigM`^#jf) zFI@K(biyh5Dr?U+PR*a!6WrhM9I4Jf|1ap|N#)b|qpkRFncO@7Bf{tBPd-^Vntjs? z+R5(Wm#32slJW92%RJakZ3J5h@^JdDb>rjn>vEkm!zpdg1>*%eA!<c+68f%9^LgL3 zSSOQPL*F&Iso8f8e0yX*=!8q1Hfi70v+i`xPw$=Y;6!e8!tDb7Ebf+0yab&(wX86E zY6B?fyHqFg<lY@UO`W(aR43nq@E;m&)ZApyKqq@A(a9rvB0G02!J((;lN_6mPS(Al zsCV4>zUz67*Y(qJ@<usM9$6>cFH(mrN%RvdZ)s!lRKhFF>M`y+X}1Z|`JRk1y8~Z( zrwpIEV8^S2KVE%tz3=nITDo3s<@>H$|FtHGmmA*iu#=0q&xBd%W2Ac<;eF@S2HtD* zu8o?~$LlZlcajM4{YzCsyi>p<-eMT#n-On9>(wsSit8!+D}#Fh*YuOdMWpR%NgLV# z7Ykn)Wf0yWZ@H~$lGjo)W^NoZJ=yXx^VuX@k}<XVY$8VErdG{y?ta0d+y*ApujygI zzt5Mc`jHVDe;<lmoTtN`Gn#iVkA~-T>q++v&Fe*W`?-XVXTwhU#g~g)aUMG1UX(>P zZw`JAnQ`w3nTYj%lcs$V_nfGI#pT$K)~`6JyR=?y&-c;oZzX)!f2wUi4*O$@FDHdt zFSB~*hs0M{&u9H*k55C-T_rW{Btb`)Jvyx|ssBIK>aQ;okBO4jnHF58_n+`0p!SL{ zp2qJRoxgv@@b-a|x@CP67i?&((uR9bM3go_xT8h-FO}`g+xXbQ9WUiX#y4{x%EZ>> zuFJXqq*)f4*DGZ1xHW}5G8Vm<*3<Z6;&<@j=rY-!-{n$J9rbJa{MHo<_n~O4%P0eH zi+c;Um))14=E2uX?u@xS+1c!4Cg+#<?_G2*laD;IfB)XS47CTjIZ20HY~|%)e*b<S zC-g!uG)H<yWSl9L&V3Yq6F*QY9e+H1L?xt0yVXBna#&u+_&w6Y@8z=peU<mUZ|l}! zYM_+(6E{Eq^>8PF(zXLVmd>~)xiz3S4Ai~LI=KIYX%_P>e~$xKp6J~V^zdAp#Jx&A z+OM?p7?GdO&`$nPWNSXr$V0tk7;mL}xqbist@X)UC-2^S`(Mp(YP84Szd!HqTk)B7 zNiTA*)p?D?Kk+&1VblV8dfxWt?&r77Z=FB$b@G~Rut#3saTBihQ!GHUY>uFlo}Qo+ zwTVDM0sodxAlZ*j7~1jyK<}6$*IShbqlizhO((*wdwkE<n>ohE-&e6)=I77cJ2$tt zBtOv9UR)<S<LY5F>7DQNDJ@yAI$`27uXjCA44spkR43d`GjhRN)yb+=i|=*_I+3@k ze2XC0$^6}<&*f-auRtenExx`}Hf_r3D0iK_G<EWIU!u29zG>BBo&4xWnqN%d<dZ9# zI-XUXyzX`KO2+tGR3~~jm+FMOb>NZT8`jcEz8`k}*|$9M$jHbf^YR{UB4kDo?4M63 zzMiNg^LcaOinBJIkaja&rn;&V+6lMMy{{r$M$pMTI`Q{B>QLxQNO`qGm~pM!rgzG5 zj|TNW-KVrP9B!)7J7{`3bxt-vxr#Z><jA4<l|6b(jn225d`dD;J89R+EBu_7n{6l` z1iYJP|H#^{JLcDJz2ZlYJvYDU<`DOXxlX=&N$`Ky=l9M{suPvB*-liYJv~2~&xU2B zsgrqhvLBsrALE6qR&51NKfQ;W4Y?Cg^xiq3SvK=cos8Vx)XBkvK_}i0i9`R=eb;>G zyB2ivn?1e^_U>)(yV`WJPIW>pMkm^Lp%eNpqWHdx0HB$4yG@Irjf^n+TD3~689Mny z=(|>}()*lLCnM`<CzG_BOH?QHUH<*#aGhx1Rr2+mZvnn92`Q>hXzrKne}vom(97f{ zr^%-G1Q}FjZ6{5gJmB-&({p&=RV=DbI`x+d+CXS0^aHQk{~Aq0b)vOjbwU=%LwNhs zLCWt-v}$wt>Tg*-we&ObV0!3hh~AK*Rllx)Y1*FN6i3@_W;Nd5w3pw_s$b8iXt9O; zl8fls59$5=JAAnAqilwsd!EL7sHn#SMsj1(cB}u!*v1Y<_%YcV805z-%db@&pP!KR zg*LQN*c(~+zEUd$9_&{PX?EoP&$aA;&;9arag0c;^F4lpKeF(aWBa_#4XXYZo?DL7 zaB1yV{AQCb=YMzZf4YfZWJiV19e;|?>ou!An>h7*{v7)cjY&aW3*Pyl+_=Z6BTjSr zdTxjPiLRROQ}lZW>q-edeAuIxDxd1LA$~MLI(3#yl8%P6AJXr86t$hWtM})4!Z*W( zeUJ^S{LDRO94o$e+F*IVw`H|jPK~bL4{0>_F*a>4ixN!bdm|^*qY&u*{zLVi8~p4` zR9PgKr6p5yPbRW9rucCrva8(`nMfu0;n(n)QohK{e#J%}?$Pk|ex+;0BX$>iI;UJJ zGxbl1+DH2~rvkM>+~!{Fq|_*m#kd#xpaHCZLd{V>VB0ubkMTyEa{;L?r$&Rvr>mZq zg6vg!Xh@~q^Z{+c&jm`O1=<AajzQ_(8><Ux(<$#2YlH2uXs$q@6FnF42(Z9)ArLJA z8m}!B6&x8X=;X*j{~jz|=Zk=8!`t9qNH>uj)kbtARD19u_mXE9dDRoOFq)3{>A4v> zjJAbykY^M90y~#cqvuY)*T~c5x>2{)M-9Mwv(2#i=ZXdT1=-r=Y>V=;on**D{9@`= zJ{sPF1sN2z*r%9w$<)6X^nfa}fNGWI0;<iG{&UOI)bv7n%>uQyMI`LwAbn^(j+f|# zAn)=aO;(46y@1%iK^{)j@>3HQWNBerahhRGJ5Vh4wZ%HJ^Ti}RGWE}eHAkdAGFC}j z)uV87E9Mb%^WrRgyd%X4xP=hgN}=gkC<w1nV|>6uj3%9Ft6L#Xkb5D1lQP)0m95Y} znkx7OoD#WEnXK>K74cGFLtw12kj~)61+-Pfb{RDXj`}*mkL*5Kqr|`z(T&An-C^=* zXb#!}JPcfFSbFH4gOW6GH1c%quZ7%<`M@?K!5ul)1n61;!_G$yb3tU1R##Z@rFhMI zq7nsJA_MAFvEW<*C*E?i*is8(p<6KO@rzs3p@|rED6me!kR*=+YmyWYYZS{yyc70H zrfx48joNA<s@MvVQxd>>6C-$K6e62RXA2)F5=UI8CcoaZ@KGbWNNnO)({&PE<1<0k zZG!<Kcy!7vo-7$>5jL5XIhL+yD3;Bf+8WV<Vap9)7A%q{L6Q}pqOekyV8v0_XqPM{ zoZro%WEFE~o(-iO3<7F^B%Lamu*e~br81?n5K@q_&7;ZKL#))8jnD$0_@+rN)}%vH zkW8yrj7)R&jsJpa)bF_=WllzHfTDEEoZ;hbg<OEpp%YsTm9fGwq^W|^h?JIUeK4U; zDwfq2gpg^cf<{vHSXTHk6N%Xo78K^h*f{n~pmv&*x=0OLqx1?eO5=;Gy0ocEq9$XB zDwO0UA#!2LMeKkXjT)k|PMFnFL?lar^hXI)eaI#oY<5Y;vJ}8N=PecmXo4R6Xw4Fl zCcNU}ES)7gCbQ5~$e@8^gGYB&*iNH+6%{a{$iZag(c+Zu(IcuA8mm~69B@p!I+HjZ zopkX<6UI2Bp|dh32EEy7t6M6xU4u@lR5W+~G%P4oql$ABY9@wIbgg?W3<+=s40|Av zFD3z7#eApSW%@*iwG9<^9H1PlQ3rJ0CtPBQRYNrx^ETzp1w#u48U;8d{2dNm0mVm9 zP2SEDo}vz4q9z-{Yh{h<7zI=5b%7aN*!X~|hNR#0MkP5xnd%k-O2zP9Ribj#1)WdS zr>QA-dT)PU!dz7gn1ubDwvTtgBpD^NF6C+`t&$MOad*jRqT(p6^L8sQM!gZG=R*)Z zn?R+Cq~`S5-dG%KNm4%M8deTV-D?1*GOjd>KugEb6A@GEczXeIok>y$O9*-(<Gm>h zZJJHjmyojB2@4WWoq9+ZV+fmAIzMm}9ZceW^rZU1P`?nYlpb2F;AHvNoDdEuwRdT8 z`3yo!{V9;K*b?Z2l|n1I7Tlns6w#eABb}OAjk8eZfyBYE;8>@oB@b9Na??AB=M#~o z&-=zpU@xuHE3D=dmeU|S%~VO>OV<*eTJDH@{y2L@8t)=7)#S(!0G_t9b9z{Y>QsFj zv6pC&BMhn24T-gjQ-Q0VHl~buXH5tgBPT<;r>@iKGB5200<dz=Qq8E5QZ1zNCFIMB zpbC|`rZ}ftr|2KaHJOXO3?^}1Ld^rbimf=Dnv{aUNtjc2j!ei|+yKc^+5E{%4a><M z;%MIOpwk#JZG?905(Cb4V^FD-ZPimzAK}KsUP0%4_ssX6$aqyd104{@v=Ct>mV%4x zURX`6p|bGad;vz)I~7sa7-=bvjnjl8+4ynYcfk|s8aah6cN3ueVv{XX5mXgeF12Dv z)e#m&8wiKljFe}mm4xAuPbovVs6ceB39j^9jNxeD{wTr$@cSKns7}(|N%F*xoa1VX zWVoggVpOjGneLm2U<MnP&WV-SiieyJR^ku$VW_o4Yy<U0;xrjp>YoChCRo1;$NORz z!XvS8RNj;W0*uoc1@PlG7)Q{kcBX(fsm_!R+)(MP`T;X()DF!pa7|pIxo`~lv68^X zKmge>&Pu|1O?C$@g@te9ghv|_+pA+wg%6DSbC&8PKt+|T$=fi3>)k)eebzH&RW8A> zWf2oZ6;0^;sGnR!JUdC;*Dr!JSUE&8+0Pt_Ll`y62^!T962gbXr^PI)cNCNgtfi3^ zWTR;RJe9FneN-HOj8dW&p!L?Nw7#z*kPxHwpy*O#m4K%SP-=5B9?5PwxTn+wZLR90 zBB1%0IvTU24?1hgbw^M__n_3Nqgpg*8uS9t#_-W%aec@@s3u%y@2*x*Wk#>AWD(?J zL=a~Y6BJ3(t{f)NcP1XijXIF9XsC>i*-5Ih#h<8y13-iVQdwA>q5NlP7g`ohS-LuV zAi0995z{Ew7}sE(xbht^dv`-&xOgb8-ld#a*vhs{*8V2AX_IN9BZ|>)wGaaA6Dvl< zP3bsP9#e#qF97TqkK0`|4V)B)G)Oy(69|?^%WDz|)wSLkn=1VdeZObv=*V$t+J227 za(cJNsT3ZV)1V90P#f4O>bs<mrlUqf$!-VsXdGFTV087hE(cw$8eq3hw{%N~un~l- z-C$DhH#nnGfz_Rnu?`kM>`Iu1+NVBRMB=cBpQL^_oE-Cig{G&06CKM^OHG_Gj7d_G zsdRT)BWv;tU>fBak*R80GHdE&d`{>-6xd*B=xFXs&A{}o!QwJ%=GCQNcN7xw`#&yX zA<}B(yiJce!=hW^FHz~z;fSB5jcRX+qe%>lEAl3ilpASHy3HrLi`@Uwi3YfmrrTg| zc8upL*$}L8lYQBpHg=zY{%B5?AXjxlYl+!-Mz{iJr(=@8icG6CJ{HA9IQ}_MuK<@& z0V8Y(Zo^#B5C_Lh?(iQLO44v+4VTdEENS$|79Pw4-LTltno}zLa9z8p-|Uo*14~P? zq)LUkah7?=&B$ToY*+J1Ca{j305Pv2hGu6HYO5mT*fUMBR5({kW=#6*ZkI+=HFxe5 zZD!dg3et&c5~z46eWwvrG#Qrk{7II5Opa#SNRXFN!pU8;E{-Rrvqvhjfi^T~n4nJ8 z^z}-kk)U2qm@yYqn9_EU#XA|(N|crA-Qg}{@GrZQY_+AVM>$<((17Wc*$p({S;?vr zNgpJci=7tPhP@(V7Kh2i${_oax=A9FyDAZBdCkJO7?)O<vgt0XIw6ibb%i{vniUp@ z&#AW^YEwwW{4a4)b?5{m4#^x<YBSpm5Lx1J`eF+Xw?vNB^uj-&m;^f(6yzRSu6y9B z(mgenz9*(Y4MYhmUxEr|@$95J<y*Z8TlH#sO^S<`;f&SOabIExPEM8H<hrb2C3`b5 z6AMzo@!Z5~9Q-8d>q}rIJJ1*2T7!e9q`y2Qb8)Gt0dq+rR_`|(!lQXdeg&6kg+WL$ z;>v7lELio(qcEDJCv@2!_$drcTjyZyJ<(YI7>%b4gEIXSz5I%?1RXni5BNns4n2ly zYs_M37cx#F&Xsg5CNYDz!dVOkk&LHDswUXrpsg-~gxR8QUXQzNj`tC@Q3~11f={%7 zjRRF^G%$Uu(+1(s#hL2Mc$KC<<BfI2IIGW%DYa6zP+2Wj{h+QhOga8i-sw_de5U|~ ziO*<EHmC?12t4F0srPCX%s~q!3C*sdd&ts)ILcdROMqiaTSw0NbdsPDCxcZ*R}dIJ zv8LYT5W|7AmKDV6P>sb&G*MGNkr8Vsw$c!D5)C61hGcJyD3M$@h=6@gI>epm`6TX} z(s7N0wV@Fj>SU%Wa`>dv-KWzZif-7!d(bOCjf^p{gi!yjx&WI$>Xyix!LVxCoNgkT z#piVVAD1%SM6+O$ijoPn$Oc}L)Ex-=8gV<4q>6YH!K~L^!J=zlAZk9<Ol=Ta)H+*Y zma27lh|)sP$l%i=Xu`1f)m+oB_81QuWI#<f3lX)@Vxi+3OXZEEolV6uWGZk*w`Keq zDT*rW=T)0(Ta9UC5gLJs>7`w1)6qa4eTzvLhm9f>6D?aN+1W+f2@OT&`bdhVue}{D zT=gilZpxfl2Z9ri^cc;Afv7T3R~5;9jt~bimUYN$#BNb9V7;lz@pZ=gG`4pj9^6cz z2^>h)FsnVAx@)abD(o(;=JC{dl(j-v#6&<&?46@i->97YkE%K&N+MhKB)QSo;T`(I zMJOYIL~oY~UOQ`%5gNmkVN85&IX*Q?=mo5A;LsWJfWHY;JhDW$p}SEt#O*Z{1Vg*c zqDa!gSu^1{<v~hf27Ae;6tv@x$HrA$st4t*@!>z9mX@iOz_3R`F@c^eCHGXR3khOs zo=6oE+uxhIG18unB(icpKX1pg1$ifFibD^v@)7sA?>VQs(&MT_6b=l>EqF?{X*x|C zM534+B5W7j&f6$H_xs#Z41iKCfXkgtTfHrcQd*joX?YvDild<nk%N3<ED4`)l-NTW zXCBA2Iv|d@^!k)72z2_>Dd%)0QCg>iC+t$@D;E_AxK_S;E*U5XdfMVlQM1G1#qPA) zUkANQi&cx!XH_i_^fg$6JnUDLYC~zr<}3)nA$)NqpL6JN)em=O)^WmdQ^h6Fe=*uK zKsx9>t0zo}r)NW6r-@iZoKG2L;q=ev6pw)%2pMN=*E-)vQK%Sd_`xrfnYPv@WuV9F z6vw_rr;aF^RvU{Hsrm^x&&Au`aB3=jZmYEbj<0-F9xA%@+dB9uSk%16ELq?ILY}-6 zwRpRN8J`^ws@KqhsOt2P6c9PsLRe}XA=GzMo@|Tm`yZsK&t>w)(NRMWrX`_oPDJ!T zlhaPg(N#K`dkEZd`sUexKKt&8jxCjbXZkOSo~|Hkx<Q_*@Kq`ujM+Np!^3KI6g${| zbW!P_>YpVZ-zGKuEm37lzhlj0pKR$b27acf;!C!!LchlG0vA->igfIXq0QaylmeYW zg`I0`Yh%EUpYhqO-j|d^tqDSVGauh1K^2N{wZ_J~*iX&1Vh*nbJLLm-1&ufIW;JI( z(-k;(s-e!+YMN^v{>r6TE;6oj^VG7b?wakA;);IGiLmve89hNGb*NTG<8;<Ct>VM+ zRFYPhq8$5A$&D<ZwdvB%c#bZG#*$=b^ht%c`1BO!W6>~K?UYM5Dig<3<nY5-YuAe2 z^oG}u9_W`DPBCDQ`|SNp35qAJ?~~<S*-4Ew8!wupDye*V9K~iPa*5OSpj_hE3dLW- zUFIY=#&^dk&wwPK0@Xib;yrSgRCdmy<Y*?5QaU;qIo>|bnTlj;IyPesQ@pW(HvcL@ z-E#@YurV{{8-h(-y?ALn91o^lXO^ahgz+Svpq-DcP8$PLW%G)pTB8?445~0ds#-D( zQ%(>fIf{nj=qr<|w+WwS(6UCvf_82ixkceF#|aH?JaJ%Q(3!fOI3+R$D%oDxUW}i5 zOK;MB?g6(VUMfqCzQ_GO7sMhXpL>l~Wrao>o1I4H{Cq_}+(_^5-d2v!)Y<86XPhZL z1?l?mZL5zmXC@~taeWh&)ZX-DTv-{Pn62>)Fg`xBa&|V^8TIV`QX_Nau9;)#b|i7N z#LfucvYq4IhZN$j-dfgJ<9<E;LWfyq7!l}`#6nse<I{U|t@J-Wv}_G?X=I~!n6YMw zoE=9qWQxwQJn(<7iH@?f5igc|ph)FSPtb@^-83B%Rm|C=KPlO8TBS^h9&MQ^Zka6G z{s+Ih^4+hw;<$Ic<GSarde2oqz4D)zRIgf|Ui8KfEZKX}d&^ti-aWJZnGI_zS@IFu ziGCyvI*ATA$~M}u!vPZQxTacj_^;W~_|g3{U5_;i-E&T-q1VL!Fcg<JNP5@I%$JY4 z_ctGHNQgIc|NYfyXYIp-4T`{R-*M~AtA6}<$AA5*Fa57W&mXg``_8GZcmMYl+X`2n z{myT{X6Ri%8A2z%o&2a+yzk2<`*K#C*-Sg(`(qsM`tx09F4=VQ>3@9YbH&e`_KxE} zv+uNZr=5A_AFXtETy;S@`9J21SG;4}AN|2q0w9$<xNGHYHy^dLch$cCxo_Xk-u2T5 z@7#4me|P_lAHM&GH>6L$=A^&;A8VH1`PGx|UHQttxncMpKe*?fug!Ouk9=Y9>ggM< zPWC($No{7Vu)7jncf+CYy!tmjdGC&R<=9atyUv-1*WU2z3qKvr?A`aB(!M<>?tAXJ z&wb>g*&DCBuJ-NK*FJP_$KQST?@#*K#rIzK|32{hYrgj<<?H_S!B3Vx|I-tzyRQ3Y zVeel|pNdX8Ppm9|@T*JSeZdudCvN)azI}gq$rb<m`Rn(7aJ~71_g+=pbL9uh7r%1t z%=RB|UYnKUoBuSSqM;M5=GsnhxI)m0Y~QXrA;;Ty|IPiy@-svK^`tqP@f<pt+6?uY z@?A5<FHhE=`Enz~yQYp##NBYsfde4i_U)gTS@VP6{p{Ob{iPrM!&RH!x8f(i_lkih zR%~1SwpWdR^&M;8b<c?%oJb+0{LQ0_KYXTKdF<3;eamvMlNHPU_<^&|O2�ppzA= zR-d@(&X1k7?##0;Shw=J<39M;<%e#bFaF@HqfWe{rISzYTKTbC3p=9^@B6zwyB_%8 z|Fr9vnNKf|`*+^`l^@QOzVhi;KX%h!pZ2P!{_E$D{@eH8aK?Z8*K2?MYln_D7Y>_` z+_2=wZ!T9V{XI8qy>QLb=ie!(9{Rvnp1b11@yazDuYT&nU0bJirDuQo#5?ak_0B)) z`{}=Y@f#n!<<5!QPQ3GmCm!6k=KMeS<0rrI;2&=K{`t$l`SdaG-|(;B-}Uq-=O>T< z>EGV^QTfV+U6W61xhlNj4W%EvX}nmRWEnim(tEbnNA^$dm3h{b?^W02-gR?Z>zf)q z2PgNA6Bc4&=4)FQ?8$|-q!sBd(bFFEn02!0Jk~%TPA~Fi&r9+CZ<38vbHj#p#$k=< zeqjfbszJ8-CB*yybwtRD`FeVGU+Gd)-N(qvUlxVRqbdbFF1TbrJmD6Am7ooEO|pJ` zM4o;23ttF$eo<wx(Oq+w_<aQu_dHT6ReE&yp39{Qln0+wJ6n7Dx}Kiz%zy8DVGXul zmyN)slI~|5<mx+Jce<nJl1tqDB_p@jM@G_aalf}VU90#W-oldP>+_q){r)gA1m-QB zs6Iy6m=ts}FF_|-SnWDlDE1eWF$?N*mdr?!WRXs`owx09`pzG{KqoBdorA@^Y?^o8 zwP~IsSrp?rznD(q-}dW-Mfa|$1iFt=buzN9sgpy8>16K%jfPU5KmTUwnSA!y_nY`U z^&cgy)Gx_M(8=&Y)yc1yh7X1v0znF;L!#%^%;wjvJNe{K%Rm3~o8F{;O4^TEC!g$f z!fI_WjUIGj=O_2yUO$ZrAo7++ezLZgHRC-!N%G)>U)OcfZS_U@6pPwUo_Ip_p<3Bm zR}$4pu$wwj-#EAyC_<rShicfZEor!2C)+&P0$m<2U=Mn{Pij(i0u$8<eIwzyPR83y zBSdRA`%Vq(>DRG~k=4+CAL9rs<hO2X*U6zn5o1pdc{}NyugtU3eE0lb2|DRv|Laz5 zsF&=0g$y_1p9P&DlGQR4c~{;~<n2UtGM}_`!j@MWYWFKoKH1j__BtTB*GVR-laZU} z7j%;K^gIaYT6MA?3NIJ0sT1wH^l9oM*b9A^7TdqkcLk1fzxkWI&$jhl`E;kHI~cVf z-zyY!qJ5X@#5HxW2};@tI`LglE7oGiF<bn;lb#Xw4ru?Y`xp&6VQ=G$^j*(Bd$6HA zdTA&0OS@ZrR}Zf^n}SYy1e&<#ueX*qp$i4wS96$7)~;LEGjCgc*VE^-TAo8aOQlbK zl9t-*b%HK0xx|R}T{qwSlWvh#--S++B<LjctK^lLvy4S`-4`h17qh31X;s1-P2Gzi zsa2b^sK=trFzIBDYZQYG=>Ejwc=}k_r>Of9{ocLhgSF)IMv9NJZ;^u$(}xqC6*7Bl z(ueT$QS}@4I|h95VV{2H_xd5%Aj*jE(|Du#{zcq=Ji)IYYRA+@?lJnRKT#U8vtDi8 zQyFYo&ll8zC>um<-5V*05@56v_91Sr42%u-4=z_;gp7^W`ffw!$H2dryge}{4-NCx zv@|Be`ZiFKN`8P16KpS|e)13CWs{2E%Sqp@_lgfD7gu!OB;fttwmx16m(jZJZ%oNU z*axYkKzuQK{#JDJK3ShWW8i+yXc23fIs>r9&g7}%f?Y{tkN3J1-Ji%iU~~VX+J8W# z^%vLb!vjgOGqW<$??1A+j8E|WO?nN7ZruANxwUsZo#?+bzKK1CuYXn2wLHth-oJ~b zzJ=uc-atlPUZI`OcgEgB3YQNoe0AcxA4R?FGWG=y++WJ~IC?u>@kQzhc+JVh<c-fp zTCN_h$kWh$hJ4swenr^V7_<NJq7~E=-COzU?xWXd!}Z}6Nmm^9e6lpBV;b4#D3=0! zut(~{9r9PWRkk_ylImX0R4(rD{(jvzNg)31nbKW4vYo|J(2oTA%igGaJO4huv|~Ga z#^%QNG7Fe||NN%!_UgWz$@gC}dHd~b3a7VNx6ZdG#uwVF_A~pn_sHFvL;&C4&<`En zCj~#IkE`)LaLk)(_`aNeaXfsJ!)$q^_v+B9*E7GLlP5^nZyZ8{O)erAUa034Om5Qi z2-rHgi4pN*J?j|H>9yDuv}uwf3MMzLT1D5sHI|-r4Wce1kMei?HQ}Awf3lOT<Gq#r zBcTQo^k$^ceu|Cx+JE6Af!lAt`|jIs*KuO+qCUULO6}IE3PfB4C-;6><b9;|@<4TR z{$+o+Y5xfOucWf&_ug{rt@HE8GUI9KgcRo4pVqVg;4eZa^rTz1yo63@m*^zVRZ!^u z{p$`6i_Veq?v$)CrQ*DTt=leSKis6>6Sv}jZo;o6vx*Sa$<}SF7*E(Q7j4=`WadMk z`LukUzZ{mnb7|1YvtB1p3WpyMgihY7>CX@Ek5+1(dbv9BwU3Ag57WusljY~q2`u)~ zP8h^TM!JV5-~W4)H{U$};NFT9*RfgfC-d9p-`?Y7o9eh*#?|(2yg7uLzPP??kYbvq z+BvXhjxGpT2oGU7pUIIe=HT$;xVDr1Pj2(=gf<&ux3!aw4n1pyDz0a#P~3bQd@D^v z>o;#E*c`9I&R{KM4X1ufgWoRXuy*ntk<Z9ybUWPxJsrKh{_9uAVeMp?owj*9(R%xm z?Zj7_Zt1L$QVS+emOI&H+bV-rz>7GFBtkp!I+2l9JK?wAlc^yJT4%Ke^qlwaYbQS8 zmOOeC79-V5=w$2GgYTcbJcvv3>e#<7A4C`0NymKW>64tRqGv=<<UGe7HL2%E0KN0{ zVd#XN!MvYnzc#s5qNqWAKTIb}bDhvQALyZ-EL{r4vrU~0@7KO-{$=YV2&<KMu}<c_ zPFg*iiujV?kK$vGUeQ7pNfvDG96T39l=Kxx6`$OkYJk%HmbXpQ&(Cj)H+lU0{zZCs z$XGXbcX2Gm=I+B_-<aF^J&$^4A;H|g*d8B$l>4tCw#JwETxBTN#NOgw$Mrb;{f<yX z$+a@oJrr9{eS1-9qU7U&20QpWLY~+I8EYIJg*}}quAfl)sF|n5^v(T_dS9cbzdN@- zmp0=EJo_HOL`hzT&3%o!N7Lhx%iB`)^zqZd)_WQ~{%L+|Abx+xYWcQuPVX^7K%DP8 z)H?)?;_Cq~dsa)+Z<Ox(ra#!wm&IuW?0RnFuflf<Z~UHMcW@8m6!_*nkN!SJrDOjh zWZ@1)<qy63JNB<ui}a?0JTh(6PdeVOa<0c0|1_F7=5y2D&A!F5Qp6|aG}!9beT(W) z*{5<F3;#Xl5{KiTLSB4XXh2TyuzjAs6!_*cv(FLPh^O(Doc)SHmfP_+@#ID6*ApK9 z6kG8cuKs!+@9_}c@wYXrI*@ZUl-|Ufi;cy&#l9m((CAK$BY@3u?ge2n7!LBq)W?I% zZP?k|*|b|BFLZRDuo!1tE{$v9og^~X@`;UY?y)L`RSEomZ15UUq#|-d={?HNf3@`V zSpc`-46a;&c6=T`us)m~e>42BAbXhqh~6U*1=Mg({sMv|4|tgtYYQZ!LA@BcCEW7Y z0(1OVgB#poY3Z*NfQoilh{wM~DtY*Vb>#R9;knDc0CO0kS@tiQzr*Mkc`278Ks&bG zy+C?o>_T|UrPBG|R>d`O&A7ISkcF@X)M8g`JDSn*GH#3gVkOzcH%q9=GSRs9g_YL- zGMp1>Y4R*2n&aCnU8VesfuVmV8>8{88ZQC%$YF;Uic%@gsN;xW_{rR~g+#OQZv<}( zYx3wV#7nSi<MfiwBMI8ntbNItM-E)v`z@j=EzZ6l?-vogsK@0YO}mZIr`POu8(wmg z2eY=hNyx-aY4FpFH;Yj_8Ff2P)#RZb<1yGqqQzC<mA@T=n$%FV0c%j(d@ppCTAXu2 zO20h@zl9SC45>zOvE;okWRqj8+D-l8Y3HOtKr?f}*D_u1vYL0gXWxS}yH-d-c_fZ6 z8WbnCzT)yFE}}hVV4Gm4)fGh+Vbx*9`X+1TY;0X%t35$Y!>q;~#l{^)SXRlkeNXUI zNK=pT05rs^Lmu|#k&I;_Jt6`68XDcg!lRsWlsA!tq<mm92uGtSBYhwkRm(bYnCf&a zp<wi%j#w*8=9*u`RJ3VJw3^Sli<}h}amN}3(Dc9z^m@Lq9wmb13s41e|Dn)YrK14I zZ3MPbP(RS=zY|%T1Pc94s6te@17&5c(1;T!1*t+5$&?TDZ?%LwGp%U>kr<WA178>O zs49Wh1Q0;NGlkpf!@j^Op;<IY00~unjWLOJP-%Y~7hOY_W*YUw*`X~`BSiUB(m_is zY9>o(G+|vA7r?RNnX;-{7Mf&Abo}X50oiFHQ-UILC5uG$<}YAl5>~E*a|GvLh@oXh zrY;h{R8wkBpyhFeqKuaYEN|5&<3~(gpFY|ZZDdVKHj^cKy%zE;ml~v+vN&R;qe#N~ zI)dOk${HB!BICc*S)qFB8dEC(HGBhkG<3jYbwwappTy)+Sy7%kg<-*js1mfs_{T<+ zA1S0HrX?Au4YpyK8e)=i(1qG0cM<Vq5K2HTV|g48OiBqYLYXHHGpm>&2VZx&6|0X# zqN-N{fd*!{RSF=;;4$iL0xZR-XCPK`h2!5*3n<*IPaABu8Xuk;i4qdm+v;uu&8~)Z znJjTdXT(eq3n6UDYQxE@Mz7qI$cSaZJD4Waq*k)JxSE2*jD@&_`C<_R2NOk7(n2B> zmM%Q4tbz03yp&C!+Ck1CY<=(%$J^~hM{qA@WR=3H5&A&N8%D^b9K9`akrx>+BKG>< z4cK<6UY6}xOtPHn!ndiE6|wjirvM^=k76yP-e1_FdtPFv*=7N+p$?X);fb?%X?Q%R zrd6X29PTbhx^v?A3EtpkLyTirtYL{R^4?_n%@}r`DR~H2!qd!)cMA8T#G8u|it(}{ zP}BC|iB@={y3pFMZ=ftcrW-RpG?<!j4lJK_RDAWtv_XfR%Js;Q){S8emDHV<kVdcb zIGhg3X8bDj0oJG$*P9_mvq5zH+WH*6s&<qVlkTvB8{L-DI4)PCV<^-ic1ov7Xr8pw zAZPdx4AaGlI$;A~lTqnVH*^xwV(OY@91c2de+l0^iNh#a<ziT8h}97}Vzt0obvlF^ zz#fp>YFgD{k+=vtS0LvZACB1G;qa#QG}JU?=ktsXGqYY4A}brHA=4M3SH#>;r_MaJ zl%XN=D>R8kUX&U|YGyJP7=kedqz_S_0(sZYyvYKg5`3DU@TW9wpn<+3h}hgQF_a@b zSp!pv_%VuyL^Pk?uO>f>hFGpw!k$8Y+;q}OuAL>ET1Au!uDXn4Y8(|v?Hp9tFc-E> zRN)-m#79CvmrpUc`)!a+XE2E+5VS^DuxbP{K2)iPRJ?y2Qzbwr*!@Zg(;T9ND;t}h z+$ND|yj3hAf0}SeJP<LUz$nDblE-|~M|g>$<yS+5#5o+ho&Ij8Q$0szh(*9!@=C?4 z^Krp}u7)fb$m2Y&;cY=I2uCq`FgV4q_n*1$AnmrKsxet^v#6X>FVZxKR~K4NVZyP1 zol#Di6IJ)3sabNQLgF6hF@$So_Gl&JnI;w3@ZI_^CQQJ2;!?GyltV_+-K6beW7H2g zZ{{wi5;u@?FZWE&RM}W)bTK4N+!sWQm*!m}FU}PS@f88t)3Re2(MHPklyz-|Rrbd@ zU(7`DF~~5@m6RP3s|ZOEBIzFMv~yy|W&>fIR2_u;$pPYFr9%=?H99q6vhsS-b|L*V zurwW{6_qK;)M08Q{^*H_wMhGUPUBD`tcEI7NiNpR>fQ-1eFWpQ*Q%Phq2YdKD$6sg zC$3JMmVz-nbOy8W96kg%n$+|!uWC+fNQqg2hDxUDqd0BoyTGhCQSqqXB$QLt4VZc< z-L3RVRjMUNB5?<o<T!}*@!-^SqT`7SXwfkF?U!=0lO8{-u{o?yZre;pRk|LD+5Y9G zSwWvPX5ulGMnyn|66RbyG|fgp=Nz8TlWXvB#%Ac3Zi;_;x6|@dz462Q1R+!hNA{)+ z>FOUD)j%F@h%sVing#z6e)e^irX7<O3%Nu*RqZ;PC36P!naQ}xC;TN&L+JRlyN}{@ zsV3UsB34OPS`jnGW?t(4tj@dmA={-OC$i01jd(^B9v<aKi_VA+oCI~or+s^En3Opj z5+@z8wx*ogfC<3$H`2i=00UH<8EB%lL$ZSLxzia*xbo(NED=8StLQpiCX1Rt0FQJ{ zo|GVmB`}t9B^IS1!?mgC<FVWjXVtW;T99Z8rOC0DJzz(#XUd$~NX0`~xzE65T+Sm4 zR+5+tMq|15I5|N}_eNTWfs8ud5hcAW{?_@ZCXF1Wx=(!#6wG84TwV8+S&eeWu%Qv? z>i|jk?fB?jr>A~Wz0_EZYet9)u?K969K@l8@u;ofyy=akfG3lsGz=;b1s%&=9%`|v zy2HhIxR3kBHMb-TPWd}F0c{p{6KNLjtBEYlM@_;BRY3C?j5z(qr<fY-Oe_Duvd)6% zrR;q3hp}JB8rz|6vGBK~hNhH76Z#x3wtE^>f3YM5t%>eDQ>Jv%@xR<DY?@rtkeTZx zg3hPy?M$d?WZbj5kt&m=*7WNoaS@fM8KAs9l#()hr%}Zi{?x~E)E{!i6@}5`ViP}A z$;n+uk&O^tk+t%kXb7<qt}i&@Vn;A3SWiXR0tBt%4@gyQXir!{Ms>ute|OWL7#qse z#h?`YSd3)8dOcMm(L5Wmvssp$W3)N(1Dnz+kWs`3yrB+FD$E7ctuh_|7h*SKM}~&O z*kmF+VV{??dBg)EV+*Z?aDA)D`jV+cB})>jB98MIiznWxjepRs8QX57n^@r$YBMJL zBz-MpwT@;N`YAKc)r3Cpv!w4}#ZXN0zU;x2YE$6whc{R+*<f-_GG^RaG|gnlrkO<& zah|L$^|H8Yvcw1@63ldin`v?b=cynj2EfF>73w9^gp`-&X#rSrp7z$tu5wR_zy`xp z2xmC53smZ&G>=u@*aokWWwwj1?9F&ycN9eg<gCFg2Fvm;Feb+q-=q$$y;FmG*proL zP-O{4q7HoY0!W)vD{0!z^|m0AEtCs)*A3<=4QFO*_|j}_GxYFd_rJ-+*l{#1;v!SZ zunF>0RUB!Z5_Ow#>GvF}vVP4Gu@I|`L<S*jauVIPWV>m+MogzbJ7);yA)%aBV@1=< z5hFe<fMt1Dw`#fDX%UGvB*&zIg7hO3A)c&`7pFIjw-fcYz~}6^6Owc%qb3tX6X$uw z@-7UY9L>NC9^1{!i5=b0$$+EcAvKd4m_cG3wg+Y_@G=_Tr>z-Mc}3fI=#h_qOJu<L zG|1x_G?#vxMuw8=vr@v=NguMnBY>ekrIgTYqP$HlG09MAL%GbcvB=czNbeNm%W5Dt zTzaL$TDC@K_wb|xB9=lN*=T?im9Iych<-8;ghvRmzJsOTvW`)u3E>5Oa5H{Gi&Zq; zbt10jNVr}QxyxZc%>CH(E%mA}N8~-}rXqNnllKcYW4KdW!xwB=1b~MJi<!xzNaS4q zQ%*z!cpOjSYTlhVE!v1jf!u1N1DskG`83!=U2|qrtWc&!R&nG(F*YogUF_@IIECTj zD&tpH?1V%cg6=~!gG_*q!=}6(vLgisPZre}KbIxx33TamBr=NFmRR&Ew2d<R>rn-S z{q=$3Zgy#<6X^_RT)<$)zx8VI$B9|U2a_vM5?yO*$W~@TmvU?aES&F4qmA_?eek!v z-AgER_C*n&=uD2F;9;(XkH>>1ZFtmH@ZbH2q2GiRGHphrwscx8_2;(FB7uIRqV8w( z`XbPjJq2_Q2amz>;)g_?$Fsc+pc={$ugr8=N#asfiqD9K0ij0^ZcNRV>H>(>TJh^n z6QC4|XS1n3o!;a8**l)Z$@Ej{9!Heg(;CBrffmpXCzW$>IcI2j@S`5Vie7^0Nft)m zY9!02EJ~^vnid3JWJ65aHOclFzPAQQR5JJ~`;<=y1@&(n-NL~v7FWot&U-w>%(o@6 z<$%}3lWSxs%k~=fbb9jCO!3j<cw~Z&WF4mB@f}74hwnkTchk>SHhT7Ps&>$o=FdZ| zcFoSQ{*CeO()i;bm^{jq25c9Z!*X%d$p;nYe%VurD@pOjYD0?mi_A*0G27WcS=cVs zJ@@s+@dU&~prH5w<!$v*RI)Qp_~5}2b`C_5f_&xj?S+zywW~bVoV5ND+C^XgvZFOr zcG5w9b+9};m!=z9I*Iz@8N;=m(fuaoPM6Gf%1v{!hb+9t80nfwq7!R3%Jho<vv}iJ zGB8cE*^;L3Wbo-ApVWJ*g3s?`<0i4C+d9e6G|90?mtDpMK5skq8F}3aa`|y|SpB>+ z70OS`+w?O=$yGmPDRwGB|LQ&66!TI@J=jY(aAJYYIvy{Gxv%kj{IzO4{zJD>`z~Jj zHXdKUX^Hvxfv?#a<Zn1$?`9fN<53x}SJmH7A7*^N@0{|ZpK{0*$M2p(f2Vf8V%Wr| zuqU(9R9a*l1zckeEW^uWnRIdq4v1t~Rijf$%2Orsdj|bQF@3#_LcT<1*aJ&W_RxY* zh?aB4o<pZje$nW}aom%Ut>BByZQr_}I@~+p?iegW1ASVozW)1>T>Y*y<Bk)$InThe zpsr{o%Cd7O`=xmF^s=|!k(^rTs8kwqL3NxuxN-YyOG$Slie`3p$TgA_U%#X(%Q_~y z&e+?R*6PuzGt3}>uHD6l&!|{)jprN1-HCRRj7o;I>0&s%Xhv?E)4qw#-h5})K931p z$IO@d)*Q<b0bjMTA-m4^HnDqj{txfJ{|n!_uj6a$#r*Jd&maB#x8n7O9{9jCI=SHE zvtN0`SO0p6x%>O`Q!_JD(wHrvlOIO+e#N1a%ki^ScII-~Gpn1h9p!$M>O}W9e(%ts zvo>!1^tK;<JO02e*G~S;^a0+1-S+9}0}!xp@s?uo{*R=`?EIVGaX;=n`o>#7S1sP( zpUy77>-i7<+0L&l-#0X~=L>Ir!<*lNm=XDiW-cEprj_(wuM^ry@zzsrDBkoT+DQYQ z^iU6a5A0a~UKg()EZs5xflqJS_WFBwoxbbNRqO&TKECgU*Z+U)y$gIC#g#XDy44!D zMndY5$3`|FN<uMXhroQ14TLzTq$rL;yhO$xW7th32%j%ua|r~3NtW0(gDl1}3nH-% zHUtX?f}`vYvm3%DVb>^-&BwC(Es#qdWS2)ad+*&OcO9`{2rPK+|5SC)OEV+MFCHI9 zntGgb>eQ)os;aAds-|CjrsX@Y9SGOH`s_kSrHJJ&t{+-FXVdIC2ljvF_~yR9{?dk> zcfP#xJBd|qTz<{oH=en4{AUlp`qJVz|1R<HO%FbN;y1P))BM%-k1t=h?sK&t9-Uiv z>kjMm&Gmetk7V}kd*!+>{_!JQ?eIpjtm{u~Zk`_sSsORs-EF&(7S(au@dxJr>x*Ch z*-cFcmc^c0v0>-@#_0Pu{;xfc|8DNtC)6%!KJdr-t1rFqI3>7a+v?o^Tz&SnSMU2! z?Y}Owulw%z-weO;U*XFS?CgV2)asGp^R-WYGx5CdlUdp)yMLbaeKJS;L_BFJ7Khae zV%TSJ@DDGa_w(yc-+S7ZemC^NkM8bXX}!SoLE_j_gR|Zj4#$smk8S+L+ME6>Rl9ZY zdqd#|@N!f8`1LP8IJa-_!>fjVc;L7XpYsXW4&~5QuU?FyLEpA{oWLjbTejU49#%Es zkzt$WvQJL<{tc_IQB}(pp2R-6=G7nEvg5<e57%Oxgcm*spS-s4JFmSSu3h(RFixy! zcu0iQ?8t!)56=B?!}d@2?bvo;)pu5{80om?-<F*=p6L6-_x`rw<^vrax8Lzl{mxBW zhrYai^vrdCalr*0Kb#vLykir5vVbrkp7?(j{p2fO`Q`gI+L(lKooJ5Mvrm$nMh0w} z9ixuZMh~2|<JayTs%h`s*i&--?e&fJA~pE%KkxhD*(c1aIpM&6oO^`~8=t5Uddx5> zF@R0A4eb;04}UlkiFef1jg7$&2KaCu<-aE=xA!+-DTvKJVC?X1z#CjXh&`y-W9#n) zo)mLI-hAqp(Q^Fv3ysH_vaUnp5L96wJ$B0@6^}FS)64ntLXT1+c+bo+I4@ve9}dh} zR_0AXi8rv<xIuV*t@RD}+|%B^0p}Tu_B*49ps~y!a8}F9=zYcXaHe6rV{C)M=3@o! zjI%)FU7XE}v^OMrVXSsM^Mo=lVrIhT-qDZqIZ1AbhP8c}FK}XX^wDud9XLn1^b225 z!knz*b7ys}Om81O>6A1YfIZLp5UFp6OeZd^66a-juj|BVAO7%D5tjWAV_b%T&t<Z7 zlS7zScNUkQ@ac=_IO=Q1YkH>ki7J-aOT9E6ABP^9jzF~W3BO}W2*w$oj6GUy-sK1L zo$#O3Fv1ra>V8PFPcnkOl=TU>0q-#!&%DkpEd=3>_3g=AmGud8a5EY*7TX0mye<-u zNOa(BQGrj`FB85`X#7ns?Zevr^a>|OM{x)dhYk;{&cG+q%k#-nrf*N2bPA+upWqEB z=3RhXbtitq$D)=5jpBT67%igAneMAEaTx|amw7Ng*+37WG&gRlaf1F{<mX<1?~`mh zv5y|-Nj7xACmOfm^7I5z)+fNtaWV}fBM_F^AC@n%Pte}1Ptd+nKGCuu2XQa77@5s& z&is)Tf=?0$u!;P$pC#&ll<_!E9LXfsU4?UX*fXwQ=b81%vw3Y;`#K5(Mn^xe9Z?20 zT>8o@>=Qn}!W!23KDp{T_R0C@C)PD|Xzz2m45e`0w`m}O9sj&SK$|x#t$Qi!6CA#1 z4~J(yPCB$&ucL;ke4@4RZG$wQUcf-{=dLW?_=L|C#x-uk<ve%EVD18r-@{BJ_|Sfw z%C_`-By&FbOvAVCX+MMKF7{Ox{PY;K)IQNR>%iQleKLxPF7u%e-FROdK1nafDWo!U z7mYuk?~^^Ij?vLiu4PMG7$>j1!gE*FC-5oHT~}R~#`|#DCm40vaneQiJKp>Fd8Nni zTU@|r_7Ec<*Y9V%*u004@tkih&IfpNZV~6h{PT>+--a*8euA4~K6kjR8}~K>@4NH) zvS$Y`!tJr^gqJZN)a<&*yrXbgH_j5W-dv7jfQOIc$G|uBKwcy0jZDOOM$F;*8sl`P zo7T8a3w~;aWwwz2&Pi^QZX5i{a`;wJatwc_(H9-a?BX1B;qME&x`|#8j{Eo}6#W5~ zwR1ah<`YlOyE}UuZwq(3k93-H)a~JQ^$fZ`)=|HI5%To;Ny2%?H7(E;=NxZieSG-^ zG22M^@1jIQ-4GUFS5orKYMklwu>S`_@h(DrUT_(oZ$ut={fIeuFXOTxpN*xu5PbT4 zBcf%&nZT>5=zWiJ_|KO6?|%dz<PBbU{M8G#aeXGQ-%+^j$~d0^)IVpg4Si935LNNx z7Xx?~BF;0`KyStN1BAYJX?&3V6ZNhb)NKgfyXeK47k52)e<BvI>|-sH1!{<gdJy9W zcS9PFbB!z$HsI&_Hgrs0i=&wOJ&*7mpRHVjr^1k@%jx$rvYbo(_d9;pynnHqZOT<$ znKt?m$}u17p=13{On$Fp?){ADc3Zk1XxMho=jhSV1YXOFw=yEeDZ0EEYX{^L+eh)D zLg07o_{mSU14b`Iww?2L+`)NFRc0RWW3~a|b>4kAgO?zSRWRVwSLt~Y2dz%%?Zuqh z+TD#~i^#QSS_PlW>e$eLwa_2(=b1o)ANQeLKFq&9$c<9>8+CO&)wf^8v-kGx<Jogo z&>ZU}%%=c8tjKiAeD}MYM`}N}9Pf3k-uwg(#%FG5NW+j<f4}`g&5k7~{Mh>_=5xZk zv+-^|%yl>kDY-PxAT_kV$_X=bamqkLLSe=nrmx~WSSr)k-rLu@j>gAu=KVt-f))*U z8{i(tspUrw8oEEpKFKT{9fghA7n9<gPxv=J*e9BgeWHDI#~mC`@(KT7Enqn<+VFAu zZ&MkEzE9r36;NlM#p%BcwX!rOFWKL^$oPck^5WJpM*wv}!jGdb>YZ#R7sp%l8pf%8 zC0jn{llJy}pPbgpKEZY5S#$l&;7IH5Mt?V_x*9JTe*&aTL-V>!MtyuUAFe}|eKMMO zoz||#`abXpQvj~^iM~#}`KCbyVM9V7SwmkP$8T(8_K)k^3;1OFcH<Mg^>fd|59j-Y zw(D^MUo2gE;f3szrAybYHSvWPCNNGo$K#~XCrg)B_KA6Bx&hieQNkw~O2a3-HXCD~ z(0JXAHzEUIUnQu69Re`8QX!U91<>$9Ue@?TwpW$*iGQta?U;iy89L@A*b1{bep}<= z%qV`Z1unrGm~j$%={_8RUiXmpiL-C*`1qXB%<GT(K3TiA8T|<3gkPiRwx1E``{wR$ z46ht4U5($5fIIe%<LqkY^>OqOl*2ely!IOO`@lmFQRd-?gOxI_p%3^z!Q7>Ng1Jlk zM8}0bF+K?9F8BoR6f8e?h3$r_FdrsFqbHA(^U1|{I1W^K1^b}8&Vf%dIFma%qQ^-F zCaLI?;<>Ad-EUx&=Po2=`$>>lV(#*N(%ufANJ$Of)jolu_!SOwhV<i4P)8rX(-9*C zznj71q#?pSp$zUB&D>>t0?P6_iLg4*x40)QT`e_fZ+oJlGqcCcUF?&Fni`13+=cs6 zC+8D$z7XdY&w*GgdyY|rxfToGL41<&SS6c0`DgRc{|sdDnjXAgG2jpR?^{H^(`EU7 zOu?DMU)!DforJ(co`v@{YB~NzIPWr~H?W|5F@z<{<u9R{*9T=yTj)oiXx)p;6z5Gj zr^?83?y;rjz!^)H?L>U~4SpQFXVLQWpfexo_d|mJ9@7?ncO>JT;QftH8UE0V$9+`4 z*D-ic<5RXkeU>v@*NWg?(a%YotrH5DWt`0mVirgWd5&}E6a^~~oVn9@rRjo0{cgiF znfEm+#O}Q@N*nqzl(XmE8(YSCK69wRzkK5h5_#pz*Y9fto@fCFd9Z+%A!pCaL+PY{ zR`By!3kPR0>!34eRm+QI6qgP1_cA-$<ew{Co)p@c(&SVB0y=cGaPE*}oKGC-XNE45 zr_cF1{1$Bjq+mlPlUiVO-VT0z_k%N>rp^9)8<E#?YUDr<m!rpZh#-aHHk&68q)zKg zw2zhGDG!p^j|PhQ^7EX=M<U`64+hp9Aauw9^C(W_K<1K_QcX{npsEtMN+?;H$XY=+ zL?%_5%V5iJ$Vz3((VTLG68OAH#ka4-U0e>@a>=5UMBX6|4+Uh2!pHm{0kS~506BwL zRN!Tc7t5Cw_$!huBdJ&(dt$OTunI52PUb0HTOl95$IN5nbbdZZlG!BFx%SFXilgr2 z%4H6Q8fY8DMn++7nsQYsK9-dIhAk^r<c&sAP%O(*fGv?hF-I2STMM&AxmNP&-03t3 zKR%S`cwX1$gFr^+Bc@1;Dd<IH&903!!-6?E{>+_2_$ejfseWV}QvSrQHxChet9VqE zZ(}fb2}tsLIxL>lITHv-#Km1_lnzndv>jipzVIdFq7&{a=HUz0RG@qYK_k#t=p2ti zT$A~_44lh$L99QT&Ve*3frvi=mH8MD3!Ok96p38k3ee2Ke_2E}fiL|WY`wtadXi&I z$G>7NsHq#GEy>Ozg-2n!FbKeKl>vaFDApNVPRtakK($Z~Wf1Dhbf60<h3)phVn>Ln z56{?`shW8WcZBuPIUqn}$O-V%Qmdl5dO>$zM!wUN*t>vz2jEs%%A0Z$k%2&FkPl+b zFR`mdBXm`HZS?E5yFl$k?Yhm1FN-~z9|gG|>WJ*ltmb_0!v=#q-B#WyWory$1AtDt z!XU3(46-K;6Ivu~^cm{n%9S=LtfR;P3uMU3P&%svr6oAnI4><FHHX~AgHI{!MUqk= z32e7tLI#Bd$_-OQ=Z8s2Ll6?|09ztpko=D}A=VJYeiodkagx!z1Im*~;ooS=`^^E! z7lYY}P9(Iom<zQie9<?6jdaBOb0T;UDXCX^-H_!1q5XgupruPKSZTcSq=MZ*f*eg% z@P^B6C#KK}rP2x$(8abu9$mrhhpkys5}_m;kB@bhLj(GusZJ_#gpcGdTXq&uJ`+De zHGs{%!j(wdT#);Qo}(00#E@j|d|;W%q(lBAUlh@gOnnZf2V%3R!h{2JYnCwkL?}bm z=0Gxt4YqXXs-SV%%dKW?Wg8h0JwZv!vl+)t%b7%F>k&ZbgYF3d0xN&P`e4V34g<W2 zZB>}Zw2==##dj7)+JNF?B7s#3YVZq4qaSz9e88E3fDn+(?p~iMumU|0l!CUxuc=U! zX2|jf>>LMr8QlCcbdC156ewx{Jy00}hb7Br$%?Hnm<K6-5+(J$$RlBoz6DGaxJKXx zT&{5##<CIXuNV<)NGqcb6JRk0p~IXN%$EegIb^O%P#f<^Wl%~-oYEyRijaDQk-{gU zKSOZJW*okEfQO_`P8le)o=ai=gEX{qz!YITHrcV_3K)BUPlIVrecy;Qc=e0?kYWzb zYtWQ}e1PXzK?Y9zu+^&YT-bn8$}uVZJsFbHv^*!%=hVt%(>C_iVCEP=;S^On%Byz5 z*yXEO0IQ6;bh{MZtiV-+z_l|VPt<DbNaq#lj?W1Hqbv~^RBuSu1a39A)z{1pG$fMz zD2_!LScN`-RyxM@2G<=Bi((n%qs$+G@u4vUj%sK$lkw5DN*ywWtqJhU=3xvzAn6{O zMfnL`C>*fVxA;Odg3~IH4xb3*OM&!}tsEE3*3ixB$3jK$CD6j_5uhZb;u5QjkGYBx zLmJrZT&B~^!=?x(BQED(sF|KGLNTHUgc79ihoLK(r0_|hKdYSo7_D8e6YNV{r_j3< z7jRPua9P(#L}?&xAky##Bf+H0r)}Nmm_9;x6UTVPA%Rb`*qjGQF2|tr!jE+x)gaD7 zq=l0&s9k(fNrL90r=lz>)iH>^OkZNQWWQp|cnEp%t6&2>sY5P>xeMi?K?-rurZF_f z2ZfkP(3(pD&m`SuM2acI(f}in!>kIQ6cS3I4$LG1pA_?2AYgMVha$x%JcXc?Qe()2 z5hGBc;I4Ga=C?_r4r5JF8I%sQ0c+uF#tFR8S)fEnz`_Eya2Uy8_hJ)jFfIhp4(j*l z1ydg5p7l`734AN-ruAcl0iV&&<@GMiC?mkuUkc~r^bT4AeRpYD!so6Sard_+PKKpu z8`tTK*%C6H>-!zs-lY^!BzHj{7$ZEQ9}vPYM%NT*oMh$P5uk-qFd-XxM3WHUp|}8D z!Pc!X4z$Bo+g9i-z!zNg6paDxgCt9#2eELl;H?}4-Zu1lj}IksU6=v%41=qR&N3<h zm9?BQC~)w3HC*FuoNm0>Q4TBrK?hQ+xS?d~Hr(7ab8W>t-lDuqAJwN&GqBtUKz@xH z!N?p|k;9t?SwHV9nxu72;SNRT_@)mabcmqH&)kaxn&c9S>vj}M9HXLGAf-LK8v0<j z1NAc<_f*hQpZ>xdv5X$Lk092306H*_4|+wOg03m-KLHM(I?rl^w$NFFM{`XHTu)#d zjWe$XMN;Z4ltJ8TJJNLoQ5(>%<%Be?*Kqj4Or|(gImAY;V1zI~1vqp?uC<Hv%G5O3 zLV>bDp=rfl$l+@WpS06Ub|)!#bg~%$Sp`hCObFd1{6K76$Hu;M;!B2d!wO=KhbKA4 zHVm6r_Gt)(?WN*|Xb`{va90GM``tlh{ckJiXG<^y-hc;qmcZ%>7E!1d`sOJLk`hD< zjhO`KZn$khzpEF2C>9tFMU>8#3?`AV(Vs8^B`RYu6-uNOK0f4Wp)|@9C<9+YQ@@-> zR}M5M4%Snh<a58Sp7!tn;xd<I0W1zG%CjX*jg83Q6R=ga6^ybt_8M2=1UGsrW+N8V zg{FAQbzaamWyt`0)fi?=TpDykb+fnwvOK@ul)MM7)S3VZw+b6gwZB0vIHfL(jdLoF zF$+a&wA&y;jgePP7#x^oxn99!&z2nd?K8=uuuGd0NNEWC3lRRH6Q5HmPH2s>ugc;I z0iI(fFM+g=Vsr_RC2&MBU@gJz3Gp#OhEs(8q7S_*&kCASI*@$6oVqPtJjoiuMZZMT z!z3MQs%HCU+f_|>E99JN1X=MC<HJUS0TNBbyBmApW7AaR;htWI?1D&UVNm1=(48?n z3R@=ls1GkMd|>xtrb6YQD8dyQKRyHKW&^zs6BwGNdw`Kw!?a1js{m=RPa?9VnQ?ox z9*lSKy9vNIrnz2;`YptYth*5Ltj3i|s=^PaBf+3u#!OSeu;pH|3qP;`>5qUn24$^k zPw^q4R^(Z5;QorD1g}kEu$orQLBcyvXaQ0oj<JziFpM|@Bcn56=pbTnNl1_ZH7-}i zNsC|vMrlyyi&KG&)(Exf!WY2(To?Xw%oviG?FLXL+{$ay*zxR=-r;0I?U?JdNc;dr zbWxRFm=5S!J59qwln)S)m$i`2&vQ1&4<G|jI+W=lbWVW2&g;~e4ztCB78`82FzrIE z5eahW%jm3<yF4oLM0MM4Ag2ducaV*BjvJnfqTWqXVxn-}bFep5LS8=%=n%=}kI}Gb z14Stt%|idQ!G}CM$q|-&BaLuAZS&z;2GfRcH;<VsV)!u=a9Y-81yV{81caRdLA5s- zH**OF!GR4^O34Wfxn6Eo6exj1M%^eXd+SzNzNs+41i4Y}4F;6rg%%})ytcW8(|$wb zNHmb?`hAUBy(-0WAj}e&X;ukZF~Ijy{Jut3!TPACqZo421aw|=1oXtUJTvS5_6P~Q zJP;X$&(z?afs$TC=xZ+2KncEBchg28@iJvJ!IgYjPe%SqRJ#^di26N?d@~QQpsS-( zZ22g!$<!_nHqtl_qC!M_Q+OXOYX>w|iO~x@w|5H`n=A1XJD4e)Rk0N)XaMa|LRvS% z^3cY{Rk`il&lBx_dMlb0rN&k)z;Jea*woKugemBU$~1fc%}0_mj7h1d!*1IcP8~81 z2t`^zNK<uB&Z&&iJE%NMN&He)((q^1GuaBEV|fE!v>6-?I~PZroH}y89=n*Zv)Ppn zDF+0bgG%7czJlzYh=T(7Wj(wFus=rsF6sV(xb1m!FBtqR(T`c9s#|U6c(3O+*cI0r zFKH<w6{jKb^9y1(isLHmQFO#sbUlt98L%j}t3M{-JRH{q%A)Z6ejC3)V|!a(aP3QX zzt5{*Og6R$`tWbq-h*CI<%Ad5Efk|gL%)jK^&i0dQtL17X`S~c$8S6S9Ew{K?^4B_ z<%EX#9AQ3SAhGY0gc#7TFbJqR)WTV1^Z6~L?9h*K<XgJ|SvV+!^IccK0(=Jrez4-d z%B3y>KUxSo`cp1w22_wJi2vx;i;wM+4pHrCxUlxpV$a>SX&`?38jsHzn66MzVsa)t zUjH{VyN>4LWl0z!ojdBfaN{7BhpV@Q>stoUyTfrPXx-t)t`#C8H-+o}2`@y%l;zJ| z7hjAQ^WuSCnrEbtwJGd2+bF<oVA$-`r9x}aQp{cC;C<M@IV~<;ASr6;0=z17h%l>w z;KT0*hKFKLZ@RheoNMeBxoF{K&mCBQ`TCFi{qs9dU4PE$^ZL%-cKqelixW@T+9#n| zLv;U8!Q7R?v}37_No{+wUO;RmZy4M^<lg<rZhI@Tpx<!AkL}bzmGyB<Qhc~>aF*CS zbj7OH^H!*E_?Bwx1~qJ{vGW&x{83VB1ovgQ+)vLZZ=BYA_opBH45kD7e_a=yzp8F= z^!B}f_{rk4H>`Qh!9yy{Iq+{qP^V_rKiK#$CpcfJ54Wxedn@;T{<69MU$1!cxg~c# z_nD4ofBu`A@GD;W7HjA8_g(kZ#TPuYX><MW>vuo3sVc=jv8?)QUJ1L$#&*{ac{gpT zn`?bzbjO|HTQ@mq16OTrKIOiD+jH$dZnAcOAHVjqd1!ur{SSUgn|FTi_u<T!cX#Zl z-@EG6^CN+lzjwSLao)l`4*R5gk+uH51MAn<|L5+X?cBS5dB+8Pd*PGSXFc<zt+x2% zBwjF1Fil}J(17m~Ja2*z)D1W6A4*^H<K$0oMONX0Huz&4XYCZBG3HY^@7Q(o&=>g^ zr0#ZUOEu;$_Q|oaF7^q=3GM@@>yw)1z31=!3~V#&H`gt0{<FHXkKeiX_XB5~@s*{| zIGDT8Q`s<Vo3haS{QAGK|MbMg7dFp7eYJaR;^EI<cI?0RE_~zWzkl$o<};qZ`6@U3 z^4t`4KELy<181Lg!7sm2dsC(_7J0__1Q+U8zIc;6JNE7R8$;hpIqZ`~ciPu)I)>W- zsM>k?zE{@&_x)e4+0j^UJC?OGyyHvfzkkh&6L;>-eELs+`&!4H^?U8m`Pp%zH%)eI zcs`6>;U~8f{j2}RmWE7wn&^#8;?wI+;Qf;wnUlWB6_~ShoGJtU9&J#~Uf&>J9GkT& za~1NH5nB#@eicoi*;r<raQz3NKhf*q(M0#y8SU#5<;oP}`!d5ju@5zK59#R+JC-AB zPk0tPeE)(uhp{|r?dDW_1APi_rmR{Q;T_&<*WxqIcx<i3mhSZKM7OC@ZRK-+i!_OY z(a{^W&q-`eB(S%Y^Syg`PxM&bx?Z{jZ^R5UE+00$irua36V!<Fejv#N-gei37XqTK z@X7MEb@@IqXX$vYQ3e7VPwf*UAm9(;GGfc2VFlkO$G)WBMFXF(m+{J`8TcgL!F>}x z(fxyc^6UxliO%I}{$N9Q1AOwvB%k!+*l4Ev-}k?4s@$-ZI|t0}1N#JyTb=U>6X28W z4e&`MoSn3Me)dTM8nI7WTQmA-?WY>(W<J$Q^yUHM6YQ$?$I0RINh_|WfWQ|)tdY|X z5;Tqt_EoUnoUTZO-_wU3@yNyFU+kT(6ufo@7JyGO=Djhaqx;tGJ2kPIab}ztN$nFE z_#`vQC*xPOKacMn|9bz+Z|cqdWqgu1PP9Dugf55wsg+JIP!%3&*FM4A75D@LHQmwe z&s}<)<b3irox5PW`E$)~`6r&h+(nCL=#xI<6Kxyz$*KD=PM+HUxeWf?HIc#G_1}}` zuJLvJuHDvMiEiT)Gk4{WljgOF#MZ6*3+67WTi3@vnLM1c=Mnugc)=MxoVhy_X97v! zU4(c*-Gy_A-)HRv9=vlL0PvfT=KEiLLAwZiIP3Ql=I1kioM*bw^33}gId9%c2zmPb zi+I0dI{JOovkc{M9mASf-?kx@1tUM3^%Kyu36Fs#o<Xh;%HS*{%JX%-beYLAn$`f< z#j*@dzkiX}1!ET8_sH*C<jJ}lG1~+;F%ntO&3hQT$((2GOk>f4-%GxPn!YIb{9?8Z zEU(4k_dcQ=Y{-0o?E4vYo^{r+CO#ORg>!*;_u!f)rv_*BLOo3I;(U&=24_Z-ab#^z z@;e7{hBU47oYZhF&M_jf>{4?cG5fy8Ch7LHxpo)7f05}I;T?r&gnl1m4Cg7a=VlEH z03Q10-tP!J%d_#pZEpb-=QWYf0<!UNjc>ZhKaYquxIkikJV}P;EDk)#04{qr@*>i0 zjG|4jYwI%Hed)Ge8&6(~_cZGFD-u1{9pJBw^Z7)aTa;Kb;>!;I3~06u7vX)57LDPY zrQc@0LBabOFKQpe1B-w3%b7)*){W<J{PB3@JkIE4Ixvn;y>Hbu8LTdt_LBJ6$26BN zP!#09bD2rLJWlejZ0E}LPVj0Y$nzY?XUP8W2j*mKhHjm}`iaX!3yqydP<4K5Yy3;h z82)%2Uh&$V*@wo^SbKZ;!@1%(pH~5P_SN+gZOv?@&q9q%CjBg4C<u6ICI4oEwR-$< z!a67P$}y45%~xH8mq%uyS=$U<Z7-t|db+Y!Km3OVy<)>kV`-KZ3H}X({CqB*!Cg)+ zr)>Z`WPP%_dEaR`+gW$t)z@I<hABFcIjOQw@`NGj`-Bhnoy0%2pl!@7S4@oL`GnUB z>=S=&qv!1nnZPIfo@1ZFMJqria~gcYHm|!E?{UQ15kA>7{t$c;&J~-|Cw;JJW^3lN z?262@Y2%YO{_G9tbzt@QKQzE6HLo>b4FQeI`eby}8)N>5|1j~Ksk_)Gfe&+<nH2kE zbq?v<kk@~V#5mDs3E3z7vS`?};U(I?KWE!qQJtzdPQa1%N$-SSY593GPR_`U6K2f% zgvW`wVi(mmDQm_FF6!j<)pg)!OYkE>7>_Tl<6i;DLS_FB_tuSIoZvR&vpB@KArtPa zTZ&$hsrn|3DVT)LqPh&m2|CKny;o&cWW`co<L<9AE*K{po~K}(<mpg;oLKe-ReGFc zeG=g}GqO)`vE^G947h)&G<W4x<dpB@R;u1}5>|ORpU5$>$fqOXaq{WBal!*7=Mx?$ zGx5p(m$63zb3T014xeD0z$bt)4ggd7WDlD@fpNm8DEq?3Cv|^?TTz_$r6vA2p>zYa zPm~%b+9wz%;6Jc>!}FP7oD}&a@FDAz1KTc|yK<!WQg0Ir;DIM!T`Ykhj+H6yy=;^2 z^q;d!P;hsz!ypK9K}@6qk{{<Nj8Gf^qz<PasOhs-<P2X>kvug~PR;V}<lvd&=)%#j z@$j@y(eN|`@l0ACDpR>!={lw^SH8}CO0z%a^Kmmus~K^>$NayQ1xj3NLIM_uQ}_97 z2*ttS0>10z&ryf@crH{9R5?N=yj`-?VQ*VGfo5(AbU@C@d5W?5H>b$@pn^mYOC%hH z0CyQWJet9r{}Z)AZw*20XJ-}_>0Uxs5r?U_1YbeDlju1qxfGZ1@;LFmpf5!G0F*px z9$!IC#Tk|F56CMn=jU^E6$!}l2f7r|%Vtl+y^Rl9837`Q%k{~Co*(P_A&K`3b1O`k z*SfN`<V%?%T}FnQRE)KmsYNCUIqHaG?mKc-ssho4xdPJkGZnf=Fe@1r<B1CF)339D z^YBZ|idR8u)}I8aLyINJ0s)RH)0qpnbVf}^O~Oov#}v}f&!=NKIjNG82<1OrFM2Lt zp>z>vNvWlf*>cU7Pw$C-DIukCA}d?dbGclqpr8!!L0mxfGXae^Eg1;nEI03jlq9lw zPWU<I&GF|_%u*RJ_(Z^=%jJ37mm_i<0jG(x^<*U!(#z(WZp)IgG6IYY64Q!>&jlMp z5|0w37_xGO&K_z2DZa!)dHK0k-%h#fNkGlnR8j>{puryif1HSHD>N3~KXTx_9JKH- z)nN%ONNBGhY1)GJNsXUen~x7T4Oh9a$TEd&SxG>@^RDc<wj7)HJxdNd^HlNmWabj4 zRl@XLux1m*#c<*%2iUn-(Th3G5~+0Fyj6l+7Im;SqCNx1@i%ROlpqd>ew^RRBGRO+ z2r&9!NA5-#_{q{4<5D^(QZhEBay%wqN?OU{?;+nx3zT^7GG9QUICY-(R_b+F>lQWN zJFN2F6L!cJz!ypQ9{xReJ6QmGsebr&(&tcg@#i)DeWie)>3(p`CAfZ-t=|7G^aSuu zx=k)IpDM09c#d6G=n*B%WPLClMP^}7`Fn7P7LbQnzNq-DP!ZxComIGNQ+^Ai9|w8P zO6vBplOr`5^%h}{Iq5B`_#mr2-1Edis&Pb%%~nTLJRNQuR+g80_LFPX<R=jMm|QxS z$}d|Ao1-6@)G>!=W5<+N?jxi9yHo11hq^Dyn5As@E&I&o-D%Fl(yF?{d{3?pi&h6O zBv*efm6N9QgQt8-emch&q*Qjr5MYi*?B0AtYIzH$;Yl|$N2Fm#L#W+(WNIlfnH^!H zsUy>nw?OLbj<=xF_p1Eg!~!LryKEL{JDy`7>b}*tkp+(*IsD2<h!iaLsIHzR*Z8i0 z#uE>3Go%qGN?dD>s)r75tB$TT?+841VZVr@+l=>Wds|wd#I@#T?iq2CkH33{Xv6o9 zpz+^5W;%jKdN+%nG=^}w6KF8T(EoflxBH#iHs4=I?)y~yAYAG1Qw82JgP-~+`CY4~ zMJmu5E6`?FphYXt=2W1~tw1}r0<Eb6ZC(Z1{0g+=D$tIvKr8dTwzhS1g>olWpot2! zmI}1i3bd0d(Ap}{-dBOPpaSjW3ba@S+QJI7;R>`{D$u@Gf%f(CG^av+PKElM3iUaa z>f2I5m#r0O+bYm*tw6i20&RN*+Kvje+so5xD$GkY73QUy3iDD;g?Xu_!n{;dVP2}K zFfY|qn3rlQ%u6*D=B1hn^HNQPd8wwtyi`+RUaGCouWKvx>)Hzay0${UuC36oYb*5Y z+6w);wnD$It<bM)EA;C~h5i|-&_5#;`e&p<|BO`VpOFgvGg6^{Mk@5rNQM3xsn9<o z75ZnSO#f6C)^3(Sp!a`aGr38B?Ds&G0$B=K0rxiG58qLw-`hZ^B8`we!e6;eu25q{ z8T^q(&&@yMcX;+mR91PahQ^DEPsLxvUO9VMg;lQV5UBG9525f;D|)ydvdrwO=$UaJ zyfIW!QFmt%;=Sxqw?MJ)BTpTS3gTc2nKH!+OeN`1`PEbv6w&ker*##}<1dm_Iy(*i z#^U`d*)sVWRWMAJzqAUIuruYKB;ehT2>)uTHs<?gD&F_#hr<Halhc$UHJ=HmS>!#o zgR?;Ww+^n{Q7a`6wx+|iRy)}G55C;&a`(SQBJ~d@$RcE3w$K8FxjeSw+dp~OZwEy| zZHJVp(27HnJ5}LXtIBZ%8u_uz=c{nd&u4oteNYyt8aSw82VZEWO9pxHRlQ?Nw#`6u zNr&4)hkx$MHYXV8S;RXgapHpMs4B2sv2q&=sfD@e)bJk9yU_wA_MIq!hQ?{x_d@>9 z!vyisYJX3Ot)7Qg_pIolm4Ew`wnV>HCWw!gW^IRkujj18^xH~D%L4Dbden?np7w8A z&tQbkblEjyr7INRuPF23pmdo*Tl4EWT<JMS<2$ayrR+OI&OxqzRM5O*-waYy#53qS z2dUE(3X~=n*HxT9sBu!ds%c{j>y*<imt~yy+vyg0d-4^w-{@F8f6Z8P7NBNa>aZ2? z{#J`B)<Ac+yrCfuTZKnKP@di;Yb)i)l8gmEjj2oFfz!cxe0p^nuIeR-3#xHEyfz&w zYI^ZQFF$X}mTAXZh%TPFpo*B0I(hEItK&-ptYs`T-5)c}YE@36uE*F^Aw6dxuVQ#3 z4^X!vM?g1^1hf#WgATQ9na9d4&z99vbPm{QK}B7sC4re_DKS~CrY&Y>jCoF+S-}e2 z&ZqK;a04J$54PtAWW^q0$We7x>G16n6g#HaDbiOJaZyXA*@kSdpC<pz*{Yr{S%zDN z55`DE#Z_Km)A(W&<S`uKWWKHP(_F2|yMC_%LNb;|cR47QP>yeEd`?m>Ra~l&GUv-o zXPm+3Q;j?9q9>C%zF3iHO_4>&irJo$R8vDqQYEAe+K`Kj*;N5TZ+R`i<YlDxn8?F$ z5_x{`G1b#rX3fF$+QVFiGt$CkUCE)36PAB*KrCwfCws1Rg%l(-TalNFa3Uw&P|AnX z)3$v1X;4ItC#Vgv^hZjLI4Koy2ZU_ibX7)+qUBM*I^#GiTbG>A`|)zMXdE~&Z}E?E zV<uuh@ShK^umT>61xRALKX=I-GFK`OSCGtSE+8CKMqf&FkSp#KPo{^r%3MW<F;)F? zzA$5NAA{CeK?{R8pV_44WYk-ODeP;7I#i++aAtewv`Z8eFO@NpICMoRdLd3BTXR}a zJ7U4JmoBXtZGBCgbUpD$4VUIm4gyIz%rsM?knf=76pDE-_m*3r#P?lW1hnGcD!{9X zTMgL7UF<E_du9q$-99t^w=Xwb0_Dui?2sjouBHW7ghQ<Q*n(@#Aqsm-i~D0pFMb6y zneGSYMyH%e{j+3c&hROAh{g5!eA`T#f76Ql$-4mxAOF0QTl8-62o~wZYuk6MTl@<g zqW7+G?^q|kr}z=KK#6tY4uM*+PNZJC^e>ON0!O0)iAuzg_-(kOq5QkE4#$x=NMAGb zZfV`^|Bid|zNcO#`txN(W7BBX$B05#_z$@vQ}erY#kKZdXue+}9M-8}8u<uOL@Av+ zlmOqf0TiCO&HBWl$ay=J{TfU>d)}*mr|2)9pPo;`VXER+hN`m7C|KAFx~=K@+v!*I zwXeY=o=xZA&!)#dIP5v1yo|EKOAwqmBacxfNbhKrEsgqNj;+wIO%4x^rB2=A$4Q+l z5%~0t;Z6{(xh*CRy~y$`%d?DJrzPCQ^w2X1OM)#mdaKVrJIHHniNNsDMxsys*3{|T zY+{P|I@}drCZU5<73S}Vt;Qc29cW{?%S9e|6W`<4wb8Hp*M9uh;ZEkG?Zwp_IwgI+ zV)CTC&mYMDuE`r4K@UIgWZ#jO6h_3of(JlVkI4}wg-(ee*0~aaPbZXj;mLCl1FCxN zjj=rYlRo~R?-fk2c^WGTNx^7K8h&~ldB`jOOvKb<<Gpg>uOh8bxCe0>b)0Kt*fG?p zNGa&VWZS)0jIfS%_lkbx3HW~GtClAPXZ^a>^~-(Pr`#)+DG>VcDFCz$V1L`McT)ke zM8?R2{21D#svb9aZ6k0aujN&Z%MxYz8F3Z_r=$)!HO2wfY~{g*nq{c?O`9^5DbT7C z-4MNb4;3<@4I+n;&`Jii2eD5Uew-gkv{dCIW}cRni>S)vvQ(!GMV7$_-*4sUlO>3< zWeW(VASRnBz%q23j`?W;r;jhd<!36<L6e5J5?8*oX{5P9avFToV41O)$zI6TQh}7^ zDNIaVyOAkLMjk9Iid=UdCVy;jnLro4KFH%MOy+YIQVMgW`i{!6<jp%d<Uyu<#e*8B zA)1>mik^Flg4zRN1<1*nd>a<^ufUwyLINo@|7Y1eC){GL0)Yjr<ualiVaE8twfPd| z)Kc=<vz@50m~Fw_%*P+)e-IUdEXeAYx>{LOAu)?Cn^0vbIf6tIj&{C;%b?A=;h$&( zAFfw%N5ZGZTqG5+f&u`(Y!|Uxm<wNov6LeLvYb%G1Pd!M(*pJe>rkkvP|%Nk9f01U zsdd#@E}bo-BFf+K!pKfM&K)ydl=)Nm=yFUe3*g{=vJwoPnwwJ$Qft9h&I*C<QZdaw zkHc;bfP)17AXAMSTgy_|`JvFMfU`+jPN$ZDT?fNtErDRB@Q3T5**anCP-`K}-W+o+ zfTZpfs1G$lbFMcBK@Ksvv!Tjy6s3gD3&@ld!6Pu1iLi^&tQD4_igDPdYN`hgR!7J+ zMDWKuSaC0?YlAP-nuI{(AfuDK0H>t}^vN+-U=b>m(h#jyj-}}#9Ga9N=0c^DXJNmM zLU~*EBA>E)QMXpQg`~2RkV6VJpno+=uE}FwZlq};A_nQK(6OahN+Uik+%oV8q)XQi zX%<__r$eaZ3<*R*39ikgf$Rc<?R7Da&kDU%u;P#Dx!<Oc8QLTzdZE(HCUB|+RPuqF z!u1Cb0x6qQ2Ftye!S*%aUX$f9q{^WHnLj9G=lTH<C4qHwSoy}^pwCMs(MUzYCWKtA zNA5gCbMbM}%DK#JIkt_s5SZCv<<ZZ%eoYq&2$L@6*94_QJvlO$t@AvE`g?mJFSr5F z<pU4-#W)J+Ih3)W3G=LtU+ngIc>EX$FlcUBFp3A9nypHp@0nSPETeSU;vkG@qN8*z zC?_~EUnBDaeF-<UF&Z_7e}Fg&YkEvmoF=Omr6oNHb78M-4S7J904;|Dw-vJ`28BJq zIi+&EE-pxi2zk;V$<Gxcfj6Ln1iQORXM*~*aTV*w{>*|wWV7s1<5i`57!y){0gcC; zB3KEC;2!GtL1rre@G2jHnP2hs8u_%o3gj4bwfQ*kFwFk7>E50bLPcClXn+=|_d!Ak zx6L$2T(zPuk{l`gg9o6%iI8`mR-qiv80JabEHHtnWg#-)C_ka2AY&nC(gLY0uoeMu z+EEIT`Dc7sClwPY0GpchfN-fgKuJ!Zifmu6RzR@8+&scLX+91j9|U5P&6W*Fxj2tM zhb)_N;@nvN6hoK=6$qR4KY_6r=d~f#ixeKT;d)0-w<*ou9MB}rbIRdFHbMdjD~>=D zV3QIpQM!m9<YyELNmt~F)5${Z3kvu`lBP&Li75iI6fP}-dkcEKNO7b+q2z#}3uLv9 zmS7^NHnp-2Xsa%V-pDyFrHkrBkV%<@;wZ3%PUix)8I9lr$*PrDT8f~F!e0QIC&&b` z;>5hi5Ga%}YYXUv`t1>nHrvLMi-~&X)JZPDQqf{t@hCD`4iz*tj48H=59uJ`1c|&D z7Y~*Xe+mSs*Ch)H;v7JyA(U-Jh7r{u&6b-4e5K<O_!w(5hwZ+=5kr1AVPQTWW@-aG zy8IdglyHPe8a2bM;ay5>BEfSJn+667Sj)r;3M&`~d{vaP*~g}*l;pPwrej5`7Q3j0 zB-xlBfek<D47zR9R!1$0*TI8!6<H~56q~}=vv}eU*@7J8c-9WL65)>OVm)^W=%82+ zo6^8*i-M;lK#<BKuuY2WLJrfF{@5w-bC+gYq!<r^GChGaf;0HTOpkh1C+1RMk@g{k z_Bx!-`V_Qu?hXX~5Tk_|BnK?r591sU$ZB$=qmTp?>uIpr5Z4{l%pD<$TRdi#nkIDL z5Ri=z*Ok@93^{#6(C{HzO{;nuz3%iFdDojZNL$*=Zu175Ia_#QnoBEaxp3|pOhSkV zTAAX+NF728pDtc}d5*nNSNbDu4>rI?d{Cwkq?r|9Ay9&I5Gbz<TS}ME+6o?f4%XJZ zD$^|x%%f1}l%Tjo4iu97nKlS;R&f#CBxJhb6GRLSPxneE%LhV}2CQAZQo@V4yVKKr zxdKZ0l*qaBy#djBp77kLEwP}*9Ol%uI@E(TFp_B+w!OL^qu;p>rfLWM9{q{CfN~0i zD>x5-iqAzEP>`kvp`Xu>czA&|A5}ITaPcazn<N+14k}xK8Q85ZVx8nHgYrL9c5`Ni z0re;Fz8*%F?kQ%L(OpC{mJGNYf7}>$JwQ|nW2CjPn-rCU;k2V?e??ZE$uuQhxC1vK zJ?@iKhr4{C0^?$uL-Q1kNmokQ1$TM)K1;g*mF8EY(~9bJ>(L0P5(p`(Ke(3IL6eK= z*}Rsi1KESlpp9aPc{PhHdqKj60tJv)qyS%-ktXX$SkbYu1Zw7P0g+lNL<J0ny(n}j zhPI)nlE4f$OOWN_hM~d$cY~(Q|4$a>9PfN>KJ4t$81?ph@QCzU1iHCoD#ZoS0A%}5 z7GUNmDS?sf8?=*|l)S`OvIiAQ$panqpdx0O1b+ER_{j)dD9}gHCVobs9qro$k%l1l zvm8m0m&xxtG9O<qEunb<BN|MCxqEO$<7Q#XC{ZhO1OR=d9i!z<ly+zYqh-Vbsx$;E z3N-QRHKe$LItvqng&Q2V#!=K37qSL65Udu~L!W~J3u;6aymJmKqrqaA;hzDsnT4nm zslW^BHt|8*VeBL@ET&zPh?5bLQ7v8!GodeBFs3p@D=cv;mn@`)qgX6qe7bI!JH>8V zdZvON7B%5MX@t978Njm;?nG=qn}&V9SQ%zL-`J#>>^y-09bf7U2RzxZ0H;SeiUr;% zFbg5i#nPh2O)I-iDJ+E*WPpQ*C%|=WefxvXqk9it^cqI5_Km1nbl|ys&j$!=KBd!@ z1I>Tq{Grr&u^82A^<CE)!gWnxK&FRUQM|WUgwiVGUh7~10t_JGpts`I7%jH!>rt!M zOKuWYah?vCCdGQ*Y{WwmP|}`gbCq2U%L-&mf`SS0ycedQI|SdbeGzf&`#t57v}hg{ z!i3%dWT!<bS1Bv0JkQ3g1lO6h9wki;7A6&NRs#({IRTfDgPYGHu;D{gtSuJEC}Jtf zs%+lTrtdbLhTK)%SP`}mty(qNCG>zoH$r#L1GI8lVAw{$%RM*B2?%n!-BSpg0<Iqn zQ}juyNrG0%C*}-z@|nfMu|dot*6E*Z;7(K)qPqV=;aOW3Sx<Y|;OVxyUOn^gZi-WF zRoZJ!MeVvRN;Tdg(w!kVqcKfwXR5ny@p{^N!Hh|2SP?19jFY4T4IPw6XW*tNK?kC^ zC0mSa0kBWlafls6s(@H3<0z&1yW?wOPVYjZA8Rfcd=<eb0L0uIv!HY;oJMStoKJFq z76XEwr!!>($53j?<5|$1A70dG_hRmf#YU`eVlmXTqt$9DTbgQ|HkVHvJ67z;{_D<N znwFk&jonKYN@0ad4l8VRM8D>q(i)0Yk8QLu)mXi&2M4-F+}^R?<$Bt2)3j~Du;;FE zlFom1(gp6hxS<t2o~!gtrfxOMq08KG*j7jmrnq;}iI3AFyC$NC4dnsPUHU3B#B&#h z))c_*WBKzIji=}<j^w#Z%tpr@vPVdOVCF7kZ6ix@^uW;204A7h1xcu?aJ>Xcp;RSc z9#VJXgZq=(=mTMLYHH>;Tt5HQRk3fo-`P8A{q3{XG23_SvhI1hteo2D;&9DeH~H*G zzW>H6*Y$m5^EK;kj;%gv(p(-07}~NWtkCzd=kAiTzy8M3MF(Pkx$iHV&utt^t~hY# z16|+wr$0XV$`j4U-n3Pvcij2leZQFdkI&qA`+cu};k9SJ{rryKoqEOZZfjD5L)%hH zsifm5*etnzDBK(x*htmziJerc<M9J$AA3yX^q|flZmg@ft1-9PH-&Hm)HodA%f+^% zaC>UeahJdG+$rl<eXf>%tbI~F6n?o|tmte>4_&iL^y&fQ%TOFYFqGb6VbTx4_X%gG z<rBJl07^RW$^E#-yEXHhFQ0$k%GmDk!}q}_zhs|`TJL+htaodpZDEX)P0ud*;UD*1 zci<zNUb*V#MRQMC6zEeNr=r7Q8sOnvb@G9)|LfA$)BoeEzxl@3>gPJ0s}9`x#<_b= z{N*3N^4#Br>$auSJMMUJ?^Ango*B6HU;pd>Ui#W^pPzr(+1Fgwv}Q}F{%eEich@^k zihXkVjXUZGQ;KG78?3kCEcVIi$IUytxNi1|g9kR+C$^U}S}~)a4}7w7{efS7W&L%& zPh6LMVv7|PuJPAk?ka1WGGeDjXEaMjZJjetG&&l|kaOQ0>*0op(NUra`seX+;48qA zFz8QB%+9bNmmY2Shb5zlj~l6_ik+NjH|VB&6I5MY9ZxhQs6pexk%mNjLv^H~9pC?E z;=L<rC9{UB<L&JY?Fs7cZU-b1xNV91qV;%8tTr;++xxVxYHRw@bs4_U7sCp_PbbRj zS5RcFmbW#bzCKRvnbFbi?d|RN+>>LO!Zta^nWcQ6Y}lGX{fR^*k#HWO>W2fR;S#M^ zIiU?p*bYx6p4UDh?UP3zeIh76saWD<YB0!fRU)%z&wlu%y@6n782=&dlZPl#{Sak3 zdRI2A1aBr>-O=7oVC(KqBpP(-BA+AzpFFR1-LRD&_0=h=Kk!LuY7sNC=$3#)V#C)T zqch->r$0A7el&cN;Z|l2tY)8JoS+-FQ$xoeXU)-en#w1cC2al^;1f)iiuJGF-`-xn z&6$&ReO%_MhIaU*qdn8!kkNJZJ(OwBB=$VSANZtiWo9L$X8QJbG=QU_ecd|t3Cr&2 zfB-<+j1%7{nGCmqsJu^(JC5l@KZA_vz^LX+Bo5%(l<|FX;f1-9mHTUsZ-%Li?zb6h zbpq|dI6?8cj;ap#)rYk{Q~6}V_@p85$!KDKbs~Y8qYR8@=PvKRF-KKn3{WCt=B~a7 zx<g_Q=B_<FclE8DSUCYPef#4L4Vb$!>(&KxSCLOvn>i+v;W3N8q35oN5_4CCn+KTo z+@<H#M8fiY!gJTuKI!g_rcsH07Ne^(fs>c6n7o9%5AsDGH;635=}hBh0QoL@axtEg zw02QE%5eoDyyioFP1pK7!CYUah^YtHp08X?Teh(5i|K&}OdRJr7j*YF-WKk5f6|S0 zvzTSi?WX%b*i%h&KS6QcK5_0j6#tN^Q&-`1_cnbI{Eu`~(|N+7#)~L=8z^0xniq7Y zJ$|T%$1sgdp9Yw(+d9)t*M<jLATO{HerL#`E|!N2d|o|D`{7zrJeKUBf=fv?#vJNK zZz=Xuz~aY-e&s;&%$VbBxhOrz`bMw3BGl9LKs4%6@=O@o_DD5xTvRxhjSs>nHPK5c zeI_FjSM<rH@xdme->mj4(`wBus<E$3C$Hr?5TA+s+$-aQYmUFJcJ8(D!TF~+&YjoB z(`TOM)HGiSU7*a|Yf%@=xReIDjonwWuC8r+-k{d?%JkqG=rl=ZPbzLB?o1Tu(}6*~ z+2cyd@?HBP8e|_tA*WH>#>ksgkL~gO&tU|AZr;9qbS*xk+qaL8b39cIr)8*W>sDS9 zB|4_!HS`m1Z69xFNQ}~W<ROaVDz=p8g#@j|m4l9>3@#)i?;k#GxMS>3QmxyumnGdk zK_e66^uojh9wO&M17<z&1EsG^c#@k>&8E|63ui`0Z`jUZmM}%4>2t4Vn%AC~<yTuL zHhlf-qoZo;qmRyRzem@ZFXC|f#Pa86<C8?f_=Ig;8E}1lX{>|i`OGwZa^e~A$wXqb zJ+rTyIue=orEAy1CmHrhLi;2UZml_OxFKHGiDMBe-P%5qflo3oppLP8ZQ2ukSQD^M z%v!<FWIl^dN7e>DX<j=MpZvd{o5<X-J?E1RTPHHSCf@*`n6>$&su<y~`AgDfggh|G zC++Rqw@=3>?KAXA)$I)v4HvG(<#}IsW(>n+>G=34I`7)iOd_LwLe;G`b`4e4b+&HL z7@y!;ml62zH8cw_kM9$#sw=?00-sc1EF!JjK0$A#PcoC{F80aP<9tJ=9dj42Q>Qz3 z*)JQPWZEMSWu6$#j4x&1k7m}6Qess5q`LS0!*)$o9p)~|@Z5#PFU%#-+Y5Y>X~1$( zkCVziSywQ36&b!lHog6i1wO&tg>ho$E*>Yxt#q-T^CHKc$1%<Tep2G`g7fuoCvujz zmFd{%8k|#%7=B7>ev5wO(|x=b2`}UFw9!Rzem-Vmd;zZWjxcg@mJn>Eo}U@MkO-dx z2FoGC7mC}=k4K`%eH33%VQ;A)-*8+Pp6gl;?uA2bjI*rhJw~^XAJbNocW(80Z}sWl z3v}@Dj~>^hW#41s?0Ls~44-q4%Nkf%QWilV$7Y+6=LLBahh8*z<)6{?{FwYW^lP7g zYasUCk$!x8>UL#gq0P7ZUcu(9`h<@soV82i+wouddHdeo{R+D#muJfba)f~B)TRZx z0=s4bq+khD=jU;TkdEOz;*#L=rSCO*Y%+XyI;r&`o6jJ+xES0UyA(Hg9JBtZ8)1v* zK?Bad5xOBBa*d9gmz#JK&Q`L{$;W(r4`eIoT9?IZdg?}#PoeI9(6z2?i{(LE@)WP; zbkLTh(J`Ah_^QV=9t*2`MK`#ipFpJLcC*~a{5;wafJDN4IP5{1V|*#iAwcTT1W4C$ z-h2u}&M`<G>RSw*3i4wGIi1N1WLc`A<gsQseNBCmcUcjSAfYiF>Yl><g=L7wGz$m0 zRDcTjRe+dr9PBO{GbQm@IQNBeR+z}9Ok%7kZ?duw8)U6QbU`lQ36T1h1{rjZY^amj zKxR{A6Sz~URHqhh#Gsl;C{GSoEAsLtiRbDCz?(NJKt*DSauN#368HcGr9jpkIxxo3 zLoHy*i^q)Vc)9{84)PQ-cb|+5K<Pv-G%1aoBACosjN!b*2O!y}ic<io!%0C_&w03} zWWivi&I=B8z=?dYN}~f7i3EQR4bs`-+Q`18v&gcEfRT4P0Ys25D3IO8QGhL(5k*+L zLS7cpit_<EYkVI89Sg+P|9!xDWMk8BgE|Ux0XB#W6y%N}gi%@q93^A8(V@8IDyFt- zmIC7oQe-h&5QdRa!QYSxq{4^6;Pp49rc!J_1e!;)CJP-E1hODknDJb$t}LK!J}qz* zs%d;AkDsf}arx?zQ06KuU@KljKnnf?z%H90r_eH!<_aV}Ik0j`r1EmE#3QI~aO=%6 zDP|LCGlv^b%<}SPHw7|li3f7UfM+$b2RchjkwW!~lF~xn;;&Ny(O#vi#jZ5iJ&FCA z;DVwSf3*h~W0hHP^EDez(G4S`@ZqK?d^leW?7~7_lx`lv0N?FZdlITZxTW_G`1}$q z;8o;86D6=0%j4>aYE>^d0nDg4AvuWhX$KOV1iz!KVXDR=KLXK`kz4_UI1=SNl8!`x zgo9#ZT!KY;C}OyE1-evzV4<`IXr=k}fl6J%;dY^vF4*5ij{z$qEDwOM6sxlvKs1ML zifiF9nxF`*WXpa8%q#dLjbqW*jHpgAz*rmN@C~U=pFo=^MOII5KS2fCmMLj}!U37L zu}O=1FGf;JB7o`wk`n=>b@h215W)ameYkr_qBiLX&yGr`SD0;ZzTfR`p#`E+YLTu~ zQc^!r!yRP9q%I6xQ>~~!b_*Jq7U&l#XzfX_UrB5j6LzO04IZ{b3hGZn5j;P&5ks$A zusNcpmHIoeNVa+{8%THwZBTmPo05~m7+NTS20w$sC#~h_DMTS}@&0h^VHS)=+Un)L z)T@N<aOegSWejvtQt4-GP6(aFQOn#AT<!Fb;LW8Dg{TG+9314JBve+6!#t;|mD6c` z%taUCS?}%<*J=X=wc3smteD#YO^^?!Z%7+B1|BQ<kjrZkws#lAS*_G#xe%M9q6}AI z&Q@zQvUr}`bPDePvarKLz=xhEswpX&tO&JOo{&^+c_Am1#yKnjae^(QfMii2Cu&8T zpk6D^MmaWBd!ZI+4<0nn0E$ckreT^vh>t*oGW=24GcMU?G0Z@S$O4_~0a(0i%#11r zWuPzV?R`N#hD#8-BKCqRmS<O^k*MFogia(OO2M#bGV7$pDvdTaa@cLyuf~!=1&;(9 zkwOj`R4#Pq(8y^AB8;YbYgh`))swuQNm&OUSUZIek2p@d&~4ta+yLOY5b{!oJo9NK zIcHF~3Da1%XCuu485NEpU{2k91bRnr2w7~dccBsC0t73e0#<|TWC$*><{^y^h2nmS za@@0qgc@O87+Qc2vqZBAM{sH0+lc27S~KP`;GlE4stuXOfmYi^e^VHB>>>Q~aT~{_ zI-<4^-91dLj<TJm`$^SB6$$*&2#(i)&(}%J#ncuCnuM~4wFIPf0}ueO{4DP~oeYc> zBeapt+RB``Lyu7eIvo!osS#bmh7kU=)?@hCX;g@qo7%J#C6p~}o@8*fW*l#euXkMq zBqS_4NwEkXAjm5cI4Z;l&~5}`B&BRr3r&?q3f9@Q0=!^I0d3JRQ~9GoC|AXT495z5 z#&If)EiH}}Lb*CfRlBrgJBo|KMv+8!z@oyAf~r7uvN9a%M0yTk+)Agq1)ZWAX-nP! zPmm+wFP!KBM--o3_&_nFLGa*95)ybuDU7rM=7ZbyY>-z4undsbW>P^2OaVBgblydk zNzdbJi@8*COq&XLQ4uQu9ep%7s=vT|3JCO5#_MzYxVSJHGFTYe#<{efD&qZ6iO-lo zl;!cf$E`w1gt(d^(Z&Uf0ZR+`9$1ugy_h0S>&93*>D#jt<tf??2S6D24s-#07@Ne$ zf_&)duTMxCkAq-f5aUY&9+$$z3MH|_ldDlS#T4>D^OXZc8CLMP#(-mlC2?Qta#ArQ zguRRGl)xE8MKT30A@SkMK_1|u>?3k`#dMobLNmX%Ax6N6J7QxL+9_Z|rdDRqC)qF_ zp-y;2*+atUaNJ5Bt(LU;WQR*)0gFdWyU}kEi2(!(pA@!#+CnKu3xgE=hj5%N39aoE zx-Iw>WOCiyY9+!TkWkEMKBlA&rM8rSmlRT(jk!@wr4gn_!nUPA(l}s23PTjevj}_y zs&c>q5FE6%eL#|oODE+)8~xJ;3TWH^OR2URTou!8)nm@jQ4)Fkif~)qB`D6_PLQH5 zG>~xR#|M%{4BEgekidetRfy5F96*<{08!A|9lF@;Z51u<_hUlr5>Sah+zC=BoI;Nq zReVRGDBVU5)5fvBNCRU@LQ51}`?09<tO4xGjE*5sZ7XOEg%(&SFSJItRe9ck61a_M zs-t8K<6<O6yHQ$LaHc?Y5Rcw0XlNuwv6O_PP`DUG7x9YUVLS_`R?oIz3`g=ld7!(F zXU+MfEAM1)Lkf6BnE6v-0Un-}vH(kVNm!Fwhp?fKoZ5{&jvX3u=rP+%VXdgxn#(pp zA})HCg~p?(;?1X<$Ly|+w0q2>I*fR0*sa2}Z*}&d1Oy4N%~90tBvBM72Om}!kz}p; z%E6+F0h$YzA9vFB$O?A^zcL^`7IEkV6msDQWeP;0vBha9!p-3w#WbgH#IbF=P1U)L zHdfKScaLBW8)>pV*K6hK;zNUDxGs{nnX0@h{HTf&^i5oP$#NP;&=6>jUB*zqCf*sx zPnHZTWjB(O0>Rf^Clu%NfEB<f2|$s=K?;0uJi_kOE@7f&-=!irPh-*6Do0l1!WYLi z6H7y?-U2dgjW7$lEjo!aY`kHG36{qQgb0j8)F)XVfIzobI)${O6k}b9a2TZj0mfbA zEbwRmWgXOk51pqcJR}69XrV|eeDVU$<pYe%fLl`+w@3V>4U(*&uqkO%4eG;xr(rh! zJ?{7VU_9%^HR2zI5o@^EL7leUrR@1Yfd@1|+QjJnDVT*b3RwJ-VW-UpBY5CzMq$eq zPN7bc_!NQ#Q(5|QVMq0PL%3LHH1eFS3OKj$oanJt^{PyZhD;kx%!jb$^b^kgSyky4 z$L#`1@kPi&J8^fwoZMn8cx|gqaceBQ-{2K*M^^Sc*{b_*RozAKDk}}PLS4{0zG4>u z)IbH?&e9-oKLrXlz1yGfbzM#abcr!c3Y_kTRv6(V%~_7-UqPc-N?f5>Kcl=tgIdsw zlW32iO)m8hP&aB;D8rybI~;TS#T61OxR6~J>xV@h5{q#R6=Fh=<YCQ^Uzd<5An=(4 zPBa=n3rkw%y5f5h^CsawQ{eo90;@h7!$mN2QI}X%Ee9Zmk82Vsi~+KdC<MC)bEiv< z5*>+G%NW~GMN%l%uNkG){iKBpQrN)^g^||<vP++}*%B^pgF{witOu^alx|Vm0NWUT z5x9^+j0dMNyH-w%BMY)MSSBhCJt)JDMaRQOQn-b0{&IkOLJtc?TS7@U%Jo_+q&~AU z|3-9c-rQruWn*=OgoL`RDB2~F$4}|<EU^rDBn)s}0O`~<g&fhgCDMw9QVZtG;Shdn zm1#Iz*265EVxdSBLQ+yXeZNxZlWe_tX>lg63vK3-Ky@LKNQXDl2e4gC*KI4v22Em^ zfDwJ&@PiEiON)?05`U=C>gUyl4>9!RZj_4-NrbRf7+ey+5~ILi{S-)`>uhVcBdc`d zY-Ur8CUiA)3)6T<V>qxNt|C}gcSNA~Cm{+lhFb8m1CV5j!6qTyqIll?I{-dL)^|d| zPFU1IrivBefyjWR8T3F#IMp7;4Wo!Ys(TN9%F32$1^@fKMS<3Wd$ts~WGC4Yj|@O^ zVl7aE7XS#0z*AhmBg8OCI9=e&a<H)=4Ztx-5<F|&<)p$R7jee11<-d%v`rh2nG8T& z_6n6`VOdZ}vHyrT1>heb1EBMB1W?M11BJne<q6B_b%&_2Mqx>s8Uu$!@96Ey762v- zzQ)<SpU^93R?2PzMrkaDDB_e(D@@7?=n>Ry0L^E_tZx!WN(qIZrB=I<LVTl%6|9-| z>G-*uu+nthMIqIcBN`aP=N9A<12^pQ1(p;w*>ODBz@M!!k4yq=pJUy85*q?jp7y(D z6&zaRKmkue92)0<>R@f>U;t<`vt$8hO1G?lDRFI$a;ym`0!_5@qJr_NSFua*$@1eQ zTu|-;7v+_agUaKQO{lCki^kZeLQfuAFan2#^QSCuJqCn?v!O|AB*5T~wbs-duJ-G< zbc|<^bE09Bo`c2j3hG@Em0olu#~A54Plj&V+Pgx)NR;He-~iteU175=5C^uvV?ISZ zPVwYSDfBt8Pl6s`LZU6e!*=>2E(ZdPA7e(|jRoWa9>-*>ER|E-?F`uU%C+m@vgDAk zYm{;w1uH0+4T8}*)cdiDM&FItO3%hgKJew@SCnuc1x`}5D4cReVnADVTa6V(8?kEE z&v3l-m?z<*M&H$-U|cx}p{vcgV0i1a4i-?ofK#H4=vY`?Z>+Ctj-5wM{%t(2+!9r` zeh5Fw=_nE?B4kR%Sf+EPss{}>6EGsAgZQK25w502$QE5)7*hhC|KJ`D22l7p4+T|I zcnnCi=p0f*^B0Hh+J!M{Zf<d!WDHL~Y@8Iroe~ZL6AwPRgbPDt0laVVs%Q(fhVQ== z%T5_@#h&OGRws6q9AHgQ7fJ#wn=V#Qig{Qi-yF7MSm-<4J$Gw+fXqA^lHpD#?pnz( zB^?pL^MyIQ7Pj15Fw{^4ckZyDQUgea(g@X%91x_?zea#k)-9`&ZSe~f)edzzb}xK2 zG=l3RW}40MkHQkd4R<>+wt=<^+NMy9!7RB=Iv1iFm~rCTamV$}!}N?*8?Qdh+%>eQ zxt|<bNP;BwNZ2zhL4*9N7h&0OOs^VM3!{MSa==K=Ew)1~Xpne>Y{An=zb>L|J;}KJ zVL5=oLe4qjuiCJ#AUbD}h*@-F+M5O8gNt{?yFW54y)ZA3dx0s0a~=y1tvPcQ#7b&$ zLu%;{tCl%2JZ}OhvPP1KljNx5=go~$sCTe>)rej%VF;uYBtp3Y2;V&VkD<S-S(w~B z^y|TI-;%7^vNcR8Ww~2N((YW>P5ycKuEF%9*qoHQe_MLnF!;s>cYj=|%|mykHVvyy zw;b4f--EC1u5B3oXz0FYtSy^|US3sm%Pn-%=BrnJ`=^oqn()=DV^3l#Fg_V_22lS1 zuD`rCG{}5~POrZS7jSRRd7HymZ*#q0G~99a?h8LM5BqW1CpK;V-JLI_zV(BJ>W4SI zkou3Yq#Awv-v)LMpxN_f`p(gz(e%hB=y+0UcZ=HmPdk1yxVt62>9l|O&9{(Gzy8AS zcI|p4nY?-E*8{t+S0gvx)Ho}dvfST2-hbb7sZB$79=PnjJ-=NTzVo?1KlP#iSh($` zp=~#$uD^cU*?X_~%tvnjQ*UVK>Q&a0Tk`eg^cUXv%-*kFyZ+P%F6|mPx##q6t^eVN zFRwf6&(7m<qHOCfD5sJ%sJ`*1&FgN=T)Xq;Rey8y`$He7-Sp(ZkctlNu5t!o3d;)Q z9=r3-4SgNYT(EWX(D%+?_<ucF8--79P*&~MEko`c*V^*?p_hNLaNAQ`TQ_Z)o8A}! z-<N)|@YbicY*sJ-EGD12b?5rbtJl5u+KIQ{ndv+If(xGBJTwxrZt=Qr*gUcF+mH0J zPv*v+M18(b28>TIEjT58;?{qV=lj!Z?8S2zJ^72Xo6o-PyxH^q1^T9VD%pA2i7zKk z{qmQ$oK^jF;&ZrGEc)cnUy5A~pGP0rQh(ZkuP(ge#S@b1)lbulE9U%Y_?14ISaHI? zKXu0W^x_3O!|#9YXJ?&$!JGm0rIqy5ijf;r_ADz^b?4=^PiNL&{nVY!8_v#b`0};Q z%^e#yTzBo48*dzHoQ1h-+u5UkbM8lWoa6Di!<r{mV7nmx!W-+K`-?NLx$t|J_B`<2 zp40zk{SVK$eASuFpQ;*zPr3r1IO@cms}KD2=Pza&u6gk0+SLndYKOO^)N1i<+ks8Y z9H&Jtp(WTnuD4WUA1I!##^YviE~%<UHlg=u@;*(yS2G*yvN~89ug1X}s;chAbqhxc zbD-trvVIDO4kzIX5c~N02fz+_4&$hks^UnFb3U^_vj_XTM}eJ~m;fn*gMnH%`xx5S zj?Kcf4@!WyoqBtz8<*#9#DvpwD6@7gwq>(iUpE%ZH6;>DAH8hrx@!ynh}NZ<K7k`d z9G8Pc=A=yKuR!%}g#F{b{BXE>e-=+G8}7e<WFi4sPe4UzeRBKB6rSkA(#a^|SFogE z$pp>?aGhFCbw>wU0P8NPf;8ZbH%w@1_3JYN8dk>lH(=-bOVzzE6>a@4;4|C^r|lC{ z0zP>?TNVlUgQ37DjE3Kuj88I=3O<3cdiNN9mTF8fniw6OXt-=^W}@)VHwla}wNDxf zd@|c_dtYCHPxve=e9|g-A2b?qa{Cuc`UD3E^L?_vqoa?t%`ACoT0Y6F>@Ysr-^V`j zb<q;?(wz4J2NZ*3>3CmzKE5yhI^>u!!+3T`0v{x^;{;;>dHb47r2WxM#P|fmM~{<? z9w#`lhjFqmvKEIO*(ZgnjpFEAC7(=WPUJp|<wI#$!Q&$EiK5J^jP?oU>mr|Iv`^Yc zM>3fz`cz*AKFPeE>BBgg$d|liVhJW3eI8FMx<4KV4;sAWCD;z`nqr(xtn8@9LC#Fo z{=TBQOWQ5KDwCeJPlCCN<(&UR6vli6jWBl=`vm*q!D9^>pP0F8l2699Pu9{n%hgRa z`XB@*GYy}JY=NQ#`()y;$kDcf@KxpJF5f3$flqMg7;~5JlLCpN*S!K?5#uo)$1Tn! zIFBgsP=;glJtv@Fly3Y!?#Y*#e2afhk+SrFud|mQ4!O&4Ca_01EsZ$4hdgzmFSuN> zk&7=r{d|Ek$lw8qWLzhCXX?1ub8Dhp-b^$XF%t5j&u+xf!|mrhR_YH5-pK)-afWg? z9<wboXDQ3ZT{ydWn{eDm%JK2pPPPT^BERoCEz~*C1U*_<f&ZVn_W_gZs17~Py>n;W z({40(<gpmbvZ!efrY(eMdt@U(k!sp)F*d9j8;$(u*=Xb_jxh<CAdti7n3`rRw<p4k z69IOXK-<BwP}rDc$)6WzN!upiM-=~g3q0pr42JNr*}SY#aBRTw^X%_b-P_Y6jR5Dd zZ?k8ntLmIOb?Q`|s=9UWt-7@Y(3Qt*BF5`T{uy5P&$X$epu5s3%jxJE>VkOcSXO~k zAB(W-H)pCn^3|bhdz#fs(yr?sz=VRS&s=6`*DcNJ@SZOoc+VG`H&l`{KBl<x-Ef|} zLVZm?{Q0jAsV~U6fx9`8@;06?6;w`YMQy<p%lo4H9Rsd>$3mJtqR{=18k@2QG4Xo| z!@kBnw>&j8k$mTjJzswKM*Pt|=RL7eah|RG>+V~g*fX*Bi=Te)c~9IpoP1~Pp7Wl% z((|4-zr;_$MZOdBB=<5N6~=qcb6NajS5BOO?DK-pv!pAv4A-*%5nrE-_;z))*6$JL zZL(`t>n1sn<H%pl?QA{JrLOxaU&DS%_Dxdug6O`=O45&w{NB%_#r{;hymRa{`kaod zKN;>^ILs#l1~y-D&Ecu3XUBGI?m5gAfXi+edlnP3i*Om3uRiGqme<I47Y2!+I_Iy? z85_7~$u(w~WRTWyJ$q?T;yV(gSUQk(iO8>x%|L^1>xO5aExRrjAJlo4KK?E}|LCJ4 zpZ)CAV4m`5PAcK~Qc=gQV`E-AW$(@fY?6OR<0quQW&s=Q*u43QUwUZj@*{_*271O8 zUYy!N3V%IVOu4*GKDAYCQk2&$oa*(SI!C`*5caz-rd=*{!rJAiKZT}w9JhXS)~e8K zG5F}5ye&;?(<!YRPR_0$rQ%^^efF~piu;#4-CX(-sd)JCLTTsE%O>TbU=#m6f~6T9 zg|+S+pr3s7A#al_7JfoMxqkV6(q4E{MSfep>m`q!^K9?H&(u$Pb$EG6@KgJVULgSb zNx#Uo12U=o<XD?9!|`@~!S@q(-c6l-TsyOZ1xqjA9(`sn!P#3p{4@2F)-~VKv5K*a zesaY^=qE2>?E2KBnN8RhDinY0oOAwqVC=}xFm^>(yoBQ9tXg-+t-nq`@!W3Ur}dK; zin=%V2qV+-HaSg)ttss%N3PEmSk5nwLyeM;7thmm+e3e(K98;+%KV)E@$3x4k&N%G z5Z{;i$+rW(D#KZJYkh8!ce@;ak&F@(TTlE?-o=S64v*@BK0~*LGZB-Kz|a21n(CF@ zQmi481>}KG9#9{>M?`jPE?UpyX_Nt8#ry8b(&oO`l{ELnRz*ovw5Q-E55y{)XVI}u z)sKog+hc)T@j|P<#CSkjRG-BTnJ<}t`%!pKm!K=>Fpg`w<jxKr<otF>2R)N}uhFg< zv8QfYpTct%;dB9<y?)2|2-GtVmEQ3?XB%ep43F2(7}!Ohzb=I{wDGeFVa9m6^)Akg z5#)+t&tHL+1iyZ-8K+UjkH8Pa%~zRe4HWJ*1_{pGTTwaw3`N!FpNRW~aE@Zn<-|4N zY2Is|f*fal&*6Zp@5``%CgeGNuXzN%p37%U3tx3{>eUv{aWtSEorOAc;QR4CWIlT> zm*C3h0UsT8-y&s`_{WR5(&M>opQF-&c)u;-Su3`!xK)Rm@h;T1QXD^9;1&TcNi+(A zv>(g@cp2DZe5=ZP0s5jKB+QtW^=zP8%}K*?z;RJN1DgjHefTAP+51A8K!ze(u5R#H zTSXBfPXBTUp+#KjZFO>h|EEFU5{BjYP1Z=`tcpVd1LnHYE|;<^x=ZVBst?n_qhp9l zu%6moVkLNM`k3Q8_2Wian^287nHZJ{X1swAi)>gXu`=bqWugP=r!w$5UO5*_lqr#w zG)U>Z{LN6ClkqjIsl%VYh3<+C!NAi^c3lXs>Xw1tE}9>2kKuA14%-PSr|0$**P$Ob zV7g=SvUk&5H?kPELmyg1mV_3wUqU_}5+5((=Rlu4jtqMo`Xc$Ln(zsZqQR`A$>NBz zm^u%cJg}@EQZAF;@AEn~N$4Qu&Tw{&bQcl13>>TYF_dFu9V;eFlXK>Fv&V9FD92>8 zWW4IIWdZAblVQ{>uVD+u@LDvGw5Ez0t{nA#R!i-x5uM=YKY+{p%rjj*V`)r|CE2JK z9i^w1W6@4|2>oQ*ie3Vgfs7p<1b^!QsUmPBJxVa@h+%#Jl#TU1%vs-8k%a~l@MGc4 zeiol+RXut<_F09zGB;153%FuMyc4J<cwH1N4@>>{S*Ib92{O0%S(Y7MrRBu5&U(C1 zNznrm`M2M!<73guyk!M2KWEWi_S;$OqW-${O7^NPf_#30B%4}&4w3;PnDIgP$&~2S z30D-z{FktrvMqvtdu~-(qMhSK|11LZ+y04Kc+f|&XNwedo;&%fQ%}E@9F{E<&z&a~ zgzbZT#k;K>vyRW{3Nkz-j>UTHR_tZR8q*i@&;=b3h|O4L3}JvImK}}bJ}wNtZYE8L z$$we~5?M${NHjgKtk1^-^qbw5M_|^X_@K4f=$SHf86Pj!`x*uesl&hZxOXCK=FF~n zm!=7FG^>d$mgSad*+i+ECr_TjSrgfng-9+D10$KhY?yG^iFD8jg~-egg-};NtHUnR zQpXr|Ge~odp$C$!vm_!?b3_rUB1vzfq3|;VVC1`SR^pXS>!c<w6nW^cXpTTj6ClB) z!;XcaRF`oQ)#z$E>!-S>ap^-cdy2!ib;TS5gQX4&RE3Q!OYnU4Ct4Z`X+tJm#nD6p zBSxB$*d`c+KLb&rkVJ^1|3)$b+AFN%lhpOe%2QZl%>s|ao6<<a@=*>{jL5;mN*=(- zwo#rN8+|wv86phzNS}$zNQ?DnwLekl2rX5mGexrimxyn)QPfyVz%nFOsp^O~MDY~j z*n7uyLcdap$1qY6WN2>{G4v9)80z9#U3a237XLY&G>!DL*kzN_9<)G)eP*mJb-J)E zzBYC6(sk?TUT7t=dN8B@_46pW5Nmr^WyvK;D1C3?lFGCi4k3PdPc6|9nT=ZwIDRM; zqeBjw+Sdy<OB@wt$d6w>Ei_uS{B$o?*mH&ypJ=rhXt2^mD4i7<B}@h^S{x260q3Lg zy2A#!b&XZosEr=FzKkXp06(F5QUgdY^n1aYNP)Qgu+o)^tAH*DBWV|b0%@TXg6o4g zB-C+}Z23x=qOr3QKjk%19uvkIl99CzkbVkEJCgLHRxyQ$TcU`;C5K2eK}GAuqPW%= zcEvR*cFJKw#rVHKq52$2m4n=HyL45ow?Gr{)EUPmSrZv)sV7aaCj9!m7=6Nz*bqo2 z`F0&)RElTdnbn|CN5nA<;BwcC+I%mFk=(=~=cZwa<tV6w+-#K8XC@G|r1P<b7K)3E z=8Vet#*1wsm6#yKhw|JY=H#wGr)@cn_L>@T<RoHRg$ZL)4aW^~AwOxhz2rp0v6P*f zn`#qz`8mR|OoouwN2&{c(a<^FcXy)pb6)8<y06hM+?QF*)aUx5vX>Dbq9zMTlka=F z1{9xFE9e?IHA!()a}R(}cr<^P<TRs!h#Y?#KkzC`(iFd*x6gq&?&YCXCSYTOjBJc0 zHg4X~o3azhh*b!^px06X+7jyYINDc|Mk`e^x%dbNiEz{_UxmQnM%WGc*naOq(~UZ# z+L%-s?Xq1!wK>;?vr89Di>~_(70}Q7N=08Htj81FgtwD?$<pH@(6uZ344_4%^KI_b zAJ$cIV)|0g+bbu}hcpZlL}*5o!jIjEO(}YBWC$g!Ms?|gwoPHSlSV_CGO9!5=uzRw z$1Wwc-_G`P@!|9m#LgJ16<-(b1sGNpN&HIPSWFnMD8Y|-ypDG46;~ah;3b9Guwln1 zb>8*eE<U9qj`A7)==&;%AugTv4YuH#rpaEALMt*NXA*Ze#ef@DaTWGU++C@7bzH$g z<>5BI%L`#VNgd-20d9yp4!9=GDJK0P2Ul$5E?qs@Q1P0^iE*jZPR%|;>zb~~s6j+@ zNDgX0aqb}c87is5_||M{m9UJEtESJJ{ll{k{svFWyb7#E)Zu|mh6UTG`kln2p^Ftv zh1@J*;}D1<85Ep01n7O9@QeMrv62oTW)AHfsxZW~?j{ZWvTUd*z_Utu9qO#}y&2ht z(WPb7ESf5?7?J5xRpw7I((71h7rRyiWhp^4$|zytQMO*XgzYEg-k5Pp7tqFZ?vdCe z@hPZ16BP^<pnD<3m7Pi^2X(b3jssbQdJ){6vCFe(x=Z0|D%8v+6C7E>rp5BAAkQ#F z>d?e6pu0k8oVwQ3L-IYN<zmZ$%(NQwVFPTR#8$?s!zdX{rLA>olC+xsk&MP`3cF=v zS}mNzn$`pndOW(SpQ+HITpZ6Kg}b4WrS1wo3S6&qN^*MWf~7QkYXEeVb_@Yrdxn!< zlQ=Q@$ChT_##ch`lOD~AO2spp@es>{Nk)yxeo}mRHP^ZkJ568Eg%J^71BeIZX1SSd z3oFe$j4D;HKKtb>gy{zi^r?BUp)E=KyNjG*pI{<at5sAs`D(HZz*Ipcnh+Ht49NmG z<1}GXXX~NU*<G?SniB>^f`DF7W*UrP+r`*a?m*a3qThJ#Gj$yxPMKz^u2s`N8QWeP z)rJXEn3c1?&kKFwZbeQwl$K{@^+>EEm^4h2=G&lNdYIaKNc>dC>MjSS!?E=nc#y*7 z3`Z|qS_Xmup%irku1%dG*U(4|LFyT}qscuAi`{jUl=GzBYLvBZYS^kBo9T_PSR3EW z0Z@LAu>q%zdC7BX(Fxs$sggq;^no1ElP&QXU5O~8(=JV&gR&=x_Ho5fhk4x5zOo$` zoC;TIS}MYmgS!h!Eviha0svKs)rg`QB&mZk3)YiBKjGcVFsM#T&h@P1v{EMeb>yh? zaT|(v5fW@c_iXwGTZTD_3x!X+q-b}6Yz$SP^Ccf6Vq?R~7*r{U6aCK|4J2Ytbi<Kr zZQoDbv9Xv&Vg1$3Mud%yXV@xAW7i|&X(=jW8jA{X9F+>g1$NMRE7!HLGgA7ZQT`!E zvQPpU7c=d{{whw0dR8SDD>1f;DV|1t6gLDNjK1X&_7M18hP@<@x~A!K=|p#PfOlWi zAvdxoq6TElh0Ast9+0rv0s!5IH;Xms;sCX${zGV9=$oipG-b|OM<wj$0pB_Xf8^9f z_$O(ojTKT<CQ8k>bgWhGq@;QHprT7aI~QZs1PtXqO9pWi+e0#)kYuuGrAA58P01`L zT~AmVvCO6wx{hmDED?(n_p$Wk%NP_*QZl61oG_QvG)O37oJ}I;PMHm~QPn6$U#y(S zRwjNrj3h?KNwNHLh&%^YQXUUB^N;d0;j)k=G^&?wn{3Mdi6}ae%R`zNQbbx?RIoKL z2sNs8V7wBRFyHq0niH!*`hnu26052=;Ac4+K#BpC$Y*4HxyUCn+)DbE*stlR4MEVH zEm7y#Iqe^0!lMGAYGrvCo;1>CN6ShXGF(HZmAFZ!3oWamNGeqQoSdNztSVfyYYEL} z5HX{bSya>UAc>fyuZTuz(pV{P*w$ouIIo{dqc}GFWMYPECFRPBhItDInXQYzfnYj> zhH&x^L&60$y4gVRt=0R>ICdFY7YU=m(eKKf3Kuu*W6>R_>t0j9R|VB=eWnE}2^;9c zxB$fus(-8I-6PJV2bHg$w}DYqei>5=+9VSywoy51!7@K(BRvF>&yA`bY(EYx^SClf ze-t@83>qzM=u3b)9O42lHfm8pC-AwgmQ8hz#)U;qY2uR^MakDto(cgSSZTHiEt*Mz z6FJMBl1|WElH1`PHEI+frYiN{Uth(+=Ul`uu^|lJ(qG)c=L2p+e8x8`cGkhy<nhM5 zBfY#-KoOc29lFTaYN+nQ@2s4hZZYf=qa&@)uOYD>KgO`>wa+i6KC^)fJg*52h$d+d z&@36G+W7PMNNCK@R@x970+xyJiMbE}Wb3oR1LIdkA*{;vAh#{_4lv`@v7HAVUVHh* zt!E6;38YYpITIl1Ycg!ajDo(7MaHv)=|%#{v>Wk#5b0W6Z;Fh;d(JU}&gu|FDKJTv zhsGInqnUP80+$g>8-N*5Wt<P_=s-J=MCnxSO9UrEMQJrf7Ydh<yByPc?F!C`X%A{9 zgtS-SrjD=+b!tfB)mVL>hJr=HwI<-IlmfnQ>b?R3N!?HaW3nJ#r>y8fW@M<wV#2JB zLLxEO2oGGEd4yQhaLJTOOBe9zLwp4~6CWS%Lrh(mivb3~<wQd?FwMY+6$jFJQaC0u z+xyHmBh#ux)F&fEIq8#Yu~Yvgg;Artz?n%>Jab23eKBGBiE<9M8R>J^ai7h)-v0g} zdS*W0`V>o@1gXb`Q2q-k#+qI3dRuS&*44XLeeUe!tB+lh&|)cP+;()vc{Tl-k#q)< z@z;1t)W^I_Wi#81i+UL(R9w&Xm6>R<x`#<gU%y;w@DnkPi=wI@Qz2&d*4w-#io;CP zkObY<#d7_($$BX_B_Rye;R-GWflFwrrt)}^j(cvQT#=PY{Jx=~)8~$8%EHMdxx}q0 zjh>K}lG5tJrb{+3*GP)#T!kkZmO}*j7)Ja7NqXlQ7m_`x*mW2lu?%4SN#fMMIyFu9 zl&*K&1aPV8LoU|g5}#HZhUpKuQ@oa5PlSo5Q<>At?zDL5<4y^A{e4#p_mA9Khzk)v ztJ{dAp}fr%g=&*q?D>h}+}v-}qv#zMjbC`W^r9rW3HR>YLPY(|{)WRKshdq4Hb{so zzOFNNF<yz`1C=XWd%Llzoak(9>?8^@hGVL9hrHT9jz=CQWQ_V;I=D&OYe~ENyl~1e zvYY*%Ysgz7lh`tI-7?VuydnfE2jd!T+0NRt3-Y%0%}>mgiwri~E6upCR^B0na{0o^ ziY=rG-;CngcLECZ#<u^Qp+q9Ny!y)IsZHy)y(}KRmb-m@LaieeaO=>4xoF=^&vgf$ zyRGu|gX^DiGr%qTcC}{QjN7;Gx{rQ-?#!<r+%WB&i=69w=YfAIp7~!6Zn*BiN9Q8$ z{GWmj-!0c2_>*G)$8Q~Y=Ya!ra?4EL@obV%_0-HU;B68`uDN3P19P$c)H8qk{3G95 z`1;=WeelJ-H~sMOowJR`&9R&L&{K22)4b*O{^p1Gee#}_GxNW-@4IfF>q&a~2F^QX z3k?^WboRiZ;&c1V&;#=;zVgCz=JLP0V_@!Io*TXR@sFJtfAiC4O+KE?Ki7Qq7Z0Av z6gKA0=kD3x{le#~m*4OX`pFkQc0dXT;^I@B@#^mQpN`zN{%=n|nC$+w{e|sktef## zI~IWXu`9anvu9uT<R{<$_K&@P%a+YMx9tDI!k^ys%g?{C=f+RO7hSqx$Bsi=HoWW7 z%@=*+w6$wqymZ6(<VRMlIQt`Sxa`jV?aH+m{qnZU|Kn?4d~x$@zy6xDf8oaWZ>iMZ zFihvCi%N8&)**#`=g^+pDwkH)KV_nsndpSRZ<9Y`Qr|m=uAe(|N9BxZ3H=1V;+dCL zHoSA_<hiI<`ksOg-@bPaZRA9r{(*N64b9033=eL3t7yb>5Nr~=PpmlQ1N-kzKlS4$ zKJfh03qSn(?=QUg-isD~bm@VZ?`tvE{Nru6&Gmis*5+yZK5-W|Y0Qq!?sIY9%?uZ- z>pTmds<0aV)x|HpZQbLyueojRpLSF(8(ekb-1RqACNEym-?*{-`a1?oL+@|AdZ^OV zH@hRQtTL_rzxjnf-oABHVfEU0?I2sV4;13>Zs+{d{cnAK-}<+_@nC7k)wh+?4XU~S zzd0MbZalQ^y8mOt+yCa?7e8=l-P^YO<+m1Iw|48?zg&4;Gv0ztE_rgxhMO+kbX95X z+O;?Cc<toH1NXe)ibvO7aO<CKFBMN*_v6>U?xv@<Z2jiTUcLTZH=S*EY<Ydu*T>YA z@nGOhzKVLQgGClrhCM*KUQ_?@S)a)UI$|<lo7}*u;H7%K<Vk^#r^)pmznpkL26En) z=7)34275HG!NF2V*SuG&16NE}Ir&XRsXp@7`S&r#4YYcDSp=%EaB`;ei68d3r}_2b z3!JjXYU(Ne<dB}NHg;$Xe_&y<2f3>35%yxTFK)6W?KjR2w8~B0zc_hME~nT+g@wUu zde8S8!zkZUm|{~HlyqTCq8ILa;rX3A(fOpWkY89=ulpR?t2J<1w)Xv;b4qnis@+){ z9Gj{h*}IqVcI>JW^%#kif9;3gLzXY&!oZt+g+E&z+^O}Q+oI!-u_T$p_;PKq(bTC^ zV+&jB^{t+k+hprrbms7mv7ce>eJ*T?pW8%<9h<a|9BLie9J2R1B>hL*gnblVhf`kD z5f{$z3pQa7+7z$Hme}N(YE|_dSg1Zrb&Wk+Y?t0Rd*7s#$EdSKo6LEe>}{$|?w3P< z(2<`7{GK_&?Y77Ztrv<rcYg4L*kqs{Y(>uACOc0%9NH;1!43lhTL)#p+hm}4KPPvV z)Z%yl;A>w*lrQ7dSU4l}*{%04YZDGTq@EY|^Rmfy>Qs8q*1da|*yPErdmsHd*@QMS zIQSO&N!?Z{ZA&)$RulA1`qOcd`zCvPLpPx(XEu5Hvy>^oqD|=0x~qAi+a|K}nXOxc zO}0L}fK86{zR(ko6nhU1>V&!5CfW%Wr1$(WwaKAeeC~JKq|_=EcfJDVv9Dl@(1-@T zzjNnl3t`{RIp=J}CLBoh<ibGt5WMFs-2dcZZ<BWG$nA~?cezatYaYE@?=Nb7clt+X z?9wAByKKTxcIu(2fzsZ+OUAAzOLc6*0hBQ3I=?hO?<YEDX+POJHZ{qv4{5a*7MzOm z`o4Akcs40r*vW69Gj_4-Rd;J1Ws{z*TUApz!d^_>(>r;%8jo=7X?>8%)uK&`EzOmF z!j8?q>Ih#9<aMtVSh}zD{LWXP``A~G<n-WUlWgq3CiKItA1pmNHkH|gu?yRrPEVWs zU+>o3RQhfi`g6mcJ?5~SWf-4vwdk3K$NGFjMBguzm&WI~9F<Ne+wowCF?(5{{w3MP zQ@i49aa+(w&dBq<Kt?oP*e6*l)=LlWn)Q1T9~qJO&zWK-Bt3UrhMGL<(!Gv<t}-s+ zt>MtCk~1!m&kd!oO3ImkhM|lhjrcryloE@-TC|wwpNuT0&+qX+cQ&8C!4LZtHU9v5 z=A_=3=XB<=D3Ig1pQTH7O%C7CKQvO?McKT5nLj@1_iWZO{#u?-_gRK(K0mbTJ+sYC zoMHH}j?cbEr8A9ZUt!qi==t(}kDgz)g?*5u&*<nFtKQ4NM4&nDX&2$za1Nv2Pr1Hy zhR>hZ9^2AtAH|S(nA>Y3VsDW4>ZHFXe$N;8>0ZQbx>s;`PjY~{@SQhswj{jU*n9cw zD&xH}-CUE%+V{%*ohl>q*Y0XIH|d#?yF~Y5>a(f#>R^*eY!a=%R=jR?-N(qye;pff z^IedPuD;*v@}6uTBRKmL^;}2g6UqHu0UyfiME7#8r_BVMvdIkcsnftmeTDSUe1DOJ z&71wWrDN95<4=`a7IbVnKGYLkuEGRxs=D=z_g?{wjcw*sKauM{sPu(qd9T+`{z-?7 zov3<5>Dc7YbTU%i`nI>pn@aV;B6E!?_B&6HO?Uhv=Qdt5hOAr#W=z0)XUp@|#;a#1 z?|IKG<L{C3o$ukhXJ&q?sq+cWa+KE|Js!VG(@iau7ciAYo|nTl{dCA?GTXN$(McyU zrQ*U+W%4tyLb+b?iorql0uJ=_$XHK#@M2;YR;=)6)MAJH{9sC`@ITBZjGsRN)Fxbi zxuR~~eENG|^X<)>$N%e_*V10ZeIz8K9`sMx70-^SMVp+)`F+7Ahu>7%%Pf+kpX&oM z&0pppYROjWPi>Ov5Nwi;s7;C^d}CsSJgEQoH13=HLBG^<o8;#K=ZYWlHVM~oXoZtb z(ip#Q->Q%NSi5yr*4}EFiN=c8zIJTvw9^I$nI3KZ*MG$(d;jR4NtWA$w)pSUCWo0h zV3UP`Yc_BG#{RYc`HFkKF!b%u-+2v{n6=el6P?=zn`HMX()(sLk@k~?H*MW}|IPt6 zAY+qv%e!;`(6fgg&Fq=kM7tWJ_{bmk|M7TnWb&S1lk%O_@k6~o=&ujzd_w!l(cku1 z$ofggwq9QLErnncFFDxc@O{<2@>bdT+SlHH|7oW^`DC!k)1+z9$9oob?)-26E!gkh zr%m#)Yv7vd*1x+|$F9SNb?oBn8@}B6*cEKz8)7zg4gLpa#iBMDd(+nX{lx(}e5ihC z>fK}S&VAW=s69n5S=@pgZ1Ub&waKd)x5C)9Ak}e}bk09nKAufDwI0alS6P3sz4X(i zbj2e%yinac_0|FIC>Iu9!F;(~E|q$E)Fv{?FSCjE{a};qyv4^kv+xw&@Wk2Kg?AM# z+)?sSz&YDc&vA^>n>{X_GY?0y_<zp$jrZ9TSfo_?xjTI1@P@(SEB!(OQSzZ;&HJ~2 z>&uYGiSrQTaEEI_buXd%K(;3l`8;rs<ksvg#e9Dw9E0bcq7V0@!~~rr%Fk}h@*FAJ zNb|lY$Vl!DGI@lgPlp%tSefMoAH=BdPcmK7BC3)eU+L^?q->Ni$I+QiP8L~wzTffj zrFfFd%i`JR8038vsUGfaP4mFNAom{J<ydK%ooP5%xz|9{eT@gFZ!QRD8~QU3IqT5# zM;09Zxr;h<qvG;&7vuhrKKFTcX6i3Pp1s$YUKlHW&!Nh%jDSWK#{=oQ{HT4eao{R< zI`g;TH-06Yp~zW_#(*oF@{KdNbnv1;&u2t8_FPufZ?Nys5U+bM@w_aR5f_5Yo{NNZ z3IW$WlZumP1#zBFV>MpWNxqNKg))c>a+jRHsOgl?Q8vn;$#PuxQ)YNY<3B_hd_Mb? zs?e4%GI6kkrn!t-XJsOG8uHW-1C96qR%$+mJRk%v_49C`G(5BMR3G#l%JUX}Do>{? z`llKM2)+>$P^*4hV)0DD2{E|F;~~AxsJ-X&I2^jiYa!IZJx@-{62Q0^pU0`6Lr$aS z=m5UNrn7><GwFpq&e_#3v|&y<6qAM8TIt6DP4C)EBUw$QWlZ|I$Y~Zf`r42zOrrYb z?aA;8;%Km4nrNK`uy~{4r$L2kSD`^I|3S7!DsH{2ArHi6fy~c6n`L7l5SEv}2`7Nf z1IP0{1BLVPU4@B`lfd(L;u7-HzdC=iq}<jE2x5ggQ^?)m=ae2#&p30U`b$7x<9Gs$ zHVZfjT6XJNBu=f;B@fDS?I1|;qQrU6c|W*9I8Xz}@@}#WS?gmlWga^DSG!n4ved^d zr<$_*NPNTZCqrg6U7N!V<`U>`i?H=Di|dNFop5&zc8I=qwXb!j$z!4Et2%ECKe4uX zjKmt!G^3>5h#%G!DYYAx)}4h{q3m7b-EL=*TjTV%6c*#fm@F#yQNROurzje?`gc89 z9g~MVt2!M@9)sW2tn&PhXTFds=L+HsrBnV;#xA*?py`B`D$q^uupCV^vGexkVvh+N zW4%|7sfFe`3ptq%?aE~`{CjM|<xq+_v0=!~qP4PYRuS6?^Rsx4pAY%-pfqd(KA~Z! zj`fT-CKUT#>LfOeiqSaF?y~OeqynKJ-~nQNzR?X_1N0(oRH#CX$e^yhmg)^bd`D)6 z?D2v^>N|A;O?B~D?j*2Z4Z`Y5KYDdU87oa?GU|w43=H3CQARJLz`|=taYbWei=$8w zmf`tO?x0-iphfyxQD(&@jz^77+V*z6;A>3k>P|!^5-0tsvojI=)>lmk5vS>IR}3Eg z#ZVAOen=!lyak0TEGSjXDWMC-2}ff1({!mbi4Fy+jJF|-JFo!Nf<BOl>Jbv0_HT?5 zL0R!1q>WzCAUOPjo4p*&CFv~h=Ecgqo8etMn!9Mi%Su!;qM}0LQzo;%E{LlO+ZkO# zYK{*Ct6KzcBILA_2a&HWm}xhDQ|3YZ7wX|f9ex>D;@R1#k8TrfI0=I65P^#ov;2^u zL6svzT843c3c4D=S6OR(<x0amACB=6DOeFB^Yf&3X$vHZ#&O3JCpCL9sjO|7ibbG_ zWUy=-f~b`wnV6CB$bccogFb7F6=RWUY(eV$6s&jEcb%{nYMLJlyI3GM9U)W?!%JL@ z=CpK9+tM99H8^5)D+p;B-z?4YG(to={@6DwWCuD~b9hKeWLkO0X=14^mynt^7aJ#P zKh|@P@{YX~JDxMgG3g?SU74E^OAdsoqzGMvvJeZ+@Q>iMB^EMw<m8rKEJbVmGh!ZH z#<3x#)3uGgEKZSh(sNqNs8dVs@@9%<#?H`DQr2;!Q=*c_z4bIC4TMKHGjwLkqkTp* zX8=iRDec<nLJwm2HJh=WAv1}z#m<u{{Jh%-L5%*3^)v#ilCKoPi6(Xw1V7fo)hV1L zo<a{IoP$B2b#y!cw=f>DQ^6`0=5f>|`8gtyqmr0-4F9Vm;F5k_AeW#vab6{#P^C|k zujyl{B4HD!#Wc3cqLUV6aGMsxssdxVP)U)c+`5{CbV@9^#AizLgrdVjVLEmbR!hVU zwXAY_Z=Be^$U4-QY0HisI7umqZ6cgJ6l#3a5P7T~mkF`sasf2*9oD=7Thn%HId(6m z&J{3V-3L%4P++CMCyttS8pFjg(IL{d6{Ba0G_3}KOso$>*JysI;{9Y6-wFSX)9Kja zrW<8t%D@ITJcXF15V=$Aa7$V;8fds$Ox0m>TANA$^;bTE!%aS6<sd)W6LqB;>4>2u zh#6SS63R)43byFvFoRDIMSaA?;ouBU@J>Q9zwR*;6|-!M9HN@>8PSpExRDpr;KO^f zUXMn<(UhtTZe87=4gp#xL$8D2tVVc9MmZ=~rLnw=#a|MW6_^Sum}24*EK4~(kwO)S zCW3gUMMRPhVKCALz;A_+%UT7PR|vUIkE|+FUX!*RO&#l6XOx{tjdqw@b9Z`zs=AD; zNur})OZVrDGKd7=BuPiAM%iR(<<$RORZ{b7d!cm_LOntavGrO4k(Q%>)L9>*<0eg& zc*+gUgHww)^*~3JZr!XD7>l41tD<yoE*dE)kx=O~<f^_^hEV~Wm33~)k4?%Ux+oA| zuZ?VXkuy+EhoWLb<Y7Ig0V~Jjs(TI-aFDyq^|KS6)<O(5@1X6bqwCHhon7>TFD7Ei znA{EB8aJpBjDeJ@BeN&avIebLdT?Z;8>bbl$OAZawMhcvwYAivmjZ#vBHhwPeiW|D z;K?*62VKDseUe;~T1Bi=hs=lnj7CQZzUVE1J|Qd7_+UwxGN6{Cgk9(syq=8s<-jxs zqa!g8h6C);u!V7L$Bs<33#uHwE;}tpTZ_?StV8JtW!UVJGU%0Q6ov$>@YZLHaUUC4 z$W579_L$%fje{`$>2@cHwp*Rl`e;0b#3DVG0x}(KgpeUKi5+!PbP0_{WX9>KM{&%@ z*BN#jME0moi4y|{Vi_45G&(VcHs@5Hh3uOa$zx*R<{woRX@Y*Jta;GK%NprrgaC|& zkP$R{Y9GvZ%X+p<$sz4^qYa{B9NpFCS|V;l3HZ#r{?s}3iPZI^P>nNjE2BYfQ9^sS zD(R}AXmXymf|wR==cPr6KU({o_An%s%f3FONQXkSs;dkHdDJ;Piy-Hie5DiG1?S8N z>*}fRwoFKRq2aEgND&#=3TGDM{gj6tn(UMN3i&ouazZAV(JRTZP%Shn)DPElp9Q8) z=A}j=6OD3EQY2>+G0Kl>;ZNk16b_GH;_1wj6I+KO(Pfs|uHiOil;lbgD}`puDUuBg z2}FKq0HN^3!fv^n)9EZN1ejIFIC1K0Vh>vTj6p@Br~`Q-9^4%wa67}yq>^kQuEa)0 zBx0Y|!<LK^+N>c4K+4Sp7P^~;?A}%@Ib^*_><M;8(8MJ)wIuE(<%~l;x&ddvG))=v z11)bKYRP2fQet8#vi0#I)>Ebnc9uXIpN~6gN3}(=VS+Y?GPaO7RI*7VEnIp94O%`e zhIOb$D@eX0+qCS_zHy1}rvG_JOk((m0!5NWEsjr@aob0Y7p*iM%rnkdc{m<6Hf|>m z_t^e6O|59;;LV)z{kix-(lsWfPh4Ai_@gc0Sj~<Y8Xwv5#}j*W5zRezKI6Cr7WHZ0 zR;nc4CE%a-Ie#d*jj9k@QFOw5Jl||dY`N<5fc*0XDHG8nznn(#34{P8ynF^H%v7{3 z&B|;~;SzFRS(wH;qo{H{(;ppqsyr;7WY40nK;i(#y!MPMFruP9q_^6Fb>nWlz>f1? z;;-cwqi1W47#eR6?uZsxKHW65d1y}O6FP_9??_;`_f5__>#)GQ%)l-2U9B3$GY+jQ z`)B9%mN#M*21)KttjhMSNUI>~8<yBagrto<XY`5~+sG3n2b3gH)F2rKAT3oX&c(jb zY6XlV-Semyz^X^@FzRa@Ex)c;_1&EO29n0sYVVv6@khD3LQ|}Ps^Y07%^DqKn0<+S zxtg07C`t_bJPEA6)H9%K4vZXD!%54hwb&_D99{fm##f3@BxwmGO3=rH=9B^Rq72xk zOG-D1W(rQM!)ZB*CK;}*uKpGVu9#^%py}*A1gKmc1B<FyI$A-*$t6Sa^@1Ul?V8n{ zRLA7C2_X-0K`iDV3kN>0**;K0!5k3S*6RRm%4!uOKT41T-GbrEw4X-g36Aw)!;lss zTy5H3_3MotO-LN4Ju*aY#Ag7Lf}?)u73@@=;ns0f6&J@Za)otx4ATi8e>jt%YUE+y z0Ut7oxVzMGD;Xk4O26ZBsP%0p48_p>Amly`PY#LHSrQL}OsY6$QJN)~GR&$G1L)Dv zmY`GA8Ib-Vy`@bjOkqMQEBn*4Bik#?3YnlaQafLDH*aJdwA7j!Nls48$b&Ry#QPgN z1~P<S2Ffy;4iK$&)Z?odd@UwRrBx?7QWk`*jHH2`G5jjVH>yR4Tw4QYzr58VM6hWS z=|CJ146Z-{ZD^r|wD<A4hR2JxHNix%ULqHtkuoY!Wx^P!!mx`gm<d~8XRSsl6vRv^ zKP7QoRAclbtkCy&q?l)J9@{vZ^U>Z4i6eequQN3|S0Srh&;Y989n_XHT1h2ba2mAc zG+&cKi;q6}kr?{CO&-(u*y4!Pbh0rmk(nPf+KRc}Uunr-CX->l4;0H8b(7GR>#Iyf zdbu{H+8akrl7b`twnIs&B{I^8qv+a*-Ob1dQ0pHm^cphKk>BC-;3eKp95jnpvv|fz zwT>8QQko?9m;g8$)ohRNrb-B4CANNW#nn&%l=I0GHtEP)48(-q;Vc0JY1QOFhL$k; zYuk3zw02CiQ$S6!H(*qOp}MVss&Lx7)lw00Vgt7hMG-@D#Ci8R(CFuu$jJE2xSFw{ zTm_@W#WGEEWENK#_o?$6?lx0p2Sb3e3Qp{_#V3RY2o-4y{Vq1T0!^w?N|NYu8fYXd zFzjIR$|O3-teCIuCw-qyjkrFOkcc)#g^&30vUfP0Px>Kdz?jz1a(lpMQmm$43OTO6 z&RX1z#$&=reQ4w!$02+i*VlvZH*s8#;t8sT1gf4UbjL|$iTA~a1s?rLLR|oaoyR?u zh~YgUM38+$eCH$ZyLrqTH(H}Ss?c*3joy1!@8-uWrqMtKApFe=0qR2ic~3u)8BA<s zDP%?NCJyoK1C!MHh8b_YrSN=tJ=4m-nh?{Y72pES&4)siSgu8w-h^T)jX9}5i;!~{ zy??UehH*4Oh{osVFlPQLzTR-LaQZ}tUi5gD6?SrYwzFP97NNQ-j3$H#nsG7dn!wRv z@u&-o&bbh~y5dK5O4|+jS&X@!ENuJ3#d8+5EP5_uICn8+9$@W!I=^z(Jn-=6<aYOP zeHZ5xVqdiJU~oItxLEB)L(fxvoMt~8rW%AgU<j$9rzNy=t8GT%VtLs49;xVPkj=QT z*m5;Dy_7h7z;xx$^)8O%L4V#Nmvf0^`XMO{yN<dj=&R!mZk)}160NwcCytqz@%bec z=x%d05$7BZq5%z}zm-`(+ck<K!HhZ%?-n*<iJd+}Mx&(APZOC{W=XuOjtl7<y;J}* zoWY@bQ3p+(W%+vHp(+>o0=o#ad%GlYnvUmP8|fcLXLhj8kfYOfpe=Lgt7%fJrH>sr zu(2?1)AXaVCV6w|;xy??5^dU}Xy*otA2uk`;8BW^A*(7+Gbq&x511Klmk}Dfrd*Fq znxuk@vZBVdw7&hU%F5zUYz+0;f3+0OzM$~f?EJ)GGvs<cA>}vJbgo$5R5tyqS$Iuh zPz%+D*Y_rU7q31zG_;a}1-V2>aUwBROE6B2%iL<6vl_-PEgRt6%&bPu@MRnscc>~l zYCGptZT%UcmgwajnMC^tTn}s_cLi&?;u_h}XC03q%=qr<?@Xr2{uATAK4dJWbyOs> zoy_W6t2&n7B-II<x3Hv-$GNabx=s=<DJ<<Rg$91&$2BtqMI_Gz@+5-BIai4rrq!$z z3+MgdAY+%>lWWbx+~o9V8nG@->pnzS(-ub+JJGeD8*1_VCyT;<PNy@OF`OCULVIiD zz{Z1TmkY`IJ?PO8IX|6m_Q?V1AAU8{1ygczDi5QWEe{Tj53_HZ`M_{#V&w{%8t)UE zHW-q6rfs2Sr?YJ2#M_D&_TBw><mToch(GiA%y0hByZ>h0;UC{Bvy5tv0<x`fUu#_A zznUB0_t|mRbK7kn>ifM1qM6@x(Y}Li`OrsZe=+`p2WDI&?D6x)iXxf4T4w1dPK<L- zPH@HP-A%cqTzT;ePk(yHd!N4UmwxH}PhbAFzQ6oPV^v@5_9eMKa37$V@4I<+e`E4Y znOb%J+8gDj3nmkW{@A%_XvNw$l>3H@#ZUg>+`hkTM{ai2{-)Qoza?{Xrtjcm5obrv z^c{4r=ziy^zS~^%$rnEP$$$LECw}zFPyO)4|NE&;cYLb&mw))~+kX8=v-@tjW!5#^ zX#4KZKk>D>50ALndgHOCyT^TUH)QwD2fp&$j)RYHWdEXzG2nNbjU$8ok6k=NA<1&a zeA~6!w>G}M_x_u1di}3%xoJ(={6%5)RhR!@Zo|1xp7?jad;gFA=`S|kcFSMAam$w4 zTVB5AlyiT5%Neg<UD^Eowd*I?r}wQ3PFQ{8$@_L(y!OA|^upUO`P<*f>tOAvpIo?f z!^M-FxA?xP!UL0^TK)9G)UR%O0-K<Q2xnkE`(Fx=KfdOE&SiY<<Bz|5;I_S&7B9Y- za~hw$G`js_&Xs)s)Vle{)FweD`p(eGYoa!ZTxOHP?mbP}u|dB6$lHJGl0D~s{#T#f z{f}4OIQor`tn6D6>kyIwHkqf$jazQs?=CshP7QtSwii}yx^OZH^&%f$@vgsEnGP4% zu7354m!6VdE(bogpY{oy^rNBTiF+<(Y&qcEvzN-`;|JEBdf?Ixlarr(;n#lu>hIn0 z<4=BK@ALoHwNKpfsktj3d28vPpGf*nI6=p*?@qhwfvFWAeyYCuPaBgZw_DbJFQMDN z(_xd(JZ^MPDH+5c<$T6oH%S8qu*tXYa?_Ka`Pj!_eBu4?|Mkr`-Lz)K7ykar4R1Q- zho4<>_P2)r?i2S<Jo)FFzj6O3cAmTC!V9;gr)>S;2e!Q8?SJ$OLu=MdtX?s3=~Zjj zyzA0G+;Q<M{%X&TH@xeHYkV<qs{UNX9OEfPS)Vp_7UwQTgpjVMeA`lDwRb6=VPU0p zw%%G6uDXf^@JDq0tdslh)76|D7RQ3qjq-}>vgyU&hRDLBJ9SyA=IepgqixP9YmGGv z)YIfWTiXLiSd&fM%YT@$bUYMewW=SX%IE5y!pUB~SHyT&!q-*R`TBaa95{013M6eF z(8Cz<HQk{@*IW}MD7FmbWz;p{XmNhnpT)qc#}PjBw8&MZ_>qP0zWB&{-z)OI_l=FQ zI9=q8484@6r}#o?t13=0*pb*%eytDVRQ-8zIi~*dHt~g{DmlC3D4T5knQT(J6481z z2;P17u^mj;)-IHP!0P{>XcKu<Ew^Zs$&q&TFgp-goq<gjWYH!s+o0NaL+_NXAf|Sn zDOS#9lbqUwXB74N1jO><o(FWoCf|PZqD>YSZPG39=x~=!EH+tOKRn2K^JmJs?JbU{ zzWaj*b=`2a${q)aPCt~{MC(E6!b<KZ2c)-L&c$!_=PKry`f8JAlZ|DEyQx!ttESpy z;b@yY+s)Sr=lZHoqSBR<lZ4oG3)cHAwfxKx8Td&y;Zmvzy-gO+)tMZ5q37_}WN&$p zEm{a1E2(%b+Te0}Xr%n!?-hUJ+=WA9)oE^3+J~EJlS567@m22&HE?9@=Le1~&`*vW zQD0+yKhePPAS+`mXEO$L+e8zzy-nuk<hy74t3b8-y4MXXV3XpJ&-Hq}TCE`XpI&(K z(SdH8`140YTyP5oecpAnP3Xhg=8!dTlug(>yBr*4ldG<pTzLNZViC*GK#m;w7B*r2 zLrIR;PnNgIduET6*sVBue_d@ddC#IvUiP1sQNx#=T|#?x>{6SMHXpk{f=wtD{bb;t zMVq|TvCG>eIzQhF<P~W@ot%7CY3`9j-`%o8OI>AT7@K1EPmzbg=+(3H1wF<IIQ)&N zN7?ew8M{n4mr;&7qp(&FX9srZx<`;3<ZV2oyI$pF{55>&1^E3rjFQYI4I_nl!=61Z ziwXYO>XW3Z>6paQ4-ID>YC7c14qs*xo}6V+PlvfDW;SmI`-Ly5CFZVJeLl(&?#ei? zk$W-CmzG|tZRgzuk;p$`XC@+xV}GINDaZxLnkc0gU0}{y>Sr=j^8ic1hIs#d)+rso zK%TS1zh?NDRM43V5ql|BSL#OdT*aPB_JYQ%#<P8m>`xrleT&4uUgPVcdoE?A#&h>k z;~ol~O_Jf;(C-edJ8<?nju0OpoU7OtJ#UeBEZc5)@4hQ~<iGNnl^N<(JiU^9XWM)J zW`^?_zxOfenW1jmk_P@bJ#Xd)J?oIYgxQ)m=j(SD`y6$jq567e;jXY>krxqxFSSk5 zQCjPGB*Ek-1C;~5jknlAURC8`#*X~<>bR#&zU?a{`uq3>Gp&(p*t_wM;_o)vaVSf> zo>CTlZ?s3+Yu=K`8GGchHE%g#(dUa3r1Ri{H-BfhzsrVm8S}g|-F#Uqx-XG4E7$Bv zKE`u`VNIv|616Nh$P8sB-k<*{F*jVEzDxRe3?@VGsVd&Tin3qEg^g#EGn=~WAn5S( zaJhyqfqb-FLIhb@X#Rn}K2+sVz3NNezC9y*xsPao6RxHFn!^tr-ps{f?1&CBWu;Gj z&|mMn<`wzAwpU!B@yo&3^RjtLKYZ}~z%|TpuHo$LE7)Gn_%Y=NFLoOpCST?P@{AvH zx!9=>Y2aGveO*T;DOCS1d|@lYkM5J_WX5FR)F+>;jvaZLrCiNy_j;CMY^<k8zZ;=> zauC`SXGkB~I<T{6U@YnD+1orUhsVY^Ui{|{JAC;T#V&7?sZ?$9tlFX~J%?X7zD*W_ zO&&V((4jkzJVae|*<@fDoAmzmV%|$bW6v+BO%5%nO*SuFv+(r76$@8PahPIe6NYr1 z3_tS>wgA{q<^7(8fgUGOr>?X{G09S!fT>N|ZQ|4>9IefD?l3mdTOZGR|NYF69^JdQ zmro>MlS9}9z$VX3E%cu7=-wy3ap>vSEn0r5^8a1f(f#Cj!oIbz|2~ZytJ1cx&B6z} zIlKDFLis<_OO9|=)<2OaJ>LhtX_m3cz@Bb7-Qlrb4z|~0H+%UehJHMTZ)=33?!f?R z@yODC68cN%CtZ@Z9<nm^L)v==bS4IJH^te1&lj2fu&1}`EjW3oyPr^2`pHzCeggX& z$Hr6wmY<}bNZiB9SN*z7ug;-<&Tw=;Szf$;|6)J)C+L*fBz)i|=U%i4`~K7>14lL= zx<)6v;oQc+y<9&z=@Yq(qy4d7Mydf?r`lvQje3x8NL;~3V>km>c^NFRNq0ZNCP3&X zUYb#tA;%uwPpTx&Z6azDe=oYkCNW0XyYT2#y|?<T+T?z0f;F+pGgA`vJX)XJ9=`bF zbHa(sdWoc)Wxjuq+C{%8UK;;DkZ+<}ub-B$OrLjE&XfE9y=A$`R}xPoYoQKudBvJW zByv%l`66D|q78V5FT-<sUYEzp3-WV1ugz4@uG|;#xa9aN&C>Xs{%_#Z@n+Z0Q6AzJ z<wbJR&Rn<L|2OEkMCL1vqO$8%Ssmo^US)QB`YSs=!;<GyPj)Tx1^iVe#OGx{R^GHv zm(#8AXZ(9;TN7&)kCnFgXLPC>a1GT6E3V+FhT9FXZQHI%tqn&zUs4d(NI`{D!@4q4 z(}%G7HF*$M<+Z(U@Esw6CUCnf1dp|z20?26R|7{vI|hrHoUdKsHGpb;eC$I$R{1)A zA@^Jd3*BaU2FZH&w3tWDD5rQm$w$LZah*T;SEU;CH9)L^N|^T_N(Dk%L#V-g-r@Uq z+TQOtNhg#CEGqF|5BFUX<#btORTiW4#52oo&lAF$S|b$Gp#BsB7EtRcq4nfplhL|8 z-f57l=Bq9mY1n2Qx$jYv5p&N-ENj0GW&>r~dl}N9hPXwy6W<|O%^XN_K|C&0$ZN$y z*SZRLSe>Ek81&Br>>;G@n0i%z+&pz)`Pp1&^-wG4nPcyUun$rq)~U^F*r8$2QN!Ht zDN##oz3(0F!-8*oY|h?c81$jY-vtIKohSmzo+ruu5bwy*`JfGiqa{qgLa*_iL@wj< zUWb|~mq~)GZ1Y{oJiGBOt2O!iv`vUtNcib~#&B{;z?B9p)__$sA15@Nap*ht<AGjG zjs{JZV2kkIi3RNfU#u*H5Mw*}E#`c5f-aokq}UF@XWGF+K;?$st}bi18CSZJWZYg8 z!g*<x%nL_XSe&CCn<z`0dnQk9a!TeeDdA$=a@7_St-qxZDC#bzah@d>>;0d>u{o<< z6tXng(hP|6wW14)Vxp|kbZteCg>r{<RBRa;Bo5T0;<7aQc#Gv-8J2lr)sf5XLagJ@ z3pz>X5KVr7K2!VIz-i@a2^EZY)d}griHa2q%Hld&1G#txpLZe^eZ}Q9@q(OQPDY`W zq>prco@ji^OfJkB=p_g+z7QeEbtiEuo?kN4U`59)u{{w2Qb)*5f=6|F(QM)*N%$-~ zS1Z*J7QR2=tnw=)lX_hYalR0cLYu!u%fm1H@m8N#<Sfj9S6%&U^HUD;vjjXwZqh@T z5hSvdO(6+R+ORwg<Ik~<lJuye=?gW7%!Z(mr$DQ_OmZAO=;Jh=6jnE4Y0iyk)~C>w zm?~XI9iI!Ko56w5cqFFmb*S1JG^NH+q3D*Ef`^QTI0Kc7ic0BARjP6)T#3D?j!Qs6 ztMOPMo6IOFa++x*sWW0>Y_VK~u7EPS=q+L$!3ZJ@i&O9Fh=H2bmH0F=&ZA!A#9EaA zSXHHv8Z8UJ0t`T(*cG^<r$kQ}5JM&wKLF9O&Kf*W8cjScXeD$UoA3qQ(TaaEE2EGm z`RS6;<d?`T5+{>f9eM7z2pn(bR|)#Ol}s@t7V9f25^7e&AU?L5fxbXe={%FZkT|_R zQx_fp4&j-oskM+`sIlQ$Y7Bsj-FS(dGHUSYD6G;^9iqGab%9zlMg>Wtl`xtV46E!o zM#F#;NsI}TH8h=(8B#VpI}uT$QwWlB=|eAUVf{-khi`SB5iyZ)WdxxgVc#AU0jy?7 z!_lI{txEwja2?<JRwS|K))cxcRc8?apa*cuf_N!dy$lsqu7yy8a}pmr<5_*64%Fe2 zllF=AiIfu@NHgCfR9YSpQu&>Bo`Ypess>@>S*D#OZ<R8RuLjDYtU75$#@2@@Y@dVR zH@pfG;7yHFqZ(<TB9&o#oy_;k$>N+7qU?1lo4N|P9ugONUQo;URYi_oz)~ti1~7QB z-x{A0@K|}~4M95V$Vn?6Q7mND=e51YV@m4=6qH2u0BCRhzJrj0e=}l~s-VyIP0@d1 z(Bqyz5fhoHs;Z0iWKI%OFfAx~Q&8EyB+yp>nvd5M+QEqtTTznN!pA}C2IHlBN|4R) zk7@ysC<a*}y{84^I2kcQ2EKo=KHJd{qp~h*qU$5aX*%1$0V}&mbPq6&(-^>dSDB1T z*Z3?Zi%>6$?(&z58Oj2ql16-$Yu!7|Mi?d4)hKUz@{;B#ZC(qlyoCmph^O_DkwOGS z!11%>PU}%Ul*#We!nQ_6mEt7iT+%i3fc0|3Ok{Km&1g+iG2{zS8x@XJ=;I#Ecb9=L zyFSPvoiYH~3^Xh;&}Km@phWHpUA)juhfrwdg-s3pU97Ma(OHPQZ$<Oi!AlKTR7Isq zB?zk0jvbuDS5;V~`H8JojRUhRjRn=t3Fd(@8q)PXoQgHmDB(d{Mk9(i1WcP88?{hw zrNL!Epd>_!?z`~;MN%V4W1axO@j64je(d!{G!EJfyoRbH%p2s0R@4+Njb`6(ixZ)F zae-0V^spP$7Dpr-)(jNK&qETmL@kJsO^dW6l*L2Au{e=M(d?UHrIR#B!dj#n+5IyF zizsau^gTz=*Fp@P>Qu+7qm5h~Cgn07TTl<sNW~rkg%;c<q=_R<=~KH{Jr|$OnExlx z;80wuk@l}Bj%gE=n5mYrcWIg$uPWhEnOe$!uolx)sM*MBN((;8<=NjNWqEkIw%-x! zlKHfUN#R|E)8R3cN~}8UEavF0Vxi2ImQh_<p%HdOXe!OBGrHSMrRW(&S|}vw%+mR~ zpcYd^#gJQuc0hcpTSn*{s8K4s(rAi;+34UBKjDcmWI@XsPY{RE&2ZvkYZ9$t1BH#1 z$ZJ(9nuA;CTd2}UN%AtM_2<A~@z74ZS11<x9HGpre1Xf8RTDi5!l*3TGObNRsHf|K zbqdQ_z@F1zM*Y;}l}(=)x%e+86jmN^ns){yjL$TQ(evz_$OUK_w<I!xZM^;zggSVQ z7DZRFu9wKjG{J~>l%_J7=^eopX^n&*o&uDrI-1ynMU)o7K;$2G>QGAns05pg`k=}= z9;m#Ki_Rkgmhq}Rmw<&4j!7XvWTZaRH|j{Y1$s~l;d)9ySA`G!i|V9AAoxl?3T(i0 zKE)EGE@kBSZ|GJGe+uemVIvV%F^1R?>+PfMXq+o$LAjjPNjg3(tHXdmb#n$)q+5UU z7BTLHtJ(b}J35&Z3f8+?Tuf`QJ%CIvR!jrgu}F)d(n*bN<pNf7R)_jnQ!vgjakI3B zbtI%Ups|w&Rf<?b>bCjCN+3?15Y}MGhGKbW!DZnwF5tLcpQc{iNH;;OC170*yj^Hj zrgfY)B=hO?Qa3@2yyDgHAY7g@ZDW-Jm-U_)qkfEFQe_T`YuI|cRwQBEChrL$M>3!e z3y<+3)#<|J5j_1Dx=Gr`V;Yurq6$bf9ouz<(F@r&G)?oFJUFSJE|AICP_fpSGuXPw zcJgbJhbxyw_R|xj%z!#X0Qpmmi(oWZO}vU(D=Bey3bpQGn1(Zrnt6*0RESm!k?tN* zf>TEgt8H3)IjVYyGCn0(&*u=;LLM<5lr!@AMphNnk7Fnd8&!5Fo8#&OJf!Eq6+{O^ zr?}xy(u&H_ACP`8c;2053Zc9TX5O>=Zr#Q2RV(SoPMA|VW#mFMiKVn3W-^s(y!mC= z5=Uu_Hp<<;uO_9yrYh=>3rG^T?MX#vy;W@uB28DvB2p#F(+$4%>vc$41;>DA?>FRV zLR=DQ4UAU0I9(P_Mj3MB5Hre9!f0y_64zA@RE+4@6{+w6ZB1wVb<7NP%Yz(h+*Hog z<+&b^SAeWox4aNpAv<CL!!T8W!+0*w+5flDokl7cwSU3mT=Z4ryksFB*ZB}q$lDU8 zJ&><zsPc3itkP`#_-C;Ty|WT)DwF!UChYGdwD@X%1MVmLe!8b3m^2tBI$j*N@<DS? z_gz(S$70}&vb>ij2l8~<PiPUOA>(7X(@_J>Wpr4B2H=6$_%;aIp=*T7Q#eYVRYLy2 zS^G(=(@z#TjUzj4B~eR7s4xTO`-!4(4&?VIV)+aG#A?Nv)FIO>trMjSGPR$CmZ92e z|EwG!ZV{jWh9=5*)E3?}vE^=5`-#g+8CwI!7H`qq=>2@0fPjZK^ArNQJ=5YFev6Q3 zu&bXa?k|Z(BfQ;ooYz0Ac;c4yle~6}#`#uvP(y6Ha`Y4C3?5tR6h<qqLPa{r2Bn>7 zKUpFvBrp}~b~c2O2S=J=ftD4eB$ZEVKXFh^;*x_DphY-?H4kM0qrTBmIBQc`KWX{A zHGj{cTtLO;GlOB{vVIa`_;`aih~YtCLazOOpE0G-r_e}`GB)iBUS`|*1kS0&h>v96 zXdvO27hCZR0%^H^V&E`b3}Y@&F;{N}IdkX`m+zQ{<;$i0UQgomeDvYKN1XEct-nLD z^*qP$rF3}D*SFI4sl33)gxA-L&o>^0tb8`hmq_we9{n@2T)xaynQbUv;L`G4lI!Tp zLWTv~DGO}evgEufvx;QOl4_Zk@O8@!okXaM3Q5*+duV#6U_jKmYY~T!W0Vl=XEkKt z8wtzD6}9}7l`E6cpP_Oq<Q<!#b=+?v9fEiCwo?BVVN!#vZ?<WWS5W(U=mb1=nYwj; z%4hJs!uUr4d?x49N!_BnrHmn8Xj#6#T`gttST~>7d#PHh{-G<)Wn^90wnV1sitYp_ zm714DsY_)l#e>zRzugWErNu+Ol9M6d84BStVFH)87WeiseBDRb_^O5l6Z1xlnKt5Z z(#rPjDutj`H_0HFS6$1^4@)Kq0ZGL&|40~@@}BL<%4KQ0NHU&4-5BnyMq5V}Cbbcb zBe98o3eqW)g+IHA@hOAD%>_J$@ruz}Ik;L{%%{_LF#c4Zpc8Fu5+nd`{P+q(c1=}I ziNpG@5M45(T%5BC{xl_H#-3p?Wb%|XWx;e$z!|tG&ZyTTND22hCKlNk*KyIY(Bi&~ zWzZB4&}$Sw6GU2(8<naCO#E$vZ8Pi$^RC&vC>ozbVh9D>A?H}Ij25SGrC?-o#1@8R zh|XD=$T;)zsP@!Tg)5nlfzE4%!tlkOo@X59wI#G=uHwr$&w$*9FNn*f1q^#|EZ>Mn z=uZfyy@aTa>utwjy+RS&N;S4JEko3x=IOcn^nH8=)}_NEhTN?ZhShX>mZ$0-eo9uE zC8Gxb8*$(?uEcsP2bq5EO1#(}t9KGLxx1~mu?61$Oj7f4!c1CW&>O|1qI_1y<zS5f zW1J1+s}94A#a>I;JK$&hVNB}8`Jt-{i;=30YwLeu^^I$bn_|bfzG2JwmKzIew)_gR zRAdPrm-CIj;l@`LHpaFE-zqux%ED<|ek?EZoFzY|pM|`hc>Vgd*RCzz7`Ng3iw*Yo zzl%IR&C}tFI`Yo_jkSf>$9g^4aITztZDIXd%{OqWepRpE=%#aTSY6y5x8YlNuHCYy zuzB^3nKZ7meH(KfUHsr@H*I<S8;k23%6rBa?3QZ_n>VcK@Gi<+kIW4xhBCilgRF&b z_3oT6O}Xf#rdsQ%ZC>}T+BNI`;vcSE|NPh1Ziv_3(7*1wTB)?39-WlVGALLzl-b@G zKUn+4YhSqT+SjaGh;8la$4Xo*UREmXPfGhsu+Z1T^#pQp{U)v_EOjMV((4JrJoxs` zY;aucmA^2$dHpsPp);<p*=#r8n5^G?CDsjpDRl#%g>QJ{=2N!a0198lZu*tvHJhJZ z!pDQfc4V(yvwr*f`i*>_Xlm;7YwR6QAnzR+UuY{TFKS;oyy+L#Z+oA=j;+~bH*HVW ztY4Gyg?q*<-;JC0jBXqD`VDQen{P-qk8bYdD=XG!Wud9sZ*1KBzK!)OWgH!c|57#& zC7aj$3Yltq%*W?>EekT&yeX7<;~KkuJ2EfJWu8@Kf=gxB&a-<~y*<8S`*UC4KK$&u z^>44eYftaccKS()uSS&mZ?Dq3(`x$Qc{NHa7w`DOj_pHV`(PZ~OP(slHT$xpG^?CC z6n0%t>TAy3{?#FDVr~5`l3h<YiMG>cLM8qG*&Te-|L5B0vvF1Ap|l%YqS|M=u+PZ< z(uIW}%VNLu&2N75TVMLpm%sd_M_>G=$d>?-=N7*Ar7shD?z!iF_+?!@`|q5OEjZWz zzoFy*2~Z7>Joo6+i*@t;?|t)o5FdT?(MR&S34C9E`b&>~zr**#?|<)0-~Tu870=`P z6QzTGttwAz1HS64fO9qn@w_Ja?LbdH9&q>rJ>yGm3GqX5ah&Tw#8=cTL%g0fa-qfN ziwEPhfoP~&1clxc=t)%EHlcVN=<-1EJWGD0f3wx|Q*PIEQM4*MGX#F09(msHJF959 zen)aA`+jdP)>O9K9ABuB+1V+at-?7dd}@|FLVTo=3PA?CSdb{uvt0C<(9IdHWl{P) z0q^^EMn5UT|M^twN6YMdlfJBMxm-T~=H<#!=bUi<NsybD>7?Q|7$f;8xp}zP?{kXZ zj1TVp0{(>o@0kekoLA!cIIm=pU->vwMALaXK4*tiqNX?}_nJDWp7mjx@SS<D5%o*> zjtJx%_1|%?xdytXgYIT5en?*-opT0U^C^%HU-@x^Jcoa6IFlrP2hWx^B2yz}CiA^a z>AB$E)^wA^$nf!M84tuOAVWRM(wcS!`OpO+o$@Qap*lAy%S6ECE5Yx1_}&&mc~1fE zypCE1ln(L!yc5#nYncRoU&aqnCt8;L92AvjJRgn+i)*ExIMEw}I+)@||9Nso_3?4w ztBDUtn>Yn6q~Xu=h;>%%bO=w=ZNP}((KfFB6iRXMHJyU7)`t`eQC1onk%0}qg-tZ9 zet^CoWE4>ANj7kV=!`XwQKF>^mB;^;Y#bQB=siVh8lv`MLJ#6P)N}X`CN;usA}v1! z&*|SsXu6oPE`cP&vRCLXLyh-MR`QH^952*Lcci8>I`hh)C-YSU@j2ErO5$tD>hNW? zLq-{0^*1z*9L-~_J&zb>eQ=Kw3hJwC{a?;+tk1rao=6YM6(Bxesv#6)2>FG$=|IL+ z-0;gm6PO&yd{Xlt<HU<Gb}?*)g-(kxKD5od)1<LJ54%`UVsd?ST~+|)vM?zg^Rt0` zl$&xh<VVNgWMP+c%`+V}9+{VUd;~c>qrWIC7c2xxX>m|HRm9(`lBv=H^x_T4Y>s+A zFAIoMf>p;^%?a>=^fid$9?^VE_8L3Hay{Aa<B7pn&JOTwpcDQHfjF0x1|>Wzg`%(& zmml#~F~0=iID(F{rRR$1YXSa9y(G^k&}=AeK#p>|IahY#<~yOqfYQRcchyy^Ahan% zSSiN4K7f<MXsFH{&3t1lp|UYqy_J31vc^IKmQz6KNuq8SoO*ta<S}`PG9R+ZS<qO) z@XNUr&%qGTlEAgds06@zcR9yMnLh>N-J_idLRK6D2mcC6wHoLECghv0WalzcL$3<P zBVN95B>L$`ba!-HZ*%xjS|3vRM&s1C{ui!Etj6$fS|I_5I9vTtJSPnkWslO0fB9*N zOKmLM<4aIcKbNlqUybiFPIASRxWR!7yhkEa2dBweU8nUMh``$asAK;UP&FgIn-y18 ziT)6mDm4QaWRi&%8P|E~S?AV7BkCw<VKv0lp9bCpbWP1a?{5>WC5;8foqs;!qdE#1 zy&44ub!{B`>rsB;f#xHXzu-&h_}X?NZ8PhdEYg{$f|~MJ+gZmfbY{}ArdE5iBL>b% z!qL2)@M#~mE2A_?<uZ)IT4UFO<4jigR8mb%?eo>%^YIUmw$OV=>d5nE<l(2W1vwdA z5=z)k<uPK7JQW|5AMrKJ7L^&xS+MBWtGW7+gAU{Ffh1yPFz*V5$$6bL-%fH5Yi<_# zA|bT)KMfJ=o0UOJQa()v4$Z3ThZDsQ_<Y^q45R5|;0eDrKgQQVM;RL`#sAWZQaw17 z@fyu4%EH*lYCu*wUVUvRFsQ?`2jzq}nN%-WRW)#$(}<SKLhS%C3Xplk8b{5tud#@4 z!^fHmlO?@2X(o{^OH>B6YH7@Qaf4(<ib_Wj{i3baE~Az%_VO$?iETq$O6wrB-ef|o znT=28nX8rFW&->4)r?i!wo!%W*I^M?(4`wZ3dWor{R;7{s<0}N-Okq(im{GsDAH%m zeMXQ;|86#uS!1IjEONrM+pg-x&RGhq<*K2Y6<VdS2&1Bm5C?&uUMSROajEx3=$<iC zs0KuunTUg3YgV68TP0eaGBpWIgq1FZI*V13L}V64lcXO1KkU5?oMcsX=)2CT(>14y zX8Kf9Gihe{*j=<k%|M`shDg*qy}K;N7P(pj(+n}1t|7)u(5o2084VeB6~)*zhz%JK zy^$0=(4qAT7-Ed$CB;aTn7M*R#dog=`iwUkqpib;L6~~~wNF*gfauTnk>BsV-+QaO z_Q%?5uf6tO`|F&uKO9Nw<C2LqGckwp#T#9ejwabPcfL9d44H1gD~YUyf1l$ub5=ea zuYrq!a~G@_DxT@nG9?p%51XR{(<3(eCCf2h{ia`{>apfnFJjU{#wm>*M7KAGle`<n zU9vY-RKZ39HzF-qY8eDkzq3u_c}`J-Y_o1dUb5$->TqKOiXa);JSo&$gi3*VLck1c z#2Tm7Di{u28lWvjqVh{-TL@Yj;`;^N60^Z7;&2qJEc;6irGANi=h7=pcyS$alX#YF zF=cbK0`1G^`<+;6X()at#$YM<*-7Ar3Jn>Nl}T=Ru4>@Pgb?YBr35iaJ`QVMmyy#s zkq0A2ZWN{9v!`=Jn0E<?NNRV~=BMnYV6EKMrPc6RlvFZGeU3wiu-ilWf=d%rK%oGr zFzWjHJjNSi8tUIDS3+e{*Lctc744hTs6A@$+nuCi3`m+(m!LnU2D#-NnHOb>(U2qX zyoN^GM`^W+GDN|x!)qO!wK=7L7etm{w1&DUWId9^Kx4&T2U>xT#0@0_SoVjIvva-i zLfa}w&_|9S0u;0WUI^MPQ`Qf=HYcaGLT4nj0t5{yvf|K6-YgZC=Bhzh7`VD?(TS?} zVVG-UQvnqsel`q*ip4XpA{+&wcZXO<-C+7;K|+md3OjNbD#Xz?jjZgHHPk)<!wgE9 znozqb#~<tcoFO=JkV5XO?L00=O)P3DHX!kZhHye9bP{BpoT))My?v>eHI?Qhr5R3+ zLJ&<xjs&de+|%l!-<IZvl=O4iIu{eFiF?4!ZZ(mb0SQz5p=-DXwO{=vszp%-XM=l8 zZ_*fkctRxREwQnR>A@Woki(-31R}jUBPa>)9Dw}MAhdbL^p8oL6nSrchN<X?(P+s- zB(DOB*#@bV*cF4&vaNxGdM;gK%}Z=VsBvdHWY*Hu1;LsNM;zpI2&&FJeH>TKsMA`D zY4~YGer=<zn3e?sfRP6G*-?D~KtZm-=o52Xkn|<Fv}a952=9}zx+Y4ajb1XT4xnNZ zX^%c?xHDp;g18c!uwlYnL`NB;>;h+*9v$Q+NyUgg?@iQnSC~xBi8S(NmQxk#Nz#gI zfpK+lm!QB~mC_)$mRwQJb9Z9X6KI!2e{SN4bWoK4Pz00X&@wX=s@aD)Z<!NS=`y{T zB>!b{=R`(^i{#6Qh@(|FEKLJ&^tCZd72DBq+S3|K$?-ycD?ORnG<r2P!_q1fsxu)n zMV49VJ1pC#wBRMOV)UyPqFKuN%m#hGwQ@V(h-F^@J~{V#rb3el#rPCkx{E4&&ViM_ zR%6c-Crp>|RkSiLfqohR=sCD~A*0<VOUey((2xL4{u-&5lEC=hW4_rWXJI{YjtT@5 zio7OqW<Hn~x^;a-2{jTc|LVF0qNSd=<fJj=hJzB_3YYq=bB(ZmlMEL*|2UA7oFS<? zgg}Y>iy;n0mX#rvJWxSdhOAGsVPHn;Oq|Y)!%QP^jS@#-iJ7I1UCMetY9c8gx3YdQ zw^lfm=Umv&&YZK6qE0=zDU((=*0__-u*w@IYb7uYBe7Ur@~BmLuEEz;*6EWj1GT2E zbkaA1%c;K>OQzkRdkC2Zo5Y0uT;s$4t>&1Z)K7`%{UBt+Pza3jy2GKt>y+3znhiaV z)&RLllQMy5OX4%6ArWI*m<|RO+ru)NEp!eE_|j}8o6wG=Aq|GcFqdt}4R|C{C7@s9 zR3xgKD<-ioP6~v$b_jvk9S-1QAPDZ#80UzqMY5~pvQfxXi8^s!NY5don{A_!$T9We zd2y~t;gUL;q7<!<u4BOz;)1(cPMR`^PdFHNQKKw&E%k=zjXhE0gExiYJc9JSDdnLG zO8>NwR0eGx?OdaADb3A+vC@aBU}p)W+^w0EHYqn&wp(+gBiXWP7{fDx7Y7y+@l)HY zO2Rg?>pl)lZl?!Ex{6InFegPWge4LD+-{yGcnncLAeD9f4bl_7VOa+&ZbQS|%`X^} z;8HFuj);WRQ#vu@62F*SIx#1~Awp^o`aZMXe^X${68vMk5k{j1L9a*fco_qB!Htk{ z?ZquoR`{c=hQ+p!=X?!tla^VA**!Tt6|4_vLpG?DTsZ%QJ=fBYXzEf%kVX^>d}&Nb zkM12SZQI(nWGaCjq3Ju3F^c%!$%0O@8jWCnrHq6T7r52Zh;rf|vdX`JfX-=|69J*F zp<^ppWFw7HFoC+eV5ZViYiS_sg@a&pD}h0>E6k3qK>vXW?tYSN3px{Ovt!W+-K47r z=Df(=5#MU8gl6_)j$MbP3+^!7zMw6nWOx*aTT}I_`3?*j-Q~1!)H&+*=kSvp4Y78H zCAY4XYkiO<_6`mrEpJLP7OEqJkYdgnRTY&oAQ8nNkN$&Xa7iIFltQSJdFqVySuKX3 zh!8meg1|-L5NuB{d0n@bm1w|-yvdF5V3B0YS~88Q9b)1k&X%2QGfrwmZ^KsE1kxN) z3n3u2;QSoI3&xA&0djfcW@*mX65%uyBUSVztDcf$HcMi`BpPLa97e*fA&5M}RV1qo zD6Q#GP}&f{RaYC>3hR5MHtKgSGHO{3A-XT`)SXeQOy7av;rUz9PS)z3M_`RIrEkKN z>ogadk&R4GLYuvzzc?I?7LGMhAKFVA!YS33;7JlU7we1isVM39cTs{(LFWpDYC|VK zJ#1=+e^h{drn^#~g!&ne`pejwO`1T_OCxd3=T0bEar`y3pYf<MOnTP#9!r}w;oB$@ zHdnEYacI^APzQx|ln#kh=s6L@2ppVp@jtAwrV*F(0rc;-R82~W6vLwKT>6Ty3|A}l zvWG$&Qmsm{+(`*p@-wO(o{pzX767tH(;#FCG$GA3&z}%MfJU++-@)x<O~hV09a-S& zA&%Uv`fO~Rl_)%(=Y)a&DMmcyp;7q9gBz!c(iVGzCSTT6ldx=QH0C9YGSo+=02TXs zCKf@(a6MYuC^VYX)zC=*&5h(DUyX2TI$c>TY4~R<P-%#fH;_0j3Mw$d6faPRu5$)u zK@pG?9g`p)#ItcWSSJ-Kv$6EE%G$E6bGX^+D^SOwkD}f1Q`e(uToyto8yYpF4RsQW z0XdpyF_@F+Sf}l|k2rC$9qFYzQuqliLOTU&u?nRzpm~pX^EyF&7Mwtv0wG3S#bk$^ z%~Ceuy_(9<ZIs9h(paM@YnxT6`3FkMS>e3k*bx}|R%OJRT~fBrhrrE0ij9e*8Bh@| zWlKeEQv={auSKC%rv@U!V!B!+^F{jI6rhuceZd99%Z_Nmybpoes*B78Qi}YW9)n0A z0)qiqH3CKf=%v+_kmzN_Qzq~oNx~WpOpC!Nvh1q@?Q4xh&E_Pd39od<m=C`~gRK$f zGO@I6%3D!lEyJ4)sF?8xEgU*KNwWH&Z%auo9uWH`OXBB2z08eje`*lIX_~aX+E%C$ z#-v?=j(pnEmq4Qe6o#&BmOf*n%<Rx+6RmCe0Hb;Zd^~J}s~CC=s0RBV5{HkLs5j%u zjpWTSgn0_Y)U=jMc5LiocvGR~jXtGls9y6&2k<7+zjkme4CZg8hVKF8!8q7BC)T;J zYm2zq2tT&|TKWrX4v(u#&CNThJ2Bo*I9_os;^*T_7jCW0&*EfA3{?nfy;?S5REl|O z;pVl7*$wLhd6y_5tbOjIQW_mv>s?_%n+gR0VDfL&S!1!BqhGS*n(7x7!L9y@hQv)3 znnI?aiD?*hnNiOL{yr29aBB2`bQCO=S_5*YiN5Hd4m0j(KYkMdI>z?^ouhPKoO1Rj z+|y`vY?Yqb1hQcB>q1&L;II7~I`WxJML;s|*U`>XYt$6tsL4YL<UP>X{;{iv@HV{$ zN%bMR+T5wDwxPh8S2+#9Q0VG~>RK{1BAN+19+}ngEaSr`>wU&?POXf<hSiqVV%aFD zriJD6sf1ktdvaa?N+Teu+E5dVoO)XgfOGt=cZbWb7gfZe9)BN7T8t@m&kV$o+LWcN zGdH_nH`}eM8g*-QZ{qbIX<2r`s7?CU=<E>C&axNWO*u9yXAcYxgL)&a9>Ti?9-g$G z5=*CAhLIvLQp8_5GFlX6<AOewvKuwb$?voMPTz2iK&N0L#@?)q+NdCocyXb^1Nd5F z3!ACuOiBux9yK<VC2O2Gnssz{%;>SI6(>j2A~>zpUThzfZD-QmIg{ftKVQho3G1&2 zn}08h(Yhc$*FDPns-v=PNA$X6?UUFpTi=Zgnbu=SL<OK4ZeD&uX|p}9WG?+l`8Uj^ z%v_m#{#lXm_zzc=S*)52&?ykf@^i$_w9rUFyB2z3#YUTOdPu}IA(FGpZ@zS0?V%`B zyC%+k)?6DJ2Dflt@3hS^xretlMpQ7?bh7cJaM@A2tGS`0WH`5+{uXJwO?X5|k3Ndx za7tf^i}K2K*@C%m%48)N%YB(w=MU9+u8G1giQV*yxgrBo$i$TO(!-eoQ9Lk(aX50d zG3Fe3$&z)TKWi}qN}Yp-#Z*lQT>}ig#26ic_7-Li-I?~*OPy>U=yTd;LTxXOY%=<n zuWv<sjX8S$j!$rSGfHnrorjZ0SHI%nB>0`pcjoS$lc{jjnuf%ijkGKYx0%^nWuR*5 z!nwJ2CFT`oi}x5>IyF<3RVMr1Y;=8VbM*CW^kFjpUdQO(2tMPO4w|)E9;(BS>h#R& z;H{q)#BI*qQ1U@bMoJmPv#}gkI%YPg6-|^ex5|@cc_=cgkd5*_JOD+>a>ulyS}5MY zyQoUkoS%#0KIH~`iRPTP#OQO100OCTtJ&rxlL^PTid-LU3gJk|`0TS-kn-k>HlMIH z&aOI}ckq#HOQVTw+kOA8_?Byz*Y2Os_5ayi_AR^b&BZrfyZl>@S18Wme`>DuwLPa@ zvU~TOdrv)k3I4TTz5BFpck%6mPtD2K-nZ<@JDZ_vT$6pW<y@WnO#bGQ2ktm?^=FQo z_^+S-^k8=N>gxBu@U8p)=<>_{?QcKx{nZmQ-~6i+e){PRS8adWL*M)2PrkA9Z)U&$ z<WqC;zUxkV@<|e|huLpoOMTaScAq=9`1w7L%`gAt=s=FP_0$hH?AZJ#uXx)%JAeAa zzkcVH-&pqN|FaZ7cF%nj!KYStzSe){H$J{LckgB?l}{~wbpE#D(5vn*1h?IG%C0@m z?OcAtCDO<)wUa_T*VMji;*vvGzU|5%{Kj=Z?|k&MAARKR55Dzhum6M3?LKqEZ!N4F zKJM0IsmqaS@2E3(-{3AhIkV-&PkwXo{L?GPfBd#DWKX-MZX~`xOYi>C*8RCSxZ#n$ zw4G$$^wyswuRC<Y%y?(#%T~Vrzy9{yzV^=SDUW^hH&@(nL-DcP=1o@}dgjU#{vfwu z=Z2M~!iFt=O}^^oltOevyrMsM$(e;*|1ry#|9+tm#w+`?TM45*WQ&E%!_pSB=8p=6 zhKqvel)~k6!G&w@d<%BGGA@1lip%F@`n03>jYeDp+_&p?ij=b4wfFa){mgI9{M9MP z|HUUief;VZkN>BO_x;_2fAr4!V<$fF&nF!9#y4~hee#RH_pYlx{M5gG;%BGs9J%QG zkA7t?`10weJ=xay&0P;ZiACRa^Ch{5UZpx|jb5KC<Z^F#+lC##_xhb1p8M&cZ~pa_ zmw)|zg}?nm{PaEZjPXyLc<BEAS5!~EJG|V`ztT?b-@OpN=7DCRP?&j)`%tc2egk#! zh`!4;=xm()_G^E*<7I#PgWvdzt^c(1Pd@)A|MtPwch8SLcmJ9b&bTR87@9p6eMF1| zlDi+BY&kic`HKg>`MUGp{;K0{xb1=8yL;wK96{eqIML=`cJKZNd*6AV>-Js2>vsHB z^17Wb{p)-Fa>ts}Hm^8)@E=#so$|T6``$0j3%_JGe`)78-+gH3Rab3Uz4ND6zWoiS zEZs?ysc_b=3{*M?^xlX4i}`_ShI{o?T^{lS<Aa&LvA(`co{pN~e*lcG&p%6TJ+xQG zI69lNSr@Ost;bjEImaFL=~b@m<Z}D*h)z4eGg51qBa6|o$FBGg>E;Iyh&&56_eEKd z>6sa&d(prAApOGlbm4))!4}WxQsdOy{W&>4zdFA#sOK;bpDRsijgRO0q*JX93<Up; zxxl#IxdQcsN--<T$m=h{2NtSTju;-iXNEgG;5EHsd^``Afh{`Q@h3f#VP+BB_@EXi z;A%dE)5MjZcdLH|$K+x$pmf3KggZ8-$7jG$u7iU`rQi+@1$w>C%O!IZxz7z2KlU+? z#CW8l_oPgq6U`SonV3<XXjyxBd_blTa4_z{OnyQUj}KrE4vsILmFHOSY1W;~M5nWO z5S{qce0h=<-$E<Tf%$<sop|G3CuFE|`h;mATlB^^=Jnzht_cCz>5Fn-eB_55ntbAm z>Vv#3>{XpGTzj3wGWhl%4(dtJ$3LE5-5KOaZHab`|FyIbjeAx4`na2d^TzLbfldZr zTqk!`s~j(kPQJ5R2DvBct6ac<Dmq*AOk=MTO&Xo#(UIz8^=h??+c~Eg@%ee^>ZIq@ z5dJ73X!T{E<hG9&i<SMHE$roZ@2qslR8C7?iXGLrhex)1ooqik<8|`H;}bKK((y~_ zJU-ExK5%g2;K2irPiTb4C%};L&RLI740br=o2?h<#HUX1k{v~_eeK?kEId0_JOiDa zp&_c1;u*y|5OH~)`(mc6#PB*nutVsCD=)enQa(qRoxOuInm#)5vUT46!#5AAPV)DW z%zjvBey8)-a7HJ0-F44BoTALB%6E;ku3HA${*n~h$uHB%%*?=n{o{{Jd}npAyn;?H zyG-MCrl--#=B2XO3&3G;9G!5SH#+fUixeqLcTQTORZL$;{}!I1t)i3l>x&E1w1~<; zPbdFvf4-AjP@Pav#lzJl<8?iNP6iF_<cY@zs;ZNvbn+AQT?Z%n`VP=yfc(Vc+IMvZ z&r%!R2p6*W(v~X-PD>Gcc0Va~ip6gv8mrrP`8KcL1fwWFz2ZZgHVr&Jcwk^aYeVi3 zeV24*ewj|D^Qx2aL3E-FWwF+o_Bwfd?|lni-N$`sf0J4*&m5ede&(5h<IgzMsnVJJ zIyzaHnRzyY=!4pKarw@QlTO-u50Xqzcl)liv&rZ6W+&ANmkV$-_~PQP)=4)niw6#N zX1L)lQ*3E3MaN5B);ye3q3>Ea@bhR{CwI>geb>d3oXKQ-O<BeYO!JBt%UI7J9qCW; zhr?flj`-mky%Qu?$uS>fg@PEVNa0hOzNxAm@^PUstNyNCu2lU!C@UwMg<Er#_;bDZ zOZKeZL2}Yc_??}`OYait#^31gNr{nEqKCQP<XGsGOpS;;Rx~Zb!h6*&%cDMzxIIkH z4wrEZLCz1T4$THhyisK_+*@Mwt`*)4K;rWN9V0zc@2;)x6nr||<)ZQ8ebD(aWiq|@ zMDIEA@sTIZV|923_oQspaN=Y0F5?Jz$E@DHQCP{GnGL2A_WV22dq}+hxcdt$Q+yiU z^Q*l>8hT$z_>ShT;ZvjN7QGYX)Pd|R4CR_0g_GWMvb8zO{VCCI`0`$YdsR|9+%cwj z?h4^95LSh(^mmF}ne}{*OYO7uj*+c0d$tar@94_8Lw3Dh<84das*Lnkc;9uC=0SOH z<xLHlDeSO5t;*K%`lY3a`%t*2L}gVP1@0fwJ67<g@wR1@p1WRD7VukVnc)g=f~3A9 zjo|5N^*&9G)^q5xO;DPrG@j1TQaY9OIPTX;Y<&lh@A8gIHIGNFd`Gi>_NnRpC@Swt z=zK-;X{f>B`M<2c_`iZLmbNf_71z<*(N4$4ItvFDMebn4taM~AqdJ}SIp<7k;C^`| zSNvsZ?Vpxbk(;ULo&CdE?zPMf4xV$x{?6r>Gg!`i-~*lU{l2F?dIi@rF>UzlXP5Fe zuCsv4e%|wIZ>rGq_l^5`qrX}rm($r_3Q&v>a@_#8f@nJf#!`#nN*(lEi!+D2e?N#Z zFlKxnG#HLb$Mm$*c_jb%Oi}wPaGk-&Jq`C@Ep+yGLjLFqC+H&Y@v{Yur10;glUY%n zh#TkLS`xWjb@KF6$jKbp>jdm{g}csFCmF_)bR_x(b3b`M{dCP^s*}|-`Mnn$c->V8 z4=V1!1*#M7E5W_;54c+H1v*h>z4UJ}JajbzZ3>5V(vj7x$CmKS+{U#;Q=Kqh61}~_ zgV)5#C-2=mvqY^$sA1e_qtfGLgS8KxVZPyYvR!o_pVtjV8~Z7a{RfwImO;l{Q}*7o zDEUWx3Tpg2>E!xZ)rlhkKW8VC<0!{$pp|s??!Agp?v0e+bpEm8xIFSJ+6i+Mj)_V0 zsN!<Rk4*QSa|PF@jL~6L(aHY(%qLhQu~8Pr7k;sw*oS>QjJ<n1kCVJFFU9$WhkX^8 zTsk9Fv{KMca4>WAh!?aIEwgGG;7y1rb4Kwcouq-<PBIzhRz<R!>LlN#(We!jfN1DM zo|(?~9ez52^xsJ*-F7m&eTzPel09^y4qAyUEbv^W8WC+LsuPvtm;H^?P8Kw(FH1VR zg$pwF(!Rb<ry?0`Cp?D`D)~=v-QNpzqU|KQUPBeUPLAxmQZzcyc9PZ|Mg663CnT8a z1l7H;o#gY?Udw?#ecH&R?IiYcdRb{FsZL(lPM+ESOiw4%=wwj#qLVz&g(<AQAkGIY zL(W<Jy3cS@?cN?d_V(cq>Pdcyt~{l5*QWGguoSNh16nzL78msP1orIg?OnR7yLNXk z-%|LGQ+#*dqeKt-bc2HF(%;n$hd+q~d|u>}rhZ7xmA(H)k>CAp&L$dmO|I<oa7{Pv zNbX!OTh~wC((s;5-j!E$ZMvT^Ojeb>|L!!t%IN*c>R0lXR+2A0e;*@nbtpp#R*t1* z$0s6^gJ1pyWqETqPkGXTzE{T4hv2SxQPaamS~Xs{$K{V0(IEC2@@OTQ^ROLrj)9M` zc7<2MKR8UcQSt909MFA_OYs!JK1Pk_<HOJIUj!SLQhMDNi8tNLN4Vb$sQ&I=!SL>s zU+`*d<nZyKTjJN><<q}LYQ)ohjs{!S(k~y+9!jPCU%{!}-8UG%6+gUsX{oJoGJl`i z;XicuM81nWI#$xhA8EarOf`rHnFQ@__y^K5AbyLUsK<}@dmky)c(FV;o60V(Ykx1b zkJ#6kmeu#5C%(rcXZVdZnv8_EddnMDEVeae>`-0)k59E-cRA8<q55lx^Javpl#Ccg zDcgeOe`)LpUz@MaEb@SA3ZLc8Y6Uyn{jk);t&a}Y)p&?fel2-YIfRPmGhgaZPYP`; z=bc7_+E1#xrU?-jNW#<9B9mQcW1mO3O~Z+7{Rc4%zT#zVBO?%jAFw!T<dm<;(RsKv zR_{+~_)&}i2{?XD5E8XxgLQ<gN~h}<yAYAifOmVdouWg}&X*SpO%-R!klHC2Zv~jv zyVMBvv7!shunj&QL%Wy^=@{G^l7c0yBCqrumT4A~jGVMYuc=y|+<Vk&lfu$Z{e7Ym zsFViU0JDmwEl-x3uBN=qn<rV_|44wR1z)jJBcukmzW1LbqpXX3C4NFY7;o0PWuW{y z%PS4);!;Y?K=Y`u%uANa$JOqho90kM)6!R$s@DeU(tP{BKuzJ757k!aX1e#4HK_Qi zp3-T!g~W!4br`~)QyslRbBe60UlpV59MP75%{|V0$izGj!Zap6SUdjGI;3$0pXP!u zoZhE~QoAJ|3^ZsyqTU%_=lI?Ug>qG>coV~_1H6LurZ-ZjQ@0K2zfw8W?xs(T=D!z* zWeO@2;F0P8=(;gU(5f%ZRq8ryDure-6+vBiEoO*vQe*^&ly6r~W$8gnAO#;0CQHey zQeO!DFGRe!|FAfO_Y&}=#*5mEHAP^$;n<g~rwsV|*vpL4X+I?#!lLd}Ms<OC9$zO0 zoc>Z+3N)sRNlQJY8KbC=e~pUP3+)nNX$cj@Eg?Lo&_VlaK$plICWW2)(jOn|Jw*{r z5nPKOwT?i|UcgU*RW06js)`YH8Z{0>d;|j00z8$}Yc6)SguACahS^wk%)(Wp3}SL- zu{j#iOM+8vA9X6WglVs%vV<uTH^I*MO<3xT!;+^a;Rd;JDjg}p1*Zo}SFB5tij!c9 z6EbyKwDHwmN7bZUBV4>e6erd$$ubm<Nn+?6GzH3>%Heq1f(Px*v-W{Rw5BM{5~%!X z+PIJo&z;Dxkd}6L`12S~+2}ec7?$gz6ic6_Em~t~x!~(7;|B1li9r1(M>!g+X_YOw z5du7IXamD37+Mm5Q(Ma!QN`615$+}t#W>6WTbhZBso9on^*xGTdl|9)7MHG1$IUxm z;;h$*I3nWF4M7<v&V~U6j9cKv;`TtQ$3v(06`;b1QeZb>Yf{<xlJhJC`ml-yGGY-h zg00m8_3MbC!yjUqB=98;7v87y8=j$r0ML<4*3~JK^ul$iBr1d97myc)TL}OxKFit< z^5^in_(2ft<+57Oojk}-|9qO@@@1U*nJd7;pR6irR`KfT#A#!oYxm=?=7)k|H3PfS z5|v%))bzzIx`4%(SyTn-Pyt2PgNTh05;gILt>jphA(~320>?tPA*niNE3YO(Ue{~} zb*Xv2+7P&63lYtYI@pCaZ$qmoB3K<MCZ*c+P1p{h6sL(Y3K`XdEAkc=ZLyZ7=wt=! zKdF&OZ=pgE0j$ar&r1Vm;ID$QToL0!X@&(GT4<8pf$B<ARKseN<;UuVdJgj~TiVKe zvua&kgE`%PBekj+R4J@Dr3Fj%CRGnZ>Oz%LF~|<HKF`<c##9@*C<r0*8IPj5va8r= z)`5xY2;2%L#j%c}!kV>)tO0#7JXl2xRsmtNQZ7Y?d|GB%rXfw6y~R>xQH$%gMy)Ca zYM-uOric^{QNWWh3E~EP7a)<dHBW0ni8y`+?j)o`ee4=7RwT}X^<ngKT9lPh*1tj( zh-*RR<5w))Sw>;)WWg5e5j`N@Ye@zDvJT8y3*ltN+NOk78>hn$XD1P?{OTNZ$KuzJ z*XT_>{S#sF6Y3}gbjQ@&QRR(gkrm}gKs3c~gda52Z=wJV<)%MUbm~;FkXZf{NW*!D zUPG?AP@o$7WLQ5PPlleh->Oy<%MaU86Z0e<1~8S-j@U9;)M<rDE+V{TTeK(6Ok~k= zq)MZ8)4E)VFl}8;XD~e&6k_|-*`kcR_z{9I8Ar@e@e0(y=o+C70A<DAq+zxZiBW0T zn;=DrWFc@q=LT9~F7JXUXTW<T%fYOwCvuv$5uhjm+sSELQ|ePXH7uLF6fD)jVnZLO zR}3y|*eK-u%#f_1XhQ*_R4E+W%@HZXg#Mm5(!%t;h}Kt{5oQkpPjAr?$DmWY<lZt0 zi$iw?;<}a>#V8X^+?#<|@8orbQI`*>4d`g1zy~L-sFIsa^D^W#Hb8ggq@{UY!_TNh znkyQKZNW0^za!&p0L}x#+mmn&?Muts*;zr3&@f-~DuFBCf~bv7YCfekQ0rr})#*UM zxQL1zsP@2mtoDeOcZ<4YAJU~z0MA*Gq3{aoQBo)LcP0_P4Kj3g3|=6UaEfqJ3VEe3 zWutD<T7n2!)(M)~nzju+HD_w0mbwOp&ap<?m;Z4bs{kpG6^qHfPtLVj7MamWWXMXS zNRsAX*%XOuoR$-ebX|z%rk}174M`0@%gb}^c#=5*m6lK~1(k)yj9W|DN*R5i5ho+` zkbz8D@@{K47b*>0h@kn3S~ifjdJT~rrt&Ex26+~3|4qVFOO~HPgv&AthN)MgW;4nd zme~3*i5h~IJo)I~bz|8m4D>bv`sQnDo|zN5tE{}vCK-pqIZEKw;)ZZBSW`WLie)`! z-z4evG4}~ZY1i|U_8c8CgG~@3wpM~_q{J3_BdE(VC7^ONtc|nQ1SXh8-6YJ#RS=z{ zghtZe>XSs-P+}HNDxTPEG^war{D$A<C^bx}z$!Jr8m9HGDhNbpV^I<qoO~9;(0N*j z4|a^PF>(cLB#@d7YMO>7<X8(z`G><J)`&CHo0Zr|;;UhewUkw=i?veBtTQGljmEkO z(^31J6?-O^a@1&nRZFZCs1<OI+v0}?Q;#)$e*6!GpG7VwR+<gXr8Ha`rj^W0dH45A zc3|ErzL;?m23)=^rqy(#E()y!(`YD$G_si5wu*MF=v=r@f}*2)>$fclYZ5rxi3%bW z`Ys_&C+CXYrPJ_vhbMHou!vCQi1FuS6OkfH)9$ox8|sNJY$+KZ&UWSY$<+<xnprEY ztZ708!$7IDeKpl)4@d~PSbpIweOJQ>QW4aW2DeForq8fjK|pLVkd=}J@U>$bxVSON z$R#(~HrGF}cZQF|<v`%EZuU)Drn;;iZgRV2GEnSOw{gyy`mD6BW><1}G2z*3=|-St z$>!k?z$QLPj9$GIkgeNeMk8L>k(72>5;=Ama7S9qdd-|^NMzz7N^?^UxLq7F6Av22 ziy3q82%#bya=pIfvL-`aRz>V11KLcpmQ<ri<jZ|*d}Cc9tsSwA*;OkH2}NTu0P2gB znUH-uOX(|OpHxER7>Oby*0~a6L*OVI_G5wP-y63kME#%1yrO(*?6SIGde(*6RyZ=p z!-12bQN+_8nTdiSX%aQi*5Za`RjrL0GJ4d%N-HkwLoaz0l<4ApL0cw8VN#>ej@Wci zrb^<}CG9XGTgpc=))FjslBi(?mDWf>r=@G+@F5)%d1{CdR4lbBZ54^<InRo|CV28` z;SezeY&UIy>Sa`lIVYBV9@dp3nPb13k)R!%f3;2&_epLPUr3%*5@%b|!iHWuaQcU4 zHI(37Tnq^rN*{Hi=usJU9EHL8C{8FCxAtKu>{?5I-B4Q7a45MMH?09j+6X2O8m<Bg zC2|4~D}<ztDmb4wl0A~0au0{J)gq!a<0zT6+SRUl?ZA|&l40FR(Qg8F40-9Ip*$MQ zhAOEM%G&bR*54lMz$tdDt~Rsd{?I0Y%$7m2agxteOa%I+L0~;Xl33^0f$N8h180)R zg;qkGj$a_h#jZA@3?a))#)T9HQWQ@$j-AS{q(ayb75W%D<;YSS+W6+FC4F#EP9B`2 z&*AMX9h<#4?-Un0Dlba3QQL)4m8qpiQ!r(a7OvZ1ZH>}2<4Tn%$IS1xLsLV9^YA@2 zn^=iL)~@!G{b-#T8;(j}nTn^V=2MocP|R8sl8a00Wr~zwcrQE<A7RAM&CTQ74NBYT z)cIklA-ZuTmuWEtnX;uJ!>FP$0*RVQcDTak$%pBIO5v$B(6$ip5UKm4EvXHV1|0y^ zN%)r{VG4$ygG*Sn!tfSKXrn#BPz!Xm6jhwhGmI6S8WgDvkS;6vTW5a91ZKUFh;xJB z<aif}jiumMo9i8AFeL%Qzm0Muq`qJno@gc^X(7hN<p2RC(kEo>!!Q|11w6R1^wsff z;zFAvXGG4c&_WnqM3S*m-b5Fdc>D-GszN~)qqtOtj(-cIDCQ7dLb;Pr+tiq0I1e<C zzBoFgjfh$)T-4lZsC#S@8?^>rnlET7RxP2IH1KG`g56x_g|cx>m{^&khGWMgtdbZt z^i5CJ*js6Lh2aOS*nBh+>STJLC=4m?Y)18jeHUySs42BdokAFRJBcl6$VpWnWJ~8r zJuIxPP{1L*q+wQ^l4CaI6IaU!Jc1^~2^Eayuc1zORpc<$*>pm;A_k@bH#mW_raClK z%A-wu>nMNophiQ*OmZWcVlzqAmhw!Sx=`1~YApJ*pP^&4)GQ+d<Ui7a(bBXyZJ4(> zjitto(j_^es|)*3+z3vl9g!{2G{W<2g`Lw4q%ua|i^T}-^!RZ%UrG{MPa}Y*M9!FK z47o>SL^Dt^QCvexsz-9E)kO7Qv?}pGT%f;Q;}A$9Cr{2Z9YO>G`iwLO5{HkX4j&GO z*K^pkM9VFdz-(=pm-iJ3`mJ@u)gqzg(12k@4B96%a^;1)5>Xqp1T`5Cd<LQCqJAnV z5Ef-1kr6{Y16AG3T8b7YWj4<SY&h$G03Ein8JO~7uK5GGp8cCHjAl*r1?wV5D&g*A z*MF4DoAd-eeaL%IW;GiXSPhY@hewk>QXvYj3n3-riQH?;C$;3EuxiN>=On~6Go>o2 zNxaqR_VZC9_`YNSyA(OwKQtc>$BFF9p%?n#T>OycR!f9;3d)xy4fi(LY|G=TF{p-w z7=|=^hQtkY&L_s!OWq8iSL8^B7ucV|^W7BVH_S?^uubXBNHAQvJ78EW1{8*t{d!HP z2wCv3En`uTp&d2q@&w{WJerJGG%1kbm@gjm1iP(A^CDD`&4^h4FM$z<#CMc}pyie= z_t}9`+kc3a5@FSYxW7Mu*N9v{tF!M}YA4k=sBNO7!+&nv7>0A8>6rqRN{%HnSUsSw z?-9;ql3dDo9RXT`*@jY3N<uCn$gwA|+XcnR_1zl9vcYh(n6gTKt|mu4)LJMueo|lP zI?kLz;Hu_W4{+k)A`GD&<`;?`)2>0&L7SrCWEnh<Bms581N4csVCl*!!b>CbAnM|r z;v5-eRr|SqTvDf&miF^vZNyhDSn@{XB7Mv4THFNaW|{Z5nzJ%X(k+K<4U%P}+WTnl zltpBkp=$gWK<kNXYaUUEGl#>wW!OVFvE(HMV^iBkLsep?Wvlc~#x#f?vXD|NnFXhN z{aiH)>2RWYAO^CS^XJ5=W~{FaP!q4PDF~}f&BX*%YYCPUF0Dz8k;pX^B~v}=3!Q+8 z2CzgPwaJh^AUQ&y(g+@yjzTXo>3yVUyu(w$x$W@kPuR;{n^peCBh=J*@{k(d<$7_C zdW@K`a!C3KnllQH#57W#>jFc6s<Nf=iQN+h`z|7dhO%)hs<NqCZ;QJKJAfL(DnGz! zt)Z-_MorU;eu9P+Qhb+A#~ql7C4!8lggO6SF#<tqr9#e}2_NXe7?3IW?5MMT9pjVe zc_3N2c%=O@Dk`TX73TVsqEwEeMy|#%BEh<PyMbnE@t;Q@uCy$>6tB0Z{v-Wj^DCQW zmAodLt#QD^a`xztYX3Cls-wXr1vw{Tx^#wiY7~&Igk_`(4LWItyd2ENdJZJwQ<i8w zqNS^llwBRnZn8HuQX3eRThUtS$>Ejp>TAQDO)142;c6rvO3K30*wYy-CHh*vvQmzj zZm*52XS<SRCY1caMI_gN>Gcedq^hMrS`@Yf)nn*zd2ATAQkX{;zAdy1rDEQV^u6R` zqe0`^k*~V&Os@;b9ly*8xqcaogWQ}MJ=J}=gseRBJ8|n&=&Y+7+}PP`LYt*%rEg%R zXgRjD%aCGU(z<Bq`nVR)If>*{$<9meEuon6B|hUElfMwkC5VEiL?_(%!M-;H!Ms_3 z4U>L)$tqR<Q7g&HIBUh~R^5!;+LrS0Nx{)*iR?xz+#Am~>#hvUoi1E{YiQ@Mm4BwI z+7?E~jeal;UTun{Z#K>rGcp<{8&+|tN<8KJt~ipn-XWv;;wdiq1cNV!@5r1!>(6}$ z)#tfHtkj6uFcz5QLyfRpIhovs#ULD&S!ed!O?fJyhtjptHqN8OJ@_AT_twIaqqy&E z#L;LeZ%&&xaXTw3`}Um?OxfhxQf_z4$!wjz%d{G4-(_6C{C;+JRXdxJml)eerxjMq zE@ls>3FtsdqMd>VC#^CKJZX-8;arw?2DRIf*`aZ#x&XZ8cuyAHFF`)Z#W&S-n$MA$ z@$}el&>LU4CJr1WXLIYUl>R9C?=23@nv9~*YV;n|ubX>t*WHiFSsUK}>9_ysKV5b6 zo`q!9@Ns{!B70qU{<`voU-?4r(p%PE_@(+=uKU)mySD^C`}&7A9(wy-Z+!Q_iPuk` z_SlL$ulngzJFom*^P~6Q_O@G3c+G83-0;t9=6CG4<@dgE`2(4^yz%m@HhlA&?>^(o zA76gzD-ON;jc;A~mN%S#anY=L`M^_e`{~GrnGG-B_wG*=rarwP$9~Cp#SOD_-+8jJ z_5wG0^SZgwTjG^BZ=2Y8+lEV?IDOBKh3{|pjoifl_~4=M?BDh~Tkg%}?ycvB=Ktl| z4L`W$^oy>iSJ<@rr$5d8$<zP5cJQfBf9f~Cb5H(XCJyfS*w4P%IN_QvJh1lNm#qK5 z!UuPM*tw5idoAP1?O)#O_RP8XzFS|j@boR$zx?Z`t#15i!}m`9)4NBO9sJRE`k%S` z<b(Cb{hLdV`nPcuckuq(KK&25zq$3;zxeo}x9z<7Ywx=JuCd#4^Rsb%VeZMs-78(a z<(!jU?rZNm;it=jO*iIFyzQNvv(?}opE%(S+kfk^cMtygPY<p7FQ3|W)IWUxEvJ3& zGo`nG^3XGHdFPeyx-h%^kEhoiZYMYYi=6pb@cu8IbHWq1ZNKUtUVh)Yh55PUwi~wR zvNu)NonQFsw{uTjxaU=N#rNw6ACvb#dguqA+4;csy^mCf`);eA`q=b0X1@9C&MQwW zb><cheSP^k-~9XA4(&X3=3STkr_Vg~!0A^#x91N}J+!c4;$v%1-LZ1R%P!n_%j>`J zvamQ-IB&!CJ3s!P-t^LSFaO5SC)ZSW=W?Tkxj4F^f9|QphP?lV(VH)sYoe2Dww?aR zKYa6+XWzc><ez=}Wm{f0arX^}KKzZhzUzsxI2;Y*o03c3d%|0j(=YlTtbF^(>gTR1 zzWnir*7iU3%=M@4EdBN$KJeVnUjOKtuiZBIlLt1vzWVMD(N6Akjk#;D-P16)f9*d9 zd*(Qw;?`Ho_FF#o@_ozy@<Rvjzw)X-``M4*bH$;Lzwv9G%MM-}-d`=bfT~SdbGXe3 zAHQmP!_=l5?)m<q(|-Q*wYB^1ylr59HrV~q`dMF<&wr__llqqTWuMBPlE3k&qi@?e zbQ-U{o_*W8Kix5L%9iBN&X+wgyY={wfAxf`s&9SQRp0pU3vanF-g@Cc?w#k1Y}(Rm zC%qM+nGV~oI+Ct$_xqVLeV*opYep}S^%H*OWhM<~KhPZquIen>OT9lcEk#{Z-I2>K zWBpBL3u{x^an@pM;Q<zLP7GG3i)=s~9H?xc)|I;IkIo!qm(6;(KCLTIr~O*pUb<|) z(aysl+F*rIpmj=97K?nr_Cm-h^SKrYFYp|{;SAQOjuj=ZE4jlh<_d8tQfK0<vx>#n zzE%#s{`Jy%^P8s^rWeNdV?(8|_fwC5ot<8tL&z-TS*?S)!NtyjH2kos8yR&)?cj9Z zJ$-$-*0Ybuvp!U1Re`$p;$m8MoHlcE8a~jOAy*ej-x$mF2RCoe=U?}_N80Srn_!@b zcsNQ6(-SXoVqNRR1ioKKCu3cmsDR{PQCdIlbka+DSY1B7SUl#KnVBkZQgQs4EuB1Y z#T6vF*we{4`=SOaPao8E)2fq)o|>8I>14VyzCHi=z(hBPY%3U?U{A!f*}q+rW43Zi zb8&EQ>c%YgGaK?nviz)<s!p~q?j_#~b5HDfPIWTQUV^jE(sCAgzD^d<qk`(>nZ8sf z)96HN1baSTTqp2hgT!=x@4<sJ2Y-c54l3u|-f6FspffPQo`w@I80?!s#BtS0rE<?b z)ZK|FtM3N4zo<?i9sB&}7k`rK`t*C2R<ESmKWtXzWu49i)y~;V?PO7P($<F@;J|oi z`^?NS$Bd2r@gJj;1f8+-_NuFBB#VO+oz6^kdvTn7wzB`}gB>`j|MO2*tHt7ahV7@H zo}q~ysgpdd_eVdP-m<V?xx=lG_eP@aB!y!Cg4S(3egAm{=GfTc;vzcP^W5AMOn7vC z_1Lf02|N5wuHN%ZXLD!qw~#C7JTjJ9y_yXo?KFkM<~W-vQl0G0pLN#i`#K#q+$nV1 zN6%hlx16G9_IA8Z`c#whx|s_)2Pf!4(8+Vp$^KUBrGMKQ>|2&uhE5()`XyK@ZC&5q z)5+lb(236C()5;SNOiN<$z~Z#!+-v_i;H(0P@NPZ<`C2I!R;i=B6a#M)Yj=7IMD06 z=(#3T2YJ<rY^UO<I$8G8YBiTjb%F}m!b-jF{o(%TM<vusJ-A&08kg$C3x+}8bq~DQ zyeUWh8)r9WbeHWoJ4ehFA^mfUNQ>yC_(PFHFK8#dzDsp7z2J2+-sg4F9$^13f-C<c zVVq4z^j)37>3jN)&`H?SMbAbj)Dv`)U+_AK$P4Xt@PfsI-M&k8qJ0<pfir?mD$mo2 zsm7OzoD@w;at3UMOyc(+(w+*u*E6!!@5Re)?9o*`o?~2@ziPU~JDZ7ZxuUNdb^1?u zfL)Q{KURK|)!~Z*f0nM_yQlQS;5QQgZ0LG^=>49;p^;|v2}9skzYkLPKYF^d+K{WQ z=_+1r?~kJhe#4i&+T?$GY9M(n`x<|HiX+Q34zg|JT#Zk0xU{CF-w#&ujHG)t*Oa*! z9J@%`J}<rfjPPat8nf53x8G4Ql#OUvF>CLFhUY5rzFrx<$(JDuE7-57>26KaFH6<u zIZFK@`x|+T08~D#Zk~FtdU&n#p4qYC%OBeP4!>Ve!mWPap;Sa4WdYq^IDGk<TMpB? zi%R5+XC>VW$iBqcvk@}f!5%*Fm91mDG`zwmRMLyXggt$fJ^FIP`2BuFHWi{%4TrzS zb2@u!Yx>l5@W?TId9*v_1OG8kPde>YrDe*$FbkRYM?rv2mc8>GQa{`8A6$}Y_UzMr z+323iez{!SajfcIbGgiWTV+<+N!#aT+xRZOpK%+Xt=ZS;^Q37Y`w)2ww_aCAwyzY} z_egk~#ap{pu?I7J$LDoV=67#F=CeytbjuFpfbYiM9?-4bJgVRC1%0LCy>FYm*Slqu z)jFYd`uQ-!Ap8?XBHyPR)wloP`1sM8;!hsW=*XPzdeI2<ES<s3;2QH>CbMv$_>(KH zIB;Nn=d$gO{9P11lViqlAkUs3#%wwp-Fr5ELFX)Lg*}lziRO#J)*yBSZDI^!@b47; zHs{5&mV`-rXzkUIh+c4eW{Y&#UzVR{=Q2D0_D)}*z4fbJr8|M9r^kzo$<vyj!hfJ= z`M}@@#upj6G;n<I%?r%%cs<^h*21%g<PF_K-uz~re=RJ$`OR#F+^>C@?twhqSB-ZT z{pdA4n0bZ#mS}T+Q6MwvUeFhWGWVNiLmx0W$Ud!nX2zJ-p8ayc1s;iLDZJ`cl?prd zIuqmLFJ)Fr8+-v&RVR<IeQ>-;-;7T3;&t)}haRvayhp9QJ!Q+b&r~OuU%t4weyqA# zb<!DRAL-BXWN&6>a1e1h{bBrqX<y!|lj)fWKTzoQ!{7aRhmxi*KkbPx!n>=9!!Df{ z2)UTJN~1uycbai(v7<Vn-lCI}>8r`3?tyw-zcVJS>G6*01Zh<#$jwIn*Ssc*Qk~Gh zcQf&Po#^~)@h*5BIGo2+C$C@hIyuzCztGnD*&Rck7sTAJvz;RuPCxyPZ~XbspL_1W zOvfz#Y;oa&3p&8*r}v0o^{VaL*?r4)$nlrT;@FGm#4&UZ%87Y>Zs;7`|4)?M0a?sv zruD=Pa49^E6qFJNN}!YfO%|`XVticN$rt}F>O7NEniB`LooG(Cb8>-PAgNAHoX~bM zn4k7r=%$0)I}_SYbiNOG8g(pb#~<oKNohOLeVE;L!f<|p&i-j3)VFRs@$LTDKb_vc z)K2yjxPOrLpnvfJV|bD{RF$)QI;l>kr?s8vDIVQ+qPg-wJL$Taq`>Hx0gnMMt`p*< zEuz~_s-({$4qM2H;(PqIY~d&kI81}R>@qPu{F1#Hm)D6#QJv&dojk(B4u^R3=u{sn zari{4ld-XUUfYT4q|>KyPaN#*J?5BPj=Iue<6^I!P}ONC6M42J>#Xdkk9H<bJW+M> z;_YO5yUxqfb`k_c_U*)<@^FF5GCi&BgvLNSVdv-*yh0AA_b;^*bkf;R3p!FKRFAO< zjr)9^EVUD4NkQ9V>hd~S!uP^^HdHUJlkJN?+)f6T+DWxzs$XAR*s|r>XLWaGFaDM- z+qW|VWzsl4UagLq9{yL`>2%~*)BK+Z9r(54m|u%(iHOIt{|`Ww$;1DR;OFfB2l&|+ zrwx8_e&PuS4j*v!;t~H}gtf*>*~@Fi`X>yvEmIl&bph>IKFyZFM7W@X(n7I~g-5o@ zJ37^$OYs`0V4(%+c?yd|CBnS~7MJDeFSQlz)bN&F^3Y2Xk3XgJ>0<Yw>ogv}`aM=^ znwE-{g5rHlMf83kyxXZG<%<KCx)n#LQ?r|{!40VV{Cff%VF=E9x|ps{Nj2z2p^;+U z^8%mm(;(xgdT_}Ep3*E0HA_07Q`I*u)`x_A|58Y)Yq&Jnc5TJNi(lF(nAG<~RP+*< zZgMAeF*dckmU@gDU0&X)Mq-#&YkEe1Dj=5W()KfRR5xLY?}9zj(?fP`)3te6=oYt4 zF%&bY_`>FErQ$;V^xt2ps1kUO+JNnv-d<9XN2Z2G{Ph9$p*fOVm$xZs?)f&yqn5?s z)1yA{#dm<^(NFW;1;aNUKI$smnm)>>0X`?*$rG9K0+dVdPcs#Zi%;<(U7?k%ze}v? z3Cms7zSX<=?&*nt(eUh7{-e{7!$TnQ>K}niICo@Gg4KvlwcCS~vM0R8_jzTrjdw$q zhNY$CskHzUG-m+ehuJCO2+;BbQu@^Wt4-F290@GWO5rdET+{;R(W&r?&}g95@RmAn zJX{P&X%%$@Q0(k}_OTFO<EI&Y)YI6M(rVUT7*+MV1ZgCMO5v!Z)K;%@L>4WY&|*9f zdNR_lEk%7EfyTB=n4T&WktVnl^y@o*WkmZ6d{Vi3CCq79e0UX;=FRY;Dl|Tfuj3+) zw-7$i_*gD&NZPEOzA*RTBydig>3W5RsG#NJ%hM=x1%H}2d>Y<o)bvE&(DYN84)YDW zq)Mr)9nyDH*kYXGj{cIGy2|DGV07J+2c`4HgZ(^!TMv*O=Tdp3=#XfsoISGCHeD~a zI**Kny9g_v8x2!%6pQneYWw^;wNr3C2ezYLHM!XXSJG5`)DE1qZC!)#MHqStyA@nh z4);7JaFJsn3Y5|wMuJ&zv6fIYtm{|w|3URZm<&5U#A~SDz|!Q;#WJ8i?aAk{aq)7* zJN(KZm&OB;Hol55m4L>9X;MHII|YVx*7vsY41bsEpvg69g{;h|;?y{5DnF-T$fzaf zv;grV$MXPj(o_)K;f6#Rc$u)Qwwi<%W)-PGsOHg&KF~w?gfv8>Yy65Q>}C*zC-Bs` z)YHv+%0Nb=VO=V_0!mg$bL_vz=SNM*N)bscYpaq`4x~1eguYMpSqgP0aF4MrPm0)j zYO#D4BMA(db6P12o-EMC*G7=6ApM55NYFXNH3*ZcjWDYjj4smh%ty2W3yyFWx?<~3 zBFwd{6)}Q1urS28;0p|9EaLkw{pbsvg7VITWU-s~>rIL<?m{%`gS;<^U|WJ*6Z4?S z&|8oNz;qcHCM{Oa9R~<cOhR5@Na3M>;;NgUK}`Ifj_hlRL}G?PD>Y6G<xCFKJW#fy zqn@7d6k4K{z*&5bKkFoJkfb#P0QGK<;&kxU4GP>uVzsb`Y*8<|%84~5u>t)@RXJ$U zD%jNWH5#r|mC1R<H&q(v>VZraEqKeYN5sQm;6grZilJZPjkcrH^fM7hsE0ty#rYB- zAok?PH(NqQLO9rlo`v-km`h-Yr$D&AE7zPHhHjWgSWUrtr^AE{k29E|qmaP0Kp31g zWr3UD?ZNp@RhDRB0Li564(3V662XemXaFO(uSJHK%27j7Nz?}F{63(%vnmo>3&#`- z-8?2W$7&)e7%XN>a7w{o87+1ujOtc8U3aKeKP@Nio3zSU4d{WNJ@|^*#aQL2WX39G z7nYLgArglxu^7EyO5GK2nZDRz`4h<#)$iiSgYf{u;s}3%r-t?t)j+2R5BPdxnfH6H zl8kCb2y-A+WCiO@ouxCjvf>OG0Hb6coOiI+LW@HJ?=#-?MwjaOmTy^Q12U2IrEXfZ zby5q54UYjMF@dbXCUsQcC<H}#$VrVUs`?CnXv~+batf!6Lpoi~8W5r)T8hQ0iJjEc zf|K=@8a-E0-eE#(Yg2G6qJ@|=&JcLHNt2eEfvH~6r>XX0C6B@@fQtjIz;Or8TMz-p z5b9k-RT$xCy1#&U8pG$yw>AP&Bo3>AC2O$!h{B3xSOi8C(kB?=K(36_+UE5I1~8^) zaz~vyH9sj#X#~xNCa?skF7A&jaP}z^bY?J{3{BbcP0*bAU{GypN|SoUZOc=|Z8OBF z1NK<@F4CCHUm8!^{xK~It55l3(3`4d>~FZtQaYplIY%=!+)vR3@;ze_ElvW%;`44P zsbpL?R}^Epfz-!kaPl3YMbJqjgn+hnZ7-H&GzL3<z)ALF+DOQU7Sf1lQCKlz2-QqN zK~>i>P=JiZbg2W=^7CG&LU|ytTU{*vfF2e>d~|k`YXrLuhBYf={kr;@%P^*bO}+eD zON}Pgk@(Vp54rHFanQqh*#xWg@J(lx5RgcjWOR~r7`CajWAXC1XolH;vwoaKnxYjY z=Er2WREo{E5i#p%C!2#B)uhVsLwz!+2#Q#~&32v=q%}lD>FoZthW#S2CAhSWoQSEJ z+~}BQq_m2qj5Vzqh3W|8Mz(?Nf`Q$qC}T0dV~kXw8Z#yKj);6qUgijFTeHd;>asz~ zM7Du)a6Q#nXjcDgPNtGEBl89ywRM#YIWH5b7%sOm7D)&qmddMoQ-55ONeK*m&h{B+ z?;-ls6HOG1HzhQ=ZDiQedkjzpvqo8J9+lIpai||mV8t5H{HNe2V_sxKAL42HBPeZR zKv>FxO`gg`f;2*EY88Z)#izZK{^MdIr@pisRg8lA`Y=6M_)r~`+w-vCl~Va6flrA% zTMLn;8Ie*N?n5kQTFpUD@>7Io+NH~P1yeem4fVkGMySBg%RnFBMiNaaO@c4>q<9!C z&XftGPKs94i-U^k$<<Q9EOFJ1tlFq8Kdt3j5~PFJxG0RI>PK$^!Lbp;dI{2~5Yg7G zQZt>^*pypU2^Cc8lphu<Hw`KVn$_N0S3|3-<<dH!k3JHw1E;&!n009|IIRfAyKt(5 zc!t3QXUT+GQ9}Ol#ncYnKJCGHQX!mw+)9~((E}As*Q4RE!W+}z5KX)Jkb0`%_iy?1 z@t6{gR-|RvE^!&H26}>g1cy8t(I>5jZmH=3^l1QTLQ_(|V!Cp|7+2cZ(;y$tie?qE z1*HRB^2n5_M$BQb%hDf<s2xdBvu(t<S%|%yO6BtgT0sd)*v5O*`2XSmnI$l$k0&%E zgE1y1U_+eOn)d!x*)iQcoAXQl97>U{o!orv5a&z+-SC@p7Ecfz^L)E|=BA$6S*A(^ z(S50{=VW^S`qa+mpYNBhKl|e@{>CodeO>?iyY`Q}>Afen5doNbbp7k$uIZUB-M85J z@bhk1CihB0gF^S5(5NT-UET1{c6mwaM|N1l>swua51-^F6`urY*(BE-Stf+6Na?fd z(=$1vW4d-`$?wnhl$*Q$o;<xW{43=j1S>an`Ftz1a22`vBdr<@tlz4A5ly@2U*l@{ z4*Nf1JpC8CcK7Vg@H+gnaJC;YHFO-F%K4N|yVb7$i>WQd^Wk4i!-X?L)t`A-ZD4I` z>sg;Q2frz`2|ur!9_M4e3B1M=P3N_1@X$*Pr13K6;i-MZI7Mn&F>l@Xr*SzC)OUcM z*DSKZ+xRy#gcBi6gS4Qp5YL~%Ng7LMaqfHZGKt9})WSKY<T;kvFT997%h{gSgU7zE zE04;j@kp;!!FCUK{SVg-UJo`>%km4osc!W_?aeU4&n_>4j&1DSUY{ijgnmbgChk&0 zV0r_yk?1syT=CPACN7xxZq-BsV))~j12)}dMgIs5`C)6(@*>Ak_}-;OWcf`YjKLO% zf1f%etYBDv8qbCtwsnRT+g6P8czj5!p)5xOrw)rtUlb`=@DGJ;WD)36&=AAV@k_yK zritR?cmw?MBPl$ACk`cy9K_h(Z@YHS0;xe{xW_2nROplQCFP^F!}I%i<OsYcH4M=V z&XjKkm*=f0MmS|ThIyGsjwY%{!w<j+vC24lY2pw05n{YU;}<1RoDzrWti<4n^dWg^ zVq$SBSZJ{FsYD)yUp1%!V_^|#lwb(+gztJRNz*}xWzx_&se&bdyK0rT8<Q}ciszNT zGVowC7CTV4PdCiMB@Lz=Q!>2D!~aM{ouMX3Vj7|*IG-<EM$fEJ5fys``0~k026}a- zp*@<6*vO`O(|k2?>q{4h)q^M|T4;3E0`O$l3rKD2Uve$E)d6Y=`nxV4+4f|!%to|g z4Dfe7wuX8NrPj%c0&;Zc#zxDjsyLrnY;vhoj-Q8+qjx6V5llqdJ|N|+Zv~LuGw!Za z#ec*!%+sdP%eZ^Vc(j%p04|N+E5j6x8TCs)1%pufeBsMWv0dr9(U+)w#(O^1$uAHn zuQU<w@U%Ub^*%KNouGSks*|o$G}+M}Pm|WMNilK<aTK5nh^tP2qi%ptaU+TW96#$z z044-<!H)J^ko(e9vJ{j`Szxhdm8zz$I;@UoQ$Y|0q3`KPNbyV1mk;vPCPk_QYH9d9 z<@k~%LL;UZAtixZFwzT7ZKB}_0>jUzp$2c4i0DHw@j2xKj`I@(U*;PALeMTKE&37f zTB6B38O_(77LHcDkzsKOo-v35gJHxu88Lp5Hu=`_aa1oWZL3ax(0o9iG~MP2Case= za8f|zT58~YgVFEGES`>?*MpLz<Am$7f>xtIq=rjBs`)Swg!qaok)!Y(S&EZc$Q13^ zKhF;^YFsVlSZ}xW@`}~(V~ThDx)5Pobr;om9*_?I3c*x>S>xxW2Wzz3@}b_a1f_ro z&WEEb4e|;yVy?!|dpYBXmHzc&s5p*;mt#%iNYO+r)B)!X1Z_eHrn_*s2=JzUxl#=2 zs9wpOrsgz^DNHmu$~~j(vDByDV2akn>G-xncsw4k5PMdMlZ$vT4sMKW#Y*1rN#MIa zeju+TElZMCU>{6!gn%>3ev-$$UErf(J(}%Kn}qYE;2=|&&mt#<J)cKIP+de#ZG&I_ zzZ@+Or8SiLZ^0;*5+RjVzgSC^YI2UKOQyg_>HVd|-@~txKAD5JJ|<7=xciWx?GV-u zkV!0`UYii2pl|gnokI6B5djuYS%;LwS{+QZX!OgCg@)l*0|-(cy|`pKtv*lziP69c zhaJ>J8phU~m9T8`RbKrJSzdl|aeQbc;#*S@QgVlBjjazcgP{|r5;euh`3*_@VjL(U zxR$i;T4|0-Xv9*rMl`Mj&W(<uOT@EsC%Fw8A!SY3@*YhUBf+fyjHpG)CWW9eLIoY- zFbeY86d5Tw`X~LeV@D$85?P{>o@Q_L(*$y`D9)gd_oofg39GJZQ9&Rt@r0@@N-2d# zpCGzpM5P|3D5F+Q%SvVPVD-eJA$^Y#74!3M(u6^cx@U=qypZW!ZZ?#83;EP=lNwN` zLw8}ozl_)-rI6z?UG@nR!I0s8{mNY)fxHn>Sb%Tm5y_@rCuL4y2#p<Xn!<3<DkoWV z)^EhPDJ7vLQUYZuIAf4OG^gaGMKhV5FYz74OsZJqF4{VYBB|8PAUdZ8#)e7joLeHf zy`M8eLVNB-q11*aIhu<Q>R{Pw$8ncvQ_^#9=H~n2*hakJ!HlCmt>5}djZ_<s+p|~6 zF0+Z9^!BD%DeF;;{blJh?EG+bBS7%ILW5VIx$v4u%p{+FO$9Y0om4`r#Y`KI^zA+! zXh^dY7?ZUAdnXndPKSn3_0Tj-S2w)>DTsAidOEI-)Co4ht){M33D{^SwF`0q3a+z! zr%`1ekEMs5C5q*Z6=^yDnKP2ITW9CRfPfp-VjdB+xfx<R*bzdn>)=YcgcPX?tIZ-% zCQJ#mO<7+@ji#=zl(kxF2fK`TTb0(TEGxwsscD`7r@6#68TF=4BnLhTEhfN#5-J-N z0iI;13oZCLbx%@PQm`vr5<0}<8HooP<8*x-phF)tsMocLC98B@i&dU~Z^>wAL;P$f zf_a!YYf00G*EIvY)@v%U0&!EI?^Q?Y*VsJ>t+cfoIxAC(YibWPDIgW<)`_D4eKBF; zM(q}aZIae82`Q>Z99e^dhe#V9m93GC1(C9j+?$+q0hItvP0mhX#GFAyf064S$be5- zz`iCq>VTJ*^qiPxO+t-{VUiq7occywXkF1-X<lP(Sk|6vicZbt<h&Sz*WeTrGkRA( zSL8IY?OH75&^Uht5v&+C#PDASBNx++x%qt*fa4o-SR@RM%op&wFm749F5^a&P`T2@ zQO<^GDfe>`9>OOax|WHHidmO{GGx_&vce7ciE)U}dqb^KPG{l#mu0F>eoVy)MQe>^ zNHEqmX~UBe)`$a<;j{~mtfE&l`aeqva7MG!I_^salXGeu35qqID9mv{8DY*k$ytIO zeeOR~M5FR#5wfTqIRlz;!b%%9lX9LF?liX?u^AM`G)TcBA@!LSL(K^Ag&^H-lx52r z3`UWC$kvoeJE@*gdW#ByBIj(BWCfYdN<%pHOU1EdPqNxA8u+Fh48GWG6zX(EA_w*w z-7U*OLxqfebb#iqrJxm_|A;SbT)1G{EN2N`>A!m;5jlt-RfZf+VJ7AGO;&rX2L31r zK?7EUE0*p}drQRD>=bD;kx)y-5$b_3pv0I!$rA`?fdxI!R$~!iGL?gGSBb4jR>X*F zI|H5Sc}gQN@1}z=p`KNh1Y_$4YQe+wYJD<7Ij8)UT!kQgeZEl>PZt~s=0;~#rnU@) z?`?&&Pwoktm3u)s{hUbzqq9j%l$O!VxuGIq`>2I`h`7ck&Ws7Z78JA)gT+)%dy5c> znSE@v;%2F*4b3c3TKi=7W8%uKobX8(R9gZQN^-|6X>lkbb&lSKSqBv>Y7<S|qhXi~ zF<lyKNYT+yq!@<oNoCf)SZb8Pf1IU~_S1CWBSg8E5k!wZ*`prgM4{o-N$tQ5o$yIB zsK*9}QDX{jF0j7iA<PX(yRM5ROlaCQ38nQ}SG*&<y$}}#@|-yRxXZkRFJVpX&l`M? zwn;q+t8EpG&Z`brSH7BpXcR*@(Er*%ZVD}K_rKHjE?{yM*Ma}J)wf%BT7%~H&>991 zQcW|!NM_|R!)v_+)eMaoFtJCVK}509KnN>vK8Keh>=04iYKhiJiw7)Z>?BsBh**}f z!MoV;nk*hL@yhwL0sO*FK8(!gWNovHl>oLOnEwAx-5w!uzO0=`&GfCRQ>RXyI;URu z-a2)|k0xO))t+N9wXVz(nLo%Dj5yk9V(K=kNO@etWoBA4TN-!KRHwbU3uxkub~av_ zuBIq9O-#sclQ)rg%M3Oq^%|xA#TCXpVxvKKLFvTC{fQh=j7-gU4?|@Ee2&pGP2JU8 zi8fkC3bFCV!<gg-OdZb|sW|1!xZ8y>DPkQnE8Vt%5E^va$gYp4%98hD=Vga69gW=w z;-fuV*YsX0)Y%)=M=Yy)i{wi#RqZQvKm*=FT*K<DUYuS;Wl6cXywfX9uwLeDYk4g8 z<bzHCi|3tihUXY<MhDJ^YTkIe86+5XT&E(dWsDBc(_-r_4<uu9U*#;FU!3jri>D~s z$|IF3drwAVHwavthLb1!wXN%G>7oPve&MWn=&+{Vgt!|vnr%87Q@Tu+X{`oFS-BfZ z>ynRJ4q>Xt!%VGvX)eBa9n|(D!`xCfhGv-KUDSW(IeC#)miN@=0OMJFQ9Q&>o1&|+ zAT&-Y{ib2NeB?P&kb~lMHxn(6m<kOg?nz*%R#`ThJliMB;(TSQK5oClddu0ND1A7x z-FgGybTP#}W4Um??1u4kw;Q=Q8uT#_l<pp_z<y2|qi(B@Z9JfFa&gQY-E_4ee5$k6 zE3lai(hOy=B8yt8I{KRhO!|0v7FBsuOfOKx+9NW<JoBiRf)($9jFCDkv-C{?&F<G; z?EI$s*af@G`>754#Uc&@@>YzUX2s#}s5I$CNv*%KD%LfUZ*f$vG|5i0jF3Z`49F4Q z*EP{F)o@-l%^sal0H14MOcaJL<^di4vb&===A6SThK^+Ic#Ie?<uREOap6Ce8MfSb zauao2m<&itH7L$Yx|B$$oR+3QoYPB~vn)+rn<EyCI?u(iOVVQ;tVsW`UuoiNjYM{g z^j-!>GtMuj1Uc$uNf>PQ-#*H7G%6x3*wQs~+}&r4V+$EssFjXvoI%;EdMB7bS3@|a zOfBV*qt1F$$V5n*^&Tw8kGIj=Yhq*;Xoed#vXI}w(MuOmw9-R@fD>YMJ&!J8^&#C_ zH4_cC;A&dpu~3|sneK~#YbH`@%|1Oo$vgBRmj_tv;lwNQK!}Ia+GYqg#YU#Ykz86@ ze82*V;wehiDPHOERf$k<^74HK`bqX|C2@?Sh|R;daa9xI&XGaS-p0GqMRi@BmPKzN zGZ6r8#wLP6a+Q7Y+;Z$?3=Qz{j>dR0#DE(Y#yNhOmOLFR6)C+X5m%?s)I-FKMGDkr zL~JH&@m8gqIR=k#4?Y7S(@+>ig-cw+_ZsOqhIX_IaWrbRb1|K+*CiS*4!I<nqS0xA zS18bQduJe#=<(0;1f760t>{@i`t}75deA&bM=G%|NKN8C+PkC6YgWBTIDU9o1JG<q zPD6>MP167)HwIFg)EZ{l3Ep<cRZUcdJGQhk?K2z%Iz`r9Rf3#?rfm;S)dx|9s>JIw z3<LuoT8z_7@vw@BvmtDh+Q>>CG+hBWJQgsDCzct6Q^2MH4$6t7^p|-XMK(@ywe0$g zhQ^U>JfITd{6QNH>)hC-B?55>ijd9-KCuLbn7D9VsWzEWx_GS3_%LUka%K*L1U4pE zKQY=mBF>murHz`He>3{%X;gXDRR?Q(G3Ve%bt<3S!J;#cXYSBVv{kB@F)+o@M2^re zVW6BwZh$H1P_c-=BxAHms*x)?rjWjT#R~&}97$cGE1M*RZ5Whllk=4igguD<aO229 z1sNPt@yaE<s<4y8d|m744JoSN`GQPYnFv{I1x|`#?9qoo@W3#cqog{zH1s6<H&6I# zx?e7OxIAN@tfNzKx}sL^Dj&8C#dfa}DnaGS0S~d>{wiHLXs%-D4PqQ4>u_vpgc(GK z5NKCILU%N$cdb%zPSXgvPTV>jw5cRIHM?od^g5Q9#X7_2UgbocQ9+|fGzKn29YAzw z@rK0>p~ebB3r_$n>9``32gzxl3X(-HLL+6^ORm%T^qgAxfub&8u7>Lp&jI%8WCQ$@ z2r?#qFGHN@a)@@-PgB5QTVz}r(!}6HW}B=`C7$u{kMF63_qN*cV?U#D;4P6>=4)JP z{QL~?&14Jtni-yNW^}ZAI>;opj7q^C%JIl%md?9uf@VP*D$){QP5M3^a|PWhMRF@! z0fev1)hhkks9u`=b$BHJfHZZ$gGfW9bJ6@A=b@)T^^u9<djf|Xvf8WYDl-LYJoDq= zBikQ21-#jL0Gg2r0JG(en>&B9Ok-LloLu6k%Bu{1G0oyU75D&fYhgt9k!21#+PL}j ziuW00Ab6435GP&T9NQ|WPQ1mW4Ili}N2z+D@8csF@)JvF_bMd{V84!~l>tR1ba@4e zHU{xlM&UXl7&0Bh7q$s%zR_@H2-OG}UcKb#h%C5}Lt#Dx%rOahfEhA=Mk)k~vhYa_ z;IAT`yYccWE7I`5pm#`yowGdQF34^NaRfb4UO=3uq8a*J0dc1&pj0XDu%!fLnjiPR z{x*I7`LJ{~?yn~@t1D^xqnG)&Pt;>~^pL3}(!YT5CyhPS@;k6LNRGd@en0JzfkR@> z7;#pj0jCu=^XwJA%0MD+O4KjMQyf%G{K`lCmD~cYk^?Z36zKP6%2~692@J<aKFH4y z$D>az#)zHW=?3%pYxBwOqg_4s?Zo%bEE|7dXsQxVEF0ZXHzTYX!?e}CfL_`=7S(5T zlBm&=DQ71nIHulDvAxvkGjm-6Hcpwr2E#MDlk-qtL4UrXYioS|aC%P@6u3=Vb*ZK0 z`HVwR^klNwTfXx!o>wHC(H5ruHl`VEhmocn$<T9q(Idn9F_#xBW~j-a!vF<qjbYM# zbkC#Y%lZ6*^q3a8dpfNYl>2R~?3y|8RGB;?Q%csCW~_+w0K&YmJ+0Kk0o)51wF)%S zZezx($$R2{ImU1om+mztlByGOm70xoA}QLO<xOBx9FB(Kb0n!xMV8iJO?q*wDkq4s zvDL1p&pK#X)>D7F&R!EAsqtpQI=w8HmF)04_9g|k+f^Dvv8?``Ph3^SD}D4IPF}r8 zZuH}SN!y7}rkOlvWV~CZ^6TppDGN=BJOXdfCF4ECk5!MFh?CatO<K1ksniRmSe#)L z4^vT0`%|a$Aui}a1EJ$d!dHnUUfS#Qxm_$y$`89PDI*)N_+a~JmR3N1KhC`6O^gh2 zk>w&?&16sNn857q`UGB|G&xsf{cDZXH7{#^dqIp8f}?NereAr>zV^@dZo2KZhqrv{ zo3;73z4q*~FU&5j|KZ2qYd-a`-}Z;!-FD*7j$V4-+n4QLw0PgI9ksZyy-<+NuP*Al z=7J43Kl8v{&0~*5Cl5SVKmFmM>euf+;9IvhKk|`-*GzwZ)6gZghu0Th+%(kp)SmUz zFMfHbulCZ9>MuU^$*(+l&$GonJBA9IJ~vf-@u{JmPt9C0y{9I9AF2JQzUL!J-|e?g zH}`B>y7P`(o_y`*AODY@jqlm}(0}^IzMsB&^Z)tMPc~lo+8^BT;7>N*vTo;VAOFX{ z`gpPar^`9&`uYW5_{+s(FaO!g+g`fsw!62qYPS{_?A&6aeD#5kz4Goe)~@Ti|MQ!Y zzBt-`_J7@Z+s^5p9bMDI^`yjfraCcEaYv&rTk<{%vgQ<QZjfmBN%>RL2RP?+V#l^^ zu5kD0lZ&4D>mM%L`SAYD;34BE_o1FIo4pT<*){!+!hK(_<?=n3$NRo6wfi1?$MmPZ zvvs=Ne#i2E{O@~j?_RKjweYRE?_XOSy5loTKk?|6EwxRX^JjnI>>u@=m0M?&4|K9* z*8?xU)OY^NANi2~=~KDCc;dKk-rs)DQQ!IYAMM(4`lNZ{$z69|``WsdFW>a>Q+{;E z*-LgNn-(vgF3gk7pTGI~$~*t_P3=Gb*rsETnzuQgd~W)pRRgQf9hmaA)ZB8^b=OQ^ zInVY*qw9+|MnipTCf85jIBNUcZ$CSI<C?vfta|?sio?~R!bPPYm>Wk2`qnI5@6%kg zC7=Jn^r=U2I_>E6bot0yU%avX`D<_a@zX#3^mq5Qzw*kwZ?AjpOFwzv^Pju<{a<?R z=8Zq!@ss*3ul06cQa~rY|Euqb{SS@()`xy}+p6;Prj^m%JGK>fHcYhnv4{4dlZk5A z9(0l}kdMFpp^q1OZ|j+vpFF_U!iH%yR3{kz?Wjw^N0Igb96B*{)vg_%Dt_*%r5}E> zysh~Dr@Eeea>+A~u6WNatG=_@n}+t2zS`y&C!^a}_3gUz57wC5pXmG0<{K}TBUc@_ z{LY8I*|+uEyB@l3<1K~tFMqgL*jdj-x0-JpEXp^w4}9Y9w(y#|w)wLA-~L*)@8TYM zG;A2r6Mjm0j*x0IjNwYTi>1UdHn|)pGikh=Gf+lO<NOmn+lDiu+CXreUNp?=f^%>> zr^vJ)d#rOh$=oR=|9W2wqNFp<$n!aQ&H<dv>p(pM+c0=sx88pBtkbiD^jw$1<D7QW z&c7u2Ntw)nedrvOi<xMH<M>SmaB&yvIW>9~-<;FaYyJs0si%R0meD#yZ-kG+v#0zQ z&b7!6^bB+YZt8N#%9!({8#+s#5l$M^RL;}m`s%BCI!=c+1DBq9rFgi>p2^aE9B0Jg zhZ=o4cX3att>3E8bn<jJ2m6eic2M>qlF3_cK_@E|Z%Gelg5uik$J8V6@1&D_Py3Aa zA)V-nTIi&-A=f@`#ZgC9-n!zJ741pRnqn~jH9h?+=%i;(CwX0dAbn-hUD(E<lOA`W zLiH5GIoHui@o+uOrA^G8;YHq#>p^tG|AbCLD-JjTH@!BuLS*;u^B!wYnj=-_v$LAY z;aBkUU=y8$cAN3lLlf`LGNY3R??e9Wc4#Y4KYlT-Zn9_18rmQ3=@p#5*mGK2b+Tc@ zEt~}TH097^dfF@C%>8@oWMpKW>g1#|V7zBtzCH0$e#E?$hDHlgop26VdtJ~;{AZIR zr3ZW3ue2vuOt#mAww>ujd-v-)d7*t_&<WZ-<iHN@m(vLcMz-Imlk?8g>}~DA!Re`; z+zDK(*Y@u7+U=*!ks7|QrPmR8?6LFCQ=P1SP5a4f;h5c^6Ldw7;b5l+^QsdGIw?JV z@xf9l=tPsHw1Pg=a~fQfIGJi4{pabR%y;$d3u70x&05F5Q$L~1bvkyP!PtdP@@PYK zqC*hls~FD9<K#0PyONKES{y_tBa^vIC!q?QNTobJ_E^ulkrkZ$deAv-=!eUHPA6fz zN|uSM68h!Fu8vN4y3)y<>BMQQZO$9%ewwq)o}SYQC)1%A`e}PL$^3=ITNt~*VS&~; z!!z>-;<>m?B#w8vhtknHFMWmEF>VLA9l_T#ILEG(aOP+4A$X(Qw44X2@r1J)5BJHp z^87}5tENK_I#%f@y_H#9>6V)r{oqTLvpvD@tx7rM8<UxE?&jS2oA@l8)gAGCRc3na zJn6ey-o|aNp8u(JfM%n7m(~RuUr<cSJTv1_%OI4UAF5@YqGb^e?cHW)av~F5Gat_z zPR%_hlYzJ@{o{dNvlsfw*yx-5>}=13Gdp`Jm-MWz<jd-Gd82>K#>KAy?^imwR4;li zW&Z=;D04>V+lJ!V>fAY%k}Hrp`+9nI4yD|bZqf5Dt9ni)<(TUlwVQ~W8#y0yJeI*5 z-ORf$JnWpzx$`pBC;h~^^eeOY;2iw0_`3rgnF+@`^7yb{S0guxUwbk-PB}YN<Fsg0 zPWc_a*h{oi!+M724UOi19E#%|H^~+~d$W3->zqd_dX}f28`?Y*T761W)1^a0&kI%B z#KW1MmviPO^OVYFcqo2!N45&eWP)tU<PUi1IZFRolitwrJMruOKb6h1E7Kc-uXPCL zoGPCzR?KyeZaHSrjfI`*u<uvCC^zUN3;(~b$F}ucx+O~{pT6M+#hyDGP)BM;KzYx* zUpN>=Jtt*-v9x4z^2j46D<jn<6FE-3tKvA(QbwwrtK8-WT3&mNLG#si`+XO(L~4sa zX>#)CbmTUNtuuzU+beD<EqP4mWDecd5lhz`^zLZwJtJpbb=B27ue$p5uYUQ<_v~AX zuN=@FuCI2zz_?nfFc2S9$h!9P%&QD28`@7xQI~A2LvR<m6{o4c;ubCIi(l06zs&W_ z{)+j6v<~HACL!F8qQ@U=egDtzKLr0}1MLc9<&yT~W7l7QC`;PrM0$;NbRs?XWjaw! z?c2AZvH_jwfT=oR2yJhuj=VDYY7R+-bE98vzrYFJFR&_<lQ^PNq3Y(&Bz&xW@x>+8 z$w_8S?!3trdMxYCtFOBHs*kR{C+Os?ogdw~_QSuE@w0Gcwd<g+9ZNbns=KBA{8j3o zbW;22);^ZVb2_<Dp{f%t>q}qCV*iqBd-hk#buh{po6FSEiJs!Q_y277bKqav=bTxp zTbk=9I@gky6S0*7I_Vx^-T8VyDV1LDCwg$@8E52B#aurLI{9xZ|7A(;1*LHkJx?iR z{RB$uw4Vgh(&;Cf9PxdCk*jg4le5lR%VCytXJQu8U!NJC?f&wHCX9uCf(kqR1Z#;f zLuCELx#7@HMmA_adE>mUh5Yia=Y?M$SI3r4W0}j8X^1;R*sOl(zs-SvX+QK6);Eu3 z>lKwJlBH#9|2d?Syn@i(63)3j)K55&cEcGgW=Dj6!q}yanOM-tfBH{zI?;YIiH=oH z7hl}7j#W1O1k$;VPI~k$$w#l!k!$VRkM8{H>1TcU>Z`tt%j&S?2fsce!`)v-@107m z;Mh<No^+CwcJJ0uM<<<rlIdjOLQVM>x?f2rBQLzUpM+A8WDm!#erVGi57_E`Hj?!A zU~?z()nL14J2rS~-*{25Ys_r&5O&d#lehI9|H<M70HXLKS$r-%9?0VHogG`i?-X64 zE55BAdJlK}xp?i^bM&=$Djh%Sq`%SchA%eEb8EG^Q{Ltd-e%5BZXC*^c7%L-vQy?< zSsh*r-+L3US{L1tI!E)vo%D3hho=%U+N^b%^LeTB<xYAgqbOb&%8w+IWh5VdV?171 z997x%{e9+R%NgS3j$I@+ph32U?|q^*#oMjK;=>p=dK!;|9V78z=csV$q^mt)!jfS< zKF%~hF{A;t5yTU7b;tjm%*U26qmS+1N=2B;=p3Ru)}gEA!-sUr!EQ0j*GUiY!}uY; zRdU!(2EMx-I{32=txjI@46XD$ukGM~Yc27d@~U-E9<|7;KQR;mH(N8B_36yVo^gnG zVJwC+UP4*$NZFZv;{FUTnkDXeQ-*z_+AU6hGK)`7cl=IV)1l`#X+FwTTh36v+Bs5= z*`CqM?I+AT1TT)lMGl0kgax6Coh}RYhM&0SCmB`<braL?WAWlhfBM&<k!2d=3BQ|z zWFRF#rhuP?#ddsP>XfLrKz+z-xy}NrQX*$a5TYs>Eg+6?aPX<Fzur=8=QI+WmrCH( z!68&SISgq|e4w1+ag7zD<Loa4l&|n2HcMek8Ug*yxd2c;teC1jl;-Es52Jnvlm#p} z-pM-;i6Vd<*9bO8LyRxg2EP!ly5EGtjf`0na+xVZ$gmSfm4K_7xDXfE2S9vbH050R z9DR9&Bc0JGI54N^>^%1lcVpIAsD>X9N}^0kci<9NH=q~F2?WOA;S|a7c0*HPN%OP) zKXza^!cHtHxqCypT*RF$p$?@$XN)U5ccyYq{nt~n@-z+;Fdgtzds>Wx#5_U{T1t-h z8W}!M55gZsk_?P`@s~S9*9EW`mvfqu<(f-%l#@Zk83#N393r!#j;iVfWFAP@H8STC zGZTsDC0xi)^JG7iHqqBzN7{Fg7RW#)=96{7WdIF2nPFE+_{);?8fyGTY9Y~uD};=` zj|^@G3OG2KF*AOEV3)?#*>Ih^D7oJM-HC<$F&a*@Ex#Rb2FV2J4})bv7dS%KuczpM zh_m6!1wm!*^$NZjLQ2?|p>V}Ig!xa@cP?-6^(p;f&V_Kc`y|oVUbt{kPn$MZiEOZU z;s6n~&{G>e{*;cBJ91$0VN#QeC3RA_*$@+h@w($72eT5xw$Ev;d3F&oQq7eW{KO6i zswC^C2%NrL!a06{kI+O&g9GYr#OdCgkT>SqvuZYkeTQQNl2{NaCBQl4kI0PJET$=% zmPspvb?_=7fm#L>@U!Y>_yI<~EKze~!=={_gkUwpfpe>S7d4IDUHDcLLV+3+t5*th z8o|xzP6=E{^i6M^73*^zNDDB2NXF+t6#z%`8`5fOQgAU>USA`vstaVip7rILBC{g) zP#b|^trGI!jU88;R8*$C-H)Ifi8)b`88%9+Fq}Xln(h|w6Eo0c@Iv&pA(46|^v76P z8Pp!Y#v8^Pgr2085SR3R(u!H%4zH_~I^#n!Es&*jrZT;Rf8%so)yRMYOKjMU;%HL} z)KVf1>NrPP8qex&w4KnEt!5%y=D{DrsfgSyR5hgF=Nw_{nXEg`DkYauBTUS}*I0)> z@hq#B=6bVsIU1)^k_QbwNX<vHY{GZMoitK5uo?YaD18CCaf>q@!8ux1OU!FIcFwVy z>>YDX$x;j1G-22lJFEKXxahY*Rbqloii~EQfUnp=WO8@i6B>v|v305OC(f&AU?Fmx z-^lPuw-`ND-`W%05k@k{8-FrYSs?79PEpi=a{zDw+|$>1Vg^1h4=GyN^VA)RgkyGX zxK!clLI?Jq7aj^=4|Xr)@@n#?=v?)$>I_>`Q=Lrtvba;`kqAHXByend+5bgzcP3RX z_^h#1Cm1rgsVh}en9-8ieUnL?&E?j`=|akbG5x70*2bnf#Gzl7cebO-O_z+e+|-p` ztc)qbnD3e>HSe~Dg48&OvZ6awx>B>uMpaJv6kiuMMruLTDc)e(*jcs$z-(7ik89CP zz3i&Uy##p{NQ2@<`~~pVC0B-Klh~#ziVPRQ0^MmU2FKaNOi<JKd>L$r+vC`tpakq# zxmu|5Y}r-D*$J6+P1JxMcl4cJ{NO0TLBv=b-5O0%CSA~bU}%WVX%LP3%wC$r71ldv z9P^MANSs19AbTq+Wl;{viPWV!zK59a!U%wtU}>)a)t(a4nQP$revEDT?s<LGB2yks zs(>Q#ZUA4$q?BEflwe2g<sMLDj4j+2qnt2*+Qd#>05p(<4jWO)%_wje4KV6j2?ZA6 zkxL?1r~ckLIszMowP_P~S{NlrANpr8z!OpFAElRj?fCJS#8iOhLB%j9j_(2!r}73L z=lDgD27xuiIHbf8s4W*wInMuE7+N{Qr45)OQ$<iKmPgKJg2y0(6Lwq*?7u@0(M-ze zPF9SU0r+_ef*xolRNeS;G%wSIomD|26nK{w8+kU03L)A-=uC7~h2tDG*ZfnifygtU z0jb1A<>@Tf3c(oE!<-*fn3LPZMb5c#B{EuKZ)w3|{3sXM0W0CK@s@HD7ECqxU>=}6 z56HPltkgPu!gK^dQh4Ulm7c7xBf26UH=F|D_yk+j^Ig#;y@I<X>**BwKZOa9cbAfl zF(1M<NI8iH6cZ>rwb>=AAzXtZEO1qo3<_pf$#81L&gdejNnSMF5u}AJ!ojf<-CGSg zy^voe;WR+dL)sEhH&fJ3+4r4@`v_kiUkd0_B&tRkj=~e6oi((FdF3S*pzw^U#zaf5 zlj5j63l%ud149kK0yL!;1>S;`rW8t3RF9TL0ojJIP(@y^FlFkz*hsZGXG9{2D^)T? z{HY+62|WlbbHriHK(sKBX$1C|SDj1s+;o>TLidVVAoZKbyVJ#~7|~9HWEm1)V``O( zSdstYy@mWWN-wf(jPi8P(F=%as<)BItd-PN+&SVLx#^zZ&%PMlKE~NMV=^9%nOT1= zW2-4zZgIlQN_OuWDv-Qe<jLjXu!30&aEU2ZTGrRSHN(`u!8*>`6mwwkk-+&fs@;>W z>M^}xHRR#NQGIS~V5bqVTqHYuR2fu6aMa{MtoVvb9#@fNR7L-hXuqyWRD8+Qey&bx zun^oqu137sPO8s9kU{+58UV_=_$o_{K~nwQo-MujQ@Tphvp<}t-&X)ZMH^waaqMXa zLuR;GOpFj3{WtAp3QFcpER2)<+xnu^Um@p;Pd!_&vDv>Tj9rnOPGLBh-QnCs{;Q|3 zG*wz%F$s`~s>)8}E0$}+QKoVlT}tVraSjf2)^QQ4(AhoKqnE+g1w+HBn0SpS^YGYg zPDp$-k(WzB+Dv<G&=TV`t$NMcMXDp)P+BUf7^JWn!Lz~+{fyopGx{qb=s!w3r2Wge z4T%ZFs~D|#+b3gQci7`RS8|6i<3QQR4Kf=CaG)+lO(5Bbx?ltlLP3J??4`^<k_M+T zHX%bEkzBz%9(ASZC?*{A9bDAwRl%N6*odzo+&Djr6{%NnaJo1<z?9T&zl!v=$r*n2 z$oQf>l8jlY)|<7uwY}0bGo~p`J0*7aw7s*%kk(oznv2$2@nN9l+`v|0h*El`&a`J| z9$9pU^Rw2|rZBME<K_ESuHIWK(oc+=hQc%qF#-(#W#GryKamUkEU*g%5gkY>t*$1` zzGeHh2Hvy|R)&oAL(SsYbXVg2?C#i0Wmb3C9(Tsa>QdheBS}*SoMO!&#B;o>LrS+W zQiCCCn;h^(yNM~v?EhrZw`>K<oM39jGBIjTQOTuTEj`84jHQ*_jc4lKpGw@)0>a~F z#6%*whm?Meoc2-Un}}kt0tCI_6r0tsPjZHkF%2^aU3i4;1y)#E#nSX3?$$FGjn*>8 zR*f@;yN7EMpWHWP&r42_*_@3J*a5w}KY;;1z0qKvCN?)jGw>0Fp`d3ka)3H~jA>I% zeZjZfdC4O=N2)!L*sdzohyE<B%s4}p`(cA44bD|w8^Z<L-5XDhkDcK75~G~#n8gr? z&i9e9x3@vx9Hlsc&nsdnmnyN?G~FyR>ycS`a7Gxja=q9vBwH9Ym;jG`Rp)|O&K=;< zl+Go_ms5rXS)?06HKh#HtFU4}h>C#3x*m!weZ3&J=_84{O+a-dl0f|uwo>a9G2RmI zI26RR==N5ux5&3ktz&{q@?zE6SBWX0IqJZxLO*XIf36=%ZMii##U^-<X@{-)GLr+m z#oB3B7GjFjQ8bh)3<p%t?iVr6ZU@h^qC<GiF)WAc+yX9(QD)uCEPZLV0-y6CYh6Wh zt%efmuF%WRg*2a-ygO|)6(*jtil<nxZ58QwKzm_0A><pTm#*kN)$%0VwbXQh2ve5* z4j}mp8HXJ9l(H3~ngibUOFjKBP7<t{Oe)JwRBc#`Em1d*1d=8Vgl}s8sU1(M?AhTf z=X8$l17&H6jdQ25z%kw2EkTR=)ntKbf$wK_kO}6buDgIq)n+<~UWvHbgtVlNsj-PU zrX{Y6fu(4S=Pl=u;QPnaAUb40yN<uaP827MW59G(sMlq_kBY{7NgjRn%p$BzG1*N) z-Rx+Rr6q%oaqCY^F0EJ@FIMCP8jvqgdfjgy9WXLu4Y#J<PVd&KQvngjGshcKk!GQs z#MMa59c~mkTX_O=V;UxS7-~(RU__mB=}bj8aacQSIBH)vq<70#Xm?g(%k6V2wlG+a zmHG}{-M&o=ut8ad-S3)!aJ1>*Y+a+4O9U@1dUDv-;f1~JHCp$Wu5S7wnz$MQ7-<=a z;b+lW8H}ycnLjG)O;}Vwr<dv}w@hTcNt_hSg@FyemM}9co)wxVK`<;$3G>`2pcCvk zRIj1<iPV}|k=0%qGs3HsL#_tM!>u?S_0juOr)%muj*~kqq8Np)BS&+9Z=Ayz>)Arx z$(Uui%VI*C1*cvZGA6m1vDjH!c?59ELh&43<rTM9StuP%*|p0>8+3GP>twj-7sPz5 z4x!w@SSwo*|JW07?5waFr?lABEz4a$O;ydpB*OYs91}YSF|!6t+{AqD!?@+Kl<lJA z+j_9kc#Zn_SgN&6R>!X@JwNSY9;U>JF)?F$YS{(kLw*xfW-5}8eLHd7TAF_5aw@_z zg-s+*^9X<@9-Efv(lZ9mU_qN8WSt=0G9<^?=j4!prMWV4O2d*x<ZbaOqN4^`I!*($ zPzqw~CJb9hL|O`e)T_i4#?|RYBkz^o6BOWUq)Sd_-m3$VoK&iaxtC6BwVZD7gX@(c zn&YU%#Z#%19n@3pC=ZID9S`QX^O73{XFU*00>TzoP%O$Y4#pyxHMIXSCu2clIPHeJ zyW9*_pYSqnYZgh<Rp@DctBHoCSZ_kgVPxQ>IdQoiuy+q29*!-FSBo5&m1H2Mm>Kcs zAebNxyikxcg)u5O;D}x%O<{lc5IL=k&ZHBL_Q+9B|1j!kHgsLKPLb`1`yB&Rzb6k> z5k?|Jz{4S0=c)T=7V6Y#osFsPjtNK=a!1C_#{;xRLFBmkPOz+tMhQBq?Tm5a903<O z2h_NS!>Z9sM4OY~)YBF*5otii0+1HVa|8++qu~O<=Gutwlrsur6a*BITsVPJZPQ0b z`)*)V1uDjpi`m!gpamgm=t0Ljsy`e2uV|+`A&#}t?X}!h?bYI=CwMd&%ygsVXe|vQ z{Zeqk+#XqCsrcd2slzVkNU?=75<#-cA*ZuO0B0ml>|!1*%+gQJghS<WfNRG^ifaVM zSuppys#CrwTG_RhZU?ia^AwrsqJ`K0Lv0e0Jfb+Jdv7b1k6Mv>TsB%!+-ja(;RY)4 zqx}=Zlxfe@TG0I&>K%PRv7qn5|J=pt+|T`_$Ak&7#Znn7$XMV7^x(!a`ofzPEea1K zLn~szipHQl>lbpD5IZ^rlF{S5X)j}`hsTrUi!7SyCSf!qlU5RiBIYI&67w+6>NHM@ zD*9e{Nb$cAgQ)4CQyv!{WEnH1Cxyhu&%o*VNgZgJ_r^u9>E#K%dPnn%&}CqS`Z%mQ zu=z98Gn5AhN?%c@vvM&QlTXf|Kuu??3-}%c@Zc)}&;D?;wjQIJY09+ty#hkX2O3|H z$fw76+3SHB%^0%~<`9xujT<2o>w*cVl20guF`<d(zynbQ0_8V54?t_FPRVw7(P-wB zH<eAsW5)-;Fb&TkelNFW8Vn8|aGK*y4t{hrwTrI9OqRi;d>w-!wt1&wvlrsOL7yyJ zwav5FW<K8^3Ayr}h5`Q;BC#$#$Ccb09k}J93$}fogzm#_|0r*QTdj~jNB>Z|x8>hZ zK8~?jdaSmD;H%A`kqM?Fx{BDcm!lNUVD!7BfkR!29-L&t_ycr%tz(uaW)MXhu8~ay zVcJ6LSsm0rrolO3|CCaS$^$a=*fj>LvcQw?RO%4VTFxAghx-c0p0R_@l7t=!6O;&1 zZC<z_*DScHe6BVSefPo$Hsy;SlhN2P$=}()V0kt6jb5bclX}S~@kFvTy<?(c<|l92 zEjC$rdDp6OMmr}5Bzk0KsDFq<LweJs%s}n_vU10wMZU$OZ5<O>Se)1r%OdA-M{9kD z<%~qwSUMzsLo|ofmg&sdOOv8m>s+>(qc9|&$OZSiM2=-m##Af?Bsw_VxLE8dZs}4u zOBr(=r@T<9=#R~37}jLgH+vejm^q?*mPSn@@;&qjq1~AN2^MVq`G=>zVFyl57d??b zxi>8=mYG2nMpt^wXJTGHAqS}`!I}^fA4{?Lup}4Bo!3as*ccsp+j-7KI&MA_E}rKX z9<%L=ren~|LVvztY3QT-2Y7p7rxc^!WpnW$O{kVkjM-^>p&c~K`xX?q`|s^mHV~G~ zObxj&$ky2~M(P72qjJQY@=r+JT6gJNL@x4?8@@v8bs}s1K^k{gva)X(Q$jR*MZ?@G z)sGF0tuDxcu4S@d1_mciKoylyroOcCJ&gCPvdGF7mdi`yvQ3g&y1P4_<wztJBJl+l zW^l6-S%e7_TWxE19FO^=CEkkjK5jf>gtY>etd;oaq&d9uE5loUcYhM((I9W(|9V|u zxEwsC7jSHi4LWw(oqR<3OS`*wGl-2B4y49jx=2p2C!2bFfpB7luY+Sgy*Xtc?=-YL zzu-Jz$a?gEP-->X5GDtPOP*yb7XJ~0CG8@5LS(w;*;(KtfVz4@nBdXAif&w&e5~*2 zBV_X7Rk~jq_hL8ddQ+#THOBveqyp{NY%8~a>*QB|wshM!i|^gH@Avo4|9J5og-?~D z`FDQzQw#2W_ttHHyX!6H)qmK#?YdW<TmI@@OV9rJ?=4@x<UhRiQ_1h0z2fTk{Ko$} zaMisR{PaL|*N?unaq+Uh|G?XiS^VvtC%SL__IKa@`Lo~iwa=Peul;brL*E`cb^GUk zB5%K}yX%>a?>+HL?V;qk?>@Wyi_Z-e+n3E>@TF(0?3-@Y_kPRg_T6@%@u?lr)_We@ zx8T#~HIr9fdt}*j|G0PC=G*>q%ii;%=~tfr(P!G<8G4AX&EJ39kDs32HT~?CPkjs7 z^mo^Pyl?N|&;O>m?eUL4ciYcjw)g(s&MnK%dCO4qt<&c(-+R~6+m=7{!3C$Ef7#E! z{=rv&_G`cMYiGTB)Mpm2?K^w=fd{791Jv_Bc0IJ{h=HScSp{lu%QZz=Da{$73W z*H_*7T<v>XT2IZ^_HAnw_I`xU<S7@OY@2_e@X05b&bG}K_Fi1e?b`O-mV5Iuf61<| z7WO?EZGCV1>cKz%6MJ>zej{7DPTaQV0iHh8wtisc7x(R1H+A;08!uVBJU;o;ecj#Y z#N>AE`sU5Qf8Kvzwd=XNFMImjzx~eQT|0idW}e#YeZPH+X@6(ecW2s@E1&t!NB-u4 zjq6tZ<_Avy>$S^IT+;oPA9r81_?FB6!+Wm&*7eVS?L!Aw|IPES+<e*6?|)$V&cz?w zv0&nf_y6^?-&%3Yi+{VMbmvdkna_UU-KQ+y*LU*TOUmtU{Mx#{_rCl`AG-fb>lXg} z154VC-&=Ry#+P?2lNY}keQnj9%i<fq9<6?2*L@GP*Tm0!XYq6Q|NIZizxm|Oo*h4Z zO1|~PAFaOep`Wh#wU@v6v)}#kE1&<}pDo^1etJ#(KN-f8-kqPh@s{5o`|@}G_?d4! z^V7b|U%u^$fAI3P`hs1%DAP>5ym9dt*Y@|lXYt>@{OpT2{lSVq`}0?S{F%PXmM?DZ z*fX7M_VZOI^X}Z%<-`4j*RhLWeY3x2`kRwOYi90zZrkUc8md0I<5T{nr)15OC`5G< z-MP(wb4~Q*synyUKKE4g<P%5jigqExRg3RzwAbXHoY=AJ;4Qy-QTyeo#sO?tKe=?% zou?L)zOBvQUwQpswcc~}hQ9Zmy?FUWs*_@0f&DLRcE?^ZSKTnOVX{Z6lX6m}NE_+w z-sN`uxM~&4wR|;q(e+q8wbN%Nb274U;i7jRd1Nj(GLp*;4@*xjw`8Jpko~6B+~lxk z&s+smwU!j_T)Xvv`(jaMd$6Y3E$b%P=Q~;5@Yw#52SqJ?GTRugptE%qb~fNwa%>>R z_9x1%$+2fu<f@OBN~eEy?b@p*&l(wdY;x_|$>K{2hxR*j?EBB<@@JH|<kn2&Sc`=n zxp2rxGr}hFQVB#}6j53h21r^d;8n3ERQyvE)^Q<!;7$2E{7-58qU*r2z0cLj;Xps+ zo9*dA(3(RnjabB=mX}pnE+T(v_iUKN%}ITvNA0j4M;RlU*q%J8vMyxQ-Pu^mbsu-$ zd8(5Q=;XfANhitUlP^qeIO-_X$;e2x+HMD(wAnw8PBv5@$8asMis>EQ5QB!&eUnmr zFxP|013G!vyHq$WyClu!N(isIAFl3ugHAL*whloj?6O{iZP@ENxq9dG&wuswojX6e z@5_%pHo~_0LptfN<$9K2&6Pjn>D&t)oh)f1iJsx%g9l40kC7!ypxr|o2s)XZ>{(G< z2aCbZYv1$T6DsGPf4xrH)vC&%x^7t8m9R^=-8#^tWn*rj)?bgIlVN#b6-J716SaFV z8hu?SlWnzEe0;-Xb)@pv3Nk)v!#d46vZAyE+k_1(R-CsX-_Ex;Jgz#C_HcWIeeh2` zwdmak4^k>Rc@-;?96CXes*|1-)QfOWdxV}e609XB*F6|TD^@5&SgBHeOO{|K@!*5B zj8|wr_w}fGg689@HVLYeb+_O#YoHSh0x^aQbl2YV{P#}(>dvco-m~+g?B~Avs-6G4 zU&}+5Pv_W3k1<R8>BnDqsokD<VNy5NFX>rw+G#Jngia=7_L0*obSHj0KT<kw5}KJ# zmH>aS-MtP8NzWM>y>Ja>2Hupv!~c}mUvvQm3&F4U42Sj^!PUMW^{i0zef!AR)9&bG zq|~!uGSkWG$<;_Bj0N-$%zJVh)S;8feJ5=QW0&-x6OtIaf=;UFBpbU%sP;F%sX9Ri zwBxhR%Eqn{B+l5?Gfb(0;Sok22F3>&nbwt}sJk2RWHxpkVC-U>Jsh`Rk>+In4LS+g zM>YhVRCJ#`{RA6`te%tmN{bo0?zwvH)mL5h(S7$^eO3Se)(kwWk8-q%Fm^qjdx^0t z=!9iYdfI7uSZBnbM}Qb~62>m9A%WzVELj4)G}#%u{`ER}?2Tg=7N_mvU-rC}v8x?~ z(=&ojUV4eKtAtHWPaZ)Y-cJs*U!<ka*)tAs$HQ?;`mE#4KDK}=fziwc4_m?hOD#5l zqZ9qyd<^t)%b@Kx?)Zn>L-uOEU!d2aZzt%?*bKstW7*6jz2w?ZKKFo~e82~wt4{rX zZb~RQq{64n`=5kU%>xbcV$X;jqm6mk63S4X)UMGg?^=hr<4cBz&E!P?Mc{v>%54wn zy}-Xf34K;FdKum0<IGO6xRN?5o?x%oWQ{S_v0GI8MauaF`^J@*L$6pZe&x!{ZnE!k z_();{9kq9a&O~O{c*veH=hOapww&2BVzWn_XO6+w`vw`w;@nPYeXt4i{r&oyXd<&W zB(4wo`z{~e(m%u<^5vv+8y4&#bMg&t3N?;{uF_Nbf$m&69h<?+Z&e$_M|WJFYYxxh zMY3Pk=REM)>Xo_K@_0NucxA^P^2*!{v}YmQ_i^Ph<iSQ$C^Nm*U#hoTT2>DGLwLAU zS-1(iMdeZL7m=N)y(0=U*I`!}%Y3zSR69j;lWX2|GB%WI&)9z>Jazo*{%7;1q4@81 zu7BO0ajn`j-gJ(_pIv#~oIT?WTPW{Y(~0+8x4(ANlJ35~>#&ogyp`&o9GB5e?Iz`m zXN3Lg|EjLSXhNQm{K10<*R(IDSN3eMTCs`j41<Y-8yF$({~UJ5_c3<rcop1h?Q3sf zq|&_qChm;9<k(uos5a;FPtJu7`R&k)4l5Hoqo<3nEWw`M{1syutm>*O%oAjKHUEMx z`d-J^`QzWx&CN%1G~1ImuvX>lfv_F}s`J^e?)HWGi}hL1iPomQ0V)?_roIBru$<UX zdH(tPKX>g7C!JK;aKsUFCA8Z&-@*z<Z7Tmw+!^`F-231E2AvH40^xS+Ah1Jn)!C!{ zbPpO?(mwK;5-Wfes*~fi7Md6HO7#!n&%rhA-^9I1Cmb(v1FJCANvF(g9q_A`Rl%wj zM?cX!hro5^Gty2*bUuh5qhV@4Nf+{hP21d|e$sBcbI{-G_5D=~eiME~qXKkTKRKG= z;7v}~>4(cWqED3MV2QPF)=yOahxHSAK?%IhV@FqSqWUk7zDXx6d2ZltWn|<*ZVhp{ z%D=3i$mA{SV4Hq2GLq@!U}c|eUpTUEpXwwPmVc@fcaHXx2+?J(-M;W$YOOdI|JV8v zgXZw?;q5B9{1<5cqE6Z)rTj~(lOvzeu}jY{IZP+7yzmM%Ugz=OStm$Qb%M2YM<*LH zofI!{+|-!ci+H>v|9Z#o*w)4OWN~cm-l2Gh#RGofvz_#ZHJxt_ey`{f@CpOPG4=U= zWAL$e%;FDs;&*3$K|21b4*XXAwqm5;R>Y^g?{(mx?)W2FdGY5u`D}(){s;@Y6FN9| z7taZGd0Qtw_sz%eb!gtxf!|YH8S0dSCWXdPaa8lAcN)OB&i5JP;Y>!v70wg$%*P%v z#IaKh{#<;{P7%AsIsA<7?Mk1Q^K-I2vQvI3qhI)Ys0W8VVv}b&dp|KGo*er#->Yq3 zliqY8K2B}zz<WbHz>B1N(z~*BlVG=~@%5juQy`do)t^whA)fo{VekZmW)_!DdWZwp z@}}_hn~9p=1Rwb8-!{zPiQ<vYdga(lGg|tM$QHbscOHsoWnV}fxYlXTx4H=6`-+iA z2H&MFTK3jj@UgAT;@hbktcLG3;$goS;K3fz1Gq5AOzjj^hU(9yhj@KEaLTP?*NE?l zTXKc(NQS@<Gy1vx0jiho04ETZ+IpP>0E3Zmjl(ru0-7V}J2?s=tPrQz9n@H2s}cQk z0T`2_nQe#>;N5;gTIOu<vg?@A>9|fNVp9t7-aGDTU580a=y$S|wC9m*O{}kKtBQ+r z6r#nAg|Jf)z*!!xH%TK!|8UBiy4hG?R?IoWgY$pRSuxp5^fw^!u)Q;lb|@LITqr3` zI?SJ<7B=LyZ!Cyd)JX}s;7Jh%0&Ye|gGL>Az1$|*Ebb&{94`tK-xc(H7OFO;_j^Fh zm{L(^GMQzO&eezm(~)8ojh|(8t_~q70h}gOjgghSM&cznA>C?OiYp;iix~@8BoJn2 zQH2k5^y<4NQ<&m4N+f2i-~w_+(O9YwWw|Nu)GVY@(xO<RWN2g;M|@0NNHJ!mS#8E- zCFQFlNvX``GtP_|@OiiwU|hx`2h=eoIIx^nN?k5oxrE~I9ASk9U&HD;FUvYO077P` zNqWDhlG05)qFuV<Y(Q=i<E0hXH@x!=Z)`e<!3&k@05qfK@F5P>P*{j?(RQIFb)3h? z7BY1qjtEk5GlWykq(9wnOl^QUe4IP3!PRO|-{<mHQG@z!J20Qc0!S~s_ay(!T*WZI z$&$c*y{>OyFiU^K)lo*kK8$fiu${s*&G8Go%rQRKH;HRnmhS$!qO_u+w(6@JAZGD7 ziIOzhvJWT<(BwnN#u7Icd=(k*c?L8cpTDdJAc5d~fQw=VgNgt=Bnhe$i5q(&gX&9Y zrK3CC@Yz1*Y)Lz+zQ&t#8H%6xe?P2w>AZ*1A~Q6HgdZ$14=v8;5?-ehvMKX93JCl` z*-8{0k3XQPY{yeJg5I=L4M!H3)iizqq7RA061|Hw(up1BLIp!ybN^G0U3x9x;Zc-V zqH`Ts<=EwW>8cFhICP$zZ*>QaN5-5KFUC=MCstdW8;^XI>~TbEr&P9C^U_pvaUq8~ zXxoYvaT=*iS&MwNJp+!c4B!*ukA_qi@-r$9%W&OP>v!oCsR~zWF<-{`nC{t;p;5e0 z=?XxJ&(_3<P*hN=q`8P_Y9(3_;G}r*DVzVa|9E9h9d80M33$k4wapS-@T?W75~|L1 zdeyn+g$4CYJkAvoKK!$@z{}Kt@FhJbb?OJWkQyh!4UsG4wpqNMd55l~S|Vh3x>Mh9 z)fd8!DErZ&W-u&>Nl`7NrG6?TF~dnrvWsGIP9u(a1m|Zx)g^0x%6lWnT$xP4HoN_K zgrw}RUUanP2Gw<;M2SWfZ-P94d3WtJ(Q0~hhX<cf?edV5qC{?2%UNJH@+ST)uj#|E zmZ$T1Y2|q|C-d9vP>bW(fmP<feic)VRCFBO!6v;%T!T)Rnj)1O(1%{aP}mQ;o7G~q z-h8+UwnB_cQgGxCrAAywUBDr4%+ebzg*2*4j8;9W`54h@s0e_Y(^-vU7b(%O)L48H zHM+%(mSW<v%KUACOW@Hc-56o2Fl1p~JbT(rB!hwt<S4Dv=4Bi-$&Zzyf(L&FaWQgU zc?U%&K9*_2jvX-~cGOG=^ZrF?#SadQUjZ_o=u_3EmNqgY?DnOMh$bEzC-#cFqy)E) z3h5BsZ>XraA!DYhWtE7jM&p)|i>kYLa7q)=Eg2v{U`E7il#=UU)8fGxl?f-V%HCAA z3C}ocPS}r^5;nH!)@Eq%6We86%wnNc$*+vY*!8JU2m8{M?;T=MUcNLj;;c(4GFVmW zhU!tq3Fq`twUtYztTYMOQbDs)Bh~@&6yhan*!H9a#oUs`9N8U7C1$Z<$QhA0iF|CW z&-<F3OVb*(*bgg_SnJ0Yx0ysAanHG#x|FPOtZT*@2XqUEn~KMc^HH=`v~c80@;LjD z94s4q7tO#^_?29p>`lilDreoBErW{5xG+S;1v4JSn0Pm&s}$RQR6itB&8oPiQDJxD zy4bEHS0tkYgT`ZB<>RAcpQJ~7-Sf&meo4mhwe3m.G9is&)*XtR`z0_M4P)z=*x zP)5VvA6Q>}hEzt+w=l4j-ZG{gz{kd^?7a0fkaLeNSq55oJy9u82U?EDo`V+*tON>9 zK|<*r7qi0>z&Nxu2|*-V(V>MOMn3-FlqO7@^OBM(gvrmsX|rNaNo|~sfJ0U=4TGwy zu8w0rJ~{?!rbqTRGvFwJre4^HsriCqP#@NS?RAzuo-#gYA9r#-l+2No6oE|DA{%;# zx6&W91@!@_!dZ^JN7#MF+c?4;N_DBLhw>$!q3I}u&JW|T?}#!~HPjn}xbDg6vNesu zz0y<Oj`bP>DMmE096gKjpkjBoB=LSKC=R^6ipyhfu8WsuVG+uJm$CD;K%oR_G5vU{ zct}xA2c#_XBCCC_PIsdq2LXx3k%O`TfDsR!s-yz|2vBX*09`suEI9A*IK$r=jjB`} z6CIw1674R4t4c=F$PVbh>9tC9q);T^I?}EPSLhHg6c)9H3VuvxJ(KyYaWpA3nhdrE zTg4WX9*w;WOqdCOzLpZCMQ6nf2(g-^#+mX)#@Lle68Va&LqgyjkU<j&vK6<KH4}Tz zF-qRoJBW<LS{u)_c%6h?Ani;g^at2zvLWkC48vG74M@COjIsnPiPtLU<16s~h&(n| zgeVsKiJHk_>%bR=DWqoU@kA?1)+6>#^NU+z+18qDmuNkBR_Mjd?<bFgtJp}*$^`<a z+N(&aTi~%cu6Qbyn2;qLCB~kpLDICX?`^3x_$5??yuC6y1iMq{)%GkS(|U{wqB;RG zSQ(miy)7N%@379ctR!|&>lZJ8K2#(c18qh|r5;U@gMNqgW;O45ac`%h?xYdb7*)rr zszH}$tN_XRF(?3{fXSiRl&yuBbj6${z`jZE5JErLQUkT1`wAIA8OVuV+dV+_m`R*v zcYZxBPuSBV=a~niJR_sfWMiXLk6?yu4T*eK((BU;Jw>r_D5dEvCeB9;2F!-G^*FLE zii@-tNUlOw#?d{D!A=Hg&7ST|kD;cpBQ2@tNkGaF;E)B7)3Q|Qr?Hc%BWUZ`22>)k zUa66bX;BeJXFS=A_~;ZBJk*l(iD)*EiiUJ7p$SZAg4c^g{Y$IE_ftviCowtm$SSe@ z==9t{h^FWb*H^MCsKCoy@6bZw3RfBG9`Zx86#7o<?UrWLOc{7=Mdrtjjl|6%N~A(u z4Q(46!u@O`HGgX0WcqF_JIpxtOy1ULB`s6zK1-)nFMiZJsgN;}x)ds47wb^y?LTF` zgHS=PxEt9UH3kvp`2TuW%R171y<hgTN=+NU?;bX>Poh%P@{qv|T9G?;yQEoRUfk=v zZmma5EZN3MEuB$2%j9IM?DlN_Hj=gg!b~)ic<Wllq8|og_9fHlK00H|qDk|~;*iYO zFP<~Y${1yv<H;q@QUy{$H%<nu#0-8#ar}81jK;=`_Cyf0Db&N=4E>0>I!EYhwDF{J zOyr5zsJk8Lj|N*}RWQg|D#xdm&9cdDa>0N%M!1VIsa>Wb)t?Oeu{#*ni~7>>NGLiH z_NsP=5ZP(t(eYfXCzyuR(`KBWsyljV>r8K>4ojKTI?MEy9H0(+AR=O|iH{MwxD;G2 z9w=LA=0wgc(CE1JOkV=$b{^{gXBnVZB?~o=J5nDbO5VbG9TF)Aj`TWak|<_Cxv-ir zyaIRN7;3R20%!g?F6{<k24IX0<K?hU(8=m3rfvm8gn^5pO`AM3NZgy+y`u#i1|J>< zl5V^-(uzvT7Nv$4x|}A6v5<nUk{5!GZP!eSwqy5e6HQk^C;3@;Hp8Y%yzrl+blO7W zo%-BOhgGI^Q;%9^CRb&XKu)UfCYMA5=>UEgV^{V25Ub{^K<P<QR~OSwiE^a7<*%WX z3lu&u+ib}nZa2qYLCw<plVKSfTy`&2x6JfjqE^D86tghkeJll;IuWIqb6irZnUig? zKdKGxb*9mrwFipbb~~+pCOTDy8wZ*PwtH-<>zsdJ1bf$WytgvC-FI8Q-jtr^^m`?- zEO5!xXOh@qDIg6OnvdO69KyU%T7zklul~hU!A&%r6|;%ycF-UBr=aFA+pO(R3J9rN z_I&lkfnOKrwb`L6n)v})-<2eHA;pEu8TGsw9q>rPx@8hsPu1=wmUgpG9A5x5Xz{ms zb~8iJ)6nc*X>8wbHA!NAQt;vPpCa{P9asD;jCWUDowYCo5^K*fx#KS=k6V!{G<sR} z%Zms0xFOU=gMc$H{_U17jV$Vn7etk=L@-IiE7>}a?W%HVoYdbbYk3^Bd3>tkKp1!F z5tVTrF|kya{!<nMlQH@V#ayakClgidW{*s{bEQ^@p)_G<#;7+Kia?1bVG5$`lUWg3 zg)o}N;vH>BF>o$U4ZQd<RtoexaTcK7*eDsD5GXv5@{Bu17_9<4B!?MAjytH`K^H&c zjbRTCoCOAAP_qXHV!2I(r(v0&Oe|pfV2N;(7-uJpJVI8>kBsmUTqK@9%JDRN@v)g{ z1o>?SNB^h-tENPjdPT2MqVY6gF?0|ktDGY@V_qcIg8`jJi|%XVNYX37Gv~L84pXX1 zvTVIfO<hZ^Gq;6i&rvjD7BZ&wA7N+9=`gva>THk;{81L@Dqbck3D9B3y#kBHctN^I zrV3Ieb$`;!K^VFxU$!SsEE7yl%OK9wom9yhhN;yI;oVxx>7l@=4Qp=@y(%FoBiJ!& zp>l*sP`;{0OGgO#D%{1k7BPl_;J0!|r(7|gXleR@tf6<kh`<N(3bix}JZ=S>G+E#{ zGXNob#Ssx53j~orjl9R>C?c+z^NLG*!083WDj5xWehhW&k5|2bgd#$#sahUglZaCp zC$bq%)J0&0k&ITup%T@kkSCXQj9$G?pD1*4fz|4YEkbbb^v&37@oCeQ(xqk?dj#GN zw8}%LCTSr`TV0aAtse_>R`aLY*v<^6acx3b7e%Msl}|HVozx$EeX+8)kO1KR#@ z;Dly@2ECX(DbCWETQdww@dTXkPqpBp!?*^h0D2u@9BvKAu}@^4uCh_Yptc|zFJvK8 zRe^xVkESQ{pV%yCsvrnU<RBYHA;Op*gElY7%o!Eltd@YSwx<Yul?*kfP<1X`wPkdi ziUp|46q^AZUN)*~+y*x2IU4d6@LTYjP7I?_CT^z^cFu?w=;Q~r%4ABHO~GszYZW_m zL>z??NE0xzg?}rpbT~AEd86U!_KX%18y8PeIXh(XU1nI~8u)%#D_D$Tq??w}0VU8` zhm$TV(O}w4V|kP&6#F4K>*~KlMHbu;{fbs>sS3^^JjwB;urmb?_304Joplt6%#dX& zXAu_5TH@ih*HRmORuN6vBRqoUKG!jGM3(t5wBI9ki}zSfrsbTl?y)ojA%H6}Siy6$ zD*1ultnuAKOIA%e8E`C|ML>BmqlGx9m+*^%uR$wroNSrXe4R*SGY~=znW%9FiIcZw zU8;CahM`wJ7OYDmDj`@L+J5hsHqwFqG_~~#0<)^HImd$tAHob8R5b*-@I_oCO;=YT zu~L!0qLcHS%G1z*>2OC)ba3BE<!2MmLWP<p$rX1fiO7@7`_8W!cfy%pt7ra9NXI6l z6IYpLdE&&+8gA=|&oT}NIC1cU!{=Y)PGK2*ZSb>jLKt(WENu>F93I8D4&^O3Nf*1i z$rTs0lm&nB8vi2bpM!XhfDY-ggXuEg2M_V!AnP)I4)25^iHk0nGdyKZtuK?bH;Onz zh+I~&7)~SZm2g9y_MqWV@Nh(`jbj-dP?-3{ibt;`>O_gN!H@Lx1<z1pthQqGY9m*Z zbfD6V0--rP6X>`OK7+AWY;6Y}ZhS^oEWk{dOIjM%nWKf5QB%NS&W7;dV92F>K+Py0 zag-%_!om5DAG}5uIh-Up=gQTP*UKU2ZBa@44B$;G3v{I00cWsG3W$ejC!LH9b7IPq z@WEu!+f6BU+`vqj)pEH#fts?O^2hWp8cd4CF>;@N&5-=o*tK>zU3N?~;|4i{$(6h4 zJkmQXK@xWOcsD*gG+4Qx^;OYIUMeL@gF!Q3A=qV#zb!ciEiqLVgmGMvg;Vt8T+U}Y z$tPO#xXaXZBs+4T+eK9snL%_quo=clq~5&Shx@@|oK&ZDQPj<n@UzTfv<0jrEprzm z@er1XTGRC);F769<aCK_?~ZL#`b^Wglk2i<adB$LAnj+1)U5YD%7G-FUq=T8e>=U) z04X+Nr582C=mN|qJiJW$5?SvX<j{u}iFZ7ekilYsyJ7M(`sSu1YbibQwW$VE7O2=D zE{nS*XRG!^k->}d2l;k4%RsO)m+NM7mh=yE`s~CEtn7ZIF}SQhz2Kt4+VsqRxr|PM zFm2NNSMN;X^wRzrQ{W7mc!9N8)(&!N(8?|ETVIt$i!M3k%whTUWNF`Ry??!$32Y}F z%|y;ho%LsK{Y16S?N-;6oa$SBtVJz%&!xm)Ej(B%S!KveEar-MqNdZq`ayl*6jugV zV@YI5lsYQrWDCoxMHakkaF6oU{4Ji3WbUZrU3@A@L&>b1*n}3z9mn#GQxBv#b}h#a zTFd<>W``2@Z~xlK3!EKn+8Ln<9HE7%%anl@e9#S~>M<~nm}nmXC0ueuXke&G|2^{- z9!OC!MlscyQ9say0s|3`&Z02$+q(26&RMg1$=l7ul<l|U`Qc^PUt2vy`Qcj^oPJ01 z_O$(_n>X?ex69wT;I&uJ-q`<v54IP4aLp|rJ-WU2>_s;(U-p)FE_v;;v)}iD-}wEX zTz}%3XP&*{cfWAWiDzB3{5SvTH{bV@_x-`f|F~%JYuA79tTS(U?{ga;dgZl^UwH3} zpDzx5_|}0dKI`krj_LW=y!8F)p*!xIf6d=Muxal{wteb?7Y}aR`;qORc<-GDwhi5R z-|;`H-CmCx^;_S2=My#i7oS}A!v~(29{Tz{%YW<6@7IQI{d8{A?S5KrZ5004eE(w$ zF4}wFkN13jy4kpG`+L9fVlkO*(NC6q_L^@zP_(oA?tO00=bQPR&F0d^j%lfL@Y|jT zipk8a3x4!>2Qiu4d+$3RJvc2-?c09oW3N5G;F`1F_k#ys?6P0G?TA{-Yd?u<L5!w` z995M5PBYz@=Y6BN1FP$TMBJZ*elou=F3#^ulK1)@wExDug{J7oY&ua}zTlG8xydIl zZ+&iC*R9jJyIUUf)J?Zu-gqE4v}K^ac=0jUR8KZ*ZrOOs?_Ftc{@}Ww4lm!h@qND& z6wPJlhi*H4NAC99zx0m9A6&Dl@PVfu`q8&e+_?C<_ifmC*_k(=Iq}_(e{k_zkN(YN zYcKll`&Mi`^QM3N>+ApYtaRgLOYWaKaq-P3{`z;1xa3<K*I#mQ;~)Rz?PpzY|6s+{ zm%X;)wVxcETK$s+L*=*Tf9o_JpOw4kU31-y({^%c&o%GAaa*fzUeCTO%iH{9_wK7t zo7!fNI)2{%g?VQ9{Jd-OtGCITuAUzbR;P!KeCu1UKKI70a_;ek>2i5m=H+t7edW}} z%i`vJj}PuCc1dyjbE|LUrmSlL&t)!MU%s(8FmHMBhxUx=M!73lU#5TLq_Cc2Mx;DH zx#st7oZh=;;NIs?J+LiVGw<Gazxvu|*4=XU**|#T0V5Nid26lZ(aAhNrxTQ-I;q`R zuQzhu*XB2N1f4WEhN~{7*3(yJ7k(nwG*D5!R#+&-nbnf6I%@fX&wp!a_4ZMJT36St zx8++cA$J3v9Gov(S|6I<*MH3=%P(28qP_3;t{*!4hF|~rO&d3ET)l2iC!(fx>hv2N zJ<;C__KDaj=H)asHsk9en7UPjPxMp?o(Eu^e(Q|x&G#B}x#)jQPQJBb*ykx1%V|9$ zxyknr-!Rc#mH4E5=|ScrXz<VHGAeDmuSecRIDa3OJM3STb}ld7YyoF~F)=JDm5tLh z!-!1eu<j`qqg9y9tm9jY>>y<i>Fe&;{83?qDP1f)$ktDm$q}o;<y#=^!X4I8P9`TN z<}ze<jXgckP*lM#PiG-YVpr(o$O^SOA|>04s(VxQr`OC65ia>aH%sB#-P4WGu)tf# zuywd=hpLb}e49n71e(J^7E*oum1>~Nm*$=ysZ35z3~v~Db@*@s=c&*T5H@w|qQB42 zJMFYJYew=tr@`$oc5OW)J!E6Pr{d>P1$44uL(-++H_7FW|8X0g$kW*0UEJp5bh(lD z-+06I-5X{zoorAe#!M%0#g2ZepgMV8Fik=;FUgAb^J-6cm`<>{!-7k7(mwXsXw|w* zCs>4LI^n4gZr`zzQ=Lq1$aJD*#9P_g-*yfmt&lTlPwOTof~-jjI%%(4hdtvYgMNxm z){%dtM~yGhNt?2?m1MGm*sr9M;xFoCpVH`gd^qT0j_&Y5#PQf;)yIp~D(UjNP9_DN z1Vt*GeiF)Wufg_oT~GU^pPse?owSi~&+PEK9!DqZU^`fM@x33~9^aa27kTEl53>ht z?*Bh;?*k@RRVDhabLv#*bfrn3ZYr?}A=zCtp%M^Enh->#cNaxyk%0ozfQ-@|hVg~y zU{J6bWRl%QCloC*4Ms-&9B;v(#^?~{y*O`1yxKB6ALHZ^=6?Q}d!H!d%$ujq_cTt3 z&SjK(zqQY)PAA~!eBXWZR(GBKZ|$|$UTgn5=j?U%$ay796o;=J-!MLY#Os8+vS+lP z98{g4YWm4hw2QEH&-8*$UdbI*X$%kl<R|Szy;!#@HyhOKa{O=|Q#oY#`G4Dqt2lk9 z_MNKfagyuly|#@`uGh9beC^>1T&qrc-@KEv8n3tlE3}a|I%#vF&5<LTXL#cp$_><( zvYi_rzaCRmhzHm-vWuFSDdPV<Iyt!i;C`hCc$xLI_1w{`t}09%_GkE|Nt$}5re``) z>q(E_t{l$w9z-Ye+D8Fxep6FeUeZTOrL_KO%4PI+;ClK2W7pv$6?!tJ42(qcauq^V znKE`w=~&k8Rh<mCL#n1@7q*)Dk@LduBYD-y@DV?DLG79SAO5qa4%QIXf==#4BUiO0 z`ml~&z3rdME4lnIV^`Ww7(!B=96qL#E3f?gzm52Qf<^b@vFqA##xAcDQJpY$A%k8! zf)R`y<Yd{38g#2p)D9NzhrLc@WP~P!%1}DZ3OT7xD0ph8TBb4r{f2&Wkdup)9+2v! z&@-Vr>76*-iO*8cL}p4&$1eItMz_M`hOle%FQ@raov0mxw#ziDUO6xEFAKH!8>tN* zHh!rs-X^xF{DsHLZ0ro-KnzRB)aFjzIFjmUU2XRUt2_w-pVtF~4fc)P&lGvgvbM?x zi*ZYtyEuIQ3F&(7;@X>~LVTFH3;8sEr2KHpqzldn`p4n3JRa<nb@R-D11He-Jlrgu z_|(nnQdN6KWbpM{vhx_BucLdEh9%N6snZJFe&T_FySTuQ)*YFp`JYC1&B9Dv-BKU8 ztGjsmrVd@TV>Fz@sIJ;GYPsFTL1dTOex`g3Dq#<aoxY2&|ApBZhQ-t^t&mD;W5-Lc z0ezCfS%vV?E!Zs*PVE_CDkOY^-V18_z=0y)Hp*-R!6SWz;%9jN?8GDsV^jD>wG~Ww zL#yd`VH>DnweeeZpt$1pne?p0G=H~G*K+Tw==qS{oDX>npLbT=y)D15gZutxn!oR9 zWo32Bgyvn8wTZIt%1gXS<00wmo{vLcrk?A#RrEYY&UJ(bb+s(bcRRM4$^+>>9@|ao z&i9<`Zr*WlTWj5oQC!k9E3s3I9`SaPO7|JHi|l$t?HN~ndBuuOot57Km{0jQb~`nm zqnqiO*h~p{DopAWQ_AN{>AI^@=a4bJ;n1h{(!-~nHa@=cA{l3B*O7}DjEPI+zl`5- z@9?hWjIbLd;@rVDHri>g{r~Q&0?~(V3OX(<rYwD{7s7D2;9rcRtGhJpM;7H@dRRMj za6I9E&xKDsEQU^gPmg8bGFGv@e50TfuWnl6LQEe6nTk}OX%yhLr<N~uNTL(v-_It! zy@zs;#hR<MKhONkV((mLP!7+{U9V>XA8ezOw$}+?<sjE^&&UhRG^X8)P7X4uaOUB7 zdjs$J{=;;FCDX6b$%=nlB!hpKPMB5NZDzEEd>x_uMt4Ccy1qIl?Hp@jK1RW38-B_X zbIk(X*V4(z_@Vsp1RdazF3a2P+WuoY;S_0N&<UTxXrmKdL$#;&@5hd7zUSO?M<#lw zyiWErt8;6zZ{Ny`hNn(#t4?&zdttmiRYE6^{en-n&>BYC;~Tn9;*rdMP(NXPb~Kd( z=lUI!CM6I2w?*OKq!XVLji{OFF)E($zvoiZ!35B+LI4?s-rRWK!JhhI8IhmLJNf9? zG0MmF6Sdv*`RKOiZ~W=L!oEEHWdBqe$0|3+V8ud6RiO(2#x5vL<@cAyd*|ic-jO<Y zf;x8X=bU+eXXJIV?_m4Lk%R5|BYop+p=`G=3$I5f!xhsb;~RqfP5)s!p`KYkIZ-Do zA6k&XZyvk6v{-A6$O!i=9ocn+a)NtM-}@W~wTU#Cs-%-&@2tE|bnJR(@2}N~$M*z= zC0g?NeTAp|*tMXOf+aCnIJ5AhsjVM2dsXHfwI{qpUCu5{!@PX-@nIJJ^a(bCf1kzw zN#=IKgK0UPGZ3S{IzG&nS<eUdl9%HNqFib}xuRqHmrK**U4>EQH!=(OK3x1WrrJMF z<GRQbE6?|*VQeZd_cXs)usy_geX+1f!(ET2=?gZRyE6QbY8h-%Nz!~ByT-(qy~rMM zd5Yh$W5lk}^CBJF&@ZOpj(sC`j6NMZN6*h9yT~;B5o+e)vql}xSxjAQ?ItyRKW@zY zb1-#%SmPg9E1KT1L+scc9_K0^XB;YCYL7_xxzCoxiEvh;(dV@b=Q;NHc-Qz5@uY*V z_LbtWTQpieHj0X`Hix`Ei|&OV#S44VcyDhBe(p+<*BvHKfiojFH6^7<TDT5c&eJwE zXsOMm(hm2g_zO0hN)yn!_%a=M8j+pTsOK=kgG|q7<-n`w`PqfA(e&_hX;|y7*}dH( zZilXhefetV=+boMFPsI*1MdNF^>-XZgi1!E)Q87J;G{fi>;gna%sdxTJrO51&Es?V z+#c8P%2R<?5#Fr|Pgs4}2dTNbMstJ1;cdGeKH(8MKiqkK&if>EJdo!n4&E#;Z=KJ) zYMH^O=qQAEQV7h8jpii<dI_0a8sIwbeb(om&q8Tzi<Ho(zSd=kNTd9RFKROE5;_i@ za0)(lzLV+<v8s>Pgy@7=@@7#f?I4G1KnnQfph+-OA1`%$poC|Ojc^o&_sm9Le;fWH zup|Gcb}Y(mB9=c1t@nfIV=19ucc`T$>y?x$ZVp$2TDW5BpY=Ym+%g)ecqtg7NC^xM zFe95xRjO&AlIF_FQDRA&kj7{Pykww0eih!|Xw&n60BmSOC6j?xs}u5(LkmeF79`{( zOZe6J+3YWZ3a@SyLaV>frnORjG(JbHa<d2scJ$UsIWg?9wY|Oy*!2Kdl_i<=-9N<K zF^Zbn0bcuC{GCE@Spw4iBsz|=L!+9-DebgV9hXk5C*ezu9Viqzr#Xt}r*hX@ZBmWK zdC2nHG{pWHpVx<u`O?HrGpKihk_qq_<K!MA)pov-q0LV@_FSjg*b9+*7UfDKERM-J zA290Y#~mYAU~xT_+CtIzQtDYuhRDJr_HQ$F34%`_TBjI<Q_$@dR?er4$jA9D6k<Hy z{iFaMbzXf7xgVC0)?&z-7Au3H$FkOo-qLb&w5NqlB$0baWqL1f7lVpYWmCQOLO43W zh1Zg4J0%BOYl!jGGTH>upT?xjX&A5?<MDjZ`wS>1Fw|sG0^Tg-okdiAM!o3xpkT6} z`kh|b3Tmtb2A}1(7@mI>A&qeS>FZ4e$ItKsj^UcnhzrvxhSmu<ZV<y{@)(K^utubn zDm+pg0#2Q#UMxzx!IzQnxnj0pKz=1Tbc>_&syFlz4I7y=nj_@}$8zosu@>#QmKbX^ z&6jKgd{sZdgxAUzv=s!-Z}#vzqZCg}qv1SLw&aV+Sf*te*72k(5}<nWDp*`6;vFf0 z<V>F2MiBvBeTMo$DN;O9t9}p0bTI}r%^1kmMFks>je2FN!C2-ar?xJY5F>_5pW~%z z77CowM>`96*jCrbQOjNcku8Dc-LgL6ne@%YMrM+L>Mk!QVT8*zhdzcFPJD`JQ@XRe zzixw3>&r@lL5ZRPjBT}zTf=?<cq6aah|4^Yu+&G^Ahb>_IDz%mWAIX`ATw+e5wPrP zk`3!xo)b}qCD0duiEHPaGNXsV$m;*aST(l%np12SO(%KXyv8&`Nuvpsq2AEMctIz= z0e<3WCysaL(1HQ-0f80{E+r&dC*{^N6zWJIkxLsdl%r9D5n>)TC&^1{P3zW;Lz>TK zA(!ax9R!@zAw_Hw^;zXcLMj|7MxWn&xg{%~1&rp6B0i|51)DCcWjz<uQGQ4hEU)`p z5S?yrE*Um`-ro|7bg`R+nv}Uzt)1zw*_I5tGiGF+i4r5)@GUh6tm6jTv9m#??I?AQ z=amSIaO4_@(hnm2ArXV%bFP+(LS!hpRBX$!k0Go=rY5t{tJOj)TWJNE!R0k($U>;D zlws*!ZAg;mD{siRN~~1HNLOnvn5F{7;NgU=2}3S1O~o54%H&vMEHs!4xGo|R?dC?r zsLVqvpzyO|8)Y}CZq=|M#Z89HNC5(%lhJFdFze_?E}8Uov|1?<I~eQ<#GS!N(FkSe ztpUxkE3_%60%o5B>v7%fx{mp%rco*)z+#nY)d^)1t%TBYR)%D&=q{Z4U@h1jY?Vi3 z62nQSW!ai<EAS=jQI#R495rT{1PYvb?Mm`!K~@Zf2#w~@gf3Qz(PUANKH6<{_u&jv z{g}igNJ*sz0q1D0PI2?p)!8zlFfO#J$Y5oCpqmZ6Ni8VTF4(PU_@*D*l1);asfMoJ z)u>Vg4_DF2>C7aBE@vYo+_h<@adj{~32oP8O$=c+^TdM#wh`9RZ3zbbIZPB4cwHjB z`?bfg(=xG^m4~((!f<t|K*k8yFwaPsblbHOTFRWPN1^p&_6-=YBxN4)h64BewAt3U z8qUA;GIM!%HLaOkh^!2cYvZj92!`+t@-Y}(0D1+a5uL_3na2}BGa4m;puFXV448@` zIVvJ8r77i^$P%GooQhDk$N2R$HA}!gnhcU3aG(RIP}oIa2-Tf2j=_d>l~mJ`Y=sBn ziid*q+Qm6{et1>pp#J>lY{mPu`hh&4%FbD08X?6-=n(@kS@yF@3Q0lPqfDSlFQX)i z^8<(VEAJK?v8u#|dM{&o!mUs+K1WKx@g!d}N6MRC89@j#l=%qju}I0ldOl-fwb#%4 zkkd3K&6qkp0~On?xgG8BBaFV9YQWPqqRQC_{5kv`@Ws1a8Z?d`!2Rl=k?4x@&B&ZR zx=~)U#SNOOiKk8IAW@bmWYc>Dalh+NW>lpiL%udU-xIORfQ^>Z@MA{k@NRFx+d4bg zH3p+@_%7jxo>4T2;iw4t8HhOfT3jWX*fFHsgJ%*}l7QX`N+jnP?7--!?BR}Px}a-> zUC2pU?_iV^<7_w}tA=`<k%qH6STI)5OF0rXr1|;A1umko6igNc=dU(^iAcSyCAoH! zt~K@oD+e%Fk{YzIy&(?d5JMyrKNC4u5F5JBm2F6J95)aq!il76j6sM6%ShqY8|MD1 zB8Uv8C6Ne>@n=Estwhvo5p2=Q+0qPVm|!9#@U+w;x(ZXS@?EnMb&d5|rd<QJ1fv)g z#mr<j`CH3~$IO(pm_s2&+i+ZM4OLmJy%ZhRWO)$EX^Au<SJfy-<b3GzZcuxQjbs&# zJY?FOr(Z3RP#$K22Q6m1-6-@J&;y%?pyhpI6xA<<q!jP4h%7JFf4nb>g3UvQHtCQi zd{P7>kvy|}&*muTQNxggQ#=9?eh&oQZ2`vBm!m&8!3(2a?)db5-4IvHk2wU?^rk@X z4HYzEmJGUgLp6s1LjskE*Q`FBq$H0-bkw3;+Q=?Q7s1QZvh-92Yxm|2bZ8+dM1xIV z40A!)<(y51Q1uU6u{`F&%F~0V*D1<k-7Afzn>|m0=7;8-LBaHfhM5f`C-Su2XF}%; zQTxPvqA_P+U7M64YiqtjGi|JA7AV_R=*+XpGO|%q*Fwgokg$WbT4GOf8B<@1IuDMi zLQ^fOIMV2VjtJ8dxmkJE1cQ`oT0;inN%#%S6#smB5d1J4ETQL}%N_}XkR4q_#XKaX zQN@N;X?V@O*F6?BORb<eIy=Uk`GAgkd*k(wb%k?#&Ex?X5owt~;9SVdh!Q$@e0I>v zV>Fl8RU}e#4n}$vx3ypu*!~|r-T2H8=n~xXI|HLYqs6g4OkUdx>%{GSk-M+Q%F-$a zCYU#2vu+e8YL=zsjFI)MaS8?Y+q-f#Rj(!^Hi2=QpX4-V(Q(d6g{}i#xvYn@!MU*e z#VCSdZRqnS57BPK-cOdkP1HQWM7Jca=T>a*9BWzaNFj(q59<mmO^K`vBbG`=J3WRk zoQ)#RK5G?&<t<KVsbu6R7|;l$A%<VW^u*oF6qm?eTeDP|gW7nL9nCsfuE!|B2dzJF zjTvotvgDI6<wWVQ2y!+U3~asXtl9iP55f$mL!)Ht0jyCDA*QuZ`_N1kTEHj`Fa?)7 zwOBi?^=uOJdqaF(q0IP$-%M`lo?*j@#V`5^B^y+t#=ss4?JZow$Tl#6inUL3|Ma}r zttZdWS5U0j+jDl=yv!{fVtAfJ4E{S*o^hq6{SAm=_6AfRn|+~W(2fKvavgfFh}>4$ zBbCa%QjO1V#wG&O$;U7W86s=K`JYerxCpIA4LRKf=H4?**UTAi$d}+~<SYbRjwTll zvgYL3C+%aBfmkK?Y-kXS1&QzAiHFd!(vC~kjt*9NBmkJ8Idnq~gGxTlwcXj`)B4$t z@aBFN)j_Gj=HSV&98}yWBg<J%hS;cWQ1f)xpks%5L*ixF4?`wu-NFd3^qOd-r5#9S zr0LMI#HI3Mq&TJnP@)pX1A%B0iiicxS$UcjpVRECIaV%N7On`i=?rRtc=An@AYb5g zKMZ7K&c)irX;WLnu&|fS#+n>*G;1IYYs`5%WRp#zdFT9dmM9#^x%hDeVTg8c)O5Pu zE_7KkmIt*go&!P_S8sqU8Vln=mqZSQo?*!)%AEpR-$M&tVn9l7oJS4ex<`RO3D5~c zD#h^imjc{IL!;5qHDb934nqcsXn-#%lr8Pn=h2=zr@p)jgZxkn<54-!xq0zmO>1eg zwG~(0P-4sZiiFQQsge}^6Sy-7H5^k!qUsSbHGRG{<C`9WgpoL&8Kv^NPoITAvVCkU zDsQJDrnO>PoTl$M=rLw40M&Dh;6^`7;E<VBV_{U(Wh@If9UJncGMc17kt^-ZQNfnw z?Y3&F$+mD^G8A1->*CYX!jYaVU08(b^wf1lsD0foaep^v$6z4^W!5qfv5<~>3@nQY z<K^oE6=ix~T@T{GgyNsY8c12_pt~X~cvWVxqJ+pfskI8?I=bm%-#oJLc2J}ML1-OF z5tNeW(Ttd{$8~FcKdsh+avdDUk0mg>_Nh1)<Qg<8ta@0&8##HA@RNxivFJDTcnnf% z-I}-m%Q4lAW*ULC2tQ=P$cVcxmJ11y9z~O(<!0K{a@3&yTimu?ZZNco7<b@2jG&Z( zQI|fO@r0_?=Nk%f3_x}uu1kdm2XqBA0G;BmZD1!G(wIy<U`1E+Lm(R|BeHUSgQXZO zJedo5f=9ujd#EfV28O(bnp=pa5Cj9=kpve;H&Fk=7Uo@;RNy*DqveRdI+dr73#*DK zEE{~?GEFj%M(}&g>Kqd@<!eOXlQ`fyc{C_e7l5XrQZ&`L)q-hx)HgRnp5WOzOe6ws zBm*yE{T2s6ZKrWAktYquKn@u`?Sxp$J&k$obf`TkmM1ZtV2-ljjq&S>a#jpkt+bS1 zPE$4YsGk^Oqp__^E)AHRRvALcNs=VRhu#pwF>MJGf5vN_prCCXKhJ=7$=z`a$-HNA z3FojfLG{pjXvh3QZnEP!$AqNFJQYL$bKTt!GblucP56>`0Zcp~eE*UM6AU8}c!;}2 zbP#vt>R*T@uXRY_`P6A*Fa=`J%(c>pY12aM@K7O4opdpz-Hy8{8x;_OGZY_lbft@6 zD$=_NUN1$uYOhI=e1ws#*Wu}W2yCo5CihbUWFB1=RpRsC_>L%gQo8!Ub7f)|K&5QO z(Q{eog#FAVkmsOh+Q4WxcPM3sk?z=FZ_|U8(U1`{$f0p>;31rcKn}x;jclN=M558l zR`F#6E%;+TnKKc$*XX%pGYmUAl8Ei83~F<-!Mqlw#aoZ6*VLz;nwjKOF4BUyuNgD6 ze8x#&U?5Ch45KbgMUkPFGbnk((g%*ai{#&m=~!h~NW4DWXyLJj8l%^w+)aKRS`D@B z0K5{=?hDwuh$D-05x-DeD~i>fcahcc+)Zm5jlhYI2QPJ-3-~^rc&m80O*ao6M{|O{ zge-DlmgR(o2p?l4jW~8~;<Yl<#DH2M*32P2J`Qb~tqP+LF`N2iB)RfN??Zu3ap@@> zB+Y0#M6?dFn20yK8qlOcSz6ok#Mq}5m9rHbcRdf(hyfQ`9yH4WP(J^Qp>7Fz{_A+c z)T`z2pOMg1LRRMRWOppY9V4s=H0Xry++?&A@ff5<nn0Z9V!~3l6lUSlD3~-Q!yobC zU|=+z?gieaAoO@PU?%hNxWr@gCb)(<in94>^H4DUN_$xEtE#Lm0_x{iInY#=$)E3r zW$WiGjf-V$Izm5bx<23^#U`jE6bZhryTyQ(6S2HPs^JBkVn=-cs9`h+fCjoT>Icjm z4<zO($Z6;p1p3rbd|`s}GS%Qvlqk_~y)UkllIGNh4hj_FU-q%QBa04@N^OP|%}R{X zp*A!_!JrRw*~}<IhfChGeqR_Dmg<775J!9jB-k!Ny*o6w*J5Vi?!Vb$-QXqh^r#jQ zb}tzXBrYd|>t(rtp`f&NIcv4+kkfK%zhY1dd6aLvOA=6m$mwfMxGXFdqIa2azFa6= z6aQT)5`)UI8a8$^cB(QDvGUC5H@1i6q+HrfALjN<wpXldic2zRCuK1H3my~>#PQxZ zFsuRMhpAt%lm6)RX3yLLg@?oL4Ltd+7v;hj6RYM-BmP|z%XVA8XLO03w<pJpZbqWb zSc}`J%)ldkg=SQ5G74Dgpe+}IT(TA(IEm1RbG!G%FUD~{VyM5TUl=!6E=^{`QEQmo zG?SctO@U8T6nk#w;hN3G>-LR(V+@mPHV#Ey;o03hymxAp*$4-gF)MY+lHFmss$*ye zSio5k+h4RrlvX$P0%xu%$;}AF<f8KBk~CacF6q|`<6^#0DxU9{R9xAz24h;@D)mO( zXPA#{gF#=gS8SFodbg8JvxMP86c1rMgRJCnzIrrvfvxdwaA>Twj9pTEMu|Hey(JQ- zT0s$lcAfh%o*+82*|q6mxw<RZ%Q~OAt>?k9$D&ZSN1u65SSpU^if-0D@5IvXPC1x| zKqU7v)Y?W|i=)dw)_8cmJ&iRBuM4EdHJfW6)_HNCJW;5O4b~4xxLeA#q#UnzD<qnw zM9Q>!b^hY7G(znhXhfbj`Y;5^M3LMQzoE!Dx6EppH|xg*uD}MBA(O{I%&UdIu%2wE z-4-XMuYYhwPz%Q1QBYjVj4Q1f35(6H?ZwjO?sYb(e0^XubTfEqY2iRE?z?v9#jmW0 zw@n<`_N@zM%isRIZ2R=##^858eAc$3FMVk5w?B8*-yHp>Tlbwm-T&@A2O9Aoedx2d z9({7Q{Lr7Cwe^dKXUpGubl<iw{^P8C`*TZg{^EgI@N?U~_0p{Q&WG0D|IwEk<|BL0 zTKkV{A1qz>#Oq(V<Hn!AXU91^?*8SruPobn^F_TsfAfyg_Iuts6NZ^i;wOvo!*0)y z$M%?D_h)}Ka^1sQukBsB_oeMmn-Bc(wg+$h!Ao!Y{d->dUw7Pi(VO4-)T)Q}xo<vS z{x9F*n`$qd^x(sLx@PXX_r;f<Z<N2Y@1*u8e(>M!{)06;KK{v1e&T`M4?g(QCvJQA zeRsY6m46<2<r{bX_8V{fdGVs}_1yFGd(QsX+xD#<yWokw+1Y2FzrVi6y(o@;GVK5r zQ#iMWTfyo3KL4%9v5Rc({^;y4-|>zeD{p_@+wWO%Zhh_G$XV}u`Q%&gzNdG0u`5I; z_uRegio360{Rbbsr+?YDscmOHb<;oZ`0YE_?Y!y1V%NmkZ#`vC;2wV@4j=i@O*@L` zTzBreU+n+VD>v@=eO*O)*c`a?uanWuJ1+k5>SfE$z2n`Z=;ZoyPukXZ$L!#>uRmwo z?VD%!URyr*pYOb-vF`fb5B*N@j)q+J`upBJIy76p`mA%djo;BIpS*7WwhfzS<Lk<2 zzkB?S=HBZD&sqPw+h@y{z5d+UE#O}|bnZDn|IV`Yd-msla?#s<e)p9(-MH$N9q+nn z=Xv2NU%B!8JKlcV06LkWnFo7#{PRdG4}J8<m+xte-goBz(ffg~)PMVeH|@RSz|;2U z%g%l7zrTD-*XehCdH+5C^p(}`+<)4m`x=M8-Tm!de68@VB@O4g8uvv%{>SZ&?r$&a z-TCEzdU@yOw|seJ>yxwh@A<>~|DPW|@yI<lZolWJm;L0&+jsuxSHHaN=FhCy@x6ia z6<_)C>cZKx-_o(`e*4h0b#9umOO+zbL*X1}OE-cC<eN_xnvb|Y+%x-6=M+A0+Z$F~ zvtu=O!|QHZ`pTEC`>oOUKDcMavS10Px!t(q%JnC2e8>Cl*mm|kYks({ePjEk4L9BX z!FR4cySMA&w}0%<md+m79rypyr!L;H;+*Sx*Io9V5By}uJ7#__Q^|r;snoCK#1d~* zCBxPpF6D>6|9#10{KE%N6pih~0NXyXq*1f2b4$x#$1cmu*hgQZOK!jthyD5Ef)ybX zl(f!0S4tJB>v<Qa*6W83<&PG|B|kC2et3ITt*`I0LrOKg{<PDet=<l#duesQXo$@b zJH_FP^9tF=-;1xsCR?&`j^Oo@!>4$9m8CCff&!*~ZOwsa0^Wzy*l@`{&JR?7AI1Ql zistK;V0Z*q4@2z0342w0xT9d&t)Gg$fwU>bmkEt_{#TN$S;HRscj_q_JvILw9yyJj z`n4Jc2fekL$ot>lJ6y@L!yS`1&Z(4q1!BIA?BM3$B2Gt~FBSgnzjAiv2~Ziybkdt& zBEy$lf=+tB``yF&T<`eEa^`KUO}#GiNA(nwj!q(W3XgA)DR~C_#}RaLWW4>|@5%#w z>{t0NRSsh@H@xrEc3lqrZ%4uF!y0OM-s>cHi04j^s!lM;Tg=TdonS?gXHW0`{g{~n zdX-j+t2$9r)l@!O$AK$#tFwYy>0vp=`6lBN@UAwo%mS(tcK){|VdM6Qj0fy{peo36 zc!b^O*lsN_@oJqM_Bz=&r8>bTZum%VFX!l?lc4Xk)86?`)d^elr}}(5>%mU%rI)bK zLnryZI`#&YqX#JfGep(N2<3Q2$HAi^w9(1L`>$r2I{`L~OiXCK$48F-LQi@*yl;Q+ zs#Wa7@8wLUKF-2wql;JCU(Fxo_`a8a`OA&b$a&xTPK_P)=p-lqdwWBB<mHzoKivBY zSn_#q9kQQOw(4K{62;c#Wpbhu!S-(ciiyo5Be_HQPX~|AexLoz7)UH)C-r-sN_!(b zJ@u5Hv&2a^YtV^gI>9EieMnEE^z|ct^(E-UCr)sz<B=npXKLqHzZz{E9@g_J|K%6^ z_N{&w=Tkyu=T15_HNx0IO*MCppc|!~K}vNY+;fn<^4d?ZH^A-%lMp?la%5!qNd8y( z<*81P&iKgG3tlH9dP?SD%GvLAQdgnwJIpTm_5>$V9vz{SjP}*-AN+vO{M5wF_BC{} zA?qi@hmUxj$bNt7=b>>{JAL*N9S;=fr(Qm(PAocUt4@|2-XJ=5p_6(Y3(rT9gPs>! zuX~-0kH3sg3T?q+f|D}QNly>`1W0v~HE@mS@T+oR>{6YupTBopEwVYukaV@&W&EME zLo9(8baL9yP)Mqi2`on$=~UWJJi(Zh*O5haa^J@Tdi<I-6BA&f6Z!{@b!sQ5=*E|x za*%2zi$Ev%(8+ko>qN_Z`Q=n6Q8696CMGa#t-YY5sf?(V(cv{~O8eV2ZxKE|lHYe& z=LL4q%h3ubzAPR6e((c5cXX=o<7c0HPV*{^rq6S$xC7krNtN48?qo|<Z&xU#n=_a2 z5b<j>SJN{aLA5_ThTn-_>{5){{C(VTRwCtG=);uf%g25a8^BFGLu_W@zhH&DX^Y1T zBdKTSL3*3O`0HDw2;LwwTpCXpeC~B*sjKm5(&$-{-fmFK(llYZD>jK=p=F~*t?Pvv z4~+r65>_|DjbrThOlH>zJ+v?VsXb!2B6YDx<Sa_;5jQQ^fTsC3-O@Q{Q3h^MI$Max zt>SX;5&Ammd5n~!<!82|T7Fu0;ykFW9v-zz^!%kZf%^1f`a&uiW@iavPZ&X7Z2;9x z@fW8jl@ve0{!wqSJ9IL-MQr_U;3k&%rphI=;lnOc<Kxnbt>XN%5j_*J9F;buwuI51 zE&dEe#Zy~EwK?2<Bl&AvJMq{kstqD_czU5s#pPWFW!<Hfyen}xo~^c$)G4j2p3A6k ztj+|AcV#w`Td-psxFg!5Vd6uzLu9vzKVNax9h|R7zE$X0dDpsVctFG6=5xW;lW-=B zW*0gkzdx6;$oY?{J*4JWE^e2uF?Bn@a)T3=>Dt(Rd3)#f3AQ$AFa969YGDl6dfjjo z3ok~{D6;fsh73%=`JTkJ3_E_^Ly+-@wF&DX&6Y2WPvG}s6ss7Fml&1wqrieoCWg`p zTri>=(~&F1^<$cjdSG$&V?}-=h{GE<decq)fWo+*Iji3+@abprRR_koWxNJE0krlC zsoNgjIDY;3cx^n#Ewn}}<Ms;0srj)BpRNVG)%UWI;SttP6NTr_JX6o=?fcc{%@}^N z#;VoEIh5Q(TkcFf=@sKe)yc42FKhmP(a8p1h4}{_ki(~)#uyHRNAiak=|tyg)+Ra| z0jW+<5AvA8UXR6ATc+B5`XvgI$0sI6c3yj}>ST3-c6=T$JMhQVM(qgJ@oQ9GkU&<) z>ty4`7Y?d@ytWrU$f4h~*#Ga)$%gUE#@}D7AITT|T8-%o&@!fu(@Cw?(_V%~wCsuR zPn|h6H8G(&A&5@esuRx_jNKVrO3TS$oOK}U%_%Co#@pHb$Mut+P-J_<hWR7;{1sPx z^{c&Dtg@0*og8hW6J3>ZRohwCCbg?l1`GMAoqS6{YuvsJOV;bP`ctw-SLXDUalYq) zPWs5(JKd}IKAuRbl?laFop^acn{|2b_(nAo9Oqo@-VkCh`0q%JK&yRbU;E56+xz`_ z=4s)bYnI<r1(7?l%jEsF_K~9#UMG5+VXxQ#jj2PLW&8#CDPM=6@Kh&-0_$e|mIA%H z?^m>}eX5hIYAh2yzt^IZ)BmUZ{!Vc$n)B#{g$f;A+tq)ZPP9#Uom57w-MH~8`iLL9 z`0h$CI?=Wcq&le_uai{9suTU<L%Y^SC!7wcV;A3lKs)43-p(j=oKE}#F(-Mgm6Fb* zj*d<!hp~$_9j9~q`x6nb6FntcagT!&b+VCBMaQnA6P>ZEFB`iuonZHSm?bbe(6Q^H zk#|h!2PD$5YieqEKkGf!Nj6?f`|xpNSHUv<96NLH(*+AR3*nB<9oxi4ef+M%+dv59 zYlX`*7l&|PmhWo|c%w2SK{1zxiygW_mTy;zk6oju%kBxKBkZu#D??R^$2p8XJsQmL z?@RfO{v-=?)}rD^e=72}?_@TVxzxt8czYVgevyZ+(A=f*d|Lm{j?waY@5{>g`!rtu z7VMM<>(fupcsV)C*Wu~pR2IdPvpQyY9s9<P8}(^A<!1R8WcpCyZC8-6a318s*u{B@ z6zpy7aF4USTNJP7Eb?qr&tWVZ+}v9~YYgdu{cIUW!uOEg^kMH}Z>Y9^&ZTyU;%x>s z|J%}h<kxiZc8wa}J#Cauz)oBR<;UQ9n?Ox}4*Cks&l-!9yFBGb=^DZzl`Fm}wV}lJ zk*zTrmxgm=sjFv5PEsc4HyUx2rROQa<Gp{Exd*c{+*&9CE-gdUwo=2m>Zr{n`L%Aw zK+nggZrKp;&u1juk)`}7tt&Q~nok;;9V6#8np<M{d0^T=g*Cdr>Lpz8z1kBIWzuMi zj~~k$y|B(On&QOoTi}U1bl_v2$0`cM6Ty{)W1z1HB2S=3=1CHG!H~W;$*2+qmp${) zXFY8R`lt9TwD@z7RIvO)iW19jj0LjQ+({qhTTRjTEnM(NcE}f)Qjd=nLOL+-Bj&xI zVmnn`sH0C<bn#U_hNUS`P$EwK%95760LJ_Dn)>jNo;?63@R|U*R3u?Qx?fz<e)OFo zkdoJX3h%cX7x3aRtZKNL#%nmkRkw>@i9ZuX`X&8HQTZv`DL%x&BbU{vQE*7aUn7KH z!TPm}C6Cp6wk%ezCJBGZv%^>yg;th?(qB|sa3jnA!Klld8wtSz&yq#OLAno1ScO4N z31I;*^`uRNVCj#r)vG3_fB18q^fVi}J#Lk2Riiwn&!6KI0dkXaNlK0FYsXTRFd;9C z#0LlkUSMf_=jvr8Hbv=NQ-VHpT;owZWEgj+h=ek*q*z4jHQ9;ug?DPHk#j17#VBv3 zZELsSmD8_*ls!#^5sRaxyb5@VpnTe;x4T7Y2?6e&$4K*^sMjP#OWd4)E#=u|^`oG> zFZ60cA50N5@9~Z$sK3SFsb&##p1<QOWEUfQzkfRLs@P)@i=~vs27wmi<u{jkz%r>o zkt+e8OE1~j<XN$FHKI;Oa3(TgDezf>!K?-Nd|WhJkk-tX;{{=SBp2R~>J`v<r|7;g zG5m%60@4GQMU&_>c@Wg%={AkEASe*u0i$8mK&0Uco?aEA;}JDV6S8t+4EsDH;WR^< znRK<W)Toq9Cy&S=f1S8AR&jhy)A(4NmXgN$F`<L+rL8G`!v%v0nC>-Nh~Fu!cpi)x z8j7(>kaljqhD(7-V8rm_#p;+#<aT~h89sW(M8Ql;SZ03q0Ux1zI3c8ka=n3HN&Ex| zKUSP+=|lo$1;--HS>{GP1K=TU%tQ6kWv%p>F?y~Kg8in7ABN_PP=48wPr*0Zl#=iU z9zGqhMoPw$me2=P(|A&Tvw}e*AN2VLjL0-s4XA~H#AA;VM>hn?5$O~|J?ZG?LMiJG z62P#1N?o5!YfaI>`*mRDYW8EQz7pLTqOQ~#B<dnoDPqVF;XG6e!d;pg*~mIx;z!O% z)R#<GG*XvwmOoQV<o=*(DYe@X2h;-pBrk8Ff_!4#E54!$pH4+5`OwV_G0;TC6rI=A zkcup!P_L2g3E9%_J{<magjQQNiJhj=eDgDr%)H2;$eD_8y86?)KbyS76lJF9$UO~D z+L#rJ5yjP<1e6?zwU!Vs)FVlzi4p(9@i59Y;zvET@uUG6(h2~U5u87zJ>VlCqJ^+y zi8H2D=Lu#ZJWY>?B}<SPEs^511(7?WqeW!Qc`^$Z26UZj_B8d2#gnvys&e3nEvV4? zp#^>DMABl<81kQE9y5rg>L+myS8C4L=`ksRc7w9Yh!Ye}aTM&VHlj~QkBOKeII-x* zh}-JwlMk^OZL{>B0wE9Vr-N+5?nz7Mm!Nyh5#O4oO3v_8!kY(ei!(~QH(iQ$2oIL5 z_2&_@U7v4zJQx?^<OWX9iIn5UBgnI!L?*H;gB3{>SnI|%OS9$H$FX)|W0`O)ww9J} zuOx%gZCvcw5*upy9&}5w?aBgCmAf!V&h>?1U(#%$mqaERyZ!7?H-mGrx8!7>4GRck zf))>Q4f4b^r^$xPux}Ur6hVgZ&9OhJ6T&vy%WmvW5m`w@YweJiLm&i@H6Du)LcQ!2 zw~5FWdsZ4CGJ4084mm?U;L)sZX669HL<**9t>H1a)+a`%LqRwiA~qWho*`_WlwA@8 zmfi7jXgNoLGL0`UtWjbl6a}`F_)#Ujw8Sc7>}pC{>}q0z5kXsQ@c_1)V~uFRB~H_D zHR+FF3C!i6W2d65bVJh0bIg?q)krXqL9=^H>@IZ!L6L?wz=+FsMLLwVeQdR3V&_kS z$F$UR%GXj;VD~*dl4070p>{yg!6<MaYiMUB$+uNm+ah5S)KO<>4TjTuXqKJ?PGl$D z$CIuVGLUcBeD_-=blnXjv>SPxO3wsr91g84hDp*G5u8OTfD;p|PdlJ8>mhVFRwJVs z9XVoS659}&aS7W!$wF#qbmwXa;^f$*P-T3d43aLbRHWPka|pURPX$0D!jckjzd}Rv z$%>Lf#BD`b4-dom(}A-y?7%av=rK^2vJp%fgCrFfThS?i7|Uyp_j6Iu5yL}d61Egk zp^Q9jg0a{>RGODbrGlO<w%T3^m_<W}*ac8O#Q@pz3;~3$LD)E_(IV4v)GyQ0blP4N zaID0xN4c}RqzgGDNkgeP%2IMJFe-=?LKgBw6LTCmLu5^($iR&9Ummbj^E~?H7{Phn znd|DKYlH4k{%4{}y1?wJeXY?FCqYRDh8(IGg_&k#tkdoW>vFbEmyNIxLcl^7E$|oR zWmfG1d@UO@*eAgwRRn|Nv|edHCL}{mt_TX1N!iRVF><mC#01TjA=0JuPtG_o#(*yI zA9qE`EESw~C96$mU6nEeN_T@4BB52OYs;BWq6Sf%2hhUEO6**E1}(#xfsW_NsT<Cd zI!V}hZMkWAmRReM{3L^}?HRMqF+@a$^L!l}ZzNroFB3A*fCgRkjgCGU1x-YQH#K^I zQF(;4P|!?^CWE^O<{h$|sS-0u%3-HMb#XPAhb6HVflN=%)0}9stw@p~RksHhZJybD zek2tV`@-wkerv6ZY#s+oLm1GO*F}3thU6Bc$_;s?X0QoCES$$!Y%wUA$uNS^ZU|ag zA@eC^MyU0=`OfX36Im(RO+i5WxKsiILNTw6Z#uvVs!K*!4e8<-X|m_;vO0L@W2pGo zV9Ydw$5Dq|8gr^6%RpL+Za<8pL2JW+={|70Ofq!|e5<ukmb_jRRmABfaJ+gmjGxom z&PcFI(PI#+jUrLf5!l9tE^SoAB0AeQi4g*gRVvnj*Vh*&qXDRvAv|xbFJ;cEG@VRB z{5UA1K-%uVHoKBZ`cx}^S_WEA(iAS2R)e%>ZDU|^DC|x!-BA%q^TkFBt37dWGPc(= zIUZ}$H4Cy9>N(f6q1Xl#mHEaTj0VbU*wqr*MP0imF(003m{#ndg&Dp@KGbwQxkU`8 zT5*Tt>fth54-HW#@pDqXd41S&bdS=D`&zS&60JS9x~F))5EqOI$7qhHu%|^yG+GdZ zrx$~GU2Cv8Sdf9iIG79{lld{Yu9KHlMnmVySsiw0vazfWEz#nP&hZOiSp!%tp9;i{ zMssjXebn2`@70mOIxM`9im9koZQNo-RMI0W(PRr-WM{&sOVr8kMFOJpaT!{y^vj(x z5DzKo;tfv*hV9yd@+jF5RkPFzH@#xVASY`sfKhpRGH42GiG0=4FoKXw*K9_xL)wgt zRM2QQm$1Ta*lXS<tsH#2nQB8$4rx*GB@#3gcQUC!Gb}#bVti535x+iN3N3)ffQgVF z3*v|2&AFD8_fC)UNfA~WeKb;SrV&m5YX+5umghR3=&D`U&>I_5ZK@FCn(i|e(`Tt5 zYPUnu!rZ7CzF6<C4%W9*?pR6evt}-oU=Y62GARxDDe(M8YbIoeH@X&=AQ-jtmW3`! z-|VY8Qu}Q@=1xy4;c^ohMT>sR#a3qK-f&WpJEEk{eMP*NhC5?}o_sB2^kEDmKl+a! ziwz{I{1$<=>~Me$o5qD}yVdIkn!y<Ab}F%O8vTDghc%)JyC3L}2cp6LX9~2h*^s8@ z!lpAa*E7#cZn994V`p;YWXZ=)lGlYa;PWvG^~JU@m2Cv_Siv~rsc2{)#i<SvpvD|Y zNH=sEV3>Aem-CKY!g+RS*u<U`cJ)W@`IQoyvzy#DhT+n3d8T9$!c~)oEGsWzEnL3e zO1BJ62B#z}5}-)(vd6kglW`P3AS|>-<M4|~%r0<_2u&!adthjcJ(Tc`&3D#*OHkA4 z#&C_%YL`?45sQ-kq&eF%;YIhl9&02jH`xgw_YQuH;muJ&Ys+@bR>qp4p=fKuhGA7D zh4c9EOIH$|W8?Xt6jYkwbV3o;pvE_sqCqtqI{{ANNm@<v8r#K>^rH0SMv-kqx5?FX ztUh@iqcG<|Y7MEu%ECYxR1Mfcf8K?S?Itwc+lulDC6G9Juoyi_H-^h0*03Q~Olmh$ z<8We((tE@*)+R;j1JH$>ALtNJ2FjyFO4g3K;@Nv8YK8-{{Q_=Y=!>d$Af!9c!&#v^ zLYlYxZ(&U<fyF}9Ai^=&<1nfFYH<Y||IIN&Mj52NQIul@KudMsB}iTbQ5U=CF_)&( zg%Q~Nw8toTeKS!9L~xK_8at#Z&Mm1I1Pih#*A<pyBpx`b()ipKEyF$&4{_(G6au}< z7!o=GW)QQsP@~p~$`;)MPcms41y&ke-qOSxXsB%MQn@0O8$1oaRv0b3h)4$n>pmNW zYEMfUtki_AOE;EH%DeI7rGZ|lTOx<P;)@VN4PjWUuZ+y_jT_XMf>s;I1t#qJg8Fz2 zgLN^B*Vt_jqx%a(L!1;8)$)}mV@5T@B2()|w&3^ujj1fjPij7<epPHxGw_g6H5$)v z`M6;Jz?qo{G}k505=Vd6>#IOBGcusH1O%;nUccfbp|ygQg#=U}R~4!S0rn#4P(EmN zdCCfrXiNyKFj*N36GKgbNP}p}>ABKRCx}~FavHS&fBk^*Rf@R@M|YK=ht4mfL(v11 z{sqHiQ7Us~IN}LGJk;k*QiJRPvE|}&`HN_7podPzC^w08Feq^`Mfbsa7#UsOL@b%$ zSDIX-<P0OVd<(0Q`Y7BW9E3s_Wvo|zplg*u4V*7y`0+XU`-kIsogj;Te7D&4&I_{% zTsq5+A&@;R`%`dyQ@BJW$Iac0|A<ux35B5B(p<HnSL&3#E@43QMTHM3i^pJrVrbLI za8TQ;HqUNlk6yz$h%qk&nA;REAsovY2_L2qZQ&6um@}q^%G6u<mXnyeg+M-6pC|i` zN+0H_C!gH6C|3Q8VF~=u(xNihMx^|l&LOO@mTGbF>Dqr#wF|ZyP9Lp^Y)8g7e1c&l zu1%NWO!97yaa;>Yn(iG%XpALMiOhpMYA{#~QvWju<{mE_x|~x}5LQ_*PJ_pSjVD9X zVu?E**!(slJ^hY}g#<$dY@A>DxOyqINUL_l^G=MUs?VSQ9DPpX^pXOiECvCO0+1UN zd=^q@!aai=E2iC9CMA#?#z>7Qh_HgSdi8^giUC0SJg5tzzBm|!1runi2pn^L3x1Tp zCJm6;pDK3g3Yq8BG|^;m-H@(4%K>XUhF;^O;sRDlb!gC6WlX!=Yd}v{57<t@s>A)G zMnH=1^UD~j@##HSlv*Mp;mRV;8&$!H(GcCDM^-SU%_q$P_w~AQ7m{7`)BDL^GkhKl z^R>XPgdFr7NykaihyA5s4K-WGoD_v}61OX9`Q#y=f2oOU@R95^$I7e3&5=wX{YAK> z&7|RJjR%difVGmc1NZzGno*a8H0##}&|e$$DI1ze>2^@&p{lp{UZa{CXvbNAy;^R> zWJ8Qm7Ca9N$qOFjPO3+MMcf8iO5u9zF|ygr`v>(JNivFoo%i`zO3u+xJnvy>ECzYq zNSxcoZvWO{#=oR69zmZbC<LKlxu+FU2qUM?A_#4g<V=!G)41kFSp~%mWcD$S!Ci*% z?+iV-r9P-JxqlaG+Nl-*`W{T?rVgmuVK^&SpVulNHJ35s!^bW<5R<|cSU_PdrnOHm zP*RHJuoFa(3|_i~G#)F`rHBU~q<w3k(`S=_1>cF#D0d-HsuXH>WMc2HVG|}&dzZvt zXC9GK%va1p2BNKeb)jr|%IR*2D;yVMB&go8`GQqXN2ceJOZK?ms>$nzx>ot#V5vy0 zP&Kmjb)23`7s~gJt-9m-xGTBe)@mj>{p9ToK{hl+<K~?4g4NO&U{Y4x5L##Z`X}SP z4I|&ZGGDD+5?rVwySZZb6%stpddC^#B824}OWUo68IOwSf$>`9uwWQBt}_ToTdnU- z4rJ-+x|y#Ll_aPzZHF`Q*W5h$$kAa#$pN7(qZ8stVThF%lRId-s$`hrCP;cigL$>Y zOM{yg-Gtgtqk=2HHB8Rn$r0ZK(!qnfmzrDGh{wzv&n_dl5}8SIN#8Vi=A_hsrQ?9R zyTokjiqDVZ3s?apa7lquyiHAq<Ez7WJQ9WG&3w&(u_hXjYu<d#E&cgI<?_T;EKi`d zUGlA#@IvI?Ka2Gw$9=qMKq|6wr9Ds1e|{_9q&o-pJ~l9E8cWN(4Y{DFV8(c&9Ik(a zs%bknHqfET0}}Rv343pBu6=94e6G3GJzVR)bWG%;z4FN#ZN*f(oyc=8nw1yX6keoP z`<C22Z3h#i7NimiqnRyHk+hzu>PhRx@fS+vzwQb{`+ysB28+@#&okAARC~_J&=y35 zryr%TRhX~WP=?W~O1+TX$rOvBKG_FnHY}RJ4p|;*M5eelGAr%63T<S4G_WLRq%tPa zWUkwY6+UOxU=^mS9<rAghq@WaPJrFtxO??ko4R_=Usm|@6%s5@mi1q^V*2E7-d6j- zSH_<EVBsB)tS!8G=l^-->N|F9yY8Yl+<niD_k3#q&Ntq@`MIBOTlLO&y>jQDulkpJ z?&<yD^`HF2#P|N?EpJ(U)9UtHuDk2jm1{PCVD;3`ZXJ2u>WfzwPx<)7d++|#&YeA% zefO(Z-ges`EPv|M9T$CSS$+Mw1M3?HzCJj!#|h%%NByJ$!#i*K-j0bYfArs9xci6S z`_)f>_wB!YZsf;zx8M7h@f`=Yt^4lo_Z<4mZ|^Jr>jSU<hmS^$X*a!_e)7kYU*sId znfrSG;c*wbduMjP`@o*rb>H6Kbu;ryFx}Wa?Ep~!^Y?$TI{eNPAAjqC1GDn*?%u!o zzh0aTu6Y2RIOQSjcDT8jWh=VEV9C-ItB1OJ!s3}-J$y8xKU%q_zZ?!-BE8q0UpT#Q zUHpf+_syPFEU&9R{(PbQ%(DG&*}0=KGV#Xq&;3&AqE)M2cj`8G$2Zn}X6t)zJv=w& zzJBHJH44i<(|>P&->0kJIPm9hy+9u)9S2MQ(~cFVZ(6n#om_xU`rFG^Z{EDD_`01O z7`W_vf3Wl&pE~E_^*hc#_a_6T+s=8*z>ZgLy5mdVy6vvJcQ#*{Tz|#~cU*VzO+W7X z{c}F}!JmI}=TD0N`HU-9|M|{~*8gSwQ!9V+%C;T<de^CIF248^D+fOImiKP`-p-vD z-*j60ikt4(cH{p4^uCK9IlI2Q{E}%tIlu0jM;u(io6|;vKZgom`R8p<{^;Yc{NG>t z$%oow-`nxkSKjdbZ(UgUuBom&_{0^@?Rsf8{+GwgKi;$FUW7QqTH<Y6-%u&U{k`44 z6Ghl6N4wvB%lWgxb!TG}9i?N}BsvK~_vZFb`&Ym1iN|k!vN9_ldGMqkJ^9kCJpF}p zwS3QpQ%7$hR439Ut5%=0g7e<b2$z+@xIe#i*~<0d`s&raD?SjOULRc7`$zA3Fucw@ z`a6$5KU<C-Y+d}`u8T%4f8!g!^p(o`HK(rWy>-t^&)&NGM{hgp$veLB!vp)z{76sy zcrn-C_r=M}&p*(;_K&i1eVKY09%hE~J36y{o#WUaD&)tRW-681c!kZYcit)2vbUA} z={;;_l|o-W|KY-f^pLSq$+NxlTX@FVq|TQ;$19lqm2ihSif_EHms4=0XZ-zjPv1?k zLAf$~b^HDGHx=%js_E`qzh~8#dHiJ`n}_{Q`gGGO8#(>%TsG0NjW*BDPeotY-zj}N zb(g6p(N^9)T|Lc6v9fqy8t1a5rLwyf-uM(QyVp~@A)kBErN)|+xxB5-#e?!H6By<B zP=!Gn0(H(&to7uuU>vV)-aI~4C>4-u&l71W>>k#yX!P}wer}0V|DdThN&t1YSipJz zqRa5`&wj?aHk6U-q<3P&2A{lfob!h&BdJa*70#9GEnyAVgUOUk^zGljADxV_Ar_rr zukbB&!p2-F9ITIzppz+%z!{$40K2EySdC6(YO@}|W?H&U_e)<|&`El(Oe()cT&WGa z?Bh_Dnr>w79qxO9hBJjus6|I76BDPNilswm&#m;8Qk|&vQ>GJ6mx2Y#KT#*_XKx2` z{q-83AMabk9^s?dZoLYoQk^hKrAh212PktvC*jHH2%Svz9IA~Bb9~a&_|yc4BchX@ zByDd8*}<)IwDhj&m7|BTFy!n=4Qp*U$<EXC;q1~o@iVMA*{QCU0024}QMbJjTgvu^ zky@=%*|_nJJBF|A?S0qOSHFt-#-;Bmbb{4eA37NsQJstnC-LQ<3IdMf8<G6}srCeh zAxDl3KQ%l7T?*LDd5#AwPfpcFD(Iv>wfRjKRn9|MDNnEBYhTRf>-JO)i$nN8C+wde z*%`D0H2`S$owgC1MAgZS<JY}e`Nd9amzs=H1J#MrgVX#ldPOG_J3We)#b18INPGW& zrKI@#xdKO(&l6#4L}S*kS3HGGCt0XcuhhLxj<m<8Mh;DlaGK~8EKf|epUU+<@x*f7 z6E8hIJ+;G6YVNBr^ktA?U8MGv0K8bs^+XsCK=kIPY1(*dFVWVqi(T-_?#7LG-r2sE z{yDyJ;|NB8=!CILbwbleC&PXm85vidaKa`XW`BwP(px`rgi{=KX*R;x_0&^`4kdHh z*!3HA;@Lze!`e>{A`|w{qZ1me*Gb<-f7+z<9n;C`Z)Rf`BW&7FXesD~!RbVuz_E^B znNB$S6UftdUIn!gjY1_^8q|OZ6&Ij7>FXQcUq8Yw`;i={T}@4G-dvk1Okua!(;2(y zB{g|+_=P^w&t<6bW7ir_;MeISwZ)TBeQM?*weiD_kh^q=i1+T6)E1C40o4}IPz+z; z!yRoVW$-rDr1+PE*b?eFj>7tIOSAtk3NwXG>T-{xbjYWmc9YQc@gho>Wmc4?32w>< zHiK2-qFd?%?;GUZK#j10K9LEJ%1YQvcI+tCu5vB6ZeJ&Ek&R`zmT;8e`gkJ;zNlrv zE4G!gVE3qYiUn@CARQ_nwao4Cj5|Pdwc}DJwZW_!Z@(CFj-%Ew?3~jG9StL!EZ>41 zB<DC9wdo{3ZgtD?b=RUjZ1FaI+|;JFd&D^{A6a?V+v*W4sx2O}6m0u0)SKYj^^v3A z4BLoNMpj_|cozco=QR3sYyg+U7kC@Ma-`=rrZ$91m**^;X{fe_v2^Sfw~FItOjS)J zkoa>L!OPBRRQ{AcE@D=D%Jlq3&TAY{TScC&a675?gQU&q*^kj9i}LvRE!aM)og(o! zc)X6?Bj+dT`Ha+E?H6_MPk9intA@QSv}~0P_Kn^KGpj3g!;bM(tt0k{t9qc5oo$JX zGJMWuJb)b}>D<nSscom8<EVCx5%*@k?pl-wzQ#b`#<)h8ehO_?SG~MbEy-c}w@zkU zSwOKKV-1s2Yqj<(tgm#*!kj-W-ab(SehA3b>S|@f5o|Ti9mIa>RMu%C8{54l(s}GX zZ1$aW5<N#xuLcg~%(+-=VWWIJ<of1`U^MXD?R1{Q4U9@m{<<C+-^Zs24l-BJ|NWoH zZ!h>eaVNT3I|XkZMQvW4?vocTny%<TI#2n~6wGsaWjcPPxcQFkJjLt51|m=2&u^ZN zPeX7RX!F5U%$cA7{V$|ErG)%7cm3g`>cLbTy1l&xOqaY)rgBH}Z5DmKoSi$)su7Au zj>r*?$?k2xlJBKjjMgP=L~D9#;1N!}ZnuBQ0d`XpOfSQ|hsJA%3p(MPssfltC*)V1 zJSF?uJ@tC&FzYkm(1#AUkJm}cVmgAO6GiIV&jo|_OecI0<6x?jMfR|=;%+YkU-Mt% zJEjxXqQ6-u!$*1#Y4No--``+@;5cKXO&cujQ=KTIzJ*}xcbrZL9E5(R6R?!0^xB?n z(yr1*L6g=sz!%`<c*tFU<QEz<KGMD(owRAqYxrVAGBLH}7hWfvF+Dt$>I7Y>H7e~r z2+-m9)KtOi#INYi9Dcd|%a_}SrY1-j=b&qrUhSubhfmdd%>M#)_a6CWl#5vtwR?hq z_0))#dno61LLEAgehWfFR(f}8WqNz}Gs}EyzM~VWj7|<79OjVe1?kI4f4t!Tb$ZEk z5?-%oeKTL5_}5_}bC1`Fexu-p7gQ(v&`FBYagQGH;f&rPIaq`KlDuDcp&#ONFQlb? zUv=W`7`311n&As4luFL)bN;y`r!=AypKp!yqLWhos2{tA1)b<BpO%YGkeJtrAGNrn z8)k*gAkvmI)3NJNt&Slr_SL;p+?hPpJA5klGa|nz>Gvm8CsTK}PdW*ma5}v*b4b7U z@JeT0qcJ*+qKEN$hV|a7+WQ_1dV6=G6QVaHe(X|P(Nrgk$F5T^f0c}1Ept_|4hj(a z%{uY)6y1+qBO_XKLg<9iD}~I>AE%S=kNbIXNt&N~M%9VhgY!pYXx9#=W7m<x#K;#8 zXS5cag2fwjRSKi(hDm1o$Ic<9xqyd^RdqR|Fm-oj@nIT{PR`u>GWV}u6~09C7fs<3 z3R2gXhb4}>Y%j{ff0enp&}y{&Z6}<%U7uF|j@bl8k7nuEH-gQ1j;wWF%=|&--kZ5T zFLnHM8t%~U`uh{`bqRAEWcb;+ir7|OZndoJ97yW!WtKdY(c%0@vIm^c=;J%~i=Fcs z<s)ewIG-`a`$(2=Ik}F5&l(N-^BH5@__JnG!}qTh?>^88r*7`A)A-K$jB3j_Nj_`? zeZG#{N%vu;3msj{bk0>|3$71)dq~H+13N`gxP02EL%es@Hc`XaB$lO#hw?m~vvD1G z*ed$?%Slt4N6L^}J+7Np`$6*j&J}6Aw=Yy4uxkWMX?E~a8n<ffVj$fSPS0m_dN!mX z7=Aa+?|IQMc8NHW**R)h%XQc<rt!!|)5F=%ni}PI>>LS~q3_(Haa-}gj50TMPP|$l z(Q{(-LO9D!*zgWZqn%#oWk~%%B+Fz5H+?f^K^qDQIxoH0zn8M2`gg=e<eXQr>bk7q z-Oj~|#j8Ky0qewCAJllKaZ<R*JN{yo2-NiTs`KyjoR23?V>>{-($X}-WwG;_i}PCb zcE^%apMl~~7HLwK%BID*g?J4c6~i$Ivnno*OH+vn)Rzj4JEPD_IGW;dt>t(#SoME4 z!>W2*zhMCc%@<bml~I~!q0+VR5>l;?)vSn_tt}}Qu^P{BQb?<6vIpW%mz<DO!Q)tU zL%jnrT@u+4WR0(K)t~x(%+jT)Kh!vHTgZeJ>d$J}<5-VtrIzN>PzouXG&WbyBCBHg zQ)-&*QBn-`<}xIoj^D=^AGtkEQn4=Jt4?fIEsal79Bnx%j%qB0PB-x(@;T>y8JhQ7 ze_eD@axM!WYi4$#&H3Wk=#ruEs@VIKtFH-Y>PZ|Ixb#<UJpGMY+Gu?Xk)gRXsdIH2 z9k{^YbaJcr7_8oY%<p~hHb>D(x|m24+2+*2O1Vlyt`q6q%5k9+0-bDgsVvjr*fFGT zN~DIomPCkYs_WEKu}E_s+v-lb*9Lur1k9!Gm>ndT`t=%DH8dEGGPfDfG*16C>=CnY zCl7C;JCzOtG6cp`$H!d;xQYjuz^XggFGja>_&8M+tuN4e*z~9I=?@;LA$}8Z3B+(G zt9<Am0llt#Mz44StM|FyFZnc0g3J{lnDhARO|j<mF;P%D7VDE>57&qxO^eY#{Rh0) z`(7RDM0YR|hf>$J(8|&*6kO(i4JXZMMEKXDg3ym7R!WWt&x4m0VzNdf%KTEPgXzII zb(~W0pFZ`Sx|66$3|ef&!Hwk&X=aBL#V(EtHWV9oOp+D1sTc)CfTqL9!A*MJlwiTJ zlS-@@qtsf)*OF@rMfQt2LZmI_qA0rGL!%bJGQSw9GS@0S1wUmlHl;Pgar_p*W<F!^ z@KeX>Lks}60i!;>YDmez^s&DZSjI;;NH<ln9?uuzcM&bjZ|N&{@&mkM_Z;=J4@ijy zWXnK>>$Lw_Ud(%GX<O$kLE;I68by61UL7&YL+K6lMYaS4yJ{pF(nm*ikAP)^mFON% zP_^rx%~cZY5&P;hUT|}|GbqA%i}Y;B<8xo4kH5t7z%YaPQEjh&IMA-tJ)NhwCex84 zucDlC6;HyFK7Nih2E=qkbiQ-arPXe)Mn~&ocP#PrBu9Uu3D)sLmZCis^(_XA2&e`{ zBsJZQ0kVa3UqlInf_ZjjDhcI5jq4ac*y1UnGK#?Ql2gb662_B_D9QWnSD=K*O$7ju zPfO`Q7K_|HrF463Klf;eoTAv*#Zyvt-o;&8W44L19g(d<Cf+RhcvOtf)=1VTRc5X0 zl+T!_s_P+c5^EqJ&E?iWgC$ls-#L@%MbjH~vaO_H!J-mI9dTmmIdFjhEPcVCcrz;b zG7{Kg<<cRl2j(p|SaIx6u-+P>X&y)Wd&a5)18lsgNwb!J*kN_RfiatH7u(NlTsMfu zs_eRAnM4XhaOJRgvlLs@?TQM<U(*Yy$k=E%4yqi0Fh_Nv-X9vOgM6$^MqM)0jmD*l zd~4!@-^CApLCy#VrfFBLYS0Wpj@j4UZLIFN-~ki$3}l54)9jQOkjM(G8!b)((rjpv zI{P*OiqysxkKt!`x(o_8d3BX6wc|_Y(2})K;y4MJ<ho88g;S!uneOga)5=F%I`mMc zM9pSm24cM73}pqaP2y-N0oS16Xtn?pJxpgVX_CMyfX_q7X5o-1?H@c;pr-w%HtBZJ zTwIyv;p-NkK}8Vp^2umgTaYrK%fJ<`J87Mwk}DH(euk;jWF75v5-k};C98<>o@1_$ z@Cvb{48e}mWh-rLv@0?Z)pE4T0JKqrC4rIMv@9bv*~-2~ljug706h$Z;3fq-FzAeS z)NW)iv`T~-IDA%afme;wpE%FF`Z9=cjpvXH9$&K%$7!)zc^dd!!sa?%M{Q;!s^a81 z9R-m&3zkB_52Fy}2p`pgsh}o0UST4|<Qfq@%l4*er=Lv+%M#%s(!xmBjEXj`uEZ93 z&U-KR%>x!+7jr^`o!3yIb11<XtOl_Ay-dYOm=0Q0Z@)M;Pq^q3Tbr@G9?(?|E4M)$ z5!d2kB2ajypb?(;z^cz*)B_K&;|#n}DUa3=w)W=+B<Hb|*}CG8W{1-HASie+n&0C< z09G0pPFh9w;6N!_iljhg^>{FBJCzsbmH4C>1|#}_5otilN;90-_-YRlxCNht&zQY9 z=M?Aw^I-SOi>0Q%BS3gz9J$Bk!}DT74C#z^ZiqN7a#H(`ZyF3qWF=~pAD&<pyIB=1 zGrKYokDg@IrF9w{1;;OPahU;sPW#He;wE*gwDB6CFQxW?!GRn*y49i_lMn63AQ(N8 zft`8O2kfD|YN6RXd7p}LXoj^wDG+#pd1ce`^E4Hi>Vs4z!bGkqaTm8og&yfEJEGvp zn#m!v!i_R0+j$4-*-vW*#im70ei8#jMWaSCRyKi=t}+GOZ_pOhz@%xxsRACxT+20u zF+-+gzPnD)q>Tk%C>1;7hYU5$(B7}Sqtal>Odu_}rO#m6rW57W(XPvbcnIc`c-ffb zcg>#^F+}B~ig<N2n}vCi@)oJlW2TeH3He56+?5pDVju9lgiZjo45JDBO5`j(Ga`~k zbQip+yfCqq=_Wh<B0E+KY*N)JH?r^)(J^(Fc-@zXh_o|AXRI2K+Hz)lO>nkY(=R4O zrsZ57!#s8@SY6wAfLRZy&S>?y0NJ%8Cufr+@7ai$S`9KWIwq!|x?7%%j@6?ms98F; z&#Y~4vz}>@EVrLiL`<qK6<ehRm<xU0cs8bo>!_p9fKRP5)n#17ofLUoB~W6hV-Nix zWYy3YglAPqer2{bHmA5k>%y{+H*>m`aUh(e-3E=8O@eyKv{dCxo6YsKBF0rU;vzR= zI9F~99MnO#N&&m5tvYB#(Twpy%5-=xl^K*%<EjjqL0;84`0R;YIqcHj^eoe3rPSQp z2;nSj#nX+sxLhqU0siciv#@QH0xyrlR<qf#E!j?$)}!L4lc0qa2j$rTH`$QAPLdXD zWi#E9h84tW{J>%<R5=!zQ;!do{$J|e2fnW2I`BXDzWbi99uMhBk&OXkj~<GV9WOi( z6q-^rQXY;%*;EkAxGcqjr3p*2sgshJQfiMLvUvzX1#t*vyQ$35hPVyTENS`0{SiTF znx@`0+vZPqw-Ca1`)Tv*5*JL9C2ZdBduHx^l5CT7``i8O=Xa!cXXebAGiT<^zkBbT zI}VRl$HrPe?dU%Dhh&_N$1gr?One#k9a`F!v=+*+7il?Kl;sY9-<fW{X<AziSG8i= zz%s$W2m)BDOVPwQG{Rko@i>36T)nP_@R%!Nl}<_dx`{SiVK}R>PuwfCS|Z!DzZ)j; zC?n76g6!u^!pIGfO?*Xksi8h<F-v8u#%K_miTU_Eo$M8mAt`Fjpdo&W%x@&EX)0P@ zIvXkCYLks<M(<+139*rT)2T66U>q*Y$xM<ItLM##zLIAd;Rf!c&py_iuziuxXWcXd zn5mJvZ_m|@s-scaO_XI|qCW3TuRmZth@|C|-iWezi8PdmRVP5~a7z`3RaEn`eum?f zx(F(mR$uZ~Dyf)8l@wzfE!iMfL}os{6r0tF11a_C)Y5}lkG;XVLT+F$<@YqVG+(1r z9u8@(|058<vgC3I5~7I4OzZ}aNw9-rIW2>ah&;l#0=~k+Z=3<OkK?5)%+Qe=H0f_w zS)kjK+>GY(_`F5iNX9_Koyl+Fa=V{I16x`ZaqmVOmj7Ag9B}#sE|uvaK4aqrNo5ST z5xzxbh74cNa6B)jhEn4q-9SB4U0LAp#-<o2XKI=l4r6pYpI%m<h1AllQC8YOWLPWD z$J2D%0=uRexB3`PVt1Lmqc)4ZSnVOx?ar(?)=X*~XE?(-uvv0V9n~D4@229@;wc%L zcga_nDh5mOAk_#|<v+POsopu}7Glc1aX}u8U#abo<~wZlGkk|^7C{)5QTJtX*}U2R zb{%vWp&fJkLfmi11}qga4$dgMMbJ9|hI$ZAyN6wj@xB)IYDhKipWDIE<V3oMUwdN0 z5qyU3YlEQBLqP@Osz>Q#+_%Wal1<a7r8Z-lT^xFk_RExbDon9Uz^T8++M!fOLdP`7 zodA3LJxOfLI77s0z8Y?1v(5#puTSQQV)HpORUAF*5|zMMC&DkHKHLWB|2Urp!C1e~ zUYv@B6?b;J3}8$<wqh8s5(5W`DjZ?AaUptfEoB-?ueOp5RV}x0F{ZR+z$s1re3oX& z7%?-f1ewAd=;pMPwOo>vw1)ZSfOdVd5>h$v&OeTmX-`DB19ML1lPu&Sar^P(E{BNf zhOcf=p@3B3AoXYP$7F`k8jFZs+%&3hDWJ1yMV8GPPfMKRHxno4YjWh%<8>Lr6i=9% zboiG--Ecd;Fa^r&h1yhmPbOcMZw*k_$@oIjtNTOJr=)*sf$bNUYkrKOXWB7&Kvu72 zSq8l`(BJ1SABdl`6FS8Y&}=rWw1Qb9OI0~77Z0Wx#9G!d0#n>ks6Ajkn&Zg@BaRN_ z<3%<o4RNgCsFJDdQfo+BR6bUwrw0|IV=Fb4&@QE+eg(!it`6CqR&0*;aeA3Wd(^4t z(?QbAT?oWc%dl*!`e!37Z)2w>lVvHf!jv(-l`hpeD4DlgYO3#T<_Y_T4_hP&oG&wu zePBhp77rP_Y-A7=$$TG_0fJfpz-l6O`SXwtA+kH|&;7`2%}d<$eii<;s)1n0Kv^A} z@ulioNo%KG;IzZQ7(e}pdpqvhjXpRT^SD7I8b#=W)VvUGx;L^jLrh^=)*)YiotvW5 zC1IefU<8~-Gt+m*7u_G3PbB?A$zD4cP0QfPWkI#+cyigp?5J_;;{_L_uhIKp8keR9 zE@CK0I!qKtwWo9-;tN6uyc}o&+Mh<PM^k}XAL7YkixZ#c8c8JKKHo@h(eOzm<*t;z z6B;GfDiekobwYCWdN%6fsW9%O8z$<E$V!rEZ!`oL`aEJuQs#CjtdfaiB%)KPcfxX+ zr;cZg>l%_x+Bc#i4?F7Bd%766Kj36&wL!y99F)jOGidcPfSkrU2$l>t;42Z2N~oeC zIdyD&U*&vS7zaBWB{&WcYK(~;L*r&-jVmtbFo(Rz%``#4Q7kd!SFEYfgV;O5B-DB+ z5k;R*64#2S46|G!v(;{j7ExI+f<)<$hY3&<c~s({b&e`?-nGG1KfjqIxGu4C5Pr$i z#WLe*bNU#X4qZks3Wy4G53v|=ng546RhcO1eP95q4&wmon2q75cvW}}w>y3yZQ`H8 zuV7r7#$&h}DLKT^5c!X*6#naKR+1KJxf7E+eYZ9~)zXV25bDK}$_jll(bkj!2q4tI zVrd=$vbr=`&^taZ+%D(nyvp<N4{@E3Gm+MrUo=xrZh)^aPDd7_u~pn6Pgkl@JP%#U zM;<nM`mS0horYt^I;E#hX2d#`e}-1(v;|QoJ|??3$TJ{6>B#GItWc-4eyeq>X>%}) z(JYQM0bgeMHT4*&449%Yd%$Gc_d&Z?oAzj;NsUtKg<d<v*yfC4qiI7U);VoCI1O^4 zPY4tYmK4G0n}B7qYq>O5$!H&*Qhgbb&Ls-r>b=f~0=-8pyUa_xgS3eQ>loT*e8Ua{ zp+hH3K{O}2`xRu#Q^;|je?&P384MzhH%CD>O@1nxILS%ZnG}}%BHm5uyheJXn7HIN zOMEJ;PB&YwvQdL46&UOxB@NZf>a+wM*<;eEL@b)mXG^&3t+9GWt5K7uAlB-;0micl z64X~O*bl@7Dz<P^|NOS8-ZcGy5m)9LaM3`Nj@Qk-g;IawjBJWG$y~pK^Tf0LH=C%q z{``BVdUuK3Rw(qwWk34*EIXHUUcD|lgB`1*RddVR)?B`j7Oq&2PBux6DZf%3ODokz zzwBarVOd}9u*>7(Xx{jAS~#br8mEu|qA}4rQ_)K14@rQe>7AvQEezLUsJ(!6*HKO? zJTg4aAXT&ho+Rx0Yq>$&`I#me<ZVj2V(NUEy|dUo{Y)gyu4<(7r8A=fD`}z+9YK7q zPThjIWT^fyx-)NO&=ptUX{l*RKV6PFG>kz`g>%h>tEO?@<@x{RCGwh{2jlqa%<4_$ z`=ix;{gnqk*GQzXX7hZ!w`#Y*=`C}A-Mx3OjFsLp{zT%8t)!QK`M%HD%jBY#lkW8P zXvn4Ujql`>!Ea^O!E$+9D}C@G6kBKwH6P*Q(I<r*7q3m3;dOOIq_Lx~ZpV32QmF43 zk0;*EC$N&0>E)L)IZYT_))7&xNrua-=czkB%(Fmv{T;mM(CNCk@_{wCh*_X{8>0O` z38vjf*+*?9et#W^4!ky7KFZUvuTN7C^b_}AUDG)?y=Mv|K{q{Jc>ixaJ3DmWXV3YY zul?n0`qjJMcH<Kdw}!s<nVx^aIgEFH<FViR%Kj(r>iX(u*S~+yk-PT)!LOWo{WNuZ z`<}w}(=)i!adY0??rz_^_nx2s@KfvFecDsMF<U<WldZ!2<*AR}lddYusxlUWY252% zrb(|tCke%fr;)~~kUP)cwf2`U*!y4h?{^DN@BiAzcU^MY3qP}F>nRsM_|)bt7j3@w zqfh?n3%7mv8*3@)hu(PV2Y+?$t=I0p@$(PQ4t@2WxBbgMdGPfeH~s1_-Sf%&?-}~D z&;Hhb`|$_g_{Pe<Kl#WHF24B}*~s_QzkdC@yLN2beb?Lm^A|3;x^#M{QZmn7FFq{t zhj$mwxc0WbU%cvH{NnCwe*Wlf+ppTSyW8A$&4rg=^UHUye)lz-w!M4hHM<_{e$RpK zFTQ=-!z<rCa_;^Y7i8N0<{kaz@>~g9>!1D9+S{rdo|~*xOgX;YRHB)rDOB#pesr>T z?{PXg+7%x~eJiL0dTGi1S7|!BNpUJdkx{hbGh6y+`GiT&Ute*<ti0#sb8hOtAs%|q z$t$KVxMB7)r}X^Fw+AL}@4E7&Prv`V9d|u4*>#pFl3$^?V#>RP6>cz@W^3*p`*vOY zo2O26Z~Xjh`H7XTu&R9Xd(jEQUw7ra{+KWLCFl^H+@1)o*NLy0oj2dM{(ZMS_Qe;! z<>sGjed8P3&VKvfkFL9VRrgcB`++UV)-}6-|J#4H>!){P7u5UQ8;`#5S7-e6IlFIs z;;XY8wm$l?fBD}&^@H1X{ocquN0WOt-2P8C{(kzo+YWE&KINDH;>SMva~~Sn^~SHZ z5ANEwe)qwHzxQbQ>P>GuRwuI$e^oa8!QIiiT^~N}7ryts|GxW@Z+`FA_y5w)cXzMd zm5i+Zr#sjD*axp3nb_VxzN>5|&pzuXuD<x8jsNT?PyN>Xf-_J5=BJCQ6P_{cesJxr zjrGsG<>bo7HSyQXKPk-6-kQ_X?|1vkviFYTbkdPScTS!N*rdw?p{E-=D{7f@0sA_2 zmnz#dhhJr@W?No4mutOV+gW1^ux@P5>>)+0XV>1Xe7Wv!mnHZW&z@v|Reykmh2ZKL zhD$)tH5(@EcXo1R=eWjl!Vi3lMIWO({N=RYDe4(Q0h9(CGQwwEc%iyIy}j!)TDF&l z(}jB5S6ziMOQQRFJ*O;j?&w(=>|h<{+{JSH$ji0*gqjLghbxa_=L6`ui_A{-;aBVR z*I(vbN@Q#R>K?!vGv`xeKd<`zUi=A0OJScpPzBU|Q+N}dRN5CDIplRBhuW_nxu90l z^BJ{HRX1$Me&gIa{`y1T<usA+zxrw$YsF=GsNR&^yTPyVo(J27m(luwp3Sxh7*J6w z48k3qXv)e+rV~!-9Ofh$)k$%Tql4O~pUxiQq-W&x(=h|w4XxJ+_IIiiwJn8H&vrdE zh4W$1$;y?FK3Y_3yzG3Z#hj___V(?ZjyKG?i`x$!c;gM#$rrv*tzr#_P7WRF86Huc zj0_K>lLqHfV%g{8kk#)l?=TBCcRo0A1f87gb<(c-hS{My&hsWZNjP{>YoW0d6};ZQ zU?-LhZ@eM)JLu&5-*5jwb@KY_b##IW+V{1p|A9Ig(P|FuXSpnThT$S$xz{cZhjW5d zFM76**U4GyaMk*ECpt+k<ayVA1ob)@zEE9`=R_xMEFabFd0tyl&<R`mv5w?SK&<D! z2waFx4jx=mZ&~(ws!ZYVq4vnmgXpBrQLjDQ>m8l^?ceITi&)Z85~3Q14i(V}M+12q z%@dc6b1%J4XaWBacypcfsHFvxQNSL?E-F$p%=$?fyGBN8JJl>uqbT`OP^^*zWuG$W z;W5SfFw59wuzi`l!y^~!*rn2iKa`;9ip6zsC>GiBt~ror|G#1EQhzb@U_W+cI>ExX zqZ904Iq!00HzwHV<O^R|x$;8BE^JPhNuhx-c0t`ZSQZ_-IGwWog}-Q59_7?WnV-k3 zNp(_}BklUc%OpU;eC#^n_sj>D7^{2GVV_>o8M^}Z_$!ZJv{Dk|&m@#&jK^l&t*#$i zv;|yd69|5g_!_Ra5yaJ(7<pLJ<#_L2Pdw`=<$sa7jH0-L%MCQ3wtd{vje%#q<?)J6 z8X?S@HP{m7XCxBV^x*qRNB@=nC)I_=c8$}T9nH^Y#3jh9hw6ETv-%eER-T5a4zG*{ zs3ibz><(oW_KVnNrem2bn@OkXlk2EEJ#R6DB|mR53HFiYFM;<B4m#*%4EG|f11%eT z)t>T!a)Xbe9s{=o8@>j%e%RJYL&Itx$mGMFgK#e6UT;UJws}0}j(OJQ@l_vAi4S&# zAztkol}?}wZf>)u{3A*B-3YzrBlVWoNfjGE?tSAM$p_IIHwN3j2I)1dc9UA3_(Rwn zuDKZ-#cHsNB%XAXx*E=4<a_n^=Qfj7>=dz2jDyW&$0l;sjilAQuM0foI&Wi%O=WhD zqw-q{<h{+G!-#DrXGmg0>1A1!rN@r3L|ydzFm1uxGgA0|m3334bid?f;G9PI`m-)q zKhe(yj;v1Az7aW>=BfV40v&7VXcI==e5Cka<B|`nsUwJmt`5<5-eBCp5>NB@|BZaT zq^M<}a{#L*EbsWk%Iv}mS#Oa@=Vu5x#nHzSv#y+-d)680SZ}cmRCZOr?&$4>8)YpN z3Rl4q@ar@z%snr^mQw5z@FQ$&q(&=Zo-iMg`JSGiD0g=w(4VtfU@*r9Dj%<Vi##rQ z>7{IxZNFR#<2V*btWxEtw_sbfgts5-Jnb^1*NLt!ecnFmf0It6?a+ySZSW9RonwMd z)X<Xdaf0=i;<2nB=~118w$T%Lo#<B>R44vi#;DN7!jKw1<tsa}@x$NI$@bmoWJGJf zqZ7W*pgJyGh1C^Z9y!o3I??iv_zag{6faA3VisQHgmCHZK744>>tv+on#%KsmNx38 zIysm}aQ^QhbfV>60-;pPaYS>j_jcy%Mg0G^vjBgEJc`B7tI-`x#pOB)=Nc}Nw&P`m z#LPY7rSIjOMqMMJleEqJtmiT^siTwXI_^*YKo6O0>|{pG%DR0wi!`s3m0whby=RO- zjZWSJn)9)BeHK=7s*_+}*~vI~z@w9mwF3>l2Jz1Jjva^owrBUSY~RjZ$e;J3;R|GY zML+tmm`;lq{uUMv1oRQsbVm*~w(LB-yzOOli)n+8u?v<<)=K}IbW%R3-)V^B$EE!f z%f>E_XzZN-d8}}R`NW0lWW<+}0Yi0?ja^#5^Z{0bJ?fs+HH=Qcb#&4*Lb$H)Ff*O> zj-Zr?(T+5v8s;k#QRMpx;~;C;<003Hs7|E4<0F;d?m1`ocGby&Z0y=j=0PWu2aDU` z)3JLEBLQW2Eox7`Cg|iJHg=UQf<&c(V28-Wu5vM9#TK_?SBTx=C?P%L(7U-UU~VIr zoSX6aR&Y7L(R%va^)`V~#JAr9K69~`4B;ra$tTJ`WqGvI?`{9Ef5b*G)qMX$7Jo<P z?hh_!9c~^cKDmqW?=P}Ae<mb6&JD8W=P`yeCZodn**S^H9hw(upH2}~%X}<kc|=*+ zKT*ESDt%_Rh&?00<HNcAVt;SopW83y^uex?bcHPaTaL{a8#_=jwtrJjpZl%%1o@eP z-mcIw7iKnrdOjnY4aD05Lh0=ciI3m?X+y9R_VnOIpoj1D!l#ECDNh*HmN4Lr#^&cj z#(xshNALQyF)0y`5XMy-!Oc$O_RBLqY8yz{pBJevXC1y5a`|h$XAEh)4J2v3OzLh5 z_;^FGC(P{-)z*?{(-OUFy>ft;ca~f-e$U_nDywl`CNEpgr*mH8Qu{^9>NuBC`QNTG zBM0<Do{!owf_F*}Ke<e?OM#tWJo-B^BumiF`;~PEYY6zHF1&yD6xqe8Yu%t2o=|5v z9DP~BE13?$;ZvS|vn`HMf2{EpS%6YQ3-J`&9<2IBoYFhTPNo3X;`++0_J1nT0AT$U zE}nHB!1WBdE(+iyJC`@Z=LE~+wd(j6B=wx(ZZvotxJA4cU#W9RLg=^@%MhO06Gj2w zNzQB1^Jr8)%qxO;45}!+k<!;eV?x#0JUd>36-xw-M6x-{AiTp5#VS!P`m5&kq>MdG zWfjId6)-krKtmvVvIgY|&mB<BVM1t2Nl8WGa>lK3q!e+yxnxOc?N~gfJTuO?$^)>l zQ<tyB$NGoYhc&UM(nz2%Rw%J}jpKsyha`?mfkv_V>-<6NlLTG?zck+S?@)M8=ThqU zf+xu0{mUDHR?T8F>!eyrWW@!%-U3j&OF{Qod*mV;MKt(<=}0)VG!1^I;e4kBUhWJb zH;T={DW3?ehujshr+zXd&tg=1B?Z_(vAigGXfDM6Bd?uOkUo>cz6mSE`?y7Ul`=F_ z^(}*3ybQ^k#jpV{+g?JkXnaIQ3iu)!@TAcI1s>Nz8*p0usIJ*6Jy&*@goZPd(S!7S z)P<Sn#Fi)gLCjQ>iGy1RJBYMaF$dBJ{X#LUBP%u{o78B3(If?8@bCt{_em8UFAFPC zGBZF3@R#6vgccc`Q+agrlg8^WCD!}(;vs|!ghLdZ)$gemX+mlZ=T)Z^o)b8Hc6o|C z<(s*Ym^@0E6ntF;OsG@!#~3|WLpe2otA%Q1E_p(51Gq0!M2%4jDzT-dChYQmz4hWY zjXT{Ydk+P>0j(6jivfDSb%Y#ze={HqkqXTD(;-rs2c(rXK8_Nr4y}K7)_1KD1!Iz$ zMF88B*jlanj#M>#Y?8Eo?BbadY2&yNc;+>$<;ej;^*Z)MxKJ#p=E2lZAgr|MiSeT; zrK**|88V19Q>Z9vWN;Ph{C2B(4e(mt;KT(7E#UJ|PYw(++VG;J--6Paftk`%H3Ym^ zE5+1TRRmuU$sbQh$>==kD<etV6(TO?M_Pa>eS)Uluv)uX<M0bRpC@=X#wKtpXCNfv zgV&2TqEUC_sD`4b0bj2d?LU#_Hy$_H**2}FMnF=qmNdnX+UKfvjfR-t(XWtco(hrw z+<!Ff%^(y3f6D_m*^)pXDWwv_(^>U;<{_z4EBL)!xM@^P8debug33h2wA}SDt%BeO zj!G=`S{C~d@()aCCs$faN*beuAr+vTeztlw#(|mA9s|@tY>f3~VW-Xw8eL_-gSs(? zNwCGnQr|=9>>(`%B3#-WniBJ{YQh?6%9zYF*=*Pn=JWN$tM=gM7*XyNI~|u{z^3Ms zok22fM%E75*dh~I48TBL?J3hD#@VU`GhnGLV>GH}O!dAwkr|B#D3@knt{Cm(=oF8l z0_3FkRM|@~?FL-0>#~%+VaT^dE^dsAsW!XBk*?~*_{zaBZ@^NURMMET4V;!-b^k^x zgF1|Jq=OkBr*Y0FEt<-pbUC&NO9J&24SKF?OEGOG{YDa#ayU%f6&tBqDb3i%oEr9x zJ=F9$B-Dv-bLm@aiK7eKs$uwC4ykh%j4?9_dy12JC(b9PIC!-C0f=VB(z;>-a7aTM zW>1a*8`Wo?luPlF5Hl*`;~z?d^EJy|oK{y&x{A6cr#7VzR=VaA17oKg0qQUrlM+J- zrK0xf2*g*Z>Q*!%(?g9%x+a?1_S^=;t;j>vlWN22_MA*D>ozJQg(NX-UREWhVhP*G zX@?!ammntgPl%`r_60Kd5$!~vC8I1R(8|4Lo`NP(Emln@@jUvVx}(+XKPih5_U&o{ ztQz=~yn51BX&edWvU)V&rpa_{qmUkoNHJQ_<q#tppj#}R_aQiytB0$2pzes$E`efb z`P7|Q<15z=DC3&eEJ)s=&~lpE5On*N{+eRQ(CUbR0LPU(%K_3HJZ7v!hJzSRJJ9_a zMOdMVaY;Hi&uE~0eSLwYbPsE+oVwm3I->$2TYfqYE2G3`Jg+SV9;F!BkY=vc=Lm~4 zT3*WFvB9I^W#B~W>>yD+AkEC>L{4NiO;p(uR-SB=m4!$^>3jA#Fov`95-1V*X-*kv zEuA7V<R#0IxZ^^tQ5Z*VVi4QF>>0V<1)6lqg5#KWUnCy(J2pvWHC%(Xw3o`MCe|oD zKT8>#p?KDieR5|CwekjxFM7$iveHP>)D--%cyU;uVo#y@^CL>HtCP4@8oC^Bix{;t zh}|F?*OOUIr^G;FxopOGr1XEWiz`kDSsIVSWwj<U4=QPuv2{!mhLs`Eh5<%s<(fAH zP-}C`_<;$D)#H5>Nh7T=CfzxP@tmT;QHBBbx=`nFMj6N>#5Kl|x7Hl(sG>fZDpX<z z0(E6MsLzD3;yO@@#&mqzR)sY#WOhNO(}^Ku0AL(zJEx^vUUJRjCcgFBs+%g(Z7hq3 zekc+~1#OvFkyH)MJ53TdqwEHnB&gGiSii=7rd8x11G1u~_R{1u13y5XrWM$u%TcD4 zeun4<^DrL(mGiMxNY=z~Vpz7?KXj2yZ-A}u56DKR;{6Gy7IL1aqk^iIFmfwQVR-D2 z0j-H#!?2c&GW!njwv35{rJ1J+g;xp>&R>n3uWCmd<e=xwSj~!kR;S9zk;TWsW5iGJ zV+^6JS~WIGFx|XDh4pxiBgR-l%(*bUKrTI3i>(>!*#wAs4BV*ME||SiZV9JXrk|lO zveDEW9B2uTJc1n|d><MbYQiPuMB##~aDPxSJmcVgb-pgmrigQ%Z$pO0Mf;o;J4OG9 z7N#rsv!`vICjEq%Sysd>h%}tU2?~lWb5ogwar#JW$c(9xpq63YCFKP%h}4}Xvux3J zX3%k>pCLyCs4;{?N9Ik+xZMbIJmHw2i%rwOufkqXR~nbwUEC8hd8Uq2CYmO$)!ck6 zhKh+FfjXrqVKk&(b07zW1)&YJQfbY`V?44mG8U6%t=6P!5YtIv_91e<Q_AyB+&qS` zFoieu71J|Vq>$cl^q7~6VrhB6kg`dCFow`_sFGMAT*YRBSU3W_JJzN60^ZclgL8Uk z5>HtW^aqK$F>jQax$|hNpfsxrc|W7x0h`F0bm7vE%Zxm}|8Dt;Y59gFqwq<T0`S#I zDA^Oj^I}0JaaSJ|vR<WS*&8`Cg*YnOj7yq{vo3F5EK@0+vP<_>lPRj!_byjRgacJ0 znJ<@+60tb>!u8Q5%Z4S`7_$LlBCazG05YWv-UKYFr)M&snAK>9sg|~#u5k|f-mV$s zn<H~6+u673IF6WfKbq6DfoDtZn#WeqBrzRqkPln1vzgmH8o^K;ZH9xRHjnEm3u4EN z$elK^a^;|fOsEzoVQu9n9M_cIF~*kIQ98Q&GmpreOHEnksqOgBNWfupvj2cfX1}x` zGL1wuq_U0ELCT%VWn`K}E>R^?4VFdRyu-SPNK1v{IOk%f0gaYq6_*)t)j2ADb!_E> z(e(q*x@1SA4|Aezd{|N9kDm<Nhnh4b3d=SHohD8@9D4?4X7EnzNg}Pg#Eromej1~; zBGp<^?vX*lEsu3*4Q(UBiNP^6$JP09Hx*B@fS$Yk!<@V#(=VZ?e)`K4scI+jZQA&) z+<aIL$RndWX&C2gvHo=LcyWu2$(Kpt9E}+2DMnpM)W;d-<jfl|^h|I$%n>9Oh@+^k z4PGZyzi$^Dn@g{kvSqbuSa#l|^*<JmE5*2Mm}nNw!-r@G78B?s61t1X#aL|V@~or* zqZj0>pdqnxq*}e3VHY8oa(JTKMI`4bQYQ|d%Jw2ZiS|WSU8fgP>wgH?1Z}C#GFUb0 zm^Q73ZnczioQ?m3FsMq=C3Fw)Rq12YxBWL%#j!uZ##gq$uAWn#MpubH6k-=8<K1#! z)%LTa!SNbFu9Ie^F;tB$rL5LWejHU&@7)9|J;z*&&63eLaZYUCMh5+cZV0kvqtzG_ zPwtchOHE6fV<FX1$KS<kOrrdJ3Th}$#9E!i5|6?1T9Uzip4rb@OOY{{BTc$#JgrNd zZnBj=F;9XigRGDx;NVCvh&N%#_N%6=TTfMO80m&1qS0y~ixSSUYkFQQHARFvopba8 zli*Pfo|le6uC)y*crdLwgJ*P2Z90H|d$mN6eq&9GBzA0+%fyD#f=!36Nl&uqpPrs3 zjbxArnvBtor6wgAd!NU$iz6!*K=2~Lb-ja^S(nH~JgJ~&yQU)9aoLpJG5{5$hv;_# zlFK1X974m|MFPZorexfX<6{V(kp&q*M2&#RIh>~Ym%<RW7nSJgR+NM1(j{?|w(o<O zR_d}_?n|bN43`FqM>IWX#Z!JpS2r%xrqUbryVjt#5^O}KAY>xK@@7iOfz{mpv8>YS zGb|6IKbK^lA{z<;Svk^Me86`i>WrC^h_Um=6^Z=Ta<xKgvbIGH0Wp;WXv$SrimaLD zrQN+_F~KpYsZWcSV@j(WLX4auqt$3?!ZglJ#MM$eO2X@)_~B3(ub%H9;Wt#@A3Y;U z|GmXlHMwR>RF8B((MphaNq151<)E=Y)d_+r<A91GeO4~Fy&Q`;H?tB35hbM3yu?ic z{2vF9QO2gglyX?|jf{4Ax`c<Jw<bxYP{o|H;#%|c{w6n=YpQkGq4mJmvLa)m$S`}( zIURaY)H|Xfy~DS}M_qe77b){dOP?`NW3F<Fe(1^>4s{GqjB>#YYY_a^DSa5~fx<;% zWb_>@mH->`@@q(D-<dcKdZ=oBm<E2n6VJNCRD`xTu>ivKDSEz(mKbplJkY!%H0V+^ zkPa}TEF@wnv4uz>4$hLR&HMu}Pf)5>c7IEf7b&}OLjw+XXw2zKR};I|K&WiLAw05k z;F`)}o^px7ZgNTndp<yujK-q`rZfzVJ}&D_5(mrq?3JBT<<O$f_?AX`C4FFZTtkV< zteIHaUL`O{RJXv)IAKfcKOSa6d(I<5x;%ty_$^0T5Ngc12t{fEnu$fsY<WwZXtFi` zk;m7RlMiqp3pRD?@^b{3P?(hwfNId0HQ~<#j51#czsc1po~gUKv0Iz4@gew-n#o~Y zJvm&V7964ysZieQ2}upsfL6IQE;4*&Cdfl*DZn#;3r?9*F9WMPDxoseIRiQs>)%Uo zPCAcwl(<Ion-j`T@pPr93trD8=dA3A^*{CJ;u`OF{u=x=DMC?rZiFu;O_Fz6!a2mu zK)&3HFk6OHNj+x|jrwY5=cnczM}{obR}t%QfUIm$<na5q9cZiJqfT_8#<WldJtXT> zIh&BK<73cN2H=-W)HU82Ejhz=O{ueJM~@ZDaz5ci&eRaU2&B<uj2+0n9JZu!z0oz% zHy*@idS(G1`RNuy<avB*aC0nE{nhb_(^Qa4x%V8DdhZLKPg3c3gRGl}`oz&T$Eb?_ z72L1WHbeVP#RWpHV5+j2?+{XF38ZqRZx9Ryp2V^Cj|W8tS2F)<G9!b|B#dKDnuaj8 zpas)EWBF>6r6DGosw7)B!`V>qwX1JThFCe&r);@*E|S7m8P%)lDmU~m8+~18j&Wuj z4`mD|k8fZlk+^uK5I^UPbFeuvBs1W-$$HS5D7~?}{1zhvSGK+^N2W@$b=%O6i{5^o zbQjW^Dko_szjkVM{kUxTO10%@uSE8fd|)9t`MKJS_|~S?`jGU`4pm}4Fi?)AUUqfx zO@7A$#nWa7#BK%GEmQ_!t<+e)JYIgLx-zYn<@|>lLqlUPoL0VdEWVLuasA8klVePu zXFIvUM#;*JgM}OZ$+pLbxF23QB;0b-%HrgD<?LL6hgI+1vaS3QGn1q+itBxqG<jGz zAZxFt;X0%3YkCT5Z9Y>tOzBM>yJFTC0g|gFef!G0CRRovJT0^6qq^m3-qCEabFuqC zLoSn=vamC<AzrYQWlOdE$d=2~b0YkE(%$%EA`h>wZb350Qva>B!0k=0W756thON~d zM=O_I(yH{zWy#w5Ei$n6BxlE`cC5Z(VV}0)gw;a2dVmK`GlmA9Zhp@+MR6{Xm(JYQ zSFt;+{N*#Ux>>LGCA>xg{!q$|qBn!jN|WWkrCdIL^M)%{{WY_<E4Vv$l*>1BIA`f3 z)k$2EH(vkXUu_-Pbj#W+Z+rdPTQ^+&!uC(?df!>USpWPFuKz)0<fh;M*K42p?SJ-x zqd>jHhls>jS%QeSG6nU+li>?GN17eGj`A;?n!~If~v~kv#IjfB(X^jo)9p`P+Tv ztsBoSee`!L<*kpNRIbj=N-2rv%dN-z(rVvt+$0ZwB3<zo_o8$2_Tl~irn_8-o44O# zD4k4Cuef#1-M6m%t*w)LbaWP(UUlHwk8Zj6+Hawgvu|my`t1+Ad+n|xFU@{_-*n@X zM>otaJU6uJ>$m;#2cP=c-R~axjhANk?HM}d_V2vy*PmFs<}a>&xG;NkX6Wl*&8p&f zCz+u=B(m$lYj4@P>z3U=7}>S!v)BHQKfdXWAN<&DTYqu)?(glo^|oCHZ~HI%s^w^A zZ|Q&h_{Ep}i`_SWbKfKJ<6la*UiGxM!Ti$Rb{o3eZrT2}qpu9T{p(-2Xzkw9)|a~b zqSt0;Z(b=Af2MQ7Qef<QVsqCOr;Izt6Qb!J4(YtPw4wK%toLZ3CHeOEZu-HlQ%>8l zd(Ey_uKmfO>tEP??XA~da^pqMzwq9d<(I#{YVF03{{7$wzx+2JJ>}b%^xXFI*Zlqm zx2~(6a*4UWG`mXs$xX>Vbm9v4d@z0YuK!$qrMm0lwdZU;d#L-vS9T9={q46g<6$ou zN1t%b`F?cr`4`biwCWSliwn-!_4|MK?t4C-G^g*Fa!4IbuXugmuf0C`TQ7XG80)Am z)9b(a!UxL}*Zz09*sI&V_vp8GZ>`<>bN_ty-sigh;E%qxVfN^i8@@hs+wN;$|Jk3v zb@ya#cIF#HS8e^~|Gn}zxAlL0#j|%cpZoaGJ{1sF$5f1BX3$A86K#Lt<y-&TwYThQ zZ@=lO@9+9o-`jq4*RET4{o=0gKY8n}>vwJc`ET#jcD1+I{^r%YetFL|-}%IW@)M<W z>o@lAry=cs_R{aXdh4y-V>@<Lj=u8f>R*5AC)buv+pA;OYvtLaE7FPEPn5N0Kgn&r z9y60e@4Cn<uQB<NoiDesaACu=@TGJP3>vQOv{nw)IlZiBr1}?Z1#Q2~#!gNe6!y8k z!v1-z(jfK|DhJwlmRKhBs!ijue`jU3eR;n5UR!k$2tM_ZP8^;u{>oRBcL#b#u&tZq zv?D1FfNV!+l3~6NS6y)I2-TC$q8yLi+;*1RfvUsl1RlTlmD#F>tsF<eVe0o-&*7RB zdOw2yg0?Z|)M_7YKl#QR;k?G4o{4(JZ-)-~`uud$YeUXa?z;QCAx92O9$B&%SR>v9 zbUXfXo&4S3DJkbOo>yy2WB5Jq;TXHd;leQIG^$Qst`C#Tc9OA2_hn9qvA<BO4fj;u zIP?bf)2X*5Ize~G!&%45v$Q^ao&IQ@^lVq1bX|uX<D2NDr#-1NQpWoqtdmT(b`8&& zdS=SPV~xf`^M&U>qI;IxZPOzUy>jTuN=47z(K??PX*AHCmpjW%b+YroIc!4Ugr$QA zcA}Hl+P&1>kA@TI#Mdk5GoDvFbSTrw&cm<5nbQyJ^b^($Y8Nuu^MfBWFq}KYe(3h3 z*NL{&3^?UU8yLrUBHRh!v79h>m*|9&!e{wemh906LLI12?HzlzD|W?`!xxUU|J`>A znriV6lEOPj+HH<u%(3jM-z}Ue=)=n7M?hPxc;7cXSsYoIZ#2F;e^_;*RllQ0=8wpe zkIe@LBkQ)KlgHHJSEbDPV-ML@olN$8b6CE+ActP_W%v=95{;sd=_kIkQf9`kLr0Ea zSkOKo9I~ZH4xtn69c65l-||hbllBN_1u@!9GIj-dvMiVAq<R9Ca9Gzd0(NRnEp9Xt z8|NjUJeKODN9`TGCGtt{$2<U?oM`MCZXbAT>DcuT)Ct?~HS2O4`^8H+Sgd|{UJmV) z!@VClBEuJ4uw%zLHJKPjC!yK*tlM6%R1O}mlLk8B09ULl<k^Mxp&vDNjk1E*eATwD zn==aYFesL$z=YZxikOLD`=_>jEV{XO3O0e*Ben>0?^=CJn#fqNPt<#>exEM4*}IN& z9re7%4A1;r<#tVSSNVB4uFGuxZt(V%@hZ}{OoCjvWo-YjF>DQB3&?{c@+F_vYMx0d ztouAXE<#e)q-xg~Z_as<7kG`So~H|`2Is14_&Vu-5=UiV33og+JiYY6XSI)=@Oe@O z&u<J@@nd-z33tli&!)tlav&*do%;MoXI5WnkUi94I@Xv-v<&3G9C%9N?FN6ry8p=8 zf@@KP=PTR;D&=*V-Qabc&&Zgq=QG}-_zz_^fw$PbR}-ZC!QN5NAk@VHe77lGbw{w- z!^ZETHMgzD=Fn|Zn?I$`U8TDvxBbKJQO{7!>>%&I#Wt^I{k9pq$E$gcf&C=62iT<E zC{FVg@>{JgI@(#qK2h`5wD7n;x25C*)fXwnbvf@$cH(at;#DnCS(%k;5k4eZX0=1q zJi0{l+@Q9e^?;|0nm12evoi8MYE7;AZmLjLwQEdD_bYuw7Ah+W;Cx55!K_ghcO~SS z|1!{dy?7y`eLiM{>BrAmO4wV`bFJxhSlD61CI!yWCCNL>+lx9v6V~|2;a)j|lT{l# z3;x(a{bI!KFeqhwIj4?spuULbfZAn(_(1VB^1`PdCJZq7-r+;|a_QO>E$|ijj#9LK zb@q^R>O1X0Y^O)mrzgtt7*M}<ptKy{tZM))9|;vNEMBOyn?5EiVE@iE_oIOo+s@$$ z&MBMhVSH|{Eb<+S!_2G9T&!y@T$Ik*Uzm95rIVjO3FGZaZ=3XyI<e$+dYPeL*JQqY zI=gbjU{$TgjjkY;!7yvvr8;3rz$hM01y{n}5pGi|$bf}BC&UdGdJt%M_?-vZ=;UST zEwCc4)u_h;CS=U>hdGd$dD%}LKBK%g^~uf=1oZQP#`uS)o<uKaomJZj?lopYLMy1Z z09)Vt-sL(`{pc_xFMFNr{8a=~jI4fs=T6RAW)@Q0(jw>g7O}?Cexo{}0J{3Es!oQ7 zRVO_?SMi;Q-X-f1B|Q<qp9WQLAMSmgllM56o2h~I)j80%Yp*QV38>-cpFg-vC+&8t zb+CL$E#{HpFrS#{{X5~jz;<=J>g{-)Y@Zw{db>Vi`D?Em-j2N*^%LOvk?n1-6D$Rf zQ1-(;kD-$`{p4d_C$C}-spXf#2s&x(JglwfggQYbN9r8L7;2F7s2|JoSakU|h}Y>K zeK*IwiB5=ae@AI{-A_Yk%K|O&0ZxS6tu}FL>sZ8M@j`xlprD;lK0X=&gzNByy=R~= zbh3N*K`r%<0FDt=ozxFM|NP-HHkAjtFKaZ`jc~GS`;}LQM?%d>n=jAUBS#L2*U5p< zPq5w7j9Ujqb)sX%^LnbJ*U4~|mNH4pJ_d$at1j&)!YH+L0rq@lJA=mvSjHvBuEUd$ zF?JmaI%&&+S0~Z6j$Mb&p!-0hC!9)IKkg99>NU-ZnX&7LEY-=0#x5)k^?Z7H_Gm8V z33XyLw%6-qI_Sg^ewx$OM<``)(8(}5!OpO)V;8cpC72Voy-g~gwCiPD>n(7$_Q3<; z-dH)lDV(Wik4{V!o}WC-Yq|Cp>0@$;Z%V-Z6}7j{%835`*cEgFH}Kps=skjt5;}HK zClrs4LaZOVCMUmhoKDK4#70S69#umDw!0I?7O-Bn9{(0UX+?=-;XezmtjyedGyEqq z_uS0w&0K8%QXSFvgfQCj`Sn+`aF#BdY3OYbL%z3%_!8;89RDE;|3((h@Ypkk_&Y?p zN6MEGh*srkJ{#hcCe<=#_Kh7o#ysuskb{RH8<Vj0!7R^??D;v3{#-_6U9@NP`Dz(> zOQH6T)Fovm44(*l#&^I_=|kCb7dp_yLyd>oKZ8#jW=*C_>;_vrmvSMT+ZoDN)(5&S z@IZbZVyyO!Kz=r%WbwIOqx?;X_x6Y_9!uE}#=g-s$j_-=r1N%;MwcBOo5R2LcoEJr zRQ|c$q}n<9aAq6m&v^vVk&`@DBfAoD#-!){QZ6HQh?~J*{{eWAx3^<dH{%)qHjy4e z9!MMN1X+FEz*nQyc2ZyIVuz@1ut&tzG8*vB&rr53yqU5Q_H_BVklvn=_}s<jGsKUt zSN0PBns@vq*UvFabbW&EMY5hMTzRVH*V7JMM(>j^`t5>GM0^fPkZ8I*1&=7;Y&>_{ zu&MPdz{vusGqAyhv9%<|&7frN_EWrdFtu3{N95W+oKljC(W~uT)N=yJO1;SU1T@P~ zbb)^Wlzs?}=Cve0cPwcpQs;&x4mB52=}Qz3frID8W7sj}$X+U%m_%F^&yJ3g^|V=b zNlcLUA>wP=>!K8RkCFDB8C9e-nqGfn`~b_3`@MJv?kK*gIv$zQtYWMCqLjk$x&<HU z*sD~xY<aj6zhb1&fC68VKu2DK1*@gf*z8RuZ!CFDoKQSV@*KEd3>-ZdO`63hUU4CH zpz#QBA!IYkH*@leR1PaBr_X^zAqOg98Ti<M;T0KGsE_D!@Wh#9#s#c8CV11}<f3aR z^QY{^3z2%$7$A3ZyzfSIVG|H7UK?ZM1%o9b<@`KJ>~MUfXH~HT^{3#LaIf&}Q(=_f z612yi_~MplniKir=Yb#UF3H9Q!mMtV72p^ad7|pl3S35$F+q;dDAHvT?PJ@HC)Zs- z`bQrb;9~G5gkU=w1qvVOX;sC!Y*1=D<ErugUqzuEzzrW5<)ix+&IBLJMUlZZ)T+uJ z;}f+9^pso^C()=6g<M#eC?_yd(N-DFLE{xzJdcpi!N)2E_^5{9d5u{`>MpFnpQ}&l zvHlWb7Lib7%tDGq$`kt*Q--2siI2&~9GiF#wHF!9oZ>kuQ27{s3V}z)DY11>l#&#V zOQ?3s4up;Zh%ZG`A?y<4sbMz5Pe-vto`u4Y1AD!7Lg8!pAmG@5MyWLi{g{^uaXoKR zuaoLLDN-vFt1XY!Qocg#_ZlFEbtZzbg0oz@KLiff@)sVMAZCCjB<eGIY4EeY`VBs^ z^H;sN{Ng<lX;8uNb3UX#elc5!@O6OZTt&(d_Ay$O0S1&BUV2r~R^y>Bb30BaKe16& z51FiD44}3VG%a1Q>tRP37kJn#9j;>y6;WxJJCP1!5S7GwcA72;lu>okB8LL|@x-#% zoS2w#g&f$$*sHl%o>48>X-@^4G)d)SQjCf#9o<IJ6K1xrzv@`(z{)(Q<>IsrZTyh$ zixS?-v!9DiE<UH^oAX3iBpjF4oa-Wy_J|4k8TcIBsI#QTw#kF?@~J~GY?xV+z#=e5 zO!^vN$ELPjMNw2{t<Zrz1Gb%dY{^r4mU;_9Naxl_nERO>v`+PFYK$%-hOn2y*&suv zQ|gJ0on(?k2+!VvE2&@NyjpqG7n58;H!>*1+orW@BipnNDn<!ga>R-ylDy)`4mb^1 zNu1^#!(GKe2Nte`$0j0^I+aMbb)+$6iSbKQIBm^}wN^G;nxO@4=e6%9G3cg+=E@;Y zCu4?gXPe?=wAf_Jq{sF@k07IBnodgYU8L6?PS(Was{U9F@hB(tVwxJpB2@7KEY6sE z)f2#a8NHt}Sn5x;VZ5y?jP=npP?dQtD`D7CU-Rr$pP=Ub#F|FQ7zV0Nb9Zhufm*?g zk&f>wQ#SG#*y52(E>U%K3Whv5H<s_%p_#<Ng1VqAz@^4=C9KJ*U|h$ZpJ_!WWrR@E zL`%C#lNo`4%7>mYzuItk+(cY-(v)aw%(|F2+_Q1mgKCLX3FqStGKgCgSJIe%*-J)F zV#vq9V{Bwmo#ipA6%~Usel%e<9!fB7bi`?f)LQ&sB~TJb$V#^^<zSVDFRD8=>yE3v zj-c~@ImL-w=bVKIa9u*#EE%w8O1uC@M(2gml$x?o7=B5jSEp@(U2^QU_3r=(Qz87E zBhE-4bwo*g>50=e0$<0k19+XrECu6918xRC91S%P$E+0`PpDZ^a>xi-<E89+@p7i; zYwfTTPtCRpGOu{@?B|CJq~WTy2U4at>4FbDVnz&};1#UDN{P)_<IGMPiXFFTz4#v= zcSA;;B~ghA0t}3lg(mCbHGq13TWsLru$x+ySO<|Mto0H|G^COe5g^N)#)ib6VIH%T zz;o83!fPPpgE!6-k5*lv_6&S(A)H@JSv=t+HiHAWC!nHKhL$5YzC^syB=h_beAG*C z#cEwSv05z*`Bsd^vxSiMs(v%zYTQ5wpJs<<foWg?wQHM7ptI2)amL|Up!y3=k~tc$ zmSMh0^G@Pb@K^xxn-1gxd@PfJV^gpLNgIe}HNtS<BJ9*lLr2-^m)RH#7dqvP6_RNM zt$BnnSlx<gK{feFZH`vSVW{d)P0Oj9SiLH!B=D57^&Wy_-s4%~Ga*F&-W!i=3eOFW zwnYOC1hMjOI3048LO&<~CXO}Q=}?jrI!&)wf#S<XJxc)LwM=4W-hn5+0iX3<FVI+S zuY~%MWbuyQ#cqO`KvPwVYOv6sBu<it6v0xopZrQ{<7&8_Gc&164H>(Wp^4~SaWkHl zXPVDp*<_TivXPz>bIMee?Oi(i%N_)kndV-jwOUO^Bl2;67?*DA+bAPsukW=GSZT~N zGi0|bh~U@A$a0@J{r*xDduYp+dIBXY)*=?{8B)Y+kZab`z4T7Q9xPY=0VJ|A<<;S= z$9OCkCSZT{l@VfS$H@#{^Z^vK3;3}^LsW2Z9F9!}H5AKOz=gh$`Gd2X<6(_`Q0NFi zlL{$|L-wp^kBWu&lw!y)b~S33CE7Fn>3Ouo7-I^|M;E9p=wi2Hnmvok=k6(HWaL`t zcZ3dc&SjT=U4ouNX3T_A2R}%yYja*5nKW%$_&Y}1ceyzk7?f63-qD=*OXkm!QQ|N> zJYYDF0HY}FY>y!DUq-sa+#m*Ax^@31q64X$S6@6&OfA-LAwVb_%mWL^NQ1$F$^~M4 zs;4Ze>-a?3<$z>_#$%sQV;l<i*-B)sXEjH@8&lU*%2*z9^b=Aedg!IDr9l7*^iOI= zLp~fll4LFnW5*y*%QTRhr}4!sR%SGr2Se3TlPDc+XfoFf`qQQ`z?UK-M|UMc*MUi7 z`;bjW<K$9JT||D{U@p`Et*XDoc43B-q0Mo^*wnw*`FoZmB9dNNwd0n_(KfUNEX;7X zWJoNDOAAUdQ5iH9*Uea4iHl7Tr8;Gb@mSuU96`F0W=>G$Bt>j+#Zjgl$cc|2AQgYo zxwX<X%0##RDnq4rO5{P?k4dHSIhdRDA&8o3Eq&-+DjIYyK1t`48)BWj48!;yYr&To z9jGX0;v}Y)C{L0a94}zZhdG>SsT6Qt3JIOexGAwl&J_Y<Z<W63#By65)1sk`t&%$j zor4#n8x78i8x!|5`O~L}qK?o#c|uW63<C}$QTkkrNLtQ&kripJSVWegCP(ZI5f$}n z+v7fTz>q^TA-8*!6&j#@Hw!i{n<SBD%WnpEuK&{uesv*mnRBc!`gLRfrB0G*ql1aa zpCN&hDHd?C*Kt{AkcZL)$t}^Er;Ivv3zk`FjC)g$Z>p4lRxIjD)SrQM-cz=;Ez92y z)*4lI(h63U6w^tsQLA3`UuW2T#*4w)9>elRWT^c(l0F+b2n<zvnX@b+{C!LDdg<z- zQgnc0^tQ2b&jDVBgYJCLA=ivh>)yVAw%SkbiX>Jy0|0%PEIU0iOdgp6KT}E)2bvWb zL?vUHP^*M3OD{B}DO&{DLy=C!t8Ml2iVC=c{gh2*%%n9%eez9)F_vm+7o(l%@t=Bg z*1xgJvLa2VFmXA}7glDh)|l!#6-P<5GNk%AmkPhNpG~TLrKF<Y+YV7I0kxiw0c2`= zl-8*NwH3gz5<dY%)GftxaMk&`YK=Hci1ts)NX5-L$i`m01CE{n-s|^1Bmk%XU5a8D zp@d$*l3(Wy94Dex>g=`y9tp?ZOb8t!w(}~2(P$ukXEIUUw5f5jfNDs&k9MqgXh59^ zKBms36RC{8>u9BF%E19XVze5DvanjlR-Hs*5x2+03)UGYGc&Xs(c2;bD!OK0i6d&L zg|TM8?ejMSr<o=vaaSu-C5%y#HF3x=&$fL{L^h#(bk|RH)o5ST@VM+F?<6T0+se5s zopbzfr)krOr@}4+SRYeA&c_#>PZo1Nm!qzxBSYA@XO#iJ$i?YGG980{fNwZdb+MyB z1`fxS@Tvz;)jFz`h?+KYr%0ohWkC5Y?|G^VV6AWuC*#JlF1@HzUY|v^dMfwb2Ac=l zZ#f(<ECG78YwB!aoK_8u_<=FzrCxCQ$q^8z4%{GJD;LZ~>Gv@5s=AgoE2lR)hFFHn zC|Y0`FtN3?d@UkVKCIN5$;DICW%B^z2E&X=ATRX$E<LS;{)<$JCIU!z%H!HO4S}j& zcnV`Jkv5&MqcHSft>+br21dinz{&;<+eIWr@nIWyaNKx^wc3yZ+nBi)GFwJuda&x; z3R7x4MZ-Y0F=ZiLHe>6Xx+bL)6V1PZ^;dtf3D$Yll!^gjTEBMR_&O*J9&*ZI+NX7m zea=<ut8EfZ>0Qd!sIGS_*L&U$+7*L*UCE?PF$;cXCjv`<hHs8UgtcKJFmO$E7aEU# zV8LqF7Y>IuRyJu;?(*w`%k{Hs)O=cRT|gBZkq!NnbE>PDGSm^2jilCc`Fia8gL65I zmwsa5jIzgKX<4Zb@ID(-U`b&@6+pEdj0O{{R}VB+buC#a5C6nK5ep)Y7L%zYI6N$M z8h4sBJz`t~MlTf0W5|xKq01>99W<_ZF69TT)k{Yl>MRF`$IIYxF(i>@(;?01{DhJD z^`<>Q)KWU%IVi!Kfl`lK=3dQ6<<Tx7B_+=pbvzEF#hyI}&DVuQi%2*mnSebn21;$R zOF^@V-BQdl|1s1WhwaU>LhD=!D5X`8zu+!S>IiecmDQGpbbMR{x9DY#Ei21ss?x~l zmqsq}8}&O?f#K@CB1U~V1j%$ckRTSLPhhBu2LohPW$=RPNNhulubX(TOiw=n1m-Bp zXk@uM7%}4Fr#2nSSKmf8iVn!tvv7FaG{2D7DVI404o6(30lo1Qu}#R9gHIiJWD!Bu z0z+sT?db|g#RbaX@eS03xFw~_5R_dzWJsV*GcQn{z`Krw5sk~qmUKZEwu?C|q5EoC z25G4c^P)%Ysn+;@3Te^>qq#(h3+xAZd^AqGO+O?PRvIp;-b1S}M$8ZkBd6WkXeR%> zT5}Rx%bkiS&~k<lG?8uA*s<}kIf)<UvE{SZSIMIf7E-RqW{zIDPs|uP89aX@OX_La z=u%g5Us=llw$fq>9T{qPrmN6mye{o$3}lcjrmd)`gRR1fmo{zcpZNqi`eAzSOiAXp zwB$MT<~%{(S=<cw_(&F0OozHVz}tr=0d(RroRSH{EfbYYQ=jnyW2H6o@Z^wlzwYvs zd>(=^f-f&zzqwFtl&k%u;@>gdJ4qZ%G|0$rM!&k%Js@?)ZCj2?ZVmV$ev4V+HImw9 z>Sdvk?G@gROv&_>3-V)IVG8IN23(O?sWJ5Lk^f{aTC=)d0G-&`Qf(iNq8gRryJNZ1 z^ije!^?rl+{G>{DbFe5iWH>38ssqMcdXt^l!PwblOiPlM*2qFt>=ylKLfUUOAUO|P zs`BMeaOc`P-oJlA@6gt`bj@YuGPf!%(>EiwlJM<EM?s=l9?qj%`1F17K2EJ<RX$yt zV=2Nyn<m5Jm4=d)L3<HWYo6+J>Io2hu{)o^_+&?O4~2F}qtI;5713Ui6GHXz#M7Xx zjFAI<5<fL>W!_Rp$X;QIF#~c&VCr=38YMMEkdgyS_Rf!8R1Qt}S`Y(D2KbA5<Y>8k zv^jLjAHDdZ1NQFuotsX&dGGYs|Dfyd|DNNXdEL+qW907jm-XE=IC0y@KJ@zcZe4TR z(G|D8++VT>9zE-cw{NLlvS;l(?v8JG&&Dfz-~ZqxkN4LaV_oNuQcau82j}kFR62Xh zs(-ud){pLZ-;E#r+D(@o{d;-mU;RJz$6M*DyL+%RKDuh?xvho%qv?jLhBlmh$;FqH zPg#5K%U^8%^;a(Z@(W*l;e)^Xd)J)tskLX^w6=TIuWY#M3-{05zWK8c-+Ax(Eh)C{ zyz8w`%%-b~l)u`3>g+Y2`edo^j*WBJ{q4P@<c{1tn|}T5>FK8LA~6M|<fZ97&3K0D zZbll^fl>c~ljfe7mA8EC&a%*+eU;+kySF*JZ-tv)QM{}C;@<Sig>TKv!qfB5+_54q zd7bP_%TxEwPux41+_!6U&&1^W_w8%Kq7b`%X?kC)I8%$0rj%~?{p6JCp5eQ{Fn8^y ziJ$5zy}*$-8-@hA{Lf3FI!SNXo0h($W0#x0<BqTW^RA=S=|6q8{P!P?)4tk%bfS$W z{z&7tzFn0qr+n;}{?m>(F2442J@<VoDZS&-v(9_s$?B>#AMd{Kp0c@WsIU0G-b)_8 z=DaIMqrv@rH(_Jc_h9!eTT9P2c3<=F&%XATcHQ)~Z;u__dE*U#^!_L2TV0>LyYP2X zK62&2b6ZcE`)WM2)oeIz{g1u<<kD$({_z)|y5y7V{``e6e*2R2*Dt%|_bTgdJ?+uP zqg}u9$mjOnx%IPyT7T(Ebh7e%`iXuzarFaVykzYYpFHW5dvBWiL|N{*W5r2N9Gx{^ zZ#{wz5{j!jq43G{jMqsCoe(Bvc7rE(?K^)q?LYa>le|ty;V3$h`(|hN72R~S=cMwB zNxF6PTQ55IwAuWn6(w|nPFI%8FZS=hvigzk2R?k&In^y2_c3<e(cBZwp_8Vp*i(y9 zZmHSjo`~gs*S(?j_G(;v?q8kS{ev|;F+?YtmkSL8uisahyV)K~kL#VJ^XsRKGI;&G z^SC$YWw37dK3|c^iOI<cqI7RG`z<FkDt2B5Z@BhQB&8C+pWg4aCy$fW)+cc8?A2vQ z>TsdKN8miv&-*SL(}fc*I1l6S@bCp$ONJc6vR~Xz)Rj~RivVk{sy?UtgxCS9X9oiD zmC>2K2bb)D)$%Fit`F!1J1pSezS_oq!W}tq;ErF_@Ntl*Q`h^wAWG)>4r4Cmp=ZYt z@7A^O$QRPJ*|w{-RrXt|MQY_5(V?P3y8IgGL%NVtxUQSToHVl?fVS8^@4WUw{(geJ zvy+u!bkZ}y(U7_Wm%E7Vka+IsgnZeBtop=zl}vc~bpNn|%6Bq$2!7lD5?v~BVds$x z!ik0T^Vl~`0UIM&zF}2xK~GfI1z&rCljiE>vc?6Sgy$-Cm9YGlKYR9%lxTRE#|^+k zuiW`tMGh170ljGMOI;t(OVCNXT|+1HgmRtam7EbSP4E33)`4%%uXx0lneEY3`l3!k z^nonVfuIw%5g!M~=A&W$+Uq9Ux+glPFSakd(CcJ3wir9<C)+Wc(~aW(Oc~XQo-Ko7 zy!|3N(ZggWd)nVTfliopX){V6dYPtE;=?;R4D#`F9uq<T-cDoZ$mHbn&kN{t>%%oU z@*R2YwaNPS?LEVlvg%|4-Q@k`<iA|^&7-;>zg>`^lTUy5-+kw?$ClVbYRMFG*9Y{H z>!eLx{!i&7uh3;WndI<J<%$`B>Li>|qq%xmrW5iDd55^{n#-r|lE1c&UHJ&3q_1Ci zp&z?0&&ICpe!S97LEWl9Wa&*6AV()$doX@SEFkof&`<a@1Uk`l>`Bi69St9DaAcUA z1Iv2-yFn*dzV!A^PF`^2T&!GrF}65@Dz|Tkx7Ud##?skC-#6C$#rYTF#XcmAT`R7- z^nL#`W0xME$k;Wp*iQoI&h^;3lJYX0Fn0M$X!q>&?vXHdb#$_1?9zDcCt94GM<<)> zf~{TWOu-QLHh<d!QI%$`;BEI3>=B=2{gyO>J>sfS@YDeKVDp!`W6<*i6g)P4>=JrL z@vQPUUq^mCJ=vVwCxZ9<O=fEt>>&q~pWgFq#vOvs=Fy2@x7d*M!-V@$1WOO56Me4d zp{%k%8!K;r?jbVj&K=|kdE5}}6BF{{$qvucJ81(4@X9OUS62-2=XsYmN6JU-5ILuD z^L3QTV5i8!lDgo@R)#k|Y_QP_`3JgqRmd;)b!>RyeO;^n$w<`I^jg=soXRcO-VL!u z&7XgGTglr1dV9jm<_{8IXV(!{`$NuS^frKONLWqWs?6mXh!1CWj$J%4bNX34&Mch1 zg;jj4bpEVEwe?HZW2d+`vri26lxi=jXBVnHBz*gCsqZ}vxi;S-Nvf`<FK|0Y{^zQ^ zx7172Uzg?UwPommlX?R!c8r;QAu{>1C2w3}E2(MKu2Ef$4{5{ox*0tqvWxQ@r@c<9 zGJTQ8V0ReKsPyM9);9aPx>?U(47Q!Oa318QN^LV`xJBtd^ySRHQO|YM^BaAB*l1?q zU=!NEO5Jc?qrWN5+7>;(QrG-Db}dq<Gk)l}^4>$rpYz9ddI!tBR3-}NoTKB-WY6iR zhx2g@hwCGU4vFPF;NePdPmf=nEo1{333t;=mwr1UmLjt^b7wYAzEj%Cxh9-5?ep;` zDB?3Re7Z;IB`x=IimVSZ#&yukJYAffrgF!+stbRec(r6)3hUM_4gF~Ukubj0c;17p zq37-gYqfs@8V$y3)|hQIB4mWm^H<ly?%-tM^k;?-U2(;3DW1ThQwh&Fqdqb`%o(~F zpW+I1qNnY3baIuii$hqbsZMHVb53*xLsCrv96qe(aAGGLYB$+)j-PcFimz#$0&$(W zMCX);<gu{Y%JTCI?%~C?5laNkZ<22u51&p=%r6v*+Er`i?V)Y3@bHX}yYy2(iKhKu zu9MyZww%C$T4x3CZCDZ0>*P?QLHjK-8hF`Gpc9{5wdg(H{h33<s*}eK9`JR0Y*Ee_ zxnP7fPe&)OeRpJpVsBs6$!orTb&<u3XsMljfK$7@#iQq62s(L3qoM1+$IwapV70nb zCoeA3$#><fAVQ{-!#;GZPMG;N)`m}Szo-4!f)bo8HNKGGN%I>ZA6RzzJwEsSCOYAp z5zAoRG2vyA#|6-d#~r9;eH7WTh=oVc2{#A$i<7gn?Z=8ED_8ou&&n5=Y0CsMoqXr# z4q@86d-r2{$9V#%j9fs!SRA{Kyjs72QG&7^Gj_pIb#l%*2M=ObDW{))rq74-)q5Db z-qG0k>Z@VwQk|&00gmk_S9zTrJ9aHLeOAz6JTUR_=^dNglgqsa%H$4c@lOb+e56`! zAoq$B^ppQ@I+5Xg>_RKc#;!~dt+3&q_H4WMSh1cY$LnPGZcmx%r22Eihg2u@tP|>F zN%8z#z9jtrGw;}Eg23iS$Q|MnCM<<==K6qMV$<>dpZEMImHnTW@P|u~tq9Byr|PI+ zDx=HkBby=q&pV0O>`vI>B3Fz|{1X2t)y6ZrTIWV;L7eyG7mtn?@*u90#Qzkfn?&`` zY5=hQ>Msiw4cI|}SpPdP?|r5dPP|XQ`mD+M_Ykm}gMwaXyick<ejQ36RzyN+8s5z) zwLHPA(I*Ct&uM~xDdt3J@`nf~igO*LO5~;LV1B4aH_8d`0)i+>Y<Uu@uNxYH4-Ztw z@M$0bPoRBR$@HH%AGV&xht+2^BK#{(LorJ%Wv%9gu?4dPboJ#KaIK3C=@qA>qw3+6 ziU%*8XBqhBS03MfMOkFwm{qIEvLnMxR!z){C{M-J6MKe%x6QKfYyvC2D$C&JzU5&} zb!<$)X|eQ?w8kpr?qVolj>Gd>O>c+w$DMOkUqX2Zm5|-Vhd>q+oIuCb#|46L4e=&8 z9_8jjK&LG59b)wm_Mm>}LseM_DETrNeIC%thX3(;77=b_{S}^CCc7G)<PbI`<RNvT z3iD;O6nl(6P#lB&M+ZYG{}IW9h`Jpwl#OeoIICswk)vEQ40v7__^^-ic=hRTlpnVe zO2L(i$I<@~3N*niQvJ@;EWKnHPagJKsPgQzr-^870579M_V@$eNF`OAJL9($hfj;Z z*GrW+`||{&NtPIDP0LRmAE&M_vpOEjH4rU#HU2~p(r8S`Gx+>9NS?I_#k?7GT0|2H z4Ww>BX_41=R&9BU`Dly>MF&7(KHg<?fg$@PbW9Mo0l>#}dh2{fHK?!Oi8nPaJb_iB z(46o`F1AGJKQ2*>ph{}kG+Z9=3!)Mm8<k*49I^Ot)aNhnQ;#D3rK(v(8UT#HF1u(! z6><{w8@&KQ4}o(=mf?Mz(U)N1FMl1jm@07f<k=;Rep;ZAS5Bd!fLVG4mk?q3;U+dn zQMF-D5UvBCXeUyLEN%nOC_inC78jJ$_Nz6koAJjzF&m!Q%ajt8%n<=VmqFt6P5SCQ zXoE-Ng9QQNC^LPei3>*_OY!m@f&@o(U-iryK4Dl(sCQM(BYbfnORLou2==adjMYdU z*m=mE%nj1n5T_X^s9TX!GoNAtsBAfSe~t*u@{lLV`Qt9b=jq?XHQ7k5%1aD_Bui5p zP31RnX+2h}J}ibmFLM}Typ~%KYT2|XfrFw4g^d8TZ0@7j4@|mbD&ce8>0k@YpbM(u zIW2%3T7)h$=+lp5>eZz7Nv07fCTPfKK!TJV?kT76(Ll&jxApf<f#p<{RMn&fNvf&U z_C}-XfZy$=oG7n~=|%Nbr#|lyBl-`A)Zz0K9YSh{7Lg?gR1#nt4hT4E6$DO;E`@+m zz-oDYt!oxbXz>O}_lu>$R|kC1O;HGo*NWqhTogf%aIho20p$lL?Ie+iBNBo*#Hc`u z0H%X?46no7Bz2aA6C}YEV@74bIZ|rUK`>%Vnw^E%nW-2bp?fV6F=K>bSn~<VpIOSy z6K{CTgJ2SX6w>!C@3%hAdksZXPgboS=P$AC_)YG^llya5Vvi!Zm1CiUA?uDm_i3*D z0^Vk>$@o(Todg{Z36??wVU!7MXkt020y8Ffs$uf*WLDJi@wjz<3UP3_<~3k-#FgG) z^~{-c*2@{RqQoJENsY3@3L%TuknYY=z@I>t^G3P}F?mdg?+7C6p7t>!#*)sAYHHD3 z^Tw13W?e43#%q&>?~*JO7a1-&4(|y-1v>`F;CN(?^U`Dqmimw3;e#ft{ooD=uJsYi zC8uN{_;ukJ1{9}ZG14I$FoaY`CXyi|k$*un1&x)+;OMl#<|pE9q?AKkpr)^#CjAs9 zA|^5xjM&sb2St^RI%wss8wuU0F+(lYR1B>!kZN+n&%+u2G)QDfhfv1%HDf%53h6RY zhtL674>ogiM`y$wT;OdX4oGXVD0PEBh)XaQnbOsVLQb##NG}~=Ah!@^VUxMXI};qw z23D7jNHmq@W__u0YFfN!9u0t|iov55!0N^4taZ59M|?mJoH3{R+jgb2sf+6J|FZWs z(3Kt4f$l!%9^F2=Ew`>@bz3(6Damqk-FS>}+krraF3F-1h9t7xvI7%hwP87iWaU8y zzb2W)u6z4-`&xEDhPHtugRdKin@%1!3CUz&Vhd~-hjfCS*pNR$Lz4HHke?=wAt8zN zzP;<5E2;k=lbN;N%6eP6r>b`C+O=!%`a9=T)#2NKsyfI$3o3mwCRSpW+<6)E3;^t^ zW6$Ev<Z^XNB&10?nY5X3V9}uk+MO<!R}n^Oy<WHEm{5|&N6dU^X-?TEXJZBJVilrv z<`rj7N?Z(UO92=#86M4B;<;U$Ed4zfjrX`Y#X3r2pc=8NCH;sLxvOZYCt@`>BBm6f z;O%<+rNNHD;B^GjS(2tS7c$+*>-24UO&Tg}o^~6G?w5m%!+7ZWxl_w!TA&jd!%_X@ zZj1^~jX?BS@YMCGNdom`l4tG<{Q0vlZd`1ee@Gw)rkvFM_hLV&ldC4xF1d8r4n+RB z4Bb_CXE^w%e)7?1&=K<Oy4<Ni9v<3wtMA6zG`_q;az0P#8jc0FUD{R}h}P1%C~0{G ziFBG9;GzdVKK^xpKZI`~ai~H7@02fbuAj=D8P$|V7&I0Pp-I<3Vt!5M$jLHdFNykK z6N7803-2oum`h@VbE2<9ed5We?Dk>giT8SekRWXazg9qVH9|qT==Ey*LKCq={B$p_ zjuiEo9<6>4w9fY>wB8#(SjOrJNdj}I)tsQonXkto4=>B6)>JMf)VRcml#RibZKW?t z#yL_2qHqhxGZFJejJi?s0%1;UhOy)zN;YdrR{d7880^h(idY}rLSrZyT5!GG3$l|t zj>bT3kOtAzG!e%S;A&Mvy#X)(9mV6J8WDB{A@AUfTBIAJolc8V7^Ke9<u&W<&97-l zJ+8El1;8{!8a><y5rv~yE)AT<HVxIN^BQsz2szU}2~q^<fL4#3|EFL8N9)mQSO6aI zh_9{+!6xyB#W_O6DzK_Iy3x^$BGUpN5gMrx5yo*`%u;DXWad<ZC6uvBX(<f>Ne>jU zhN_4E|2_X7)4-&J1d$TQWl|h-n*I>|jKQ*FU+qfF$iAD}w7Q&`X>J+j+)Ile`Faum z(IWmmMfgQE591^&&$BGu_Z0Z@Jk8>~%8^RM_s-tb_^#y}`4sUP>3NQmWOw%XyxP<C zaUN!*duQP$wW~moKYQ!`ea&b_inBSZU$0H6Z1wW2&fim>(7vxo`<_(e=_6xUhH9^z z)$bJdWKNYgXD!5ZvX9i7-bI%kr0c@8c5m@TdbzudUyonqALYv`%4RJ*;1&p`?=9TU zapB(~3~SD*jC6;hTlxJW{MiSbaXZenvp$K?bQ*82ig+{URtAy1zqtml@sER7_^QQ+ zCTDf-6xR#yg^#Mj&clQ(=vkf$Zvu~#{ympJjWoMoMO>%g*^%&-yCSWg-MJGU=|7a= zrZcrp7rbz9(s-jElgewF#yjybU9!B@rbn&2%nhygZY5KtkNEVC2O5^ImN|g`a1lQc z@#T4=rE5AtPR_(Q4!kTY#_MH3GFVyXtwCT$k-)TGG$W-jP}*gAtcRF@F0&<zp7`SN zg!QG)=*x5q%LHRDUZB##RXUASR~{F?pl)$b>_G&t;R)B4EQD-97Bm=PSvj8Z#WLve zWTMv+f_Z{Ox5SpNVKY_FNnlxVCa`M4Jc>CE)@kjyIQf*l(h0iZtxS*auH(si;fzgH zGwjWlbSCxs2n79mQt+-z-3(l+VXvyoe3d`l91;K64U`d+4hvK>q}3bo2s0+Xr8Go^ zmu2dK9%66&>R>!6#auxK@+E#sA7LpqKZgvW+t*V_4af7S7-xI-q4JUPtVbj@ZxLHi zDF@>e!*;$x3@6|XMl`o7vnHjace3l+OT;Ut^!3gKHJnOU#;i@ZO0U@C`5qr$pOVm0 zfl)o33Qm|bmWiCD&^-F1H&PM}&RZZik|17PY^ZI*v-wPYYr&H!Q$#4SI>U5jfHc16 z$CIuG@(*f8ah4siel@c2DMb&vn$|Mx;S1WH1Dz4{5NmT?MV`*b6Isn|l?^q`)N-;! z+?Qr4%m30PX4#%jSnmxV!mlhGHD?07;_4qTQITXKNn;pHQehl6%}X+E6iQV9VNK~` zkn=LM_s%Tr;Aw^_XrPp!d^F$OiR1fLBufkz@rhHHpPS%;fZ;TxJR{W4vv_6#JcCdP zOFXW(l)gkoPQB2$`Lx6akz+6YDyNd*1dP$5hZ8|#O2(yIQWcWBGTd{qpxr-+jvcu- zJc*&7Drh3ktC9Kl{1hU?K!!fij28;bS5i{DAk)YaM1q7=oY8Z|>cNqO+Xd$qEIbVf z;P?%A$8(MQeLorHoc04FYJJ>`=^*GpgRCvCb{~S~gKBpcLSXO-_U!9TgahYbSs87( zF$72~I$qT3O_e^Chhj-$LBM->Ss=1olL&r5ERGfls1&aHOa68XM!t$uSnbcaWkR1` zk%3>#QbQ+&q^a+O>iHAIa^Ku9ZAq9{+Py8|4vXKljj@~=6qORGbCbc_TdP@B4;?&D zC7~EFwY7Z~)i<OmAP(ZyoS9j?2_4UcL1jEw8X|6(mn0BCxlATgR;EDn{U8qqG6`WR z8@hTKHksN!8E~^pyzm*lUmmJYkb&l4BFgYzh9rSukRx<=x!*UGd7t#b*^>}5`?=U< zkch(*qTk*cd2ON|8Y8A>nZ{54zM!G_>dOk%MAzb!u>!>q4;!xm;>{(IerZP|(szML zORNBqbx`km@R%*A#K|lb6hO}~)Sk$v&DnrdDGy}=Ve9-;SSdA$0N6rLxPc6wcTh79 zY*n|&rnEedEH3{ASOw6HwC+1Rew&+<nHp7o8s@AOszKzZ+B5-9c*(p>dpAYe7T-Rf zmRCp%8Ylf2V8Z)_C0Er(BF;|*N?zw9>mjZa7=19GUEq8X%_`%I-ZC?;$M1+Vb($ax z2<o9vr#w`iX17F~4^fANwJb7{(125q&uFxnD*1R3R+0$5^hCG5WKmWJOJ$QO`CwL+ zr!FB<g46Ck%3Pw3cbgjT4o|1rJoB-%Ex}7ukEcpFX(yG$F@U&s3v5713h6XjG6)#N zn^7d~psS`30$dlv<aGus7Zep1WI7GWf{dkF34FaNS&;hl4AWXk-PyBMceV0_uIXFC zQ|#0?g3DPlp{h^vwwp<OKI?r(&zJ{ZP>RAtL)$rx7j=H5-bPw-ODR7srBjzSez`_# zhuTqFxJP5{y2Ga!1-`DTN+W8DCG&{DZ#C8e#U(`77eSoDo6eAGO7mjteg@;9Bw4Wd zpjwIG0|D!CA@vb=l51a{5wAciNL4KgS#;K2V;xcAvNTE;{mX`pjH=S5$}4DiwwiWz zj)9(FP|d{CKx}yTI+&aEBQoyFCv6U5+DZ>RJ>wJ|By}rTbxo;foO75nDoHG2LA&A7 zDSSSL=-wQ5Vfdp1%(^LFsjCF*VOdEeI4x6?qR=+!WP3Cr(IOP!Ey8O^t6>j(=y}ak zYR3~Ye=!xjF_76Belb;u&iZRAdNQlYk>!2MDjzD)w2lD+ys^pZfgT{2c2mQ-+{Gvv zAj^Z|l~&WazOG(PS6%Vqw1AmsdyWIWkZ>PA5ugw>C9X*+C$q82^0XPjE!QkVD~I># z<&MS{F7L|Lkc~Joo1TqgrPU6E1P&@%{ua*b04wKxubD~GWanaL>pNk{c5z7Rf&0CX zzDj-*T3U-=j@qJD(8SX33tBts&6bUd6wrz+jTteu_?{^}`6+bx<*71p8Z01`p6FIv z>gsI5gq6+nsxM{j_<8#;aw5DI5D=oQKBLGBRfuJ)1_7U-IRhB^VAi200l;gt&ee2E z!MV0h1*MOHT_woybn3e#nPhKW_696jU*IslN#!seAWb=CLuP{1CtN^5bq)jl8JP-N zk9~b=S-*Jj-fVa%U21c5$BgLYTh5&kp3ohny*%+MI-G4;i%yR=fE3ye`9||?G7TM@ zue3~k99OqlvKGVr3^YmRLcMrRJ?B{knxvXg;p&VY0wAqI=FKyq-oV8GVpn((QG(Y% z&`Si9g7O1;f9}*1ENC^X&lC3Kpss4|^k4~FvR~xPPBoiZ&K*znWgv|*rU4gSCi#Ih zr52-w)N*XcgFeH}a9?12;Q1zz=|q@w%({Yf2||hqhco|!l7$ZMweCnq!e;RKNOs3L zGFn^I3Lt4MlV(WsPCDxHz=5kHZE5+6TpVB+2JXk$AIzMm#sU72R3Jjbk_GAbR1Q)3 z95IqyyRm(6Tyvc{B&qAxTV0h^F_&pOk<`o7cwP||E`gh)9#NxYe9_SjN(wnWM1XZm zP$_>gPskyaq$^(C5}<Y#VTd3JuIj4<6b86FU80_30YB`Jod&CZNj(?M<OE35EU17a z)26SWZiYLK5vYp?LAbV_D99kGosQ83Ev&V~y^scyP=gf`)lkrin|R^Djn`N%$V?Gl zGdm~Eaam9d2tShTzSvD`VcA@wl@ul^aWuUdeXE>YIO3*1C|w?ZbVtVb{|t?98HPt8 zbApFQB^R|5NeQ3-=k?LqjQBcYQ5@IsKi{3J*l{x{v;g+-E^tiz5&`Vt2w`#`)J!37 zhKn!<x6rCRex6J^5@2STc`^a-^h)>OyisXM02k$vA}8o(82FTp7g@&A>_`j55;9tK zfr2~+de=h<`z!k$@Bhf6;_gPZUgXOu@;Ar#HJT762~(3PisSX%W=xV!>0Bi!4~;j@ zF7q9iFWf=UF<U)bHjG~)!P6GH<KTA5a^e$o(C?+)C0*V5g;1f#YST(Co0BlE7T)@C z5AlMCrx=HvmZ^2oG;Qj9Cy%PNN5=DUK3p#uoqq#G)KflMlW6s;e7}df*&u~%%3G38 z)p+{$8kW{H16PLc4y~0LMnJdF@4_#3`af>Qq+rU+&%#kFr-5TWniBRg=<&oqvCdS{ z`lD@7F8=5<h2fJ)Nlbz&d@wUY#k+(nF!FS&c~XIy{lNM(kRl_jR4E~nC6rog+uBh~ z6_lr$uN}uJk0LOc$|)KWQNjjw7tOT`QbZ8Vgs-VcMt??q2?NaZ(mw5W(}dISC>wdA zvg+f0HpS!_^xC$d4}@H5O9HbA$ACYRvl=PQ$6e!7B$0;anm;_&$m>h2)JQ#<)!C)r z^h8diRxFP6r~3>tRaJ#31eHk}0ZS@1JF#+LQv;hDQhvo7p*mU>)v+s=P<WzS@P&Wb zhsDGN(W(<7{VHO;ua#-pO-yvX%ES0)6D4s-Q5|>DgeL_(MSP-3XUxyTEGBPhJ#ov# z1Ds~5a}E_>2h=c5|B%e^nS>>6T-p61?!rql_TDek5h!zRG%DnZb&w|6Qd?@PjVd~g zmf8koN&S?A6_f==h9|iFu|>9?6KMi1=q4gEGH)gKFH@8ZW<ay$qQ^p7L{w&e&_y{k zSkF3K(MD8;qIA}x^)!-`4xdhJF^uC^*Ia=jAJJf-X5m0-So4A}4r7Z!jaK494rjr& zmtZ_~YBY)clPY1a@qxcg`livKLal`eS<an--lIvjB}6*L*B2#Z&)Kwm(AAN-I19=g zQhQjALyoWGkym{G2ouwHg}@4xLL+oYb^0?>CESIikg{g8#h8yiK&esn&0Z_oK2@dN zMP>(PrzDVwTB3ac>GIzupE+IQlIe2}kJ*;E5aBt=SUrlHaXXb|ZYpz5v4&4WHoPRx z->qSLQlPG;Kn+@Cz^NTjH^IO^c^2T!y~<RnhBM~41vE4nl2d*#-tfIh*q;QHkl748 zN<uv@R^_EyOpAE0hm@*BnrWA7NrwWo0y6+}PI8c}snXC1>e!Yp=*knG27u$4FpIS5 z2gWE2bfdHAnV2!xwBkC-xgZT#X*0Qa;3Z&hBtXlNxdnzhGW=xvxR=m%E__=4UWSvU z_GJAoc#d7N%kq%g2bM8Y5XV1?OzLw17T55LZI9Crg4OZND~>{F-V6X-JwMH^0M)#K zBW)2$CP1`h(ig2`sNMyN%=JR*=`qAl8P~-5HU1O50n!jMEzKHN{UXafd<?IlONiIs z=|GENMag0jW>$&gV?z!1${!;sEomO=#mq*ub>y*76jVDrK+mb7Dim<WqWB=ys6w3% zMDWy*lp!UNfL=)Kh$=Zb+O_1BLSJ{+7SBntaK~aK$QjjQM?})^6j0;|q~)2Q<Z2n4 zPN3>EuF-?Z8^<SbhDgMz%yigAI4#2#&7}yJZrZg7<6dS_lA25A0go%oui7YZ8b7TU zqFtdLGy?$;uY8WHhzPI<RFFW#OrGIwk5w3uB4ozLC*pZlzZ~!VL_Zc0f`?R02J<L= z;E{znR^bZC7<Pgu_vC&K(w|z8E8jY&lr}X|YOMj1Mc-+!!KlJ1Xkw+%NZ1(!Nq0GE z>`F>Rffp?dk$u_hW=G#r*+>{j_OlQ!wYebifd^I7iDSs^OTD_1j4x|C=qg+o2kBk- zo6G3lCk4&A^rM&6Hqu`)zC({bwO~oTd2`m5g@bgib?#k+K9WaZZhvQNfCZNd=ho!2 zUQ#dTa;PVr@N_`GOU(9|-SXv*`oRw^F(FvaU$UHy4)2t0=d+rNlL)=Y1rw7@pc#Ex z@`vu9n#jij!ukCn*{6eGRU|Bhe54<P2Kx3j(8VUZ+Kr!_N_;gRf>+zxZ_qD!s4_Do z1(=3v;Q_nW;bWn~t?+0Y9LROd72)Ee2BQvzRY;3XPSQ-EMpi_3Zlsx4(2s@a>Sb5p ztG|a5L!^oi4E6biBR;rfARiMF@f#>!7WX&w{YiQzRko&r)4c?jw&V<z5+NUYT&G(B zKPBUw{Hv(~FT1p!=<^Ppqu_)p6E74nk<a}ZPyJz%CFvcErFpfTXA8*_ZO2!2Q}?$s z$DH$0OY{8FnW-&VNNoq+(B-cn<E6Ycv+<&=gLho$%tGRxpAS<n&~5($)!%Pz>?44L zVH2m*GKt9VoLfqhq>=2a{p_U(-m$)m;YNpLcDzK;Bn+MW5}W2Yuf>lLaDoIyK!#&o z9&X#>FOW@YS=k$cWo$_37Pp<e?^+1eAgz7--t?;<yCc8-_Kgc61Zg&Jf5Y8RweqJQ zJUrC}5%yPaY<63D`<=BnJk1%5&7ax!Ww1$T?jNjgNw%f3apShvZQJy^>II2=3pS~_ z%18fp@dd5@jlm&0^DN!_caPU5KAqg#eAC3o<W0X;edYY+n`Fn!FMD<0mQ2QPUmQDs z^qd3Lq>`MsE&J<Nzx|tUI{$)q9cX8j4Zqc0TI}4rf72`8y!Wa77f)aP@Pi*)`TlSI z)x|&g7ni>Db>DyMkA3Ohfv;}a=pW_WqU71Xs6BCS<MU5%yyfo}4}E^(@K{(5_fP%8 z19!e>cu^|Vr2p6xoyM*QKfEt(wNX-YQ+*3hU;Fbrk1f^u=l`z{ue|!_o_qfHzV-1t zU7NEqlYDVxWU;eF?vM=Kk^mE4t~#&Rc>d(*Nl=x6x<U5tqn+$Wd-_aB=`0-t_sOMc zb*R5T+N4RnLwA^P@zx*T)Yx_L#gWPC^($GGiDzHmo3FV1&V0j$!J`_LB>Q&{?P|&9 z!3Xbmnsa*l8~P>}g>QJj;fe(&{AaxI%Sge?joSz7H*U<QrGH~1l}qQaNp`H}ssjyd z@(yg$uQriNMgHzD<gvZ|PkiC#_EXC@fAcea|NPJ<x8u^0pYFT&DcSa(yT;D_*?h5D z-H@EO=^fAg;Qar6_1Qna=>VUJt$yvm$6B3x-}y67ef7>KKHu1R_pkkzZ++v9e|z++ zKlRk_ob%FKFTM4Kd(S<5-KGB1B4_{AEeneue4_EL>+XE^K*xRlmtOGYFZ<B^<S#tX z|DG*1saBhJ9DS(MnSbGj_eqPsOWTR7vG=a9$uC{J^6EeOv7Ilt`wtc~Og1ceYh<LA zZ()$tX)H>ng<n3*Y;q(|J&z%H*%C}!W}9ZX9h)qr(rVIO2Q1QSCu)-n>Sz<xrpYji z+JwG~9_Bb$VZN!*r)Q$**+V7FU#rF`&UIPYK3SaQqz964!b?dtH#a#62TskK$JuUN zM7e;|<A;FAvp6%xPF|^Ox8y@IXmNU(E6<J`5)LZb-bY$XJTSm{G3(DFvc&Ut*xi=~ zYe!~^16t1et@0cc4(H4IhRBIL`#77j{sff*fZ<BznN`_k{<`l`be`XIq;KV&`f2;^ z$0iR0FMc=gyKmY)uZQtn@Ip=d9YP^XNv-GVvDW(V`8Sa@aW@?)W6agFUCMH=jVNrg z(x+!Q4XyGVoWFL3uhsW#vO~-A*MF@ic&;&t%*|bK#rDd)+GKlW5Sws3-@LM^tPDX% zo*WgZP}+oYZm)2l3<$Lel!HTXuFJfwnS<JF((Ojw?a(uP1nm^*SDxR6=WQ$VLwe@$ zmpHDnw8@#HB@M?^W)G6PhV^`*Gk@=yXH*1^*IAjHTRjhP#caYENrg?4^KUwGj8j#y z$#<zu2JKuTwMjgmNO^o4Sk<2qp57*Q-p)yF5)T4edGR3UXRX?VqdBjA@WH?N8!IOo z-M+*0OFg^xT6EpZ``T+yu*r68GQU#TWPas%o2*2eaA4KYc5E_;s|S|((k3gFzWMEa z-NW<GYO#jC$RUwyZ6e*3JV!b#9ppA_GEm5J_V<)F;q0Tk9{j|rO)mISpYbA>6;AZ* z$^P7R+D@LkPC7RoL5vl%No*(4CM#NIwaK0x-^LbYbdPsLsO)7sq3IvjPM*7tc9YCs z7irgASL~c&kr(T^uB(05%CY%t`})?{WK!iD8Zw)#X(-oTdqUr}od$qSV&6p*Sl>=m zCE9n<UeqQ+iy7i<+`2FNl%Z%7sg*X-{)4m3igr>;9wpr~C`Xun8=RvjSjE5Xa#!Cc ztSPQM_@Jzu`^T#`sXViKmTO`*Nv`V@HqpLoa*a*4+xc#46FWD!%=&chX>6j&*7seB z+T<kd<VK!_@HAxWv`miRj^nNkZ@p0_FXtN*W&B##=;(EH5sgflJC+gVA)&5!9lge7 z`kkd1zuV64OznJ4m*t;{^gX)asZQ>VPiOeOdQRpHt0XMk9_iF(^3S-r`gijpG@F?l zohChxQF{62!kMCUfd?IV@L-R;^^8s7oW_w~ug%mxgDkVk3!azZAk*kHZstU9rtAz& zm3esg-00hJca~+qub^epbb3~2hkLJSb&+v;t&HSH8SG3?=#@^PC_}_+{+5rXQ~KTU z3{dFT!&^DGl20?)8JR?;#d(z$UOjV@b1^08i2!zHr{YVx-Qe|X&3MM9jKnZ!cY^0x z*cP@gn$6zIIhN<XEuOErfip!*`bgK$Dx+|wN~Y&;Zpg>C)Fs&AP;d9)b(ajJoMqa1 zNjzJ$%7>wA#aW@8uQ_RFc+Q~bzb<Jw=kq75Om^mG^<I@#d4t!pJ9pk3!!w+@iA_d0 zmvlce=IL8GM-;LxyDcmuH&5;#Ze;!NHaw5IxyNPAsJdB>OCsfKVbvXHdBPw1z}eCY zRg2eiOnEd&{+bUD&&xAGJ9g%0c?V=E?6Sfsc4eFYpK*&L6t@GbOwAYPa|Lo`=-Axh z!!fU2yU0<~Z6EKY8Jz#8NJ$r3nHd_eDUV_bnP&QA;I<W+Bw}2#j{G(~r_TuJ9d(u` zXI@<C8`Q%Im1pcj%JLl7XAsT&Kw-VioI3u#r)J(~3}gKI>!dxS7@VI$gg7TF;x!+j z>_zcnmsKc_C0)ftU!0E}k0t!S$|lUlqB_bvwih-j)w9MXdU~I#WBVkRr~+(4wFAW& zo|QdAI|i9>8>dpQ*o4{S<iOyuJ>BjKHsPyh&q<A09xqWeuk+tjd3I1wZD;)Xwl?`k z<9(b>?m8?teetO^bLB|XyJC}L8c>_8gVH8cxd=zr+a#)BT@nraZ?uW!xINlrP3}FL zXuSnEN4(2xBIM7o35U)@OV?41aVy(nJ5if_ZqFA_w8^uwV`b&oBqRQwkA8Gz1#5Da zIyPY-UNM`b?|tUk!GZ70CWD1d^qyf29A3HUi(kCy@EXiI?<9wzD`Muz_<EXEn-oS_ zZ<BRQ)&%}H+Qf1>P2W}6<e51mRGZLu#bep6zQy)X*o2w#%I9XjIKY%A>PySZ-^{az zqfO|$m~$02Ie0KmleO;}e)JjbyW-ai)}=juzQcT==)2b2WCfc%b-H#!l_2fB+9b}& z3I(f#0DV{4P}a2*TK{_67s*a#ljmK-XOB7igcUh<+g^n4sZCq>$-<SwH9V`S?<wg{ z;SL>-FVjEo+I%RH`jbU`(xU^PNqwk@FZnl5L7&v7kea2h&zrVaEBA=ZF30_h?0F<E zN!ZtTmB%CZL>l%rM*Nv6V{}cx$Fko;9<N2Fq{f3y_EtJL8=wJAFW}Y1w;s+OMt4b7 zQq>hYjAdl6rs*y#_Fl42((>)?tNhi-H*+<QFUj_;=PL3*S09$<=~h|Ls8EFE0jJ?) zIlAE2hCO&o*YDT6dC6~jJs!F;eRqL3C1tvNJ)O7k+IR<_wc&yuI)`}7d&+#0{LGI% z&~P1|D(PNFEp|WQAfa_vq+wrTgT7PbvrWfU2pjLxm4c^M&Ins`38;j!8^5Cky60@o z7edZ*n5LCY9A$*Q!hXsHXezIX`K9c+Bz;)j!?_ivOuyJSxf8m*f52rOq_V68{Ji1S zZ*Uj;K?-klykt!2GYjC8Hm?=DEE~cHcUPoZmI-adk*rM5><E})st>tFWkGmY9J9nP zj!1{Q2B^z~oTfqb{D5FrE<QXCo2)=Ahh-+1XFsE?c^e#oj>MwX)tA3|Hxlq_xRh_d zrbwempbchv6q&_90Zoh>u(;?C(c$XMr+j&{WBxLMP74)ilm_}F)1GD);Zrz1(M&C4 zrSOvIOF(bTy@pk0-05^DIVe6QsF|u;_@N+dOd_V5O{dk0h=(;xis0{4{Di#`s6T?A z_Az^V!=SlGifK{8G#$4kaE|3i%17U{q$B{~Zn?^I3MsN}c%c$(fo3}O+6+K~@kTjb zSH8vjHRvt1N>$Vh2m$4!*HtLPB`&&U$P1YqmqOD<oa)1LqGVq25e!#)DbNW}CmlaI zdr70XcjNu2t|VBhuYTSrXq2i;?~YbMlSQC2_PQ&@csyj+GD?yVWi)KgiW2QUM%gzK zOg3j+cnTinH(4|-=+xsHl%=o*U=fv&AT|jom51+tx7K1GbBkBS>rWiN$-=MU0xYg% zE>Zj#RmQ|DR_kn;axE{viZtIU!y+BeN7lE+;}V=0TvC*^aNI--%JYeW40ox|{5r&` zRm!0*$)_x&k?Zh^P%0iNi#YRh0Y(2|VGFHZ66Z~HB{xmF=%Z3=cuF+LDkk{3G4&^o zO93hk5&9Q4{3tJx7%~$vGAQ~iZAps@7Yi9n&CHQW>Pm`!)n;J@!{t)OX$oEFqVDRe zdW}_#{?<YaoBW`is?)8;mp$1kX1$MB=>z?gnQEsET^o1^0}?2YRa~zQ7r&556Th;L z5c4fVrf-ViND9y^Fx~**XQ0gTS?!w~vLzlpIR38EcwL2DK=5hP<*p^HIk{O9C%pe1 zOX_38l$ljYa&A(;)T*x|froQY2Pl+!_B=U^A)w<0FVVh{Cyr=`Ki`bc@&~a7QpLjC z@dMPadm%JM5hk$L{1%2@sgxkXPS!k?>%SD&K&p~gq)1qY<$OgF7sJNFydbiOTYpVb z>siSo3mQYWMHakp)&V|BrX|#Wq-F6b4a#KZYcYXE=svD^YI;-+3E;I%25^dyuxugA z<h`&5rS;!a3pgHgS*7ZyZg-_U;&d_AY#`Fc?!bFYUw?Z*3M`T=h@0sSIN7Y#pY0J= z^0<1zdu1qRzrNmgMndK>TRgK2BHaAYawOY58>^Yu&P=<?S<=*SCEp~uR~~rb-of>v z+3H{D&BCmEB@SjKz^R{_`U9Gw{aBC2!z=Cjr;SGY+O+y9t4Uh3X_Wj$h<sETCxNW) zC*1hda1s)P@w~ibUo{&GCDfB4wgLsOiJY7E3^pDLLP6bTHxoz68BIXZ;UUZr3PY;# z##OA1@)Bl~dYi4f>1*MOveUUxm4#*_WevB~UzgWA&2+)Lup~ZI`?kuMPEqnX-nNE` zAYBn@)phO4K~(8wEF@P8O3Lf!1oB)M)z<*s^0d@*J5#<sTTiEh3j!w+yo!Q%$+$Ze zI4DffiA?0WXj%FaE?8uWqLC?mb~;hbgFub;X34qGvo|l4ebye(J=SrA9qK1UJjLgl zG*c4u_Fk{5e=`Mw(APokt;5imAT#E8y`Bt$H}fH&L5masd$432H*?;yNbv`L`Uq3I z)vVqp&Jl1)Le~d$h<B2OK4KMuPaFt0Bg#6Z;_Oq`b&^@6<=fzi7io_l5*eRnacZF1 zk8<}n#s-~`bP|$Fm1fC0l+M{Hi)5u591N0_svNYf@eM4(lFgD~tbKO|%8oRt9}W$D zB40u`_t<fQg+gE!f}u064o)VX3J8K`VR70p3z)+^QMahxY1Tk5RuhSMlGBp$5hRcY zl?*k6dcY$xt$y5Ke-2-S1+sNzV7*JpEeSmP=wkXPLB@>;*fM(*RWsGkf+7RUTAigd zpR~l<vZ-a%Al70(WRjr<Zix}FZ;4Jy#S~u`2c=JvS2<a-RtCA=i06|u(jc$!u`krz z^O~3DG?KMOWKL+X=>hbjilHye)6Rqpi&l0IYhB%w-Kbe8U@%p$SIIKEUWlL}sF1az ztJ<*b6=aa5PS0i}l3#!n9j^n$uLb53Mz|JNW&kb?tFe~UD<*<UijUl!A`t8Z7|C47 zP5;_7bL<fu-7HzwTrKOD0Tyo1`55P59CkWX2p^MM@p-g%7UHyj8aE4?Tg)#DUIv&K z5rQkTVX8gmdrVv1e#c-Uk8vB4WUfo>c#!Wx=1!QD>&dRhiV@Ql>&ZB`czPkvI>EQ{ z>>BNCk~Te;7VV&BHS#H{j%dcg7Eumt<Ao3FPs05{pB_1)7E_*t;Ie)Xz;sX(p_RPX zMyza#*0=&Z1c0-k9ZeS&f&~g{dR+5$)TNQCe&85z_}OWRnNyTTP$~5aAoa<>(<aDJ zV{w`;2w$a6L1iqakqmT9*q|OOQ<kKGQ)oe7-^wz14X^{5mFY6!XgdTK+~Zmm_(S{q zV10=XuYmI;95ed!1ME+5L{5-F@=0&|8hOn*H+GTC>pUQ9XUq#`=(5oD@D|wXnTDAc zzIGa>@2HRcDKzv{$ynBw4fNT_GWhBg)dU1!-OjmW(^hM`hWJ38%gnSOtp3@mNUTjV z1&hStPp`;CP0`Xu{Tbd708FVO?Icinb^5RuWJWVc5LXFj`6*jvV8Ejx^@kYJ&Q(Fh zITf(QC}%p;Y~@LyeQ1`8)Ntxz5^&vJ>?n)%ztw8FT_MO6Mq=Yl!H}VyTE})N&J1oz zWptxV*IEu4eOha!UDE1`$gehKBSy$mH<x?NggV=MUF+z(9iOkxyOPWfHOHj^&mdd< zK7XMP!epa*Z~;f58NzbDc#eepPS*N&mg>n?G)I#V#x*it<Za6LvaM<fdTl2_;~G^U z>$lysTb8`b3=^tW9)iYOQ(+^n76zhj2e+0lYIeC*E2lh6by^#Bn5w&CN;xJIps+Sb zD4a@yx0N!2c$5Jm5aYP!v|$}=Q?IzPfsjAYRlA(bHX|7k0~d+ag)DmohnqVN4rR_u z!mOWGpGnB5w#o&-=nh(s_g$C<i4x5n86}+ri5JlGQW8zdl)6p0YBip7JxASe-Bn#p z#{8U2Wby+`LzhE_1~19qOyX&31>b876!WgzL1)V&a>o7H64_>%YfCaQfn1KxDKvZ? zQGt9(uX6q~6ZHueD7NS{d^&Mv<_5NgR;Y*m&6HwTMnb5k8)dRV`qWa$WrCu_1>Lli zw_GVb6T}#=*RbkE2Yxlw^La$3lG$I%6@4IM;HQw$Q>QtDKvxllH0{CIQ-2CcjI?)2 z^KMXK#$)VM`kQ3DjG&owRBfFAbB7Sph9?PGiqZwvVAiFp65HObG)T*XuTN*o8Djz9 zywjJ@Jdv&qk4s7wg;+u-sqN(fOLK9I?vAkDA`CB5#l*Mwh96pD*&(VM&EL|P%3T<3 zZRuVK?;F!Gm%gi>+*mVl^gZ`z{opwFm-&K+E!vXWZ!L#hHZVBcNEnG|5yMi&D2!!` zK^7WbtBLn0f+RA|Wf|LdrNUIN&uX0q-$BkoT7;5J=0F10ho}mi)*Babs^k#;ASea? zFl+qb&U73IyKw_DO%w3wQYS;uA~XV>47Nx<P-pH*+?4pbR3>kvLsVivrKpUK126H3 z3JBnWIzww4hvvv66@w!|P&XoJZ|PHVMic>mzix+1Np>amrJgNY7p{Y|5|W;AOI@^e zP(Akq>HlEAtFzaEI)tthxkE{)=;Lmx#)@v?6y!{|H)Cg5NdJU<rB#15zKui9E5_5H zl&1_YBZJBTpM%UhT-W0juOb;b2~tTN9ZH^g@F%FqL1qxRYA1X=G!=!(v`PT<rRF*q zYJd_Mh7D+x-XH+#$s(oZ>We6gw@d6iKcy;i^}IfnFnp<h9KGla(}_%DTTuF#QJQ0z zpK+EyW%WsZ09HyIZZ;*!grG#~+SmkYXo5_9mXb;w!yo$=J|*2uZ7>WX{Za0;p3qsD z>>UWyF3;vX<{18yNzey8@@Wa<VM=s9(b4>-InE&Sh?UTlX&v1JG9SRkpuPwjpe^@v z+Zl+gF*YiuNoS^pB0da-beG~3h9@Rqxr+(5mkIB{1b%BF5C}=BzqK)if68{O`WT{) zA%?gib#-J91=WIt0G}dkSk-L-QS36LZav?t41i#&SD%9eN<)Q|N9t|_V>Wbeh2rTw zvJi<7fZl9blAD#qk`hbx#yW~jt2zNoK#X|Ubf?9cpw*OnLQgvRK3A#dQyB@Dr~xE| zUZHW2#-WYgh6!AST>2U^q8~h&n$#fNnfNk0LS2e*(}?*l7$cvgp`CadZ7SXoC)3$? zQ@&Og5@fH7_gX!HfxY+7WQ`#!J=40Ag9&@`D5{%1t<aK@Tht<69(5v=a|o#krx)~U zvEF>^E=IBrBk9gMI+%bhOc9j@YxL*~6in^(MrU0Jg*%f_+P4r3lR8PqJbR%*ehRCi zV-MlE&ZD%Pr-hu|;q~_QB`}krY`h<Zvi?7#w@^x<BM8$Wcch=h$jPfT`)NX23Jg6# zNua}YX^^=o%;?jj-o89AGY&drJV#hi38x%a^+@eUOha=LocE(?opXsAxlB(p{I(^V zgKO|wAB<QNh)m3c(W;Egl?$mjBp^G(mltmyMh}l9r-YP(7GU4{W_Yg$2Zr(@fq|pT z^wFDAjTM|-QGDTv!FZ*G{}Mh<+CU&wPz&J@ZLPK#TGTGtsQ4r;;;$*)TziH?S+J?| zFCj)C{NWL0l*=IsUe_@7maQFxd;IZ`PAk?`xWsFi^oq|2CoxQ(F&yb3mm24I=zODA zAz#AjfMO*qDxaMA@3nA}7J4o2KIqlcpm_$1rV>ZDyoTU9dh&M&Z!b~bxvPAwN@y$W zbYg2X7u|Izfz>PHN4CA3Yp9vvT&~}4EyOjVc;T_o$klAtM4LJ-)?49`s~Nb;@Buyo zP~z#5E#BN9Bd^tTHQ9W%BtL*ly7Vo*^n`z+;B&QfBEKR%{GW()iKZuAZt>Ta^o2av zatcR}pEtSQUWQG2Ol#?(NAy_?v<yCIF`4BAqroI}y(-%i8s&>F(&9ueM;4A?sf}EH z#*otM?<9Z*P6C{p0AJ0!fT-R?Ylx+=FuhO+W{*(Pl`eBSwy>9>Rkt0qQpa!uM{g1R zG7TeXNF&TipZLTzpGdg<mRj~?5Q+u#-xtPG9Y9{~BuzWrjT_}qS{cgaLzzppH8a+w z>BS+8F^Oah(dUk@&9jg+5s(KS;?a`KNVp)lhD=N4u#7V)K=}4Ttv=-PjnYkJUo+9} zPPQ}|3HmQdw<WEShdjs1#1lS|oQ*vUeV>IW*|1DkhNt=1!eZAq(x*SWWZi0({}AhQ zQ{P=!(D5-`BdU7{N8VCvU9#bleDmS~KknR=qSt~e!y#LXUiItqWaMi)P>KB;Ap%l1 z(`lxNVCTc4(V%{VY#6)EX{0XWISz;#L&Kc{O}7hoo@=0auf$9M4hD^^Z+7Y+#Qo^W z>IQqz6TVy`nY@y@R*;F2<%GU|Y65@%(g#~vwv@1~bV{Z#1h0@vxXMZ)wF|<PnY_C{ z3Do>yQb{|sDMy7QnJm+323o!syy%%}*Hv#EPyLkSuCF3IRvD1tbCEr-xruGTreLE- z-7;Co3@w92!1$P)rOj5AlO&`YrnbT{S&+ug5M|+&{ze{3yd+H)>$Po}_Y8ho$<{zH zf0e5$`9uiGg3i<PUBU><ix{ZJnWZ$SMC6}uY-OBcf`S($w42lh<q-Iz8#c&mvdc}Y zsOunqcyX-u-_Gl}+M=&C!Zml?`LiEr{rwa7f8eS+zq+^4`P~l;E`DWiqxripIRC!g zm0I?D_t)<HawUQ8zQx^}{wq5D+{YiUe&ll>-Z;4UKen#?-o?p-@87-kuRqu5|HMZ= zaOs2p*xdWFJ6}~>`H|)e&UuIb5BdH-Z2s0_v-RP3H)}Wi(HpiN{z8BAzCRh;_Q)TN z?LGXC59~iY5;orVxgWXopZ?*)iyIfa)n9zNnS5<=cl*#;&BZ@@?rWDm_qB@`cRcbV zwU4~7|NL#|oIg;{2k-pI!++6w_;<K*Ipa6Jyd0)N_cQ<fsW&fv><brP^Ym{WI8bZu zt=@j;b3b(O;Mcx!|Cf(87oKc<{tMVdH6v%O{K?lYedM`U-S>0v|B<bK{`G&Lwyd*> z3lIg_z(h`MQkrsAe|O%wyZYg~lRMtVtd45)&a}S&AfxC%<>Q~fH?LEKO<Ste#t$70 z2lh4h(gnc|z$USs4Aka!HLm6D!+N-2-^Xryefmd#uuGE5cQxkbH#a|c{rudMS6?@G z(NE1co|ykaU-FHo-IGtxk8HkW*S4pgep-@e=P!QZ>GSS8aK-hNr=Q&Xxyct_U-{D4 z?|U%(x7*Ho`n8)swC|^HtN!k5|MT4+{Koaw-}w4HA8p?D)7Sl%C+9D}=<?TJa^1dr zz80Q+TE6ha1z&pd`s+tlUVG0Y2j2G6!>@eeJrB0O@dbJM$+PbM)mv^j^U1fo|DEk` zUY~yY$$LK8eERp~3s1iP);I3BZTdf5{r->r>h-U$KK<JBv+w$O-TC|emtX$y7ti{m z-xoROM~)tqPcA1j`~LhZi+{JPQT@W#4vZ`|Z{NS~{kJYX_v|ZgI(BrSd9-=xzyB_Z zH=A7WpSFGBw%acK?)!fBxm)+VeSzWcBsS6Q$Zf{zqH3?z-pFEd%Y5?W95zWEqsU-7 zUL8-Q@up4ZeAkwwe(qo^+d?C2e)Q(ffp+uuCfzoWG_zXT<`l|gZlE@PGMoIzIqyYo znJn{~AG8&>tZrv5bX-vx2cd736w&4EQ-E!5aet5KC+YhtZ1Vxz$KJ;Ia=kr1B~-Mn z@h;Yy9y?|Wp24x|m;G|G@+GBPnIB{i-yp9m=RX-25Et}^kJuXc!TI?j=k(>vx>P$o zGk*<yS+|}2yslwg`<LC-r~GALKnCX~*Tg6i;Jo(A%nW<;*h9B{u&>yk5aZTgDd)EX z6xP=_1W?d|y0`YUl<EW?D@RU1f!H%YGs8{7aW-MquGz$lQrP5M>#Q<2ckGzj<k&M< z0k!KAZnMdt3>G%g{Z&}T_5xPmgrpD^@|8A$%dzdzCSAl?(R~5zrh8E4e|w!xx-9x8 z9-F8;gFY`?<_yU57JqKrkAX*m(f_05UAkL8^6az3iSCJ<+fib13#eTG%rpM`ub?8R zO}=$Ho4mM8b4s^olX+~TRP*!yvo=8kC$|YTmX+4XKW{eaGykeYb2sQ-J+leh4d&VT zH@^~Xatv2Pw72cLdY;XS+u7Yn^je$Dp;vhK9b>KbcJ|AWR~H0Z5jDjpu!*d*$x&G4 zvS)Dq2hBFvWXH1F<afUGvfD_8P0oJz8m*h<U;Ier8F;cM@`@{NIEhW3`Q|h8Z@KM? z72VhPU9UTxO|Cz!O%5MMrgb(^8NU;osB|X+Hu3abx0ErxzRPT)b*i*U*&-w5+zq<V zu<X0EuPXblW5>)Uv4tR<ZuCXx(I(n-;-9kFKHmoy`YyAHVyy4NCiGqFZF2a?h5u1x zd~nzGN~Zme+GJ&A=k;g5KK5N(uI=$I^4R>JOPd^9x#1*z*E0tz^LzGOafR+{JbmAF zebF0P)+b!n+eG`WSZ}_4J2`<>M9k%k3}aaldrqBj<}S+WdfVl)^9#JXpyZsAPGUN} zV*)>hTktuR8`Gc9ORcx)zQon^F&%iX_%-o_$7D@-ZcRSo^pQ>k7v+E6v$}uLWwp=j zW?v)ISKT{ldm$l%Ce30z%U4S<S)|A_MF;hDBe|w`S^g>Tmz7D@y5;`H0!Mn=$H<dd zlWk|Qzfs=EKFM+0S84kgw@ew`7&m;nCo`X3%TxWkD*>G@kJu<I%jp{`;qK9F`CN6u zpMP^j_w+6AEa=jkD}jfT%V`Y%W|2O+75FIrR4(bZ?7qD-Ir_H84y;XY^tWq1;O}fs zj(+T^k(<w~qMv17($nmKW%4WB&VH*OH&-%gWRKGkj(qj4>|Z?hZPj~q?<9L4?=AO2 zMw-VC#Q5yqn?=i@Wk;3_nTtG`ca?QcqxDJXEH^vI(Zt3lLj6ja75Pf~M(znAoOq)w z{h+#UlcjT^-WvBYvPV<*a{g4@S9uBhDN#7-;Ln~<+CKdMjevWZS!uO2L(kW~>&)My zL-X<%Oj2sSzN{o7{yaMCGWcy>?E|&9{f^;=aV6cehdI;Aj_v&$U-&`|9~sj5&?=w9 zhi`wM$oJedf8CKIuQ@XMCZ+ke0qgfz(XF0EsaG!e5;l2_e2Y^R#x-nzWq}Eux3aoF zzC@qW)zoWrc}luo#Gu(^e*TtQbaUX~AiMK{&z;I9^GAUH=iiV`UUXbL+494x|N0ZA z$HTOfXpgAZs5SiO9k;Kvr_PgkV%mR6J25VM_He(q@?zS_JIy9Xh6YdCPVT`buQ}48 z&HP(|<J(E?GPT0Fe{44SIFn=5X#6XSOz6BLr{33FlJ#6o{V!`Lmh={DCok)@lM`*C zXX1R%P50cR?PSjhHmUIlSD)n6Jg*~?>{n_Ywn_GLg&V&$D6BM@Qv8VR&BJvuo;`e# z-o?FyBOi)%^2wUdmCyT%bfPYM3~#Sp<%MM;^&;J)(XD>82*>=A>ZH<TCYR=0%7<%m zu<~Lj87G>L##is>y!uYz|FXHD)rH4B5wEV6QSKqi0Q@Xzzh1;k?UkN*zD<dvbh%Qk z%23G6n<0zODrJ1?8MWEZn5t~Oy`fne<EySm=ZH<R$=aB@BYHh4Jm3}#|A1raB9-Dh zi|HRe;1pecUop)IE9?Ie@#QxL(+~H;x|cBQ)jfRBH9dRv0`t$GfUl-wZ=n#c-(7Sa zCut|9({CmcE9s%a9l#BQiHDzOzojD`@IYfGL|4P?QPjPP!d^tD*z^$N$s1$Z%4~tt zJcD>>BMq*WarGm77BBYA#{j;cJaL7*H4nbKNB{_tu5fjKq?ILnwv2B&B@@?l>WJGa zH>ul+@o8STceQ7Y@4zqQ$R(}cQKC~rT&kz>8NZzB(*qWBAs<Y5n$9nRA@TYm%=`LJ zweg2kaipe9q|>M7LftgbO@uS)a*=eo;N_tpO;{FZR2kQx4^I>_uh*lRzhN~5vHeF; zBb}ko`T`Mf_nA^CIJ?><=BmssztxCS_}1`+=kdV%k#$g%X$@{YLHPu;#HO$;acdHl z-c-EB&w;>)-^-PF^@X}8Z5GRsrqlXtl;Wlk5SP-31n@*?=@)ciT?CC}4-9|cGzC;K z2A{kLohbrt(&sg9+FP+T5-?}oy_-@R7mdzRPY79PB-UeSUY2I`DPPDy2E2WTBK|ae z%tG<Drh>S&ppvhD7OygD35~NQQ?G_%4BIEmIGO2TBcY~T2PW-$%(~FY15O%6t>PvI zpYGz(_5$d;I-p*k<Q~Vgpg~|MI)%UPHRU>8<}ipvR*sN?U?5N)%HCWpW8rbi$ud*K zdQhT>Ju$ANJcF0xfaV$s%^AY$m1L_agJOE%Poc>kft9}(Qcn^MQ{dvlmvsc|16n!P zz>-O~j>Vc-yhfjlBu+-6RX|LD5A6JKyaBd~qILf6lxr$3>2q4}G9SWF8x*L_GlsL= zjz4M^DIjp`D0<ZT?i7yeB8yPO6_kow#p9#FB1GV#^MD9v&*Jt%>I)j7vaf<k<yXUN zeJAR^7i&>r4JYN9EcN1#_nac;G&!v2phdCj6+|39PmMyAy!zFG1G(eJ3t{tfL7pib zum%g6Bd$IY*t+7tk}=yCl_Owtk8TpC=nMOGDJ@(YmQ2lRB;veeQGd>2v>sT$sGWt8 zL{=IZvSbDc12UA*QHdOn@#8yGe1+U9G(g);xPR=y8n5AwMXM#QN3q7E91uq#8P0VN zMHcX@tIi1!+v<9BETt*LSUHNJ6a`=P9tWN>l<LOcR=>9m3V2kcCO=E=^(i5&Q;(+N zlBa!G$FdChCTOqXr<<($6_<Fu@Ta?NTW=H%1}qKx1lL;+;FUWT8PVqEv$-Y#-VL}8 z4Iq*Jz{~z*tmrF7Jc|n2G8%#pn!zl;4yLssk2TznXJlsO^#V5nI!935W%3%4<)WH^ zBP+eIY=a~r^)VPF)(Bgep$SV+z6MlHRghL!b>pk0-V1dvsW)Bf2x1;h<iWATV-0wf zicTlO+KddtzL`kQcu@3I;1xANxt-EbY(D;zkD?n{@`|Z*Hl74Lyz}!=ktu+*&IaDP zj8#=*^?kY?i}mk;r+Gf*)%09o^%nxw{ZK=b3~L*7U748rfiUXxgEe1I-z9Z7`mWgp zq8HMgNf(hRtJa5MN=AE2>f^rNw2I=q^D<ozDrkB~Ac+=~#))@aTyV1zoQ(RiXeOuE zisFn^`Nef|7F6x@Yg&&7hktF`3L%Y+Gwn;X01o^t+%$zkQ}(&O{>1M?7cIi6N9nj; z35+PK+RS7|+6y8xJd86X6MG_LO?^Zf+8pMhSuAKnS1#I2BU=q^wh#!)6E_l~S}#1z z2L}YTT;@=`Yz?$K!X;p)jJC2w@9R@mL|KoRiz6GaiE^y#e4d5rm|`?cWSJi!Q%vr> z+^rRAI)OM-Gr|hdw2M$VRW<_x{l6Q%?3H8(5&piwne72VQ;nct0nK`wuUj}45w9e! z<l_kS048R{IsMRMJzdbot)SnJBvIhv_`$j_s2el#sRsDDvf<I<4Z!iQWKJE5baJpv zB~BfU!fRKlsWfJ8oiQC4Vpyqj<}_P?P)KHcQ5P|$hbrHS&E`Cv$_9>&C$%FgkR;Bi zNE+CbCn?{evCr0WH2OeFtft4UPflYeg(2D^DOHFpL}8YMP8CD|2WV6oz-%l`_J*lD zb4(9laJjC6j`CqO2zzn5;Q}*L`7KK2(O(ac1SS&&FE5<X@7HqOK*fSjk;=J7FXizb zWoreDIy*kP2&XoEDFU64>BnN&)f5r5mvpwJ{y;@clZl8X)R76N0nVr=lN1_TWF;uj z6F?LBMg-_UqQYLtkG_OW5uQGvK9pv~?MzUbCK}FKIZZl)HQRI2{EZa}18hGERoH6> zM)RPCYG(d!p(?^F&>xV!@}FU^!`SfSga95CINcfqXq^S;L6Cpm=DHT5UA>Ge56?fe zK?!gTp$ZHnX4eVnEu?${KPCF4@r78^1BqRkvwA#q2AalLDZZl@NgWp#ktSj<vBnxq zXjvV@A#d~`3?>%L$7PIo$IrJJ2#uCYhOaIoP>x``Y*-0^8GgF|ahwS6N9qeUQPtj> zDYp0~?6sRs=pk(@244$O_F3BZP?k{y*Iw|1Q&)Aez*|qB+^xM=9d>Zl;~_|_4F|{w zY2U&4N;~D*0Dc(DdA}_lFL_cT>w;;;Ss>BP+T|j+ht^B|4y6JjJ6dzFU`!po>#rWl zLVY?`!K_G%l_O=J$5lbCQ|qNBPe5lY;&6Rx;b7Muj~H5e&6lmNcnPo%^|=8ikXdk$ z=*KTqtEo0jJv5@rqR3?;$iPwOyLh@%4Vuxo3GMK0xkfT9L)wfFAA;aMaX1|x$AY>> zFxS!qB-|P@Ef1;ldPBR;{IIkRb$D<{=Hn^H=fbxuhlybXT~63LE3NTGw(y7#t(NA> zzY8I-Au`afXqOl?5p*44rstG4Fq2QIq3<$2W%IWHH9#d$Mios3#Bflr6+u1lKnF0A z&6a0Nk@%o>`1IGXnui4V=_W$A5%7t2IFQ913bb?OPSOC8R<=}p#7JflYc6RLG-}9V zpm)luzaV&pCMCTt?`D^%^;ZtAfnK1xfZj9e9W_k>lA!M8nrjmJhWBb{f=6>*=XHhQ z0D5ZkzH})cmUlI?Vd18w2cyEoV1)5xDd#wce7w<LZw;`0D7#21!LcD+@(<QUa|<aA z#gSJh#MA>g$T;w8)8@&XW{Ovjz$r?NW#}>$xw?<Z0^l8U%7Z!U;8c732ky>V@C!0! zBye$fU@)Q8NC%7l1kc!PDpy(wn3n1&nM$kGalwsKm(c;?6Cj~_a4XIf-iEIe;v<4j zS39ANTVrS2Q)1|9R|~2|)gcifO$`oA3$3uFWEw1xhYr_90G;`Zuuh+R2>bhLl`Rjs z7k6ilWNIxhKR_<~<uKBZ2^EtHlr!I^gWC2fnQiRfKUK>;MPWmHChjiZh4Idzxz_Ke z15(BBDNJz7{eoQy1W+oUgTK>Q>05cP3Wb(9e*m#+G^lRHH<`(UF2JlrF2N}xndFj@ zSi42$BjVT85~706Ovs=vJLQ^WL8g4-Wg7a<g}6Zp6w)e00Twz%1Q6AG_0VP<PRz{O z%QQ$T{Q@+S5Ty`R8U1z*(O>uQ50H6Sq~M%VI!hMtiPH;X%UHIb#TQcYGc5MZG(Avh z7{+rASq4=)LDEgR>l!`{&V{9*sp}D=Tb(4?$TsR>9riCIon$B~zdw`7<vCxUYz$N{ zt50UqM*6JYe95jx{(bOt?{#@L?u;!7V3?2AN4P;Pibx(!dsY_;mbeC`i)^)O&jvfO zq!(9zjnO#c80lkKNmZE&G3|o(wX1^)!T{rf;MDJN{CAyOPFyu+6*AbWauuwY(R=*W zL&Pv_kTCisfznYP`Uvs3CHM|L3+JCHafQ1?PEjt>usWGQAe?r|o=UFwR%z;03cf$L zq}CqI9wSYfXB{|tBd=$AmoC{DXGui9*La`F2PgdWFb$7BrtVaMJAGHD+M^By$SepF zMcDEjMOhO@j7-vmPdsWvsSuSQ!dlRBTk>eedi`?tH-qCNd01zaGMG0=7dQHgOhXLS zLt7Eqa0u%5)tx)B@)Lm!%AWEDbxsGKNc~|5f2Bimph*b=t`1uTSh(etDhrIXMH=dt zLD1_)S}hJ`ob@Um;NO<~piaz|)jyl>_afUQbIA~&b>oZJI;bR^PLna28y`+4jB7&2 z;Ofa$GCk2A;CtmV5-4gFzUf2?9~o)M04OEq=>hE#Z2vFo^97JSh_iE2B~yxv-E%KC zdbG5g3)~sF%DzGGl64BC;k+lSXgTp$#-`{^Q89@Vx->`TncPUhX+uQ9$`gGm@TZC} zyvCxL1soQ@Wg%dTpijZ#B$y!q_Cqss+-4+Qh=AQie$z2X6(H7o@qyVyh!xT~A{V+L zPr9)<Uf?r9Eny;@Eq8ei*3X;JeyDU;(k$D7lrCRbm=T$5XP5A0Ey8Xtuzvm`UZwVH zh41I&uSsf*L;uMHB6>(5le52x*U_K^*K-AY&j&_3=2<vslPMr0Q}w#Y!soej7UiX) z^CWM9!qI^Kbcoc)u;!?@@B|%oX}$p&=ngcd1h1YReSuvgM}aAw5JdPj2{YdM60MJQ z)KWyMCyLI9Y>d-rEJbD(d%`6q*Ys(@phse}1`bkN716S?c<7_~jBh&Li1}$I9KIRl z(aKVnj^~Cb7<DTqI`0~)(tc(kSt-+M4l(l(x%DKWUsDol5YzNT+LA9`C1bnp==keu z2_sXF@Y{GwwV1k~4g5(va5)YN6cPN}?>K_>LE5=zB+%Z<QPO~~t-+u^f*LS{22TPZ z=8-j1w=99;Xs^dXX3=U+=tMyP3H;m3sgR)#Ts@QJ;6W`J(b90Ms$n|N7P;olCM=iW z3Y3~o<+6I;5YJ<v(%$5PEg4s0X?Ov;NUf71I5ah7rk4`{x+>j6DpTD`LfMmDG3{96 z1X4u={pn>Ds(3N1W>^Y`H_lvFss3fY068jp0tKRz+|QB0E}nGwUNM4X9sOwTP<SE> zkBNBNfu`yasH}=e$3lR(IpxBlORb-ux$53gEYby^>`g~sMD)JdY3^*Q+m?86G~6Xs zE1}aFL%T25;g$BVw9ReHE7v^rOE3TVux%oDqf2LnMwVgf{GsgTx42n1^HP`BKZG6v zmQ(|5e#hv$>Qvc9$-o(k!tiagv>jSH=%pE1tIN+|D6O^eB#jPxTq)4Vd+L6d%#H3J zNwUT+xkP#QAZWS(BBax8^J`e6lMTv+yDQ$wZo~S0BfnlR>}l~zQ$V&R_fR5Fa5V;9 zP-9!LttX7+fz13^QtL{C`!%roV4^9q9AtWGTxyrKiY}#KrWIAff{N8*bh}J^>Lp=6 zug+`Q$Mh~M58i9M(BtNA?j>7>YR$`dC>$<K<T`Kz`PtbY&&iFj%G>n=Ek1d<bLK4} zXs<ndVEU}5`f_<*pzRGEs7$|<$($_aGx{)x69ZjKH6-%9KhGMM-fK6%fgn~&rL8j+ zW_y%LaXgkYQoIU0Js-|MaCGsw7xABM{Nl?uGEANAzEP7(28Reu1PK&nHy1qM@u+<@ z47@~dPMj>CBl|OXX=he5sZFk?H+twQAz1c7Z5t5o6c9p7mKb$EB#TenbLj5MM?-$} zntplomj2qG`Nq(O!2C`v@}b4WqiwnV(Py&7Z?qaSw_7{mlJUBR2~FkbQ8Eg9D=*yt z%a8eA_~g_@i;I_U>6bI#f9$Ehet0zRE*~X2*<1aG|9a>xU;K?9x^~mk|L3M{PyONx zDwlmj#`eCm|GNkN>8-;*JpAH%r+wLhR{h@Re)!g(dgNRG{8tY@{A&;2y5pb!{jXo} za}S@jX?W<q9pAe3$8LSePaOWiTfgh2fA||`*Crln<!9*uh|jz0+>gKf{n^ms85vp8 zpGoCFyO!*)R@!@8`>0&$zLtKMan&qmrJL@}(j?jTj&pu|S0bN&?vY1sz2QakufOl| zZ@!~(ec!(m6sKS|;Ukq_R=y$Je&s%NyEjzvYjm=seIQHjm#5D;aPOt^iO%<qJp9nj zwU6CJw`1{;M7Po=dj#l4KKqF{DJ+xV0A1EAu*tiV`lp{<tSxhh++(-&FaDXoST?8- zYLibNsvT|jU;F95&ED~i);51uqI{8uK5r?*2}c#N|MoApe>wQ-Ctq>@;^N2H)0eit z@ndg(_=he%d{pC`x9|P#7rpn;uHX2RFKv3@ZwI&CyYB@XfA<@5Vfv1X|NW-tZrS|i zb9a2SbJs%$7MJdR?ztcP$wxo`)IZ<)Cx7_dO*j1A7vF!@eIL#?WkY}R>TkUKCx6P# z9{$niUYei#z{TlAx5ZgZ)Lk|ZVv|jq7qd)7!zPE94s??J`#0>vCTR$jtkt4fgbH#S zoV97wy;UmIws+Ki{JKit6Myo^C!V`ubk}?Dd)qfRU3~o|rA-oS0?6&V%?*_sRktB* z;HP}B3HCV{o_gL=w8?>wpSz8A(kyLJxJf1MRTb4K|NBgcf6b*_r^zSCPRb^(0$u5| zJDSyXqqnWxw(|Vv-&Vwy>v;!R>|9|Z9D5i0q)+z~;g(S7tXpGi7;8h>Zl~^co<{;7 zsqo=BpgvR?{3mvDJ$P96=2fVDn>HNYHo0zXZ*ny|8BYswdibsK(|A_Km6dzuZ-1X^ z?KDYOrG@C(XUlJQypa3{kL_5Q9AwAdI$0vGUzt4(&wqJT&nC~Tlc&e1)Vj=@?n=Dr z^DsIs{^EMx`T0F^E!(to6XXh?{zLEV*isX*=c-qazp1v6rzck)xvf{efy(y3T=|<X zsZA>XBzrbIsN3euCZnI1<7{&O*LUnF<v6*ka|Ds~Hu(~tO=Jc5X<>fdUOIM78XxRI zs=;G?F$a&zemr~|w#SoyyYQ+_o;@jPsrBO`)h2u=ri`_{2$1k`zh{hcCE8>KS8YN$ zqD|`cBimPKV_jKh-vH_7WLXmoRDR`%hU-H~a)|wq5B5r)zDN#hJNee*#+b`5{^O8+ zhqDZy=zhq)7xb-_nIjta&6VsEe20@Qr@F@aba2D*HnDm%UQ?Sqs5U{4liB2Dr(^mr zkL%f_a$1`l-*>70p8xz+o9Lb$^v>q#510(JkD~ADDc8zZ|M*|NOw)FcuoDvK+2j|y z)|X9gPx=lW(!NV~aB1H)ymHuVvRbr_|KZ!&q_41v-UXC4p$=&~35GhwWpG_zLElx_ z<b}|m&L+=%M}60;^sKtP{(VzCS7ma)^Eh{UMjuyG;N8Xd6>*_UcY;R``iQ~eMfVEo zw-9%?M}OSu9>BSg4$;$mSMeo0ld-*o_N~Nv{B|PghaKM(EM2DK`r<}rME4LXe%QXT zs4nO&aqpm$-S(}+e46lkm5y|mX*%2&LHl0y6|xPpAMudNqG4!<OT5x3M4sx4>G)ov z%A66_Jb|K&wyzNxaBF-k5|^?@zDDNgx_I;F+?ctqaxdzi9jISbrY~Jhr}VpVb>F3> zJ;~+m?BQ!*3w19kT!doJT{s6fRXoX3-II4o#`hKNdyd&>G+x=2uI&|M&)!=fZ@z^2 zG4`@=6sEgLcX5`*y@a->uk&`zN59Kx-+wIJ-MIRlN4{bBx+q8U?f*{YsW;!wbB>+5 zH&OG0|J!+rnd)~Ok&o{`>OH(xo3nqvJ{f*MX$qP6USc+@vS=CBmPKh4>VCiRMAp}8 zn6hb@Z%k?)$}?VUU!=-Xd@Hif_ZB&YG}XH)CE6`jb*L}u_LF~=>TPpwiw9}0rc=7N zK9(a_^<MR#9BBG{5juXOZ2pxpw0&Ir7Cu5);YbGtP}_?q^D|)B^9^<&?lg|vv<Efl zjTkF@A(>7050(+uv$Fp^{?*T6IDfXjnb_Zt6y^79$yWNd$Wp~F8{^vLvGQX8oJ zvGy0+pQm%Q$?@7yUB7ib5IY@Xqzh?k#UNUwEc@FYUCJ}DRk)(W-=%Z66}e~ro=3wn z@hgwo_|l_{e3WGUUqP^fj*cABs7D{wT|V0nGe^{~UY-gfzf;EB_uteeI@Q>2Hrcas zjPnN6CQOWaHsQbtOu`2(2X`<{D$33*qx>LbPg*?umtU)nl=Qbn{6in-d*PLHE<oJE zCZAwj6<J>1Lrt)58d<k*XSqRPlYyuIc_rKQk?VPf2|SPU5h~mFs7(kBtQo`4c{4Uq z65x27>?mw^@NZ*$yk0R_;KNPW;MlQw`;NCJ|JT@r+I^TW*sZtf+H9k3^?C}3HsLG} z4i;c^d*#X}w(nto<ly|CmCqe#lRf%vZLrvcBRC2mS@id8a=5Z;lR5`OWRGFFo=txB z5m|ZWnU6n0a+-ufw8>%Sk=FhOqO8r(H}}ZO(BR>L*DtT|MaO}P*<|i{D(a3sH$<E4 zSYwmTbMkm$i^zCQ*-N?yzF9`8d&NqD$0oY-bl$$ntjWG-y+*Zc3cWHGK0_*gkbXvS zk3OpX4f>g1q1~JkBEQoRpwblz3alJ4n~ZBuW;R*hcVR0k>F2cXS}l8dP|m8ng-sr$ zM=b#Ao=rAipncavze?1%Vsz}g)Fwc$?+W3?e{7#Q=VP*(8#eiE;^&^n?$Lqo8CRRo zP|EtRNzXdUjmG+N_R3zirw!LDWeHatEAZBLY0s?vLZB%B8k^8}=}j;*_A;Ln+@4$~ z#26y(XVkZTbjLi8Al${hr*>r?blH@~Cxy#GrMuVADZPD@u#7L#_vqCFAEg^oKDhe| z_xP|B-%9Mc1)XSm<3FY8<;j{yY!+$MrqpGh<&~cJEt9<2yj|lm#a%T^T=t=YwqEnO zhH))zovT4@+Ji49j!d1(czeUcQ=<}0wnX;Xw<41*Uyk2plr*}TQ#|}%q<cD5Hgfxa z*?S-O%C73Zcb%hi#hq(0x*BVS!I<%uJhA105P@t=NFK8#gb^+;(byvoBq1YQnmEwU z3lxKaB%Xb*<;k_i!7?!pP-@G55RV56w9qsyt&tr<32~D#_K?yB<G7#K*N}X`!Pp@{ zM(_LE`<$yQjm9P<{j~YKF6rz)Yp=cb+I#JP=iL2A>A7zBi(>d+<mbll>vN}Ydv9r5 zX<rXELb;#uzVmEe{!sd5OZHyBnYZN)bjv;T8{<o~`v|XWyz#!r9)IL7f4FOTo*(w& z{f@~_@sxgjMB!tXbcpZoau6}czDs)w<Gx4v^G;Sj`??tB+x5HL&w(rNM+^ZTmk=d? zKl>R24m}Z|7liYoC0U{a|0O~639Y@9^PRdavX4>rk<X`l85cXHUE&x2;4bH)Y>8h! zP=)_yyvOm#{R`!OMr`<7zy|Vx<k#2k1fg;iR`4IXwPR)8y6%c5+7&k19#mn$3eQR* zIqgOfDQvp5<+hcG3pT|JQG}@1=U60(nLvC5KAI8lk{iKs6d|fZ(SR5p-Cn-D`HbfX z4S2j&{&Ks7&yH0hB4=_eq6o+WVr%Iml@i-URE`wj;GOH2UwM<<DWD=dtxe2LKnkQc zTG<$s)^<v`ioI4KQp8GBjy#_tju6n0cjo(*@Srw;nigwZ<DyjFw+f;_4bU?Ph@Bj3 z=KPfKDTi7CP}2M9GL<qyZY~Dp-Q*R(BdtbJhkwDZan&xzm<3EvICHj~%8>Va`68^v z?NX+IDi{KOUtaV1(k(0T5Qft!qbX`Rltu04EX9w=(-~d?-LAAt6^l<Hy4cL0N>Ij# zJ7LyhYZ1U0v6c|v6GY~TD*prwmOT3RLWC?XYh6-(AXv2=$(QJKmV_t*#+po|?;=8Z zEF_5pS_32u$rt%0ril|vE6qRqOhSnzNP+3DNtIbioLs|8#8M&&^m<oPtRbryB@JRD zyT@RhNE#_w3iT8qj!?SOk@%wNPYH{up;ksxa-@XPC5ABLR|y(wmW_bSRf>e>{N@ND z|0O79EN%QTORik0XCYCnk)kPLSHxv}Ec%&p!Gu%l3h2=Wzg!9<v~KxO>hj<c+PHs- z2RrO~Ui2p|rNERq)m(@&^GNTV>IG8){t|sO6J-ygbcQl>j_8S}iy=zU@h8|Ut_m^% zzoz2oO{)qKhtq(_>-=gZf*UODnoE6GR%}uK11^w;#Hy0o32my9Aq@GfJ{0u2=D}KE z1C4rf$nm381PT~{)-V8>-xF=NVPVf^TU^OEsH_M#Hxe=NzO*30h&ak>r;y$W5vdXc z2C-~CYs)_DDIUU9N`yOclJtZnG<K~Tv~UZnzsRcyLaYJ5tRM<cWizjURuZdMR0z6e zeX;Mzr$xX@F~?5fP{5ejfjF@kL}wIck_{)qHRFqL3^>Jrqlo!yn)j%rZp-x<Nz3C2 zlz^}xjfj|zUVfv-?s)2Yi1Bw8ftu{c_$fB)NTNb?zG;`UmKYY<0+^uQ9eRc7>Ki4= zSEiQvNSy_Ip8IRG=q1oDbl5?E@T~%_I7MupTA@l&WP$piKRfH6kX{SvTHqrD4p<M1 zOykP>15z3Y*fFa<kM77YMvxKLby!gqY{dJRPhzo7G87Dcx}&A3GMS1T7&oQ5rl0hr zfV=z2$H%mXb#+DAtwNVonqc{Z_)o?}dJRO5z?Zv14$%=`vPC~A25mPTiM0A%kPn6A zAVX<+h2-}9&5~aa1#ag^Znk*|oK#YNDs%I~H|OE+Ge57LhytJMzwZ39Szutr)9N^H zURnv3gBukO>3g?XoAmS0RN=c>^IjpKUfS~0h&&s80kc_U)<@I^BPXAx5OJR3iBOds z3CHdnMJOC-=F9cGAbA9;7lESmU`Y@;Gjla`R3MPbF2Q8vT=MoYoyl1KxYb1$oI|d) z*AY@xNN!(^F+~x;WOa|JbhEaXV=nVS2?tEc6$;r_uUVkJpby5NXzR#)iW7;zElGlr zz;y&@hUEE5UO0m1-$O;i*1*-A5nnQawQY>%$7UY##^Pc=t*Z1@7C+RaztDYW<XnCl zYRYu(gQ*gYfYiK!-+n&`LNtIR@>_z1SP~Hx4PUYWU;4!uh@E0k{uE~;<fWpgiU7Sw zT`0`cE|hM{0(dUtBnW?iJgzy<DU0a05JU3h3qg_>cp^f`bwF+-NZr#^@t7Y>Ute-w zEEiI^@Lp_y+0u5{i7Q+bRY_?+s}9b~AAts#(;EUEwYUggV@t^<fN42%wj-ZvTfrJF zHwm3WeH#fp4zu0fZVB2Ml%SFuU(7d>oK(m%0{rF|v`#3<TWT=6Bx({jdb>uHyz;H_ zbrnhiNPYzJR00-EQcq%_L=^bgl*y*{WOkE)Z?oYe0k||7!Z#8WEm-bhxzb@%j%|dH z5N+7PFR(P%F0p6S`-=XopY>~G1G~MlV7WU_=`pPJ$8`Jo1pz;Gfz2=^lY3fY?l^&- zNHrJppe9;3S2&EB8h9=kz>I4ZQ(3y;pmEX_JetL1=9FVLrUYxL_g4yzKV@SqSiTZ2 z&GPz8h+(0cHxPWrT^Q7K%`!sn0yTj9cR?|B1T6M{z%A7C89ctML^vZT9i<TMrR0kk z=b_aR3;`iAa~oAhhb<p{sO6ptqt1dR?NZEo(NSbUq?1KcT=eEadGR80xaB@&dJ8y$ z*8%AfGF|NV3y@DcMFIFkBbd%Srcd=;+AdUbtcqgzEg0-lPeR1h`##%gJfRnIs&F(d zdZVFbT+ZP)+eZw|UBzWOiV_>dCyc(hIbHC!#6Ic*?G;<dVGtd6+Q{OI(y%zpi>DU7 zdOSg85O{#va}k<908O|Ivh|S1uqZ%`tkA%t_xSz_gHAVsFtd?Ha!?oxv6V+B{fP&I z!X@O(msAI0x_Im4Pf6leFXVOcEyN5kcnDt^#uqsX*$ZW3&B5ur0c^O)rq5@^)XU}L z{wc4z(B_pEn+tm}Lmozh(h~&`@)pnMdD_<MyUqvX2o{{emZ_w{j{5d;OVNer&lG2= z<pO`1V@D8s&uqDE1@}IwRu}INcS>Nk*@Z^F+(eDOo_DBiXuM5GzT(V0J%Ls5tVi3n zB9Q`^g7sW#DJmngPQb{c#KH2J7?Fegg%t$71OOfi2h$L9=ETb|MNnY6JDDf$VW-BW z_ztrRk{YCT5=0`}oVa{yrLR%h2&4ThlnL5VNwr~QHf15`U4md2_io`{52^qF1O`VX zt*<T8YvDd?3$a}+*oUm}g_|yh!P9n-4L#!`HLuYu3~1(0l*k|`@a0zXeKYB2$lbdM zgRt-evy%3??p2Xc8~_@9G45=Dn>|>S2$yCyYP-FheOV%Lv6NlWre|y;ZCEbMwaFDb zSrdf~z8Ocp%(W?Zc7h5@NtEC!$M<U^r8EP0^WOAU;BfO;l*r>SdqqsbTYY!Ov9s?} zVYK5^d+a;{eJOxbRK?F#%yh(o4jkD7+UksIE37i4zb=I23O0(X-m)2GXStrUnA7S^ zfDpn{2CgI4PHYJfOr@RIZ9ehztFd_m4AvY|*yS>qK!fmH;xskIc(D@^#u34ThMu}a zK_e?PA-@?3Iq73Qc!KgfFAF*8wC&0z`y83u3H75;`Xf6bR-8+DunT)nyi#=*@9=9_ zuFPQ=Y!&ya6wUg4?&<Tq=u7a8*w<8ESi`jIL*z_8<)(xXlc5;A+WwZQdu_zi-npvP z=0lqyPcqON*qt^-k6p!`B_8?W{n5kUTRs_>_Nbc+KAR>?GSMH@z}&#I5ef>Sk|NIe z848;_mqC+HAFvrTvJrW+G}Tq-4lv8mCMkt4c_Ais*jJI=iE{~I5jZe+owsNEMf9V! z(LNJhliMVTmFqHKc;!RqSvX^4+(xIDI<)(FD1r}etXYJ>Uf?=2)aF>O4w-0<gl8>q zY9nzWlo{cye_c9!(J!5tJrXQn$=L-22whKf&>SlWr6YY0sj!yk3zjRJd3cq!f>=BF zST&h#=b-C%QiaIcbDPGd%$_QMlX%<>H{_YzqlM9k@UGs}Z8d!0)ax?Us4D-Iq%X|- zfhpAw=j$sX@zf>ngWWe-*91J1Kae%-l}TdB*6Wy?bSsn2+kM{oK>U>^@s>$BtIy8J z&wFp?mWkh)<~AHC^RxVl;%{mCDcL!XY#t`v-uj%&wk_wecSKrWFJN7pnj9dUrAK7< zRrKU}RHaoA0>#X;<;R7|9Yt6lUF!-0@1F`V%0v*N84WN!zDN)%%(_yF^<>2wou)JU ze3~^%<Xi^XLau?_kE!JYU8RIJ)l?)wy!U6SDLDiV?II`5#?RQ8%_veYG~MXXXfsZe zf@2cdY&O`;DYn>Z4xh07*ny!xZu0c#7P>Y!xCbQ=oHgemBM<5}EI{C9ee?%v@k$Wh zML+WG=9Q4my!2@C+W3}hI&Rytl~JTuUzZmcHJj=$bdteP*u7wiAM#P=d95KESDs?k z&%GS!bukvhvv!R<&vC=Bke+Bofh~8R3?596Bl;_^<zCKc$N_kIjlxA|Wz6JBrEZ(D zGKDgz;)X_Y0zCC#l$yRDnh;}9dMZHl04YRfGaN4C<4l==B7H0^U~)DWF{+T)0|F!a zR8UkRyPA0M&K9Y1FUF)W<?fA$4Mvf%MHJEjw656ESPo10<aCy;$VEOr%UE0s&zDaj zSt4$_ob-@j%<My<HeeLxW%Z(dfe!J?t>1R%F?~372AbOEf%;tC&d@uLB3hn%S>o4t zUoe~JR(ZD@%>qt_kN<MP@M*zE3Wu4}jb26U<J=PSjSiziP90LA<0osBaqbtFlv)nb zXBQxP1-Zg0R|h|7$!HbMTTvTfaN!>|V-8C%PQ6gx%UIsK$hCNjhMt(4#`_xkW_rSf z_v^#+dSkIJnM?X^y!C$94bOV`5l{3X+SX{<QI&*jS>W}ZMRvemsiUwlwHh+uAdrHR zVsHRwzJmPs<H%MV1oYkF)(iMUufKG6aclHQ)C(D7mt->RKUc5T<3C#Yu|0T_R<;s| zE{5yQN((^LL@3y-XWkm@6eBx)f@;vLLmA1=W*{eL%yKu_&W0DjbCY<qtMCgq(rxrN z*V7a47V)X#Z?tb=6SmTqz0Zo-WLvZ&KFX0T1jj_<c%Tb)h~CnWf@7@|DThxNY}*~; zwbXfk%h>E%b*)rEoDCq1o=u_4Tr%&o@~%j}eXa9Xcx=elG3wH#yDMikA1IkR2%C0- z$%5^Rs4TDaNXCZE8C|y%J=Jho=2)@McH_i9H7z?ssiHGRicGdm(r;yT<cQ&xDIDgr z^#$)|gXnCfrKy)Oq}#x<XAYMYx%jLcH#_c)Lki$CW3{P${jmB6vm;2=+S2+2&2c)^ zn?F=%-0vXyrL&ABpKZFBu8sayf8*wXjwRspbO<;}y7;rpI7!0B_RUU>d~6p3FB5x# zI<iQEFag34vC)WeMHO+H8AS&Oz9{s-O&XM&+y%Kr3viB#jlBdbrp3x)7S0&UN04_I ze@rk%4w>>~eYfc+G)}mJf`bk0XT}(@@;r016JzcjZ=q<UOHn4clwzkSXMK==mRCJq zMVpiYc9!iAN1NG9X0xq33-bzJHrdB#o#D&BsHw)KEt@@#f5paTvtUEV>?Pc~jE?YI z%Z<3%S&qMQZj|!1J1m1#ftka~Mu)|+u)YtuPLXjOc~Km-;)c3?sM(&~!%OjWv7C|A z3&Ygs5Ifs)oW_pCOL?1kfRhn;2ENPbY`@vht!p3r33~+AO&6jvS}j5&glKlX@Kw}k z#gLE?>N0Z}L|h7Zd0{J5!YtoSCrTVw*rY<dilueT9JSGHw@aDh;;z&}RgQGo&{$qf zAxvulzIJY_@v_S<EbPEdul~}oHO`KGmlfg{;Z3_f@W#G94_-FEc*OUO9q;?IJxAWy zc*#RQz4EceNBf@l(1TyQ_NM>dw`bpV|8?uT=ku}I%N}VQ!9IT3>$e{9mp8t6`Pj9K zUwd%u_=EF}#Yc#L`O(|H`n7NVcJseHg8#a)FFtt38~<$nyT>2??o}_l=Z$Cl_!k?0 z(m3+SgJWO(;(X(#D=*)Z_kC^GO<%jbzvw&ijqm(f|G)WXci-^gdp?qX>_2wCaL;Fs z{nVZt7niTS?Q0Jftp}g@w{Lv%SH5%nf-T?tt*wm*pV?VzNGG+Sa?c*+_v|0}*{x^Y z^Q<4<clpU*{MgCoPd$6*9f4v9`SrAqKxX3}xLCPk&Os2$fk`c+kQGja!VzqoGG$@~ z&jk5RH~qu@W5=`h?O#3j1>bw*0z0xe-+%N0q8{=6NAI;G-}ujO+Vh>e$DZ4`w%NaJ zY!5TuBF1cSf8)`6v%cGJ|AqdKKgfyh*DVZg3-v>HpY^gUUfjR$ny;>&y!u_g^tuN3 zNM?nG!UWe2*WSMW`EzquhwQ?+vDy89Y1^-T-Ak^R`_%5*lP50Nzi@JY_PrC&f8fNE zMRxVv1z()|mSK-QF!#11d-2@!zPSJ4H)JQTfBwD`S6lWi;?Mo@!oHJpzkU26wl00^ z#MtchCzfCTt=GNd1s{F8yXft6I~sGsKa|;tw->dm_jCW_wZq$=oO{7TC(c>D`rjXV z<r7~%=k`ynzHay{U;gn=UG(tW%l`N)5AUy?oI7@W?yn#EC;u(qGqx}4<c~huxutJ$ znH&tYmP4Q%Dc4>9@n@fN)^GLy@a303{EJ^dX=goe=bupKe2V$iq!WIG#K&`8$~hgS zf<ue4hegzh{2^=B8^gUi*?v>&z=8aMeIFeB7e9MV{#W1T*2jwu*aQ2p-zNLLpT1-7 zuUz)dcW&SRv+wEOp+obcPV!rhWQ6aVeb(*U|BnN;KiYR|=fk)3vz_A5M|Po;ty`}7 z>Y<Y_d&e*RjC8{EBnlxxUN^v<Ha(DiH~VXT$-0~cnu5y29@L~_GZ>2NQp=F|b2Q71 z@pj|R&enR<Ncf7={VVZ}!shy9k55l8&nhU(qTf2xc(j(YX7=XQAF)^8&nog&I_8Rt z!s1%bv%g|Ktp}ZEcu%_lx>REMw*kgDO)e3F|5Kodm?+WQ>cN8>!v_azm9&a|&EUbo zy)dfT0E>JdGyBP3{K!Xo;|!c$Clk<#mzD%$pQ00m3r^9AmVkp#auB!g@h8S}b)=RH zm+-nCrWeo}QjSN3SnYSqAT%<&9Me~Bh{^hLbRtJdku-v@`Oodul}=bVJYg!SimD|3 zL9Mx@lQ}MwtFan*BNS{oIFZtdd&)M153(_^M3IZRYxW*Gc&O6J-a$L|i$8hf`_xI? zXSq7TMlw=^=itHhNu~U=KXkoLCi+-ue~M1_HX04Ia;Id|vt}(HOL-ihJ61a%4cPtC z^$Pngj$yy@vB9_gxzZo3Y27~#bRxUrqOka9&pxm^eNE)0c7jgS?#i@q{jK1dxb}PX zn$^8~SFbs^k=1lDJv}#fXq9V3$2Zf--Y9U4g**o<ov4YhBENp;*S~(|nY}z;_qx@$ z_4=Qp@7f?swZr06op9Bw$|jWuC3f&&Z7;8?v88nJRievZdtGuK_?V4q57M8%RsJl) zPj~U{pPbO{8tDXmtlBjDVqz8(ccoJ5<cv32S0@Fuk!wX2JwLcH5p~ixQKK88j&6Wy z{k%bnwDHY#vbVRL3|4&?I$4f=*Rii3Sf>-%H+jw~laVd|E*BAbc|T*cH=`@#Rdk6D zW1QlRmwcj)JMyjYrC;$=c)Tldgk>kXzY%*~{Ct=8F}lKSn`Zx_V{c;G*Jx93i2E6J z=i;shStIij(|w2Bhp0(Yx$p3EBpvHRwO5j$2=LNAN8(oD(Z9`R<G#j<O#2>xTYOWR z4c)uQ`%&Ce8SRAU15~^hQqkfE!*ai5+IuPbUsxFaHwq>G`>=}1g`JmSPa&uLT}byN zu4s)buu|T;sCyLSeTlbTHF0<w_bJ|L=^n=}UFGiyXR5N2m;T$X;_kyS-RsDGjL}Z< zcU1Up{Js`CS-Iq@WKVCfFNt?2lD_saqNto-6J8=)`zH}N?z7ZgjI^wmzka;)6605$ zWShOivv+bJzvQZN?<M;h<6g-s^Z2#T@e=$@8Yszf-y_Z$`73C?T>B6o&EN9-t#|DF z)RQ}^dl@TRc!j^d(<1!{iC=kt<6B-HUK8Vgw)0MXRMuWhsD_(w4xD|xg}s?3|KB@k z)Xo`A^Mpf(B>Ygd&#jx2ZU16u8V}vy{r7(_i+xwOU+WTmUwv8aaqXQOe5@Pm`*e6e zQBvy4=}ka4=|*rT2KTbJ>#cFlvkBqf4^*QzG@75u;9ZUsNhjr~5wp<u-fWtEc#KHW ziLHL6XRPVwar3h31}8`DaqZLko9l!&T5>v#PF8JiNg$o9zV)qjDRH^P{k^mP;Nqbl zp{rtCDBH;?IXL6;q5G-Yo#{9K!Tt9S{jJ(b+++HK=%i{V6PxQ^^LfC#JtwsH_L^(( zR8eBc-!DV$#D>m9C#h|y#jJ-NN3;9C`)SsyyqWFL%+D#7AGGgU{XyG_3R!SkokR^d zfAj#lSiR;Dq>b@q#rQ!XPF|iOGUMg{P^dm6Y%WK<{NR6R`u{63cTXpje>#G{qwr1B zsjvSo@OPBd|7<ozp_d=NO7p1Bll2W%U$4djAI;<_DMh-%(+Ot$0}4ohD{-t4J~=cC zEu9I0nPSOQV#zn)Ep!QA9Z4Jf789Y%ID2VHo$y`y(B)DF^je93#6Wj?s4||U+DKQ5 z(nZIaaMwo~N~R~NZqVkAlr_ijsqi8%JPv+7fJ6Kd!F)c&yGwGLJ;QTcsa+QR!fE-e z$7FS<m+5)*u}+hH`Kx%s%3qoN(Kl6MQb?j+b0<FXr<79M<kx7At2duJekr98XUs81 zPiPKlB}>V{%&%t<h1p<;7AURcIn_LUa1~P7r^ej`(=%4Shv2yOL}V<)Xh!mAFO?q4 zb}Edwyb2)F&IY6|BMhZz<|+#8x_(4oUwL9^iNfONyE2J{i%Yo_bB#-g&XPOsjoEze zmNnXquCNiYiGPjrCV_uTl(Ny2m8avTj}QWWMT;iZEzw=9g;b#sfQ^6r@|nV`SVi=E zNX(MC7A_p8JYy!RHCrK>eyop>VwiMXa!)pC`7)h|#~tNZ*G`^0SC8?tL`j^~PCU$r zt(0SUX$s5pG>~GfMR^+XGI*_lmhr#8Xcg6{1)O{qk+n{j)WZ>>v$__hMA)cmav4;; z0uQvQ>PBK=%v}0nQ!=lrwj*S{tSYTkO{;QK>~*k-2(C*I1E2NpyG%FAsg&DY>X@mn zui{cA&<#k4(Dg?L$n?J+9etq(S8=N($ta68z^#BPa4jIYVx7e4dAL?F1Ss{#su$cb zT0u}OcG-fk<_pkM6aj2KV~LD{@fZ3}NlrKUbs=!sfr8?=O;Cs=5rb1}E`ShCugE~) ziE&ual7cjvH$&xydnBw^-=>;hEmKr%AVf17Ns={xbg8AK#k+oE9Vsc3$UV`z2=&ul zk6as8mqt{QFO^|cT_8p3DEMg-t<ql8YE$G?+B8DP+UrEcLJKmcyhU0J+%Y6!MI)%Q z*srcaEF~^j?rUy0i+sw0Ph?%wCpvN%go}9%o-HQ<R=R?ZlvtKrFJs60A}Jhb*#OyX zI_z^^C0_)t10>(NPenAko&Z}VSB9%Kj^gHWqWFid<6o5*`Adk^ET%+y6wdBcsJQr- zI9NO~lRxqxx-g!na2PPy=|n9Ub(E2cRz;N{MK3*OL9S+uo|wp4sZ}8oFYv5E02flK zQ7`iZITa09@B-#IL8gzNSaX*-6U?&iJ;G!p&2b0$G5RAbzFf<nR3G<&%|{=dtCAoQ z@s*vR>)>1cjUyFS40FHci+PYNF=FTm=sI23CC7SSl(EuMs8aX1mY`jOkm4y<8(}^o zf@KQKi%=G|Tgyo#STmBgmRQs#T$T7*cya|o6&AyT6$$H-wcgYuOaZCB#W^UEol1p| z?Q0Mdu7@=QJ0kGJRAv&ks!3%XD^n$NYmrKk`uYlAB2!>RQpSl<RHM^xK5Vz|WwDt% zfYbve(^DUCkK^+^>!C`ave$=3UpF7(Mh;mqR#M^Z2^6x&D`(wDL|jN7<HUkA7u{<{ zHWn1MpjV*gr%;z9qL*6Mr%nnMe3h^XMtQQd$1W!soaVdG+WX&wWsrp+}>;6q}X zyU5K2@&Y)#fX9<uqJ8GCM;<XG;l-e&h;me21-)s+kHsX$a4T_F@=CElnvX+Ooo|LL zV*_1MIHy3sWyvPMM}O(XivG&$W}?fjGhJ4)i`eysmqf8I!o-vKIXRe)IhA-3@d(vj z9msOFvJX|A_P(s!(0Mn)x)?={$bH6_0UJrH$3e%o3#Um@kZ{1@i6B;_=0G_uLkBV# ztGuLPHRB*8&{7C!K}-z$nQyD6)C78`jxGf`0x4KbF;r%}OB_oMF{Dy-^eDNWsnVon zu4I5UqqLpPdlODPoczWD^5_F2pPnwv(gDQOh?`}@-fCXmh*ANw0d~UIf%9ZL-=Yn= z%B6PlBwE4-EOS^u<SNaPX}nH@MV0f+l`}1f#+GCGLedyp9<!rV&^>MpdSB<2GKI}V z7Ezz1F3vp8nioh>TnR&CfqxLyC;_+uz2wZuhu1YdR1xAB|D3zgqxhuEif83xR}t8( z^t0v|pL1+cAPG0-z>h{2iYrL*aLmMAb2gS_hInX9G1bBWx24Qx^7^$pYgJklyE8XK ziV|6LjwPXETpEdY)TM|$2|^%2OVdXOMNk!I<)2NCinQ{{CPmT57&59dQahjrw(|D^ zQAL=Hloi$bDiUd#??EamZrY+kmBovzj8rg#?S<rvW|hC*;I3O~d3;FR&0vZfMFP8? zFNm(xN&1RzGs4u7#5fq@<SWlQ+gFjrOk`cA>&TpZxs0Gpm33=utxHglpz2~GEjw^a zN=FEfKp_#k3^C2ZoUppxSUWRHZ*)PM5{tDb2Dy%Ci5^U@Bn?Qu&%1a@vU+ry8u7}g z$>AV{0^HDF#t=nTnu!oIQh<aAC!kOM%sV7%&{kp?q|7+Q)PIa5d<w&Yz;%L}dIVXK zt|Atq7o0q?$Rb6g7EVtpCt68j0j8wE^}peN(GnQ9kRe*;FviU>I-K!=<o2w8`}GSz z|GfZpm8mmSc20%98@IiGt*7v(68vvWw*;ECwZAU%2Xts;i(3t<7f;*WneHTJ=;Q-M zbnosAcarM|Oy<;RnuAt<r-uIj95eD%`R`7Z-D$?wr;7Z)!~Tv-K%=U+i-yZKQ~X`G zlfPqepN?I_LqQjvY)+++A4q;CI35ol)EbxKfUYh?uic;g`6P5e16!v($QE5=vW{K2 z#Har+@I}0=UdVm)-JIx(UoYuh;~x;fw~v%QCmDz?E6&Vi<4S&eFE9PyD&5W8D~NBK zUKVFBds*edPRfg~i{}j`{jW8qqW|8~ABkn;9>bc~6Ds;LPyK(A{NyR+@x2P}g~;D@ zCUxX{eWGLEor7xaEa;)!#qb+?<H~(kIb*wPOjE;rpjG)DfknOrd`C0JyPPAO^OHGu zB--Y%be?jwt(7d!PZn16yuC#Gk^o=MO*ZVl&!5-xRs&@hS}9$Z=<H~+^A71Ec>eo$ zjm6nC{y3NZLgr%B`BMIn)z5rZio*`J6lTfJeC3B$5bkoHlg)Y6vTP-tYpwIDC1ZSq zFXNk?hXkBNGC(+G(KmBmq{-!%Y&S;Y9^-uH@#Qz3Kjwo-6P;~Y5E}f#OibgEg_%p> zr+t9yq3C)&E)Q|BA{2)Mc)W7u(IRj<mrpSm@Ekvz*t9(O^em8^ms?c)d4QvI>X8Hr zxDgISLk@+kbbVci@I|qr&}rOyVRKG4ds2lP=&~qCFHD2;JUf1;$gzkMWC1CT;#r8M z6UEjjp^!j~k(`^E`n)QVoQQhmVY{Os??#J(5%&WcQLc?~z0HGS=VmoTmQ-G?wF@z@ zIf}n44q0|Q6?pyh;42^1sXH@GMN@sFsVJ(L6+gaCsL6Tr=awWMyNSQ_Zm{}%C8&z) z3ii+cHz<@A8(KHe_DWp^C8^eYmFrZyw;Z}(E_%q4+9Y}f-Sm|?J%2M;tHzG0=Gu=v z_3D>3UFTZD%+lIg2(E(#t45uEW#H2Im^@#8`I1@Z&&M!mnxS+>@s{*a8PI{v2`YQt zY)KsS?FgGga{O$a_wtzDmJZ4eF=icGbogGF`|Y2Xq)YhI4|bwX@<n199PlEw>A$Km zp({q0s>cDLh%usRZ0;5G7IO*^wqhyw_YE5)?2SiIPeQ4bddayAl|Q9Q{X&d2NqV_# z<`$(`_i&5s>4AV#@<BfZI<j{P_4p#_i|?8bluLL_QD;kMy%}p1_oxEAjHiUy0mXzM z%OhzN?374Ja#19zQwYK-m<pjL*4xY~{L|Qzyw0l=2K2o+f{R(=D^`#fxx>61*wO47 zlvxQ=HqS324qsP<dOtqpf?hvD^(j8Z%E}C8F_wOHNjgtgXOE>QGQAaQ4uW6~VW|E= zzM{XwuaZ9J1XV|>&%Pf{>9^W7Aw;LoX|IZoUZa@AmpdOtlo7usi=wCYl#F`&@gh@g zlJIf(Ds*!<srP_8#a&{mPHrt+Nr}}4$jD_Z>=2B9AA_?c%R=xWhRiI)aJDuZ2u?ku zP+%5Hv}N^TT9m{s%-U30X=XNjFoc-D7)BPLZ;7l*F+&l~0wEv^91BT(lXdQFhHMfU zEb|3hs*4tm8T}^l>XHdj^l3jr?7i&lk1z!fxP!jKtni4h#Uf;?k^J<|G)|0_O!sU1 zMnNPGPSj{grQ0pxf=+NsK`lB?wuj}Oi1ls!%AZ5qtPgXLk4}8@=3(hYSwZIIlHoDD zSSn?{di&-Oqa;ZsFXmd!j>xVtOD{cpnFXmW$MS?MQH`*W%<>Nuv$;3Evy#|soq`91 z4|13}A7fb7v8jOn26i_}ZOGwS;ov0?71|uOAm?GY)DLPBSA{5)3sF9t!F(VnglTAU zn0S3y$@I%|?)ku?VucLZg5q_?D9V3<D?#1Mo|hqj!Ia$`DGh}++e(*TsY8}~9IOT4 zK!KRDhk89Ip=Fqp8^%Si3{Ul2&4~lRAS!(r|6TCW6)I$|;Gr;Tg(F`oT&fz4G9VOy zeoqCR+!;dXSF(CMf3Z+Bh)0)9$I~3a?w2o9dnqAIL%q|H25~j4GU6yGkO!yq2?>;v zZyDVr-A*fOM`=U^r;I4b6;uH->*riC*^OzUg4xkuz^&d~pt6cS$RIpf`Lt{z1nzcv zK2GW(UX?GEXHtA|N0F;Yo-oU49cCX0F%vn5BS99~C(@LILLdDFRl?GxFM=@5TJZ1= z-aGjXkBneY;KHuJNd#3;FCq!PvmJkFs0dEAyG2V-k%B2$KzBYQfThFb4YMhxd7K$X z{v;2!PoeeP6XxqP%h*jFhy(>S0=v|pr?k}T!DwE55<~)KYp~kpy)`>UN2^$1)yZ-( z4m#v5pU%DC&AYHS?|5GTFMt5>b_;Xim*8UV9E1^0EkMlWnn$9-4m%RD4=PsXvKH>X z!yjVUgdnuT5)|>r7rdIhNo{J0HLN9k!-PWt5FhZI4pTa!mBOM^MgiNHw+a*FAYEA` zotMm3qMcaApcK45?y_QtTjaQmMuJ?OW*1k5sdPyuAdR!3C0_05+i0gj9P<7^Ke*gK z&|eJTt2=e};E^_(H~<ZpKp-_9KqiO!gl$AH<-To2z@^0Lyo#76W(8h{k8)P7o%4?p zkt3u|gLi6Rz>1n@gu~4^?~ugr84Y0@f()=4I>1t-g-tc|E0|x7)WUH78wpRD_jyi2 z&U>|;;O9|tg!f>U!uU{SL2)Fx<txF=hrp{k@-VYEM@c}Za?5z&C8IQ>votc&goZzX zpP!+Iz&Gm@^YX(x7KkcNb4x*3tU)$Hpd-A``uu{Yul3Fq6vhxz=A7`X`US+eg=dgd zDiKC9m(tQqkMk^D6v~HO1z6u7-y()-sibo=%s3{uS?ngpax8#lr5fQC^?q-egAA#* z?E{Cs9Hk4Cnpe4)`R%jl+tCIHHQ}=8g*qb*0+1rYbh2DJ;jqY@S%^HrH$yS0*SA<E zoWG>ZWH#<eZN<V26o7{=I+78v0tFCp^L3SQ{ENxSC?cKABx>}k#DZKz2yJXUd2&%f zMd&mJvI1y%C8%fE77{(U5*)=nX5&kw(VS^hE0!b0X#J&%AMPvEIq(-qg!by=EW}5T zgL?(4k>f3=jvh_r*-D^tQXB3Kxpa{eF9%`RCaFXwUmy)TdkXLE<UJkV-3-P6rV@-) zt_Izbh0u0156+w<W_y|DLyqrUwl*oBffEQY4RVxaTes&^GIw%fBBx|D?{uHH`xNG` z8kur(q`pfSL?LSdrw>|AoA`P7_+Vj$tk;*v2&JXuQ^7h)F34Y{7u}_ii*Nd{=u&t9 z5j;g4A%F}y!&`&ZjzK806f=N2^N0vVh&4euvZ(=k#1?m2he{uI#>TYUK2ON}mUg^^ z!&p1#VUrR_3-LJ?-6!V!EZPovzQl7Ul_I1sO-n_v`vTLK{5~p|EBXq91$8blnYW{q z&#&>`4_`s7lJ?B#UXi(*r&h>CC+zAOtlR&xmUI7EKSzrz*oBr!S=56>W=+1<MZJ0@ z=6RYnO&EP#rn#2SUgX<m_d0OBP}T&b$U8xOZ?Mtxf`i1>M{$41oSkPDoVpX-n*r=C z_}#Xf@`+^lo#p<*L|k`cHm`Ivo=}AeE9h|)OF&6sW=toRu$guF{)kT4>*%p}`vqYH zP3yoU&83kC&`hFyNMnYN1Q5sXIS`^IRzH1+Z#6j``N&LOj59~0)TZG9<jCSNixzQf z_lu+F8I6HzUG$_$>QvJ3t<PH#oV}3a@v{!Chtonwh{90*%;C1xpV6`UbO6x>iIEzQ zvk-gnJL5^3Bt0g98H<!$oo1MXs$xd8%8gv7_T-JpW57EQz#<Y6gT36zTo3rSMWRBS zH?ANjpX$5d(9fAya+b3$Aq7Ps9@uCWDAzhlV_ZmpB3V}1W+`E;r-q*^JdsFs7`n9d zNUKfjG#GgB7pyQ(0-<6U%GpqMwF8w|h;@S4N;3kvLQZ77dN*^cTz4bH$P3cfWk<8a zmkrP}F%$;yvq5GAq#)8jy+HT5`Kg>nOO05AWpRBv@R2~uiK|biZD;zFsND3)8TGb~ zOKj0pwWtt8=mw%uz{v<QBKT-JnK7r>#0c^)$WWb%5Zc9(9Lu!y;ckBrV%TOzUy0Pr zYJ7B{K6B-?H^;WVtFD|k+9{*4hjp{QgUftUKEfIwFg840`lX5>H-_vGMWQgZ_)@1Q zA#1~4X?MG2jsU(M6&6$E#?^Ci2;Gd)V#uWjSB}!D(cvf0Mbxt8&+x9yvGD7`nN5M| z4?<I1o>MH}yp0b6Q+`&9Dmhhtb8tcYjg!i`{{q#isAF*pE0D)0i^2sUjJDhn%KGR< zCars~5#87IA1GPh45NwiDa;whfL!5DpOyWOa(U1&H)ZZt@(mrzF3w3AkVOgQSNFt4 zsGy$nZ*%hz71=}=vS6bP%z8dW)vSkCtK0Rvi%Xq#st?6BJ=<c&>}Kds=$K2;?|@9p zNVf<!>?B77y%tAkX%Zb<UGlFtlNiKqMk$=lE-CFk+xF^xbw&w30z^K&;zkY{#C+8G z7{%cUCmK?@8W|xo^m*~}zyP(5=8llK#Bzy-{5}PJa3*5B-VIBXg=w7fcoj~C#lHzS z5@tlmJ*C~Z#d4d9>uLL1D##&De3o!Mkkv;<vYfhZ&)rj|(G$AC=^fR6+oYS%>^KWC zcXP_LhooN{ILUTSITYk_T`VMaAdX@=mpO8vn{b?@i0V?T(|~BoP*02TxE%>K{$q2u z*i5YjZ)8B{O@6mDY+H`Z8|jKh#{$UVa);f125ETDmYqPEs3-se_>a?<_?a@IItqyu zLXM{e$B(04vePm`igx7+Vg)LkHCJXWbgZa%C!xcxy6<V*ADxyr1)ajMmXOcCxfIAJ zd>}N)&_MQ-P~{`qXPD|jP$k*`kr}v!<fyBG_1kdJ0Jeg==)74YNl*?0{`C+PVssn+ zK8p>6K~hCn*cwE4A5&bj?GCB;$9w-`c%+sqkX4=*b3X*-P*^m>shRi%yx52W8X2br zg=W+X26F0?p4r{BRh{&V4A<=k6~>gAnkO(q9G4^U;KiZDZDN%{?Yc7?Yw^(`r+er_ z`bmzKY-(yCa6EmzA_}nvu^iUCwm_Z`?eq}LytO9n&Pbvj-{oV8d<!=r;3}e-P-`Y* ze22M3rjxSw-wl2^b(c9wcI$Vr9euW+_<nzG!<>1m_({Z2+G_g2vRcu<D4pzBXt(KS zvK5MP>D*aO*a8oEm$eC$v+&8{Dz{`?mOpydKlUR>XYEpEOH=3hQ55ZXY^mMU8Tg%w zLw{%v=dl>`w+0E2<O*eYhz?c8g5luNTSI+;DMGRBeFL34X3q6r8h%yH9bbCU)qQT3 z@oYD<)>(e9FF{{JxyVT4h@Wz^&Bkz{a)p(q8D!?lWv2Qn+RZ_<!B)6*2?wqFZ1)CQ zVtruw*t@v#fr6y6ZWgm+<88hyhWbxkc^2I3R<pKhb1Wh<IMTRrN37lT#^~_KnS2&+ zIEorM%K?u9p+Q6v#d_mZkRS`LF)$o(zKH(0;DZ!6;S0=7p)U}m0(HE=zOY%}_6B~6 z8ZO*LGcycLBRjL!*()L6-t4o^jpo<#QXlOs7Td*g@IQ})^{iXT8(<qd+(45H+x~g` zHgEYC-(J6?`C(fOD_h+&r$YzPI+-Rf*GEZX%*;Ep>F6A%rrL0(Z}R|^Q$@5j^!N8Q zM@~7b5Q@N)xv(&mIkHr52g{n_-ghrG=8M+8OQ(y@(D*>G#YXIrp<M@^+PB)B9fO_c z{_K;x2ClgK4BI}uX#G^BMq`08Dx{Mlr=6V9c>9hEF5iFkV&lRqF4)I-62BhNRkfM% z2g?`eyF!tD<C4Sgw$Qr%$#=i|)>gQ__056Kowxko#}7clUwB3mcby2))3-o*Fd=^1 zMOTe|>TS=t`<`om`O4@0yPtW>p+9}&FaN}gf8oc@|FyIK>zDRyf8f`Tz3;Z#bnVNE z6nM_L^S0aipH)y|`M<g&ko90{P6|>-3WkoUC_2`k+u}Fx>D+kh#}D8B(7*hr51;(! zfBMP)=gHfC@5Bop`)5yn>&VgLH{Ou#9&T(o@$TysS8&GcZX3G-eKzWEzJl|xcRg#z z*=ixIG8-n5V(`)5>>nJuU=y7vS<6Pl!hQF;dtbS{ryZI%3@@zS^Q}E^zV_E%_qDHI z+j-qP&gopZ*jPf>r?i#M_6yscZO`nSfleCx@2=-H>4Z9=%X84l`CD(?(QXI3VsPt| zJ1#hLQaZ^m;9UHqldL$*ixin}7Yl8S)-V3I!;AD<*S|RGB+I@T>R){5BeTCj=>$`I z!PpF<PN>kYJnz~)zdiBKj-I^sA7Ayndk(lmKXK_VzwsMydDnlq?(9c?m3H#oTR-sb zny-HlHj&ZR-+UiB*+R_hXV3|4BGmB2FvJQt!WneW)U)i!|NHQ5$G-IOkKgu&D?V^? z_3ppg`^3Yy{oa50*k9fL_LE1JuXz65XMF3He9Iqg?Nsuev7bhJ#ef@bm~_(IwY}Iy zw@?&)@ids?_D6qn$B)e3y@^g@`qIf%%dPY;A6pD|!?uO5eEoa7-qrv62Uky?{NuO2 zYin!!;u-JVMwO}3tL$So!I@JN6Vub<<B#cJzsD}Rs0vGVb&hB}nreMeuWyy_e?UB) zw>;^zH~8t@wb4kkviCD{E2GB{X0>AzkBjM{)kCX;tESViZ0;*IG-z|(GtGvEbSCfY zL5?B)OpL#YG4$!`Ou&f=`W&QN{mY4ofGZF%IB3%1vVGj_*wM$zUbtdYyLhO2o#mwV zzI7w|v12d(sg<W1P;78I@=<w^T3;Wh^iA{~;MCw%o8YwN(*UOyazI$EcJ<ZLMPFav z<KyESNK0irtxi6zc<3Z)q+4p=?@4bTPdb6eDmwYZW8${D${B>12M?l?isL$+{6$wM z#V;$yW<ae=b@>x#ev$%))8Jp8`AIW(NW(Wev2Wn9Z{!@#zD_5c4vbC?R6J`#2R73Q zYCauo(8;P!=$1|fR|ofAeDUdGaa<h#PdstWHGB7({ox<>JubT!I9)ly#A)<e7S(F4 z)Jb2d73>IePovC4W%luFDwlMU+)*cUtE(JL-91-%0-dC@@I><$L#s*$A@XxloKww8 zCqK!F;eWU~uy=s78v7nM8;?1iKqs#tz2<5oA9d)YTgEyEy>{_xS0^~vrJ;5*-e;%u zJ!=xI*U5p^y@v+(u3{dPPLO$X_&CQLtM7W{D_{Cj9ZEX5dfLA0>2y-|T^n_>dAg@! zYf&dFD@d_&r*!gF`mPC>RysMPI48ccUME9CI<-?e+4V|=Zw5o3KK60pIpcfctE=@x z^+R$A!EDXWAIxeQ>CNKXpp&_|x!%5uZtR?1{-sWeUfg>32Av$3xJG@~-b3`g6Ww#7 z*GGxI=8Le3$xb|%cn9vX?@I5qSv-rme&3|&9AsCXb8J(SwsS_&`OG=xIHTFg1E1F~ z?3`*$H$L}<S=sf^y}^b%gzw<oW7*91Py4PM(@p0kJLJ*1$<BB!(C_S1_<uXo=Oxqm z%uZ=VAbrUXG(VTpsNa|TU9+dmV%o*D8`?56(EN#4xfgEH&zvS3**P=%eM!b{+$QZ0 zS-1&eL1#WIZ9zIS+Qsvz6J~=MWzw0{Tq1Gbi186ee7J*=PdGYL`hCzVk0rnLv9Geu zOXy>#bxv`{G7(;GN}Yss9)s6e%<&eTpHj@GH|c`cVCIPOTR+KI{`v)-A#Kxd{p{hh z&i>{8Ti>#9_$-~r{Emgg@f>D;Zu=?G5hcb`-1X3k=}Hdd8HWBf3NO!D&N<)to#KC$ zwcbIvAoelMW{dm^ich^GrlGzkpnJ2cuoY*`-#$zJcNnr!HaZhJ@jM)D-sfLa%Bbk} zdOqmvEQXPVuVLIjh%E1j0T#=^vV|(`65F-vF)vMD=M3mPo?DH4x4gx|PWbQWo(avF z)!itYIBExZb=U-^e?Hy;uv)8W&heSgSZzF>BRe`e#Edz@`tf3wuCN-9v1@&{I`nCJ ziVC+$8XHj)s|Q!r5zc*O&JJ}n?_wGPVlVTrw>~b8>U9$I_07&E*O_;f_-<H5Q`v(P z7svj0m8sgqWA;0G9(!z+^NQ#0FKIT9ORO3KFbYMom4VcaKSoxc5|9(+tj-OMjxv8b zP-D)(EgYPT%&S;m?czV;h-6@W`SmbdJ&jJj@$+d6l};FDIqP5c+}u;>L?xE;hW!L3 z*k~|jRk)rsH*-or-SD7cA{|#7#{u%CaYgR+I*H>#Wc18$Kb=mvNTbrp>fb~sgBMe` zCWa;kR}aMU8}H-7gYO5(vqbLE31j=<>aUEAa#2F<;sXbqxSW<3v$OT74Cw<3v&5fY zRX$QXK^t59`$kt!&RwyllX%C&THICUS;$iBOJn^LkL%tCO=$W1K460QQ74SANhb`* zw39jJS2%#0?tSR;ng9~pvnsuJ!!{<@qZ6*CSp6M6sx1=}-MQhWaSvWRw|X)6aICH# z*ejmneXG%aY_N!Bv@xBoUpiS{)5*oO|AUA2s*>-KPEMQ<rS7je4GfmObQN6cWcugz z?oAo@Z{tkn)zz$j9Gx&jS?jwr?^%NlI;o)(-r1y)P4l}Jv2sZ#^j&jI0(n7P?d-+( z>DYHk7mw3-$*!m$fBda)P42TlQl`-jt7s~_>bvYQUE%Wm>twDrH+S*e5YzF#SaIpQ z$_l*Ux0WiQ$|ld_>veMQ;GqfnuFf9yT_;WiznM;+!!2|SiuXR}<#E+kR{C4=v-Pjp zwV_i|mH*j!^2dE4G^xsNOfiIKS*!7K*+W+Phf4eA(r!NI@;b{Dn1mq}={KKqS@gfR zfgik;{(D8oO40`sz8EUQA81U9uKC_%v!BuWzfguXOPjrsb!ZAEO)!NgzGye5qJ8~( zIxBf``!3|r-_Y<x%8KzMb1^Pi$m;b;w&4$_JnVR3@)r-5@mkTg;*J=PPlkEocYW?6 z-}mZTL9%`WzD?n-<$2a$WFA{T4Nl1(GjpZidhD2|%70#%kN)#)UVKAkr@eu;T-u?u zAHjxw4}NT(U&lJ3<w%TxyT;~&{CCL%Km3H>up)a*wzB~KPwyJjclwt6@QeE-JMmkZ zJ^T6HxREx$`>wG|h?BqnyqJPyj6fPrjxw$Mg1g)$c;kLX$tb++sk_GX>x}j-&f~?7 zGA*(<Q?ebozx03M{3!d8|8#zm(Gg$t&p$uK`-$4TWbb?Rf{*wcgIyy2^YWbrn4X;q z9*9|)G9N>yv8Q6WRK$w+YNp-fBBJ8Y-t**zc=}N5!SW#=Q<T$@svj32eC$pT!hCcD zpHu@cMF13M-gM0LG(VycKbR+UWkKBW6B1b3ig@@G=UKmk=|p7pfdVU)EZzw9;B!Om zYoJIabmhSxLWzVvkyM0Q?H<!|DMir*2T_V%ky%hc4|H(rCu2dc01>g7Q6_UrP#>6> zic1u%K#l&F^IbHS3E@sOg^PCb8LPP}fphzZqTw5Sg2J;@u9nAd(-5WD*rN*eFn6i$ zcojN-UU>7q&*cQ4%j5LYSoHa#@P0l9I@Jl!AMbIWqzhCrPT_OeD3=jAiy;J-kOWWk z6>d}8M{Jc(%jA`zv9*i!Q$`&t<s{(>((I`6$>!I+#3Q<UL!QE&7-}s!dplyOiTG7o zEXFXx8<-ZB>#AA6YfDv^#({yP*=%kjS=OlFcL5{9Fu#|{A#CK!+L-a^=6$08({y4M z!(2s!EqFFMNHOJ0G&;O6#aD4VmeBB9u8+abeI#0Ci~#$Xa*0H?wZg1$YxX+Q3SBaw z3dZ8mS9*AmqOT~heM0qMPH`&pPnr5DF(P73rZrcWk{^YlJDE7EM%|@Ijvz}5aC(K_ znZjhQiC-^LBhFJGLKnt@Aa=2U$52XTSfp?+YBjpmo<?H|5JD=y$I<t8c?G^Fs;@c? zehM#}q!L&Mq4Xg*G^Diwy7|_5t)!S((mqG*+)qeRlD8+#4bcf21qDGpc5+YOed*NK zOkA9dv=quha%bkL%p(*T6iRfPr+4RP<oMesHIfk3ek`Kn<C_L<)i3&uK6|s+B<_SO zYx8NDCcIeD-eQ>&yoRJ7{WrISrpugd!=s|k2Z&{!g%T=?d8PFUn2bhQXX&U&nK>Eh z?k2oG$umM~v{qWv5UUZimNG-x#0VHci$~aWkvC@eNKYaqjEg<xmASg(muF!;Jn|ry z5nq`{WNky!1+}dG$nf<^Xy$NJ1R+*gam}13FhSBDoecytDi;xDVik8ZN1`=3QXFX2 zC6U=lr4pJUJ}b>^DXaux_{DmGp^=5YvOy&lb!(|~M?^z15Vk_#^yUnb1p-vqgcU7Y z!K_=*uigBlwkT4C*!w&#=7gcJ%<)6_$^vq>$S+-l`8Y|i8~L?qpxIf0sdb8GE5u3} zVkAkDdA=Y$!n9I_`i$GBY~I1U;QQ2T8G^D|PZ~^^F$5hCcUW5!e8K6&1WZR1rBpzO zZvYBu5}$AJW2=14Ez^Vo_%c5gJL9R`Z^?<#;!h<~_wg7MPju+|H~||nuY%>j-xiD9 zhwL?U++{zQ7>alk@b!AZ4dBrFoi~e%*?Wq*pSHGXY3LN?8-nIifu#^0g4f%WpAlhT zWd+nE?Q-l2?f~nE3jr5vt8QH8r}Eqz;F~ZaMzfzb;_^uZ4kMB~hy^<~XU!((RStJd zPVT%TgN4tFS3%}39SLl3Qx?O#r?yhCR<M*Xo3ZA6Tuw5uSLA+(T1FC6Azz}fT(IDw zp3iJXgU@txw0S4#65xH7BaqeR9TAaYi2*yGZsxi9_=hmjp2AiO(*d<4si%cRGiu)+ zUAWAy45~{J0;czqxsQ7;i6Z8(cfqo@oX#>=qZSd802$UpJKL=x9Ej?+`N@)bfLDX` z-sf_)2#>r(hH<E2p+ekA4DAD|*(40K3TYwq*X>G3k+LY3@;rC;L-|rnLeagXZ~9A> zpf^XVfsSF`m?CVac`=51kIQC<RSWp`T8!p4JINaIY>Ov92sK8b#1YBc4Z9;_q_h6t z8>QH2yYRmZK}ZWBl&hc;cQ`=c^kfA)*z&gB(>S~cFxnD^^AV&}MQip?)8Pcah1wEF zMaXOmT@du|C&H3c#2ye<2YNzcB*j~UAZLe1?U-tyWMkU0*BsH;Ys8+?!z-HwJrL3` zR3N1qspl6HabhL$09H3iM}grB;D8VVL@$_%a{@0M(Pt8J&1BV7l&!>&Qi9yaB1Kje zib@xgP?$5sfKWZpZAy5KWIvM%lh%BA+7&SLe-Z9Ik@D$Ct497%&b}8U>Fcy@*4oMe zayP6mCNPcX^;(rWhD6J3lEYGuq>s`yxFJM~X9jd&Gqe0SJteGy03|7%j0A|PrmU*j zJ6<WUR9mVfzAULS+D5DJ=Hj$FyOiWu%I!?=hCtqq5+DWjp3<3Gu>3vX+p}hiiD?e4 zS`0v5>!^2Jt~zU3>YXp-utT^GPo38if=BA3wrtBcN@m+aW<q9xkYK@_<K6h#>cy7q zI&!TQg88K*l0gHr$F}R*uD@t#cyc#bHo5?pKzgLZbH-L!*5F+5fT0eJTJAr@&L9nS zwW$R+d?WNUMOqC-*B3||+FBN->Y*LE1PFo*Qj0K^2L%KxLS7%smRZUiJ_P3+<WW%2 z4Sd$LqY%1W2Av2ZF^VCY$_`lJNnsURVNVOSk%CS1^F-Yz8n^rgM?6PK2TxsLA*xqJ za>^<B@Rr$`l(977V|tVUR1DM%@Wo8OP1}~vxlpJ#FI=8Ywitft{D>?F)Xi)(oA0x+ zBH$-6>13r~()H{(R)@T{{cH!lC*-{Aa$23+z9+No^}@3Qq`wyE-)#69!GgC}WyDVz zZ=N?)(9u)ceBxq*Q;~Z*7XXp~KktmCg-{QVpcdLzW|N4>I6dr1iX#h}vsA<YMQkVG zH(o)0Nu$Yxh3iP+O|vDYcqsoaX}_?WRRR%dYT}~l=2wK&dq6I9rP+@hK#EpIPN-<E z7)uKY4Hg)YUHvucfxkfO4*3wzeP>usrKQcZ8f~1AF(C{2vPK2g+bQad!^sM8wG(IU zy7dP*&9rC(Oh<%XiuEP8Ft$xy!;RG8;KU%f1!%3Hbr=gbk%G;*erCC^-TAD0kM-yD z<8^B4RMyD(?Ji$7o6m<h{$t{XW}V4yP*(v1j{s-<yKuv@m(Py-@pf2TYUjb*^1Sm1 zM%!*K({ut`7(?KPcZ}FcUdaj8El#a&yJLG)>uCe<4Ez}bSMV;}i58YDr%~)7_pstw z%EMyLqTW~nRMeu1qIhvt`O$i)GyYR}3euD3Eb}r{i8#{h>EY5k-4!LA=Z$4sD2T99 zCuv7SM=YK!*t~h%k_IS{5gdtR5gjE;E;mGkek*FsA}M|CDG$)IFhmh8Lwa_SMmGVM zfl*zf8aADy@fCBn5U+{~OeJ@*v0(+$;>Ju7n*26bV_wRbiHZTD8f%DM-Mb54f`4ck zqgaUjNY-x>$k}@+xcAxntmw3}X?DuB%r5eF7982Y=Ync5-D06m*caBe_ti(I0(?}@ z9U~AkJD>U3=JAszjkehB#Trmm<3&RS5`l^k?Yz;>sbre0K^YVeUvrsKj^R$zp?;8? zgSat<GUFM*RAeSD$`8#pV}e9pm%wh}4d$6T7{f-V2>Yz$8O0$x@V6!MiHNBcCQZ2f zqPa^PiGQL)D{31x#-ZlrJm9ryt2v6H$>ziPSd9ZsD0i9N30p{&r;JbI_Uh4Qqv>W> zT<Bw)^;NmqZbDxTuiY89poC6vFa*78j1EbYs+XK+N+a8SLB~c`jL&qL-8@xm22Yb8 z8_C&|9kQL-bp80Y_Q!}?kk35078<2*8g4!_guo^_!_UXHHX0kX0)};Oeoy|Wzpr5{ zZ({s=;BJa%dtdg#+#aR*DFP7Bz*-<96pR-_8Y}8!Qz1}}xK+rR)5}o_u5wg%-OWSB zf3Aws0|lKc32bRPIx-9%dhwY1e3*|Q&@0>%rAhQ?D><VqUGx%zIXwQR^VD*7b4p^X zE$c2C7&-DCaOlBkxx@v}Hc2$PQa@|S3jZwI?K}iqG{G=iP!$n4bJwf>C~kpy)(eQ` zDF0^JH56OU+d4kQnvby=AM^O1UhRjBmFhD)o3o92due>USrnJq&I_3?wUOP!i85Tm z=#M(-w}EI6<V-X8L%q3o7M{6`V`trd)rGvdGh}^|KvLy2wLpa!-<?VT6hPuD(Siq+ zCcTp?;PgP{Ri5COi)-Nvq$AH)n)v|Eo5#PcxD-FXBDgWL`IrzyluYI)DF#R2WZjT> z#N!(p6Mi_D3$`+8AsZpwpMk%?uIGNCMeSjJAszxGSRtD4OzMDv-B}(w`YPi4h^H|K z$%-0gFlF2NvTcE^3W90^1UvOffSS%VQi04n3qify^CuZo+UGXuV@Ah|_V{$MbJXhX zaYdbW^uq9>Cx#6(rF_N0)THGKG|Kixp=KjTqmNYb&c3V0=&u-EDW-+MbaFC<W!Nz* zL6XdSDo5c`5Pb5TWC196&6$yePXqa$BmiV3fAg?4U5ixQKmisV5|b@Pg=e!vkP84G zD!Wb6yg@jsuCUIpxYU8&Cki0cnKMvWZF9s7ZnQqN;)~_HN`17SHcnBBZB8W5)QjSu zBH33I!5tp8ncRcvmoPRnQ?t=Y)UN6xgP>Z`C|`!!xkomicc2IIj^k5~(+yGM#Y%HB zt20~cjP;WoP}FDO=nc6p#j&!@8)KeAET=AcMhguag2K&E7pHRuo+X5^EX+5j>npiZ zCp{U^f_E@`G4p~R7=t&5&3uCD6ME2_I|K93WqOr@q*PuN;EXS?^-;DAf^2g2#fT17 za#nAtTo6x&K=aH9E9Pk{*1sazYDM7|qLhUTF_>19D4j$HsEQS^wJS41XFbIP`;kWM zh~1_8bNk_Gmu2LYsv66SskK@GRF*n4g*JYb?bNS#P@xb<0WOapW(>eJQvdO*rrTqx zb#UIc<kjzF^)~IpT@=&Ln3<CuA}Z-BV<Ye>f|&6(#js4?_=3r%B&h0c8v`Ju+Nex% zXJp2C561A;Y3b3)+^__Ctoss@YV|b0RH69T@z7{3vXSy$`s>9}UWO>G#g6@(FlL0i zkA@C@!UILInAfp|m){z32R{SnM*9Qm3pc?pHt3I%PAJ!PATP~C!P^-mi-3=EvKG&# z(5)4eWb-Y(B&q+^gg}KH6ZEnR6kD-es2>KQArCTg1itL+lXwFPp(+Fn9{wBTS+N_L z{ddnO#Kx$jc#U_2qn?yfT4tBF(bmqOiWB3(dRPa+tVm#0L<R7_Io#4HF1(x<Miv=d zxG%GP?6nx)XU%=LMBD8{Nc@#eIDRr;;**Uex)yVIy}f&Q!Qyq98}Nya>}}uID^egG zNemOmiwrKwMRxs#(76S<sWA>C@Mzjj@2D6hWM3>bZO}$?degwc${|33id&g65XI;r z#mo4v(941%UkAKkln`X6vfxV`PGp+BCE7*TpQ;Y+v@P->MFE<H%#ho@oSbDmPYb)< z%JZkDjay+ZrCvv%gJ8?gc)OTvy3Q_7<D@Ir=00VT{f=x~k8}lv;wUfWmDFUbPKABg zu=v7joBYra<hRT?yI>anddr(t;pxL@qXu~iOuP!kvT+KcJ=Vy!(qSysDf|0!@P}=Y zN=>dpXoSK-<Cg2&HXNL@5K`6K{tVt}+uNY|M=HL0bND3_=BDzi#%GTY)6YC>WqgH~ zo7ui!Uv9UzT~I8v&K>!D<Gdjo8bOCSf-UfR>TmFTT&Ec0*v4l0!j^5IAPwtl@0v7} zNH><9OQDSQZC!q(v2BU2Jb3BgdRu_3l{fh$?S8?<LZa;AaHQ`oAwT;?#M=hZQ^J;g z*%(Z0(QG-+R?QyOke3Zl`@A39e(0(OeLOwfm5dv8ruZ)3Kkq2hc}f;a^Rtw4w8toI zvcu=h5Sru83bX+SUaYB~HHTE_rXZ=pvepq7(sY7w<G$PA^E%-Vt>m2*a<&xiWA$?D zoY^58*s=3RhuD!5pbNz?7TfhmoHu3j_(CX1HCrB0nZ)750?M1b&wrr3e0Iq091Azz zfGEH7(q;QHpQiTP@aL~+<jv6Fjq}oC>u`Nh*A^A#r~<B^RPui1?WX#C9rk2^Z#oLM zTV7Q(A7LlhvM;uMl=O!i3;Lb|Q3+%~UkpZ>K*$xn6xGU-ex@3-_RMR2u_X|o2ZBxE zhSiV%_ZOV}oE<rG<ZJyOxfzD<ezgDY<96h>laKbVetY?b+rII}ttY=dw)lbDuFU`K zL-p$x@44!{laD!DT%7Medfet4i}RO{9na?*M`T}qZ0q96<rf^k?vAtW`Pxta_yZ5# zv3O)z_;a`3bme7z;qp6n-G1yc>%XjZ(~&<}IQF{_AXJcG?>%zl-u@fz-PifnV~wM~ zbL@iKZ~oYS&2PW?_s)6viQnJ)uiyX9-v~GTM%(h*M;2~bY%LUr&-l`b_SlIIt9XFc z;a_D&_NF@;@BWwDvV8kL$~w37UvPZxyT9~-JO6Umpa1B|Z~yUUKK<H@SN`(kBRBl@ zuiW(b_u9Yp@aoo^PJHrtCtvomg9GPWv}N&Ax3Yiup`(inpS}BYw3B|lpX9f1eb?4s zn|61Ef&Rk_x&7+m?O*+c^S<}U1)b}^@usaOKYGEDcYo&3-hIz4H?+dypA<)Lw(G;; za2@UB1Mm6f3&sw;a6DLO@srr$#?F;54xe4$_hA0;yMB6l_jAbbQ|jcoZ+rd8Lzi9q z!0lOf@<6_C-;TnbJdpW)=j^)r;X|*Sedq1F-gfPw+1W4Ow&%i=bK73?<=b}cf7!t+ z-tkVxovRPn?7pilyL!%!&qaIec<oEY$fxGA$4-2u`NET5+40(6n+w@Pgx3xfwYSgh zIbM5cc=+MDu`kuOA31W-gJ;aORGS31w89eyF1R_f6OT1+c<Auhk<Z-z@ay&-fB!ir z&Uy0C!PoxiZ{#=q#`O%3%jhKZFI;!Vtsh>j-`W}Z6X^s5@A3EjQ2)CR@5u7z6Ycy{ zHnw!)<Tbbb)}t@`)4%xU_kQcPKiqfDpMLi{pStb8{Pg+%^vT<A`_G4V9lrUlLw~U6 z1wXz0_KWI^x9sRN-+TR@#_`YIeaQoPsgs-PSAFoh{w;S84fMC^&Yp4Wt`i3jZTqX& z-adH8H3xS5^|#OY>ZeYAb;p;#{N5w2{g>N5#^eP$?N<Js%kH`DC2zXmH55HvUo&si zSC($sQ$PBzcHM(c`VWSu(aH4m1gpKxe(vYkT@xXDv8;)~Ikv$~u+Y~g8l!V83a*XE zJwvRmWmS5)HZ#TOHKC6%m)5#6q*n0U=wMIZM0A{Ht?K-|+4UzTwCAfv7ygma+WA`L z{2aQ-Lowcpx=BWzn!U2|W93f07%==U=o9bg$2c}||Ih@Id~fiFS8pHqnbnW3e&IXc z`>WN}FRXrnmHI45C&nLE#MntD*0z!~@PD@FI<p@heLt!Fj{K~FuCb?4IT)(pqHthi z)Q1WldrYpz^eC|UiV9oWEUJB~eL!o}?3qI+tE)fvb6@{@!mjCLkfLYd^hD#DsFS^w zPUJfsq>xpc+pF>^HwQ%G=iV=?M<<)In4h1pA9@>`BPPBgo%CH(JAZEZlgGL`nLCm8 zn(nRL|H@c3y1LDN_Y|G5JiDutrGd*=e`fWiPkisM9$Wq0)!&_19e8|oZ*jlsV;9zR z5_LN;Y16Bw#m)(82l_6qox|evYX(c5WG^PlCOY}CAA7+I{;;g$l$z=`m0CI(OuKNq zNp9wtzDBFo2Pf8aax%6Pbiwx1LDo7C&K<mlb?tpMbYg?TqmxR^Q&?*0wX!z18g<g$ z3&<HYF-{+={bQuHW!UR^tf=aJdg7CxT>W^|$;Z!MUH;^1k4{z(DZ<_-R;3dq75Q?f z=B;LruWKiRgUcWOG#RLUXYd`Xx7)$h%U3UY;&Ix^MXMK)=f|`<esi6y#(jJPlhZ?L zC#z*USv|0N@x|x-f9$<~cxBaj-}~-;w$5xF#OO#P2Jyqp(m@8zn8XSLhS;fRNtVZg zIJPh&2D^<%5Z5JcpVn?259z(vUOJo^4g&$1%7BSOWP)uxb^}R>ALnsOWXyFOmjvvR zIBl+5lAD{`G>wZdKYDMTJm`MDYwaUxhQUty-1~>szS3Dg-u13u@4J5Owb#4X!GrU( zV_?_(H7`jfV;dDn{p6vUH@u-`wqE!7HOXYKZ*A?eH8}dp4Z2Eb27aR!i%fcBS0$6k ze+DYaq_x+O31gRJ4l$D2D94GeBopXT7g;YCGKu`!B$JAlC&s65fxqmxR#v)_$>&-R zU5L8){JKnRb<Hcr<O_RG8oNffy-X$#ZIa0n3X8wA_P_qsbM%wfuf2ZnTJ5iu6Jyu< zLr5iGuzitlKhgd+tmVHFyT&fmfDcN|lCf(StxpZ;WilB=>ws7%@gB_`WTLWvUzjWB zlq}yHd4Ej4vXlS(qG#J&=?OpC-%I}N%CT;{h{(^|gqKX$!>^0Fd~qiulAznyWpz6} zqr(#HcqU!Hayrp9_Jbna3h4;CLVA+Ti(QfLybgZ@y-9Y0_+~kadUJ{{+Me!ZaR8l5 zc1c(R6CUl+X<mcuWbd?+2jKuZnE%uj=kMe>PcLNF<M-&QGD{!vi_9APdu79)%04%u ze23XTC){}!{_=aCGP_xG%t&Wc={lMe>+3@9Q$j5?Gy9rdcXJoIRT|D>w6D8$adPyL zr$>7B4Yi~2YIUaP*8_2)kGA%)<<D=}%kd`ro#~&tS^ABS-*-bTC?4T4UF_ulz;8=G z@lR$<=k$OZ-xS`(qfyRNsmh%<ua@rMpX49-*Ro&Sd4q*_O>h=SdW{zq`Th@d7cZyo zJ3sJv_eSYW^3CVv8>}5=F4~x;KN{mSjb}xGjhc0Pw9oc`z&K(PA?a>@pwqqlqM~37 zT)bT98~y6LCbprYX;0rPzsfTD$e--|z?-m(7k7T(w-;}mDE{oe=9}2Qq^uMin?12f zZ2;(KhS5fl$z&aTzkPtZ)v&MYbSFnYSg;N7gAJ>z7pAcw%^!>b$B)Mvr5Oc(^K4s< zv%}i6&&Bmv_qn;b=U&Sw`}Vi<m4dat-#v6_WyPMeecSFJ=Ab=y_+kw`Yim#b7o{}F zN>OU~a=VYnL=}kG0F!jIzpv4oB;W7`^a~HCv2bpV#R>^9<gX2-(th7`elQ4D*Dj3Q z+8FasmPzdw=YRhWaU0!pmN!ho&mB61Oa#vjAQR@;l{Lwv_9WuPHQ$s>-oEzaLktae z+S{2mE13|ggbp7*6z$%+M4s;nC&~mL=^zs%t96tX2Iwfpw7+LEKQMtjrwCrLpA-*k z=ml7A?wy}GY+Y1>Yb{#n%nbd+`hGWt)@*WcFz)!)kcpbG)y|ixKE}6I;<R1$6QKov z!+xR_tjGrj-|z-jsweal;cEx!CtC^DSbqs#Q6^`8W&ZcO%oiwEGf+gC96E$d_Q7kO z8u_LSBi9=99y0mKC+u01Ob9#8Y8OtztJ;(M=7(x|;%nqxAF_>k&VLU*&`6LB^#i$* zOy=e`_Y>hI6ZKlTzZW#7eXxvGgoi4t|4y>e?eiu60f&j5cC>l-P|@*5DB|lfBW$LN z=}mjZ>*)+O8+h?!bFv}Ym4Awt5!uMEH>L>YjC|wD7*D!|q8k#vZ$obpJw|fkj>9Uw z7*702TiE%R)m@Igu%_Z}<b_@$N%Q)kvMI}t`;=$I+c#3)g((k?t5s#LyM(WQsxhs+ z>tj{kN21@rr}&G6M&PS+%J)d4B}{R5p8V)BM*QNsKjE9XxD0(<5oG8Qy7Z0`yUQ}+ z4?h$21<~!B*w4$~{I&~7MsPuCffYo!(9HzA&s6wcG3)|k3B@9+vPpL)kkKl3#HVya zAfM##*~%~6gl?enS9lCSpHcXrI~2QYACr#4W$#xxZ^N&=A4~D_+p==>oOFj{q)mCG zG?Z2_YomuKJH}1nDKATS#dE@QCnb4=_Q$Ju-IQ+LmEI!pudLFMo+D+b&0ZMOHFtqx zTi*ZaxX#OO`LrzvQFgqncrPPmx0U2)Q^u=K`9R0}AiMA`+FGhv0cCt&;B8eddHw8w zP2=!>n%Yl$@8t%O!b5y#V2_*4g6B_fZGfO`Ac>~vb5Jun&r#B;<s)X5kfn%<>}|G@ zo1Yf_Oe(~xx0$lroyM=Y&Kh1ZbMGymT?hjnWH4Zy*g5))M<DX}wZD$Pz?+wCa>Z}v z`GExji6}|%vC_gh87IKP2|he|;H9bJ={>$qQbkJvk&^5Lm6beyL$e!1m`u5f-V4k1 z;t3lw@>tF?`64L0G1xh6J|Qr{trM_yx=!v)M3@%eBVZRPRxGo_^gJ~H-t(K03-umZ zdYh+Y7m|g!-`;C=>}jRfoW_;&&^5;6h8{WIEMmfJc$q`Nrk7AkOF?q+x-y#4Acxwf z0@t8QFrvA>NF|Dx6g4`t8VNyJs0?|oRC#I1-^IShxsR9zsU}!=#JUi+o`u5KJ)4rR zBA#HO{)6n{-+GZYG#XPH>nTB%-jDaH8`-iRk6A(~7tN(eS2`}%X@*$U!kP3!nxAO$ za)-YFt(Wd(fX^|_)HEn7JxS%MQsqn@NlKI6OCshx!FE=_9l(&`n6T#ag`K}iUU)i$ z3Fe|%SceP*l&U&WLFy<f$S-<<UpMpUI?+hDUZd?b;uC3-FTPTY&Qqmxsu-%y$$;Ri z=ovv0POqGWkF+Iz-lVd66eV*^%L&h!xEPF4j8`t5*T72zF?Brh)h8ru6BP9O<ceN# z(^VN3D;0|3Y!s?0TeMuSq>)fr2~v?=wsh9N^^A~g&*Ux!sKAzc^ObMin&`P)&mq!v zK2*ri3yc|M1h*)EMmJ0~BK%<n9H=6Ji{x1}|5k>F+KDTab{%37dHb2?T5a&TSA|G0 zlp!4_5<=aT|DA-Af@N<OGRRPu7I=O`r4LgoWphS-#WDv{Cx4oJY@KYW8t<{ajt%I@ z?3jZRF>&57D~oc*#+^%v(gidU4!E~+Ox_K-vDuO@#y9<qZLcI52q&4Hv;%Tun57G_ zKePQ`kK>(L$%aWJRw^Uk;!5%jDsz|!v?*l^PzB>HU})t*!&w1F=hi7PYJw0QC7AMB zak-T)D_UYeKT{^BiTYAxrmdI~nE)}p=!!;2PQp$IP9DNkw+)yv?;GZ4dzd10agKnc zlN$#GJj(q6`G-X-=-$oM?o-(qA0!XKif*TCB`>rA>K42OT#MbV?l{%Eb-YyY36uze z-9{s#(ovP@7Av+b7RA)6Epj00qtGeSFcdl-TkfuPf*o7JIp@kb-)zoi{YPw>nPxF# zE6>LaV8UWBTjh_!@pZZ^(`50?$Ss(*>jjLkWS+W=@%uy1=~s8fD$x}jhS)6=lg?<` zk*O)0;tfB{f$dzr!LqURG#s}6Xbm)j%y$$CodM4|HIJxjLwwIVk4W!jY2KsRB`8)I zL88+~lcXyE%jp9pY$^%1K91z$e~%{Xs$K+5$$+`8;~8u-Tj872uQt{e%6Q7bbt?kV zDY5bf3!N{`QDQvG1#3TaOPNBP3B2=p2+X`RO<WOW!L_}bYS~;MQy%OJa-WDPdOzby zC|}5J8sZ#Tz<|K`(D9>zv)-li7FvEk&kRSxn4Qt=<kx5HC5EP7p)5cPl}X|bp7kI{ z9@~LKs6)0gq8{1jIOI%osnfo%@}5F>N*epp67i^#r%(9ik}Cu5?CheE%@hlBEAb2D z;}(hu)sQz+p(uRtoYKiW4YRx==a6Ymsw5%aIGOMXuckg1?Mw$QJn8aCNApdy8?pS7 zhSrRPV;HVB^ixL4kP4<{v<PiB)jQ0ip`4ABPH1JvU)#fcGYaGIfg~AVB^H4LY_WD0 zbck>Q!4^$(Bfh9Ntg}d4f*Jhfd@MGUQ|wp=3J`P)<5`ZgLzaaZh8r42mWB7Yl|W<V zL{W3Gh1|7vBK2IthY~GquL6wa9jI-*ex#{91IL3?E8O)<kdq(hoy!&Cm@iO;pwDKV zEKn>ZW|9<p#||eKvL^O~>3ifLf_kH1jNcJA9meUus&OY+d%=QtN+7=;!UYOQd1!5x zpl4H{3MeEQ(Xv(i0*`++$F6dUp#c3<7@1-bj!1_ocZ*-nQCcPEar@mJ=p_FL#7@cd zd}c0qJVoZjVgYP)v<BC<-SAr(1{yMiYL=*d<YZp!Aecfav;G;w2s-L%1#0faBH8tO zMa(t)SUxvf%rJydwm?b{6UJeyRP^W-v6E2LP-Z~&zlOO9IXUto>AA+Z`w?r*nyo_M zS1HpTsK|*t;c3CQV&FCzA4_f1$0r>~4Q3Z4(xG&Maen%0Wb)yN;4RSR9Bv;dHR<r% zfUZut3hl@VP~;E=Ai5dci4%ldo3<H)2ob2_3G{eXgvExkVDxZ7=&?ekQ`;tUxu1!a z6GH$JE&?|50L)dk)X?G0d2DP^FE^b^Qv}eAUXGM<CHgxu3Rg4yq+hP!C2Ajf>(EJs zs-Sh#zOsdUEW3!4kcGQsW_K8{p{($I|9c)5EIV>j(I6Me#`^DNX5g=xPkW?oS>E=s z^z~LK8Or-w!92yvln+t5Jjm)pBO-ca(FM9jpay~#zBXJ4qVwj*u&~8hZnANB0G}9* z?ZokzRSYl-{LvP2${`3&cGt-*vV!rIft5a!3uS%LEXcA4qVjp2mC`_d{mOVTV7CQO zE*@;Z$T;4dpN~mQ(0#U|u(q>|Wb;622Jq7<SBsKX(`h|i3?GHDi{+}0Br;%Iu@q%D zF7`VTDM~xj&Uk!4k8I;{G2o7N!t1WceN5@C5ShE8|M8-Hb!dgm@LgJD4`{-jbu-*k zmeFP>hi1l$aTY|yyt9^vYUewl%+SocZpO_~D5iz1nDA7%AYlM;_^c@U&M-9$y2uRU zI1%z}Zi-;vHxnWga}~22NK7}Eh63$CE*bPtKxO^>X;P)%T0FBU<Vg_JQTtaFp<wX{ zZDg`k>}!ycd4#n3$Y5#(q9O{Hi_pd<n%TnQRB!`UE2rL(4QB`yy}`?Q(o^w`egc1I zhst2yO$ou&2Ya*pCX@PX=B@cKT@Ee-%@Del+t-3kcE%wiD;h!n$nr7AtZMfJVz$px zt{?ZA(pOjEEGcBex8(WaQtgwxvg4Z_<@rUw{R#y6<o(V5{(R`-(Z$`1J32M`L-%}i zC$dE*tDU>;CdA(V-Oukhty>PeyusIaTHpNSf{i-VSgtP`{nMT-c{X+|b0=CW+ff8~ zV9)+w6O_U)UTIc_+Oc?l7IXk&L8R})<zVb8Wehf*Pe3^B23ih!;^mTH(-xexrj`nb z1tFR;6iNS#4)SW;WR`VQCrq!j328@c9;1X1J<(tq--9DO70Ns!M68h~QRe1-L~k+@ zp#!6ziY0L5tr5(a7rj0SB~&{@O_JVqvzEjwIRb83jkawRjFZ4PW=s$C@&YuEokwgZ zb40#ew{j(*_0Y&yn%&SX@{!KN#2~xXoH?Ul`$@GaFqkXJ?!3RCAiN7Vn12kX7!e2m zp@mL))>8f{hFzUGZG(@!od(|w)RQRpFFMVA?)bF1XKZ|jwOz5)fQiBC%xSOoEgo+? za;J@m*)r5!l^ZFj_f(L3Cl?3p9ehf{=(>huxv79y+5zxqkg&YOc6n4)m7%f;mn{af zaYNY$&zl27xg#rZ5gg~y2{T{$PuD^yN{YtllRO+u>=522)-4?KCr)0VfdQ||s2<;T z-S!;IT%UcFEPagzOPVB^cOkr)U)H}`tfJxK%~OKeoRk|k!P6y@oNj00F#JcWdk=;S ze(|xwzP3`kc+@XBE9OW}`Uk-MtH|MTTcI+yx30JBHMaN|c@|Tzp8g>i6&A%P9u>ir z`M<W};f2d@u-itO63s7h8sLF~;{Rux;fo?yK{?HMjIv7E^*e2#%>Y2(e5$=_bGe^b zCD#SA^!$L~37Ibbdy=QP8oNu7MX=*o%?YE7%{>$cAEPFaI}F6jPMwfNZo!I4ToLMc zTx@Z%jVZ-V(0h6n%FD>N+fT?Q9e(Kg7Wq5mX)(7jgm$$dSbAYP7);T6qH#8szh|KA z_qWm6DQ&^<0V7BP4Hu{i%E`k3wYx%fX)}Np#Z10f+O{rAUU{Ht7Nh1@)EL4vrBEfI z1O^Y3f#t!Rx@4&`am-#1cCbJBnqzcXqJasqZX-`=DUn8Dk(c*C*z`urC<2ISMkOCl za6qQG#8T^Khv-}^xsr7XGcTv~3P!Szz4~&VtW?sNF<j0)$3;@Sz(cCglr?UiMl8<@ z8ez1x!eTPTN@j{AQ;dr^*iylGv~#2mV<zQ^*^mMLna_pXcfwR2@Mc9f&u?#sP=r5h zGH%>oYBhF-c{qfi;7U;TN`DzaDzBZcu*;b!nUm18vux5N4x7r|Y;0l~73z#pV#ntt zV(o^1G(#jP;tVk+K~s<sED09oh^w|4LTU7Fav?u5<9`}-L=c$Gs1S|;jWiK!V4aS` zyhkA3zHGk4qTun-jL5GD&=8X+HBl7i=?pVdHpOd$n4s0?z|(XJg#$6w4KIzt^CJa4 zn^9yw^AiV059MZ{_GHD9J@aFZ>NxX`YDO%iMWj}teTET?!N8Fzgar;mbgD7q@sxXp zgly>IsXpE$if5k0?i@C^>a*2$HZ?aZ#AA*U(}#k3XjtgjfdS`P7ue)k^ymDla<<tb znHv^qNZ3qTGsu=E2M_)1+`z171JBzmv1xDbSwS}lB8ud|i$2TQgBU4!ScZtK%&sfn z-&{?s@n9UkK)Sh)%|suaXZwpbr2v#rRG$np<xl(wvb~o?=u;8_4P{9ofh?V3=|cp{ zaUHZcy`)3QDhw6xqnKtZLMTDT6c_U$ekO$Hmr5#|wXrh1A(mN9ffR^%h0}<0ewjJm z_-Wi+IhU4DUZSx;$t;Y!;`A|}+rW6no^o?f0Izovv}Y>TcEPWf!(N-0&TIkZXY5JP znmnidtVMy?C(~Fz298G4)x7H6EVx+`nq~w4@0eQ{0Nd;@*|g01#)4W(ZI(6g*vC<1 z$|v%SaS!SqoNfzbU*@rnl!C96X+Ikpm;13)GkCe%YziW$%17wO`8~i@WRb*CbT<Zy zA$frILyL{U;*nYlFstYIF)wHJr8kcK6)KBR+;e0diU>WbdUCuXgwP98W1xSYP3hsO zjl)SzQ!w~qO^PuU6(i*UDej?AP#&HO3n;u3YCT*rVkGs7=u(PtT&G~3o|OOvq{<be z4*y=qELa&x7NDLQpd*CJ#ws?P(G@oQ1m$<4(B(aATOb<=jbV5g978e7m@Fv$^uv-X z$RNw;sQe0`jM^It9o%gOLzdt?3kMOyiJ&$X0Su6bJuFP9D?BGOzlk2Bs%iPo4i7Ft zVqp>M93n=QOb~0F1~N8G3eb@(Q{#rJfF*fN&dD0v0L6&X%K40-fCj#p=&3r6nj^JN zQP!F%FK`K<3he&{RTxb03GHJk7}3t96$#I;SFXlw=J2X+5eW-JDw<YKDU9?sfpg%s zHmbl;5t_38+=$XXjX}gdT54~CCK0QdNPBrE-x7iJ_N+<<D-LRY!|yFa*y1=#=!mA2 zN<?b_UVa`}$+PD9sXke;jUwqmu6)0CQe7;drZx%?V&uxu>ZFjcxZ8c02a0jlT?{2Y z_IzY>J==>!$j{50&fPg+{)^u4Y>c}4$j9>jX07J*;T!(nw&?zh0qYI7IDaR3WF!6b z73K|E)zjV{hmjqBl<9uD2w8K$j+e6?o=TVOnxZ+I7k;E5`2iTr6U#LeG4CuiC<iIA z3?6URi#^lX$h~0?>yBD@p0@}^s0Y3s!I#m7_;6A;^1nt!1VvuHi@p@Hj(oYzbVoc( z<Wbtqa-jMnpR;Sr<{$Y#z3u3*w`_;A+~<jt<hcQ?q)!A!AU57u+GyQXv!P2oYpDH1 zrD7DdsE=6V012^HRYYe8_DqcGGkak~BYC)>&2WFSW@M$(zrFFpgh9W(fek?qaw(Zc zh{b8%6CQvp8yS`a1B>^wTg`lD5yk_b7RqLpvYo@(18**~6^g>%*C(>G?<|5tL+uaj zo`GwN`eS=ao9Y_~*Av;;#Q13*oX3ov>BdOYS!bwe+a0-&)SDgKJ;j$KgW42L*Du@K zmNHnrGIdk4L*{W?o^$(`-=w8hK-Ilie&p<~^=I`Q$?}ycwo#}|bFrIu@@`zq1Tp6| zH`K>@PVJ*slpz$u<E=La-rj^8c*bLedZoQyJkv63MI#pzn}$Q*w6jyUuXxG}f)F`{ zmF#xN$F4#w1>~6RH<sL<IMO~>i+LUh?fMP@Rx{|yc;~_6%a%=C&e)YH!#iGgSKhz# z=EkuPe&LwCd2IK2jUT>?Z)sV5d*dk6B3ubE;u+~qLbm(s%fsxOKXUKw54^o}ZyGs! zyP8_<J2%~X@l*NEZTG$IkvCp=*Wk?azwzM@AAIzGx%}ow?r!w&*mmo0{=&WY7408d zT>IEd-}(33-}zg2{Mq|{zHxBd9n1f)@yOBg;72d{hsU1&>e%C7e!6k{^M5e0boAig z3xkutV8?&q_dfCT&7b|ZPaV4b;or-T`U8U(zxOu>-u)}D`qmwt7r*jLOE-UPp|O0_ zwo%4i*=H^}`uROy{p^q3*Ln1j^KQNMmKSb6w%l2~d2#-}f$v<id&khP-*Rj@?+#_P zBD9f7w(qaDzqGt(=wn$&b!^u^^yuq8{Po?}zVpj}-gx9=-Mc>e?uTCguG4q?(bS8# zAARv1iyz(be53I+Eo^mU``PERAz;?&U<Uidogwg6m<CQVaIAUkSlF@qs;l}RS*9J` zVV8d4!;Qat&B42GKew^GZCkc@bNxd{_Z(<6YK7w4;upU4(xVrh^TmC9W8jRsEfzOl z@T=X^hHnnt4_?x6+dg^AuEsCd>wHBlzkT~rB9KFZp+<vwh~Y3FUVaB-*CqGf{s=Ex zrbf=LH|ts0_Tjtl`_P^F!TNm{+<CFP`}F;Pxb_EE@A~C$|K+wv?!LW$xOVGr-ErQ1 zcPxLt`|e+S@x}Z8<vTy}i~rZ!efiYsKlHJ`2#?RZopZnc7x~kVfAXu@A0NB@z+Dg8 z-GBGj?;5;(@Ux#g_ibNzp!V5sEFQk^zW43izIw*412=wQ``aJRK6z{B#rd0-jxN8i zF|y5gO=9Q#?n8I&{PgSpUG}ejvGeF}p7(Rxe*VASe(bKJH*E`N-goxX`}@zB``E3= z9@*JlLMAYhe)7;i-u~g8<8wzCyMisY`~LmszwpvsXJ315KqiY}srl~Dz5ZRZ%|H5` z=Wo0HR}Y-_<sI)Y^AFZ1Y;I`y?12Ji^u`{^<b-~LOon$~wWGhdB)8$yZ2rX$pZ$@m z-geEs=baajWp?D64|N&`*jp@)sPBi@9enB0*T3_NZybp-$#M=#!uq{?w|~c0b&B)& z@te`#6ZFm_CtuIxK0}<npN^Z$&0N^WiBO<c+qDbF;I618X@ls>%@cYV>RbC*ZVmZQ zJarK#M4#yEJIJYOBBzt)8)lW>+@xJPw70>j>PVwRu2z{Q=QvNgHt>@i;+<4}>+x;Z zAMZuWaoaZQqTTeY>crXKNKzXlrxT!eZnyk+j9=CD@MLwrTUDB8ozA9eCOs+odRlQU zL)F$1CpzY9@vJH;gq)X+=Uc0U1`nZLMB)BvR}mryFI5#2R2+Q)-;?_Wk5@9$+3(fI zdo-KvSC$E9mVw&ZE^z{x=(OzKeYF}lL?V;HLrEr(`1#}Z#ATNu6T;Vd+LKBplS7lw zZjy;~@dl9zI&;W`6StIK=>hBU>M!hFn|whs`L5U~POTk|^*n`4BE{juC(ER|2eC>M znZSqdBHt751?t^`qZy&fDcUbHl1w;BFYPpB!lC6z!#$1YHmbppey>%>kZ4FLfIMHY z!R{TeJwYZLG@D(i*{XT#rz?Rfsd593=nf(auo33h4u6Czb-?#sru*;KY}YRHaO?Q- znaiN)Bb)?I{OieN-`b^L+KXbZE--=?O@SnnLu>PuOk#c3W7|1@#8K-P?zm&{j_*n% zH_9Zn;3o%v_oRlN^aM$^*H2;<XC}pM&U06P$OQj)3A=1xB&dvi`+8%DbT(fZ1`mmD za1C-~g8L9D17P4wOe57{)g`-1YOtj+#;(C`crEO$#x8I%&dV}<WtpV2=LZoA1*M<t z+lOK$?SVT9k;&e}^ZO)|x!ddsF8_IN9}1g&ee){iiGP($=JpM4k_oyBd!M~pWtu$1 za5fmnu2i;OY%8uoCVi60(9k^nUi~DIypl}1-SvJF36o6Fm#+SjOw^?=OARk2w@EMc z`^iLO7pl5z)!2pZuae-RvyU)#5%vu4iGbDSao)XO72VMoiDMcQ))(=v-x)a79lbHp zu*L?G&K{1r;i#K8GpA3*CkmM}>sy+_kKrHrxZ~R*6~4HlGx8e_J;dmD#tfO#l}=!z z)7byhF}xAOiMJEK^c27T9#g!0=X;tXzcEI7uDT<UbkJwCok^!KC%v6-M7I&zlxLO3 zZ;-}Ud-{fy;TDCj=WV5DI+c!OH{$0;uQhYrKbVtu<M)Vn4{O;~;-N!n(y{FFOgx?N zFw3}#oy1gj@gVCIk(V<~=`+T?8S=8`RMKNCu3Nv4G3hn3jE7FVi!zv=vKW8(hN$~j z+6|M7?<aWV177-rY#RCQ<)R08SRr9=v$AdS=)0to*PN`EveDIxXv7og@PLQDy6f;p z?m)yF^$=f+9^#F*diEZ41TEoB`iSTL&llVk?GM)P>fN3Afv<5dWSQsxtkeD3b3a(z zhyLP?@L>Ip#2c;qqZe_<qT(gpz`o039B6JtuMyf9CSAwoTpRty7T^8r-4WT-UpzXj zxJ}<qcSlZ!QB{L9->*9+o9_?TY6;YYC(3)&+m+bU)%}W8YjG08hGxphn;wtYHiL(P z5L>PE81an!v&XwXE9`aA5ARI8=GY)Sh39NfL>|XAm+%~wdqQU4kiOZ#9^c2;qF-)7 zA6MbY9<+fOjbD2Y#V-b+ZdQF8LHF#<_vxnEIIr&7g|(OU7N+9O*K8M-)*q}nlt5Yw z%?!-o<D(T?VQI8cdV3jtlsr1$laqr(Gc)oVD-+fP)?$kS{~^Z3!M^#qNrtotulf(% z@J1OYCkF-?A6Wqow$Q_3973O;RnOHVucB#(qX*T+ZW^lq=0?gILUzrMF}}{P@-+bb z$Bz#(>#Q_rfV!g+%uqGA_fk38KD>7POY`&RpWnm76V<ElsVG5V55Le0lf5>ewS<*# z|0kcjHQ_an2`ZU<N#ATB)=4sXXk8}zDw(j7;2yidLzT`q_ty>{;)ki)?Gh&rZ<fj0 zE|O)g)BMIfQr&lZcwpwq^tF(A(jxqj=0jbqoMiGdKQs7k&3CBrU&;(IxVm~6rc!1y ze{%4J`9qUeKdbVZo%?6-k-A9dO7PrsgNOINKvAMhZ12_2&JSq){D!K&q8VJ1W|wH> zJA@FlJ@`_R$s}KAfEJlRF~>|W*vGxteBol(UhX;k9)L`aZ<Yx&Ym`Z`>OzW^)`Pty z)=WGcD35>V;Ese#Ym=T#9{Q5L*&vyyt!=<VUm{&#(DogU{e*QE{D;G7EuedzB@^BH ztSX}Sy6;d7OLIS~F2yGnw;ZzG>fH-{c;8{kWNq)Ed19JklPVI`^9}t3)mx&%2owaP zOxC3Lxk)Dbu8!qmX!xNdlekKQjeGSK4D~#svv%8ib&|~qGC3rfgmsx5rz2fv$19oC zUOMl+PuQo_PqLSgN&h}duEcg7UZV^fKo-Y0eDH4X@#EkAcCW4L{?)^YH|s<v%H)Z) z*pABYd}l``lhj7mWiq61HfZcRc7ja$zLc5<V^@;NA-+1oD6{wQx=eU3lx{5;;v*Br z3-yyqCb|(8^gQ$j5ANO9yFYzq-(eQ-G)R4v0j<ovhiNl=8Tl%i5P$yg;V*rOxbt)# z<kW&6_-Pn%?AmvA98;O&VU5}~JlHq*WR!`%Z333@Mlu<kuQ7sd8oOSyLy<nxsBp&_ zADiKLHFgbT$mCO1KVj^mYsayRyCc&*jmk@apiY*_mp03Uwo%C>xER4smPu2*DgVja zKliy9&IS%UtKP8VN<y|a4k8{@#D7oQ5xSX<$YxizlpYy<|M-#aZ;($#$4Ljl$?4kc zA2mGqtQc-gVPzvblD;Ckk`dq2fn2}ivDxtK)Jnhc$367Tp(_546d&D6rNh2W!ztaQ zTWMLdch6!|_bj5{_{aGeR<`qxE4m|4M@V{##m6^n(4@2BSN!6Z=-0gw>#!gfxkvlD zXcsIEk{@KmL-LBYBM?}KCbpAfyz*bRf$xQ%Y}XQE;bi>Z(%-n@GcHC!|4?sN!q=l7 z;<~P6)N>3_{CP!(p5uO4r27{g%t1HO#pj2wW1o&cRCHH<x@3Gyx|L$$YR9KFX2*Nd ztIgskzuN4lX@ZK!FG9!f$Ikzsb$d3Z^Gs)Ue*pip;G-Q(bnA>VTk*5j30<Fa%n(BJ z!F%>Upeb>9Utog8MSek8y#`o?w1lV#HfsXjr9fg3zI7F60-vvfS;%MU_#BwbSBoGT z^96$=X#`$?m=cX&U{$&g+Nu);m}s><i*;KuBD7Mzs&$H^jKeC$A=6cB_I)$fYN09y z$Vld8M@TVouF5+gBgp813$Eu(b}oKIsBe(^h|B}{m>~U?!U55&=p$m?h*_=s{sxXQ z^H4c{nVu@-!Nx6^%UE+yv2IqD3$l27(0f$$5NG@-4DUZ?=)Of=xQ!wf)Wz$RfX5WX zpc(9#q!qMM&(hKpv;pk>b3YD!TxmhVso`RZua0_~gXpSBMRt+gM>Cbr=gSf66r=z@ zg&|vS@_^`dv6}KGWOU`xe3_xbDu{l(PQhz6{CJBU1g{?l5=})N9UBpnw`a!s<LTKw z{K<9@f|^$kICw&eB9mXh!gkS)VPZ{um9CzmXgsN!$(=zR5G77Qh(<&u4~U4P<%_aV zI6X5(*6V9$RGQ<9zN%Fb-vQ3xE1cJprn2E*P*8{p)MC%9FH#gG8!W@Dg0QnfZV~7F zqG#P+?>v_K_2dYR^pN(bdwEH`q=Is(T*;Fh{~wyp%sF$)TfgyGagghUDJZrlgdpd} z{W!Kpk%TGXqFywQYhAyTV~)oVy%&I{;8Y04z*}8Er!z`>N*x|bUYhgv(Oc>8wY)<T z#CS@+l&^9@4)~Q)4l=#dqiyiVw_EvxHJB#VopA_iPx@G@CMK>4231k0p(xiT^^n3! zHRLD&x@fK&L9P^O9e1KbX(b3f%2%L|Wg*A^HlRK<k)p(&O({%a<@V|cm6`t)$207i zRZF2%<(s95V{hU4jML}?xl1L@U93KwB&8O-aM<Ar%Eb_cGLK9T7P>OCH+yTn+0-1x z`zZwG?aZ$3Q{zCC^*amfV(7K8_jX<Gokp&|5B)gQ86SPpNd_~wVm`+P2EG(AW@8hL zkgY0o%sYu7<N;qe!stqjJab@UuW^CI%$+78N0=Ztm+Y+2h?L<a19%&ch51|{q{>Hk zl%Q3BTvKC}L_3(2E`(1Q9HLR-1!)G7xD>k#!fl2o`7ROM<;wEkuxB_&2u)aNL(CxH z!pdyvT^_<*n^=LFnM)D^MR}M^7_|62IOhzzO&vbq-XmTbE32;BYOFWR&vxVNlOHI8 zN=cb%2G9^|f>y8V(qWyAG3XE23d3O|TL}MHItI&Qi(=P+O_WS3Q1KF*vlG0kWj9sv z*$Q!;Kw4-2NWTw-hQ1jk=27rqX^~CY2%AlCal1$Kh55*)!ohNYv-yULAVHtrw=*Dn zN=Aj*=_>m8*~ow@&__dDjHmkO{-i?Yo+7DJW{mw;C<VAuXdZ}}5TxMf&E%Y_&^QH} z7(KsJfL2yy5F}}f(uIiKQp{BF3|0Xe#K=9PrIL1$x5=yrq&!tPlIhR0fK6(w4$@Q( zm1>uDMyZR!2<&=NP;EGTG%FO)F@{jLIHr(T8*qVc5}fx_i}_R}g38O`Rc+77;e1gp z;H*~BubL0FY^9(Yjui8ImPU{Q#~xt)IMryH^Q<rlT1hA6&8u75RB-CNlt;^(da26< z5vmMxF#}rBT+F%-IZu{Uiqq^(Eii(c8EumtF@Y%%Sp1H9Y|s_k$Ic@DlyU<P&dJ6X z{gojfF;Yq{dc2KCJ5tJ3C08LM>7qO#m<w`5wL=R^K7qGk-Z-d{>Bz0u`DFBXJtP+V z5`|DdwDSHLi>=Ay;iG{x*m$H#h4f@Q#rdYH5WW=(zYy}WFO&<k3xYMy*6ClSR5`p9 zD_Y*@(Y^#%21kn_<dbkW>!;8`^3Fyw$H`$I%;(`f*)(VWb%wGp?}qC|<7wa1)Yp?l zGkuKEeAgC;9c*zi_deAs1kdw?hETF75<OvxCR6r0<QkkRSw&)V#LRo);_hY+_wZE| z5~nsYssl1|k^&uuevZ8nJIG@eA7bSQGKxE?7uJbcs5y~AOgt4OhQn}@3#yUU(E_J( zsF}t}!CgF#Rz<UorE=g?YShSkaxGHyXo`OOZwChsanW1)^@1P~<b0u!D5Q+8LChXu zuB0M~NaL{}20=I`k59?UDah^(6p@o~UUNYw;>-d?&g|^-)tOZM1f<+^f>>M-HiLG! zmxJX(MKe#%=-*@nPa+f|_pKgQrK4$s_f@_0xPUuxG4Ic95t7KvvSW%L_;tiRr$Vb0 zqtgSf%GwpVEoc=bfPR8MW(H=(;;8(KnolB7JLdcv?#cSpG*g41q(!SIomSi$G+m@k zD<;<>W9ZWC(xEv2r<+0T$^z4PVJkQ;%1Mh68Lh?sE||JGTcSI5t{+f-j=q-#Mjl&{ z{3obmq^A<8dAc-xLn4fTskKXjf$`Ao_I+Nnm!7`_4ziPAkBUKY7#bq`oNH4a5^u*& zu8$+oBwVlV>o!y?kQLNJ>=HYNaghj<Tcip~(3$U#Xv>^z$3-=+%v9KH67ND3leHAc z&s!!M9PmBkIm^usOHCnhK9n|EaPYqkC45ym=}Y~V&*a47cd;Hz;wUx|LKh4KLjxfW z{rQZ_={1eC%@!7sE!mk3k9b45Mr-d&E&GONybm-@R_e_Ib4N7JGmbU`g$;`+$Fv!$ z+N7}<ritB&6(JR~FGq7Knhs5PW+IR1ASDCPGa0H=%`<E~Qefb(>Q!N;z^DhsKge|S zOGIfzsEF3BV%@djkPeMjwSflUu?3_sM8$2I>SHy7A~tPNi~?u*Dh7M6+=12+fdvLF zWve&3M~0ZImpE5BVhes)V$K~Q3t_f)ttg;tcBfVui4?QLO%@~wMNt2I^-=0wOciPu zv?>N7UJnj*JUVaLbh8ND>u2UY(cnJA$(?u;yy~Bq|A|#YC@y|*x|9^d>?mdGx0Q)S zwaDWWsNs=Io9xTm%iWee$c#_i%R$EHTsn`VNd%}<+ik=hR3eoqY<pUg>YwMUq}Jwo zu2^XEU{`%UYu5I7JS1P^7w7@>Dg17Nh{S6(R)hsGH5p3vIFGgrKp8~vl_@(U8eG#c zx{EXIBt>70R2UQ-rUFv+NDyS@b{lCH31e~p0h;t*G-qPo1o9v>qhZnECLOwmR<xYu zRa~0WdZNbn!$75tm#MnwSv~iWPr$9@!Z_jdGizR9A7wtd!l5w>nxo%TkImLgb9-=c zC74F#Rn(38+%#?Vis1t}YX;AERIJ>uQk=e8!Y-l~p7XL4r`=q&2h7}*FLQ64L`Ekf zx9iRMRyMJlLKs-@gMH=x+%@!T1@{<)f_AcGf(;fZY};7v*h=2Y<?iF>j7IAQ@{VKd ziV%{8Nx@)^z_nUQPDS+6)FOXq&C?mJ7AlpRu=W7DJf5701EbWh7hpwBAL9{wHizwz zoR|~{6&PrhvW{MxtKlkLJ;;@@iYjNJAwW<%PWevrYTD*(X>xHYyKa?iGA4o1r}7!5 zojIm2uapKX4YprKOY2-pLXNxM*>3I#FanC1ftJ!M@_LI?>f3B(+F8@{+%%!+jT>Us zD4$2LjZXd}8N~1JM<tSqI{ojQ07YA-=yAjy^z^v<vtznTY?Yn_ksf=C89mY*fy<eV z5knA%o#@JAxu}64$k2yrMiMuK7K78GMuD544%^bofqZCn+BnQp5>?ky{ye=x#ecww zKNSGKa57_f<%uGR=onJDlEg&^2P2SvOaX&jadVD422g~URL$zB>MEFW<@JI&KpsUR zyl17Yz=4ZnXHEs1i;;55ZRUT10WLm;w{xe%HsFvcjdelkm?w&R9JNtwB^oD5atVz< z&-5Y*!R*s45Q3E<P5fG`GvQJ?Q!5i7&lADnZ8uOvDDz(}`i2i!w`dO|=$Ma4-8e>% z8A2q07l{E%IaWk=6)3B!dWA_Ln2~{b=y5-BA`(@RPmFg`GAZ4}07c4`dn#Oj<<yli z>FDNShzclzO4Jt+5hdRy=|l`Q%~5bzVld?Na$s6j3z$KF!4WdfzBQu~$Dlz1p}3z` zHS{KECg_~zz*XGul_F9)WRN@O$PPyKl@LN2qK@tiaV+pF>C`0T%;VXBVD^rp*bgFe zscsqC3{B4<y%|nXN3ybBVv$%q0Gw6|Cq}Lk61@u8gALb-_+B`+kM1gS(VvuXOd{nf z$|^+>CE}`FRakrq>rv5fgpP354NP^>+fG{pG@G}sy4>8P6$^|&2oMQ*WCU|10-j}9 z2@cKB-mkZOI!?Pvsw%3itde7aR(_UtC}NhH_ofMt<1|&&DhPTHY6Ehgv5?{JFHf<E zu-zuRY@&ez;IK_ljF?=+oM1$L5Lz)Og@H`mN#=Tl6TQ8DyE(W@rbp;|=_Ok=MJh4R zgsIHL`mCSEOw{P>h3jElJ84|>Er&wY<WHcn9qFkxWji;UJF&>wOynIO9edEt2?OtD z83V^i1&YFj39Kx{@wkLq5JX5qZV69eN9ju3xvG#pK7KK5{SGI$-O~u1BN-M83alX3 zP;Rvl$3H2_C(lSZ%!QW~dAS&3$jL?tC_-5dRCe+^7xN<-H=$c^9EfPx!~}^0<p8K& zxDcDdTf{CoF&7iZZp1|OO^Fz%il<0`MT2}&x@P0B0PKYDfJLJUS0Pngr4OLS3Pju) ze;O?eEuX~81lZ*|n}lK*Q}_tKe6F4`QBOnc@LGufa-9IQ@e{yn%~CSU)EF#@Qsz%} z;+&%dHbdO7D73*ODJP(1gb<y_EadJ0?^aKdYXLBTvx`zt0ScW!LpFaKxD-&A1)5I= ziVl)qlo(#uP`?57NEA^qeL^-f47R|pl!dZhhl-1vn7QFpSs{#^suw#)NE7cFKOa;# z{+cbmMc}fhmUi2CV6+$7^DD?H$fJK_9ka-bGB^^f%LHA3gaQaLJUXMHE|);zfu`eA z2^usBR_o(gM*OQPnp0Tt1Yi`6!=vio0~)SFswYE%w7^x-a8Y3pGNPlRuaFzTqEuKk z5`A<>er*Z}a+7GHler;+Dz3s=VTq2poyMW!)PuaSvB+fE#o{cMk_7OmDF|Y5sqAU* zyD8uediKo6hs&bboaipwn6c@9uCC&4j(2T5JpqN|deF)KrCr<lkJednjg|TAUwA7z z%2?9|Ddm|3CD^j-+!CFl?(*gXM#uy^#Hvkx!NAY8&O7a_yjfeEv5C1iL3{!*PmQ;s z;|r&uc(oNH%X?aXZ%?IeEy@d|)VNthDSCkgyYsx3xQ0KXLJC$GF0CnL10mAm5a+<9 zr^BoYUndi3f+}7l7DoME9{%(%PzGW#j>L5q#!4NwAR+}sF{)t5BED<FshyNKv!><f z(6_DNRTuPc{#v-IqmSSD`T}G=`(oa)e0g>$Z!gg$ieu$KF<dM!y~`Rayn4LRW^FE% zz6NdJM`83U0v0m~YhPuo75r*5FL}ht#`A%RYYM)fM7r!>{At;Ecqx7hF2#pBrgTBK zoSvijpI*+jPUhuOaX=rR%tI&g=@{QRY*`Tq({CNNm)pxW1N~`(+Z)ua+`hfB9WFKQ zZ{^!vE9c3x#e8zUrj)$JW2LqC?8)=<UCT8FT&rDkwbmZO#(Lp-zGn|@YYgpsR^}x} zJI*I~lq_>jUXG8e^(oz$GU>YW^ZEsQEO)I7K3&gS7u1Uj>KFX7LNB=Bf_e+HsJDK& zUcc~y?UKp1?c3|yDS7|)fxH3aHTp@t|E42L-R$N({QlS5KXdo)Gp=g><?YY6$40*V zkw@>l>&n|daqT0=jy)1?X%@E+-2TgF7I)qGM}OJ)zu))gum9_n8yYYCe*eFDlNFsV zLeCZ#EYL_6^OdD?VtSAJsqv+*wLdeSKlMmsVtHbB-+SM&ea|(;O<%a<jxU^d&RMnR zPrLQ`<%w_n8<-mSrLhRthi)5q?>G6@?2GrEcUST1od;g^$Pd5t?l)}juT?U+{X@@h z-*e!i#VQ_F0?h|UxsR~EO}7#<`ZGX)s(0#)4|4Dyn=xS;I|zt`+mcn(w<+BE6t{{R z=ZzROPAf-`(warrkhf<?5%r9EJyU$Vb~NS5^I<)xpCl}SDhl}@72&py#;%>kwxT{z zziTH5WHNgGP3SCSo%-VZf4u#LyG|SV=*Ql7$MXk1>!123Up#Ml<ml7y{PkluJ(Azj zJaTLIwnxt_?*8cC{g>PS`i=kjz+e9#?>qMFw|0N)x6%1*TL+oA5EhY1x%5oK6?^O- zKC)a+{G06;HlBJUFP9#Cu=bv7kjcPJUl_dO)5v6pWb)04r;teq6<ecpF1xMqp>H<w zH+|+4+kdrqwLkM0?t9~h-u>D`*QS2L-LW5fzR}7D9wg32JUm7GmjUOZKiMfrn7=io zcG7Dv8@3j)f?oJ$H$7TK*;Jl1aZ{?QJkcQ%{KCZoua}kaaQn~Ho*PsW92Vs)s?MbL zY#klo7_STgx1%J-P@fI0p|h1}*VZ)&DnCT?ydf~1Ek_Gruhq~x;BH3SyXW_7072}- zZrV)2a}rc%y=w=BCk@V^%8kAn@XQM`&%H?I|DT|JX~FliPel_W{x=vYuhTEv%R%rM zonzn?pQHV(y+!AO*JUza$z=W{nIM9cdnJ=WPDAhFfcfAd-7<tIqfGV=aRU0=wY4ad z|3ZX~4XzY>lPMhoV6Bn~S_hR(u(`ePCr6O!^M5V(iBL7$7{Y|afn<gERs)6K0YTGt zy~<;Mq&eE$ML1V=nO!5gMJ7nJT0|Zb%C-rMJ3SQk?1+>xvtMQuT%R0kYjK;XJZGQq zMa%x3J5#Q|J)HADafQrDGD)&|Vg4<Yxf|=Hbm!;a9y=5=k+w@Z=Pfzd3zD7sS^V2~ z7?~W}%US<JT+BGQ@6bW+F4Y~Q`vTgdQK$DS+<_CLoZ65sC;hDA>1Aj(iox^~e)OAp z^|MpRq!NjpBooCET;s>0z3W`@{Ee(ZUQ0f4m|+aZrBR2i2|zslzL1YZ*-54`5lX1} zXrQsHug&6S#9sQb@6qnQSMq&V*Pg4wdt+ABU*_lE^2BDD?5$+N4Y4Q4WbKJ`F25%e zPUX)J(bJ?KE13*NnG7;^J$R6zOMLx4GLbGPGO2Fqy6iIP{%nk0&oXumd|oozs&MXK z%;=38vQBz~>Hb9NN}`V#bs^ch?JB&Y+d0)~^0IiWxQ_c6`IZztIL0@JuB6uA<7ux~ z`i}RY7x~zGsyiW}<2{&hpJUWVoc`&k3mW4SZv%K0Gm3AzvZWJxPoq=rS9*zR<m1?C zo0G}E)y&iWQBQXgeZ-#~{b1CQ{8{Nr_Vf{NKzDPZK)13P^%?i0mpIBh=n>t^h|c6) z=xWBJ71!aHp5t}h&>Ve4I-cQL=~n7a$a4#wxLAxb`9P<<f|orx@ugFF7kx3}dz@`X zy+!u)lWi%T)=u;)uNBRhg?B3djhUhsbF0`RkM?SkRJ-27#kgzpmkx3Z?F+gh*Y^I* zyvC-XZ=Z9{UPifx9<pqbG0oPpwb)Ewc?_xMmtOj5PQW+6_P+@?eExIKS(&~MutB3) zgRzQvr-p($y6E!{Jrrzqc4o&Wnrcz83A3e~`FDHO(S5{w_r8Ty&fdXG*Y>@@)L+Sj zIiDF`ns^%JHJLvZ2G>qsn{3U@RQEsj64`9Ol1yxkS@;=e=c6N8ax>>iz&8RYgCNR8 znrGo8!5=y)$`<pURei=4uIn!Ky>CHH*yb<g8!UVKzzoj)vq@oMKha_d9pzo>C$;%3 zG3q3CZDP;MWU_Zfq1k~;Vn4C-pLv#k@-qI$TTA)cN$nu(0g80TlTABr7i{h)n=;vo z&NCN!wc3l$F#Y5ShO5C#pWWA|ev)Luvt;Ziq8uFD9<LM~oIibyt)6H9HvI(AM!1}Q z;^-%*)$+#R%lZkoG152IWwLf?mwM(2KzX(>_<^F~so(nDjrH#~rt~C)UgD3x6+7Jl z$;;sl{YJt$vbv*+*Sj0C*-Izt8A6{s(V@5KWfvS&lWpI~$D;jZO!?Wa!^(;;Cq2jF zmWaoQ^aLe(KA8<&kDl~C)uY>Lc2=JA=bxFd00*jj7;9hqj5c)*y~K(4bUEMh1mWFy z7o@{B^cyE)xbznV=r2Y`xG?HNF0P_)2)gz}$BVA^wId67SzL8yl@EH2vnib-`Yk{w z{1wC_{XBj%LJ>d;rU?gck0rWDkH7ZT7`i2mv)*QYy<$PGoSpUvdTQCU;0y!NFC)2J z<xh49@tFZrFAoNEy_*dT6p?fzCdevwA*TSrMF^PbU8cOf;1i=NM8fLDiT*8ToONNU z5-(au9M0xO4SB<dN52XfXnGLeWb{1I?lDoGjYLLp*TAG7W+aQ!_7WcNky5HBq^*$j zdMCwHn*ZHEZo6((yX%3MBeCZc`_Y@(4qYTRz9Eep*bqcyc|yu`8_rX?v{{{GwK@Io z-4ixbo+`~BWLy?rb)+hP*vwTmRJa10iyo_&+{-JvnQ;X7WRa6rjIVJ9_o=~E=-eq@ zirY)!)G;=&alYU1ZZTK?m(`HNqI3DU`C$ufD(F<nEKaRD(}kMe26uH*n-L}7&8xHw zmt#e2xwmnVSnvT;Tz2W~4=$wYEP=ji3@kSC0f!he&*>&t=*}OV98+=y7;<B0d|lu; zNF^v@1RuLH=2nICem5SyrQzxR5bqslNydw!$+3O*xHuOUnK<Kn-34_%xRJ4&4F~;9 z<T2+eg7=wmBA+wrbA^UI4;8zXl1Os~|J2kRX7rm5#iXN50US!9N$NPg{E<w@^VY$y zyON_135AnzTgZFHf0?CoaPTlV-W}w;`ELY29jra&X`D-KCuw*-wYD(Kfs&}nlU>2t ztFn*p$v16^Cxwp&PONfYRbN)l@S>S-u~=ic=pP$rA&XoPtRzQk?+*7d6fQRFW}M^; z;01X%$wHa}D940|jE2OLMB|qdHY8HJ0@)K?zby#oy_HJMsH$+5D&`#ju@KBH*dALj zc43j1M?7@`JtLB{1Q}cOqq%pUplfOQx+H}mXk{#4$@~K@TSN{^cGc*Vv-(wq?{Dfw zk>p#zQKf4PcO-}{aM6s0-((ttSQVlpUGdt<G^SSAt!SP36LI8j*?Zu7poXvwZUQ_R z)Mm$dZ30ug5L~_59EWCNYFb_?B~_$Ey~1V+riX+n0uI1&pAjTF2gT{J;DT7+^k!{* zg%VESWVI#vg;CsE2rGvA2y66Qx)gXE$vAVzT8x_x%$9}5-=S))p^}PvTt4LC9oVDd zUiE8p6lT(zPaqD|`loYG(CUg06GX)6eH@r<WwpF>VJXnvo;hD>=>tLiFtW88(^)WA z2fN<{I0#(_yh26r$X>$O1jxdSf*HaZuruf<#ir1$=j{J;nqb?Tza*PO-H6*QoY}00 z&E?tfvV8^(wXoAQ=$IO7E!gE=PPV8p1&m4=s`3j@Gh7AFl3{`mW^}J$k+4wual449 zBfNrwJY){HC&Xz9kty~%1TEm41x7aq5-M5xCCE5dshK~f)I)AbySeSbF>`L!t(MfE zw&=>cLSByE<CwjdT)~LLu+k2V4jc;{CO|PR%ku{VlTPXG_lU}I&llaHO)CyeAvZMI z*}d0Gu_bS|nzadQbKRKNd)nZ%HPAM{!W*rnHWK!!OSq71!Xm)=+*M;2h>}tvE0^V= zb$U3K&x*)ZKe@LUZ09^eD27_O>J@@#(Z!b!5QL{)+c906@)!=cGR8MRG+Rv8S6vz2 zG~tUM$wD?h%2kAf`@pKKWTr3Q7P6@idgk}wyL6^|rt$^i+2V9?_32Bb3Te4t%@(~W z9-wvC1{_;Zz8gT#WkRyBj&Vq%LAlluThH;TyP1L+!<%-!3vlWBELaiX)SaR5Kkk`z z3;2gU*O`FllifO!GKQ}6xQS&1mG!)QEL_s!fPL9N{n;B1xKA>^AYhVWzR{esWZQO& z^XKC!4UW2gt6_1Rf^-V?5Rxx^3)4J4bSy}q2b$xXi{#(KWeBK`Q2Bef9`V+&U-eei zjB|^g_6R><kyook7k-)%1||X__x-+4FGg5KEyfWh@iJ=fEw)7yqhr6BXN=su<H?gF zyF5a$f)(HU?1sD;F6)&Hpp0m&!dVAG$t79@of<fqKFlmrZQGohW-DONSzdwf9;pN# zE?`UrObylO9+1swS-5srK|#FdA7F(#SHhzb?fS5^l;w!j#kM<9<hIu%ry0nW5Ojew zebn&*(a-{y%T|-T)eg{du2hr=emQ9H;wi{u_?qcN(MCC6PW^+AIqhGyGs%PzV*IBW zamF@RiO0p8n5jb(vE<{WH1CiHCM%%)j7XV{U72FAVRc=R@sxrL+&JTsV-=><c-WTI z-Ni)W3zo1qD^V*_8j6YEHAUfg=`X~~fR`${NZGlmfX^vxWjN;_!xb;4QA;<Q&C;H| zJYa1BJwkYvt(5u01poaB1vvT#mURb^O&M~>%&`CwZMO`w1O(Oq5}O{@Grk~ZMlir6 z(#?hoJzXde@kWcj<)RleYRyH@hLLEY&L&s~N8kf!t*}9{)m+7wi;xa7YCKSL)GxvW z>}8ao#hd;kBsMk?RF5tWEP7H0+A=!LiGUgpE=04Qm^xTM7EKqFY?P{SK)?$eE6`V| z5{igOC!-zkFH(pG_L#T$tz;Epi^5=F;3!xlHTmk0SQL5{Wek%4sb*QS=a_DKWv?B^ ziq|a9Ho#R*FJ2=suX$Zk%N&j@Ni?U+AR;e6Hve(pl9hlqP>y6*^}IbhPe~<jz{-{& z^lCy|6n30GXN~0muLg7$+&1=FN07;M>6e;(mgnlxe5o@q(J*aOO_U=YeRd6r9nhlG zN|+RGk~A5IvPoOoBW!`LC9AU<k9q`Hc41@GP8%NMhUn7I5x&g*g;*IkdAg2X#5b8_ zP6b4lTuQ#7;26Q)Up6l%{`9Fxw+3$4kG{Igw;K>eyBvt$xJ>n`2lM&T$k;aPOt(TO z`#aZ-wmT#6J$_p<(uh}${q@CmJ`PVucy9P=&Ib*?I`_lN{kpNf=>J%4%tn^;INcBX zu}~Io-9PrY*#ormP4jusSlB#PE*;a?dp1FkyTefxti^n~wLSl4&5D1~=+xZ_bRyeA z(0KS~Zfz`oYhviCJI=oSl8-eyJf8*r>WgPA99#Z_-3Pz1{J!@+|E+g^<h#Fk`{&PV z9PQk8<TZ`uPPQ%k$(`n}8Qg#7r*3LIa#uIpoweJ)*LYy|^#A&fM<2c7EkAt8_`u+| zww>QR^Ve&9C8P{z{)3NvarDr;5C8Pj{pIuX$VB}FS!DHdAD%qA-A0dHzWkpCMus+z zT|taKS`QsGi59oN_jTJ_-`tjc@aNC|&1)vE(oX3Xg>!!Ig>GZ{o7VjDeFNt$-&qcS zG+RI<Ru9WxZ#;6%?zb#`?D{>+|KUgXefJZ$KYE_EyQeo_(_KD_%wDq{J)6P(^^c;1 z+9{Xresx&->XqZ&H@`Ud=u5BK_RqH+C<gC7IMzJ#ky_*7q6|9@zvkZUgYW(ayB|NT z@u%-7=b}ssja{DzlSj*P^xkuqj~A`8HuITs&`<KC9mcN31~S?6&23{J{P}x-^BOla zy_s-pxljAC_4=%E=Z&Cu&Udp7y72~`8?M6BaZyh5ZU)`YGsNlDKIzN}YMVoqZeQPJ zmz{t+!K1r4URl?NTlgO04DpE<cAOA@t9Y{g>or-?xnN+kEGqZ2x@w0jh){3jwiW)8 zOhmm7dk2$D_HoZnU%Hy{1etJdUotuA=x;B&#QTaeiRD5jgF4rp3fYUd742PD_iA-W zx^se4$mE6jCv~(Ne$tLBnQ#Ho3H_v!$=-cy$b>tJVoEW=Wa!?XKEgJkqLaIxz|GIU z^x`(w$I^p%85|O(ClgzJS@;%1^i}5|xfknu$b`F~2GiKZ*BX2MBx)jTW=HHNNRF{f zw+x*$b`5f~6f$}F*d-kcg!0`N)vZn`lSoj>q_*{Ca`w-mFUV?`FML+EtMn32)Nd^J zb01>7$ML#u`F>Wx#T0snJXKE5aEra;&PVhOb(iF0!js-K_T|`yt*88H=_MM=;*{># zrn@UgZqYW3L(frnRBnPvxjEhRMdin)C-H%#4;h_X)7bSpH*){tg!C0FyQi0!?r=<Z zK>pA`Yd@!eZe*t_2S2IH=5>D}jN)F$aP~!o?Qg!nyrHnua^a<~*yJuq@l4@Zx%3i= zFrsvYXIpJnHgCyh^;ya5xJxqn*A0o1dl|WFk|wikmh>5UhjS9$dW0?FIDL>?>h@0V z8yZ3<h%q95Qy|uD5bus1wK?56IMi30IapITF!)5$=9hbp2-~#ELRWf?$GbQi^<CD- zwQY=Ee4#*hPfGuKaPT?1ZKkiUcF^U=zD|sN>vx7Jo$m?$p%naEGtr*eySDc1_l)oZ z9+>Y&ojuAV-fzB1Cc5i!$ByUbxRi77OMOpDCUFfgzxRnd)+>D|%0#l1Ovc)6?!a3^ zCd?dz`*dS(U*EoclF7b(&+)ENGAYAcQ=#nC#Ey`r6H-hPUr&0&vHIHNPD}B^UxrM0 z+T60R^G+^u<O>7e9g25pZ<YzVroZ-U&mCfJ9{kcnPadwV%cPrRqPhN%J-N1a8SnIU zBjMWE)D+(;Ks!`7P9FNmKFI|CLCIw8*TS0h#qV_}{LsF*%L`wT3E{d(QYJSSz6`?K z6Y34gnweaC``}iVNpm}`KgxvX2l!Flbx7?QyVic~*J_7!H|NkpwRM@S4R+($71zRZ z_GE3F)>7&xYjNz7&aTET-9)K-tOuh^2G`zDZjwpg{63ev#N%k9*osY0IE74}8BBzG zyV0I$B9n&p?YAgokDc?5QrBD!opdkb5O^Kn{aC|O^~`$uip`4t)PAd{`x&JV=@hT{ z6~$*i_fz?6aB$oq$5MJxB$*va`ijL^<+tP?`Bdfa(S0m$5m9=QTAF3`xF*B31>tP& zV_e{5d-t(;FC%)0pNaa5fqNGhcu9WC>StW6w(ebI{73K6MSA%K*z!yNF?y?e9xZ&j zw1DcwMHf0^p?}z!bzLajkp=KTC+aok{T(0E{YUtfe&t6WGKNR}MX2-JpaUv=XhXYI zVfc4Wu9+&EeyQ4zN540p2=nUb1Y7yw%7|Sb-1QnHwr^Wi=csc!f@N$>4;qIH`s%0< zS5$D)wBV;d!Fn>$t&@_Sh?has|88L9uQt~=OZE0x`Xz9EnN2!aEL`C|{J|jwBE6H6 z%SQ{gWkt^Cwh5kr!+dMg^o}vHGl!<<l3O6V=Z=W~VTc3eTpncep0+6lAr+60Uk_;O z@Uk~l5I)Rgpg<p{f(0CUB?ZF?QZo4zDI~Yho8YNU?w+%kZqMJdb&i7jUO0)A;Fail z^jpa;|0MJ2MZB7EYRIX6@<l%akPFKZGU1{n8s61PTv3X$c%i664i$luAR{Y-0wZir zzlS@~{vX|jIrA&9PMixpZWK*hKmZFHEwc#pg%Cvnl7IZ1?RJr(GUBl>B$t)J(YWVw zpIKuP8H{$h6;!SMMCj#~u$;O;qNfBx6gMq>Qz|lFf%=q5{GcI+zhXYi`qAkbT`kc> z<ZHfZWTFdj6#$+oxXWctp2zPPG$oNBB(#Ep9k@bcUZ3|INy++~H=~M>eS>qYE(;-E zG0eB}wbF)KKbB(gvOHuJHzvVm+NDfT!-^-oG9M4sLj^z(!DpWNtLGDHvpdmj4fD*O zqaJfNP(8K0kd^mnbI{M}J|G>jOZ5(cc>;=h;8@W(CnKSSUJaI`5pnieY0mjBr%uDN zZm6}<QG}ad=FPr2jn;^tpBnMa89qfE<&y%DsN-*pv*I9T3zAG(Vz$jst5n|Zr)W4R zhUFPPE)K!FRiR|tma2-o$?RkceO%`d*%k^hq#ncQH=GWFNW+-;RyGK8mXDU)jnP<X zmBo^U@dr2{IopKHsb9cl(cp*oEl#cyMUgS}^dd-RbXG<WGp#TR=E)3bct$v%fs1iG z_7;WHYfQu$`@1|#sFhRALR{<fcxjJQku9LqF~sFLLYujVF=YsxT>$g}4-H*VNC$<W zfO-TXB#A7HS<{J)M0Ona0yly)rdH|g$}7G{3hWB#5sDY{#-*%U7z|)G<Ti(Z*ix|V z-+8T$oIM;Gt1AOOh&?xPBqe~$oU;L~k#!-KGh4ystb^Ee>bh;59M+T`pqnrrAg6*! zN+GvP3bu$tn|1c?pha?%M@%9I*CDm|B#BQ@1dIsEF#4S+BLw78gjSTi6K0eYWP_#& zZB@wMGi&7(3#0rN6AQQk<L?(8^L;h|BZUwYs~mtt_oGjbjFa%#gXD;w&bk-l^`!%2 zen;PwkTW*1JQFM%Uy#nC2>ruDnb_T&%)-}V&tU9wre2r&i6fN1$YkiESg|aVLHf~5 z9yN~&<9i_ywWSQ+J#{&k*wmA{P1<zs%|2<h$+R{ORs)?|kg>vANu2BE4nK(QELOXP z6NGas?N+0?XEHeB)rfW5Wwrui-A!fAAUNUaSW3gnN&JQs66A5r!jw&yMLH?K@?$vK z{6c;|hOqZ*p&@77=#P<v-eOR8s1S?}mbZQPsA)UVY6V8qIW^8=u`tikQ12ogXL}2q zE1KEF(o*D4A6h?IPz1E+!no8)%el~;A^|>R%S+(#x^Ej8D1bj5wftPVSPzPY#CLKa zIZm%CKwAqf=JVBpghNY<jKYQKurT1;PC;8$8dMZG{a4KI&mX)#e_U_JM+0nOOzCzw z2T?W_S`&UY|2RxSQhCu@fmus1OAAt8Ai4a0l&)AnoBe38MswAheKo%_<o2fxT_M!8 zrQ)k}8Rr7G*(^@Dam!Z{KeH(5#C|yLvru)NK=PH7mXg~{?$tERwpr1o-}#+peu(Sr zLd0%GqxLj<37nUww#G5gSVb)U(70~pkwFpJPGPwFBafQr!!piX!`#|R8+W9llMtn4 zZ@Y(n(rga*BBjtsa%zMAv6w=TG)t?d4Hs49Yk81-YcVw$rbW<VdzsSF47M!1>O;*C z%q9YDGLG|EF6kg5EshJ8$$e?=XBdHR1oq`QGlpmeie{VUZ(V7}`FjW~Z*|}oSD80v z%dBnw(%l?AZZ^lkMj%?n`gOk?J<+AC&4H@fFxRRQkl9;fkr>yaPzc++aKpRRp#Od@ zhh=hYqY&a_<syHOhx1p`Tz$*=$Ro?WpJI%+1uNjwCq)K_v#3u8r|E2L0F=HpT{aOO z_u4f(B5`y-&!odsP)vCiXAgw%Hr4Z1yJDGV80ESry!1srL^Xzyv38g)FEQj&I&YyD zXDiyp`Nf{%F;-6Zt9H`+T)qK^pDle}u<Wwn8N*?Iu5iHf_gKkvJi)41NT;c}X)YUs zRm|ktlevKVscN&VqB?r?8G<N9l?iySbT)t%pyhH8RoGb0%8E403ClmrYGV=Fe*B?B zb}JhZx2CK3UDc}ydOj{0S7_oAjX=)0TuR=E>lq=i*?~lQL5oXzUWwqN*%AezUfPG; zPgOqHwN_|bsF7%-Ac5!zrezkucaKQX?g=i)o5%*@dJV+U%r0j?ZM-<9l*39dXHjl7 z_^Z&ck*lq!>ZSG1I*eSk?%eDMBj124p;$D!2&}b4mt~i{4l<@Ddq$HqO&Z3r*baQz z;|S9do{<cS2)siM<hrO~Z4=~7O3<Av>L?C{75aEWxlO%oT7Hq>-l{NqAU~Tbp5M%x zvjM%Sy1j2CFj9pgoCdoXQ1J23=+co1EQ09IpUR7{+{{BZa_ce9nhekFs%6H*BRgDu z=qxtB(!YGY9q=g66@S?LJlc0!4Uo!_pfqBd0V}H>PwHUw%@+DA48Ie*#^5D_np-H^ zMgCJi^>Lq<vz}d=R2~IxP1y1LxgDByImMx#w7`N(4TJw#5j5~*BLNlZSb5f<H2GkS zRkk9t&arKIA4{&FBfX{17`q(vZ#L^!?5q!7KM=<3Y`*(NVy&Zjv;V={LN!LgeB!X4 zZ7h;3?!1G|BW4Mjr<o9z3SPR52wM~kd-RLT2k=e&=Q&!$;v>udFL`eRXIW7l`mSB4 z&SCeNW_owij13}FeQdB92{w!hdc92b;YeCSv>~*ETy=W9Tz})oH5f62iDBxTIWruF z5qr!)2r+md2sk9l%Oxgi#AY-|3@<>g#+yVDxrs3*FXHus1PSN<SM7cJV`fkj^WOVC z-#Ta4$EsDUR@JJny?52Fsw{St^d}UKy6L7541L{vWbT6N&I{~`J4)U%N^iEiUEcZL z_s*L;@14Fb>|vXzly|n<Eoq^X=9)*_ANsk6KYHy|*FS5|zGL@abIn(Gf9TI1UO%++ z?qB?e?(mxyKREN69pC@T)xY<K<6r;o|B{_pdGh*?eEvPZv$wS~bVi%ILaq~d?P)TD z+r^X0wzki#(^;^T^85Gfx$CCq4BKbjH2Ol`4;;67+r0hDcdvb7#|NJL$BQq1^L5Sd z?BA1qrsIA>6+*VwJb(R7p*Q#Zhm-5xyl4MWQfa<r|1NYAD%TC|diC6fg$wqFPCzHE zq^<45G_Sj6&lmptz@I&Q)wS>a=&^ftmhivxi>F+8!3WllyXy11$NkA?e(%7?{^b`R zeDCi)ef+E6@%;3r_LJ9t_<isB(B9T>1x_x%s@d0x+0&Gy8B{0S#7K5^XQ9H4>iaw0 z{nsbMzk23}k2lAx8%&;e)|Dsj*|hd=|L4d4>(f`vH2xbWZSIkSchGu%Qla!#d7zQc znDQN1y^XqpH$t(FektooQaD-PtFW{Nzwqmgo($JsaQSxGI6PI_oUga%NRHakM{ggP zJD_pm{w;MZL%zpI{gKZy9cL27(;0Pt<j~O2rqbr&p;Bq0?lM-e9$^jZGRyyOyN>ld zU*8n-Q0N4AzJNXMeOQ^h;uM;q>_j$iE<*FNq?k%5Lx!GWpTm092|K?^n|rexH~#F; zZan%x)QO%mbO;=xlO?*|tKO?R8H#)HI1>e(tdIL9i2oC<lS*xl!xLjE6*`%lqp9SG zL(1>acB1R$b3!%e*c(?GQk}3+f75zaa-YfBGFY>p_yjsxzf{(FoMrPFbTZV_bDeZu zzV46Q#5(<6Pq{KJ;EdG#yuf89KS{3A_PH-_U)6VQkB2zLJpK@!9HH+T(!NV|GR&?9 zPG@AjebILfE%!->l;0sb>C+7jz2F6^6ZW&jT`a1T;iwbV{QpGj<oGwU&+reJZPxRB zhU`;pkN!cGa}}rc9T)gUzRuBNpFwHbY0(cy>HbERyj#cnUyTpGGgfyt{oGdK^XPV+ z?qAe%6<wBI=(z1rJX2sEwU6pVmULpg5m)ScOgj1g$F0$C&duc#9*4#Kk)#{<PoArM zR6cn8ghKgevvYJG;bXc_QO`@<AJ1jvbyQYrqLatsenm3hxFSDCv2jJIbJ?VQjQx$_ zc;>EVTd8x!q+M)em+e0ODBaHppA#-0U8nmOk4kInwsM1UiXAxN?e{C4y?$G9-eczD zzC@$@Cu8CI+<tqfbC%Mn9E#8PN3su+eUe9stb6<Y?5m8g%VMmbMB}&nnPQ(-K8_UQ zN+192ji}}bP&z7}4Y<A^RK6s8oZf7Z*;8-hnR*s1^RTjhhv3_{M4EihygK7Jv~lAb zABcE)(Tmh(Mi62BdQP5`EnB|n`6v&x>)Gwa3ALLx;}T8`&Z&N)O&)6G!0xqt{5VV} zI<6iLz22rxx=*nm^5i86I_Z^EC!03)*m>96s5*K4aTdubZ~|sQoAc}Sbutx|&Mw6m zyk5&*cI+6EMRYP0$MwEWhPE78mV)n3h;`hF{fwZIBes*$Gqw|b2p_MU#hl~rK^9df zya<c;59mZUDdyolwiXvIwGL}1vw7e!fG&nUqE8wDW(&H9cw?!@`SJh1XeWaqW*mbZ zg-2{B&#aT7^;)w1_`NxUPB?HDop2YzA*hriI$5gOhxA=?XgitBbvLYKPy2h7_u5W| zR_bJE%Ma+g`tO5H{tkfGV!3Gl&)NEDh!J)vU0!3mmHrsKBK*kyd3*5O$b*j#6m~yO z-~Y-2|H{aLvrX!nrjG7;+SR%SSm}rN?W)i5OLT?5kFON*P2{JvIlsPtsZ-UU9|`6Q zs{hw)mkY3z{-ORnSeC+%=uc96(B?E-`?eHu`u>w#<gxW67g=n5o_nJ@w)W|s=VHK8 z_%dBizf70=pBKqxYm)Pw@7p3i67>Cvi{ANY{%D3vr5+Y}jp<=##5=F<OTC{L-|*6? zfLEOOR%AFSEZ($T@A51!f=m}ZM1Bz?x90VojrV3=qu~&lG27~*%NK&w!L;T4x;AO6 z-XNY7?jMwyt{{S+3<7vB!DBl0sLum36L_5)eIoM>S)5;VzUv`acY?^G#x{tH-$InC ze^5MLZ!zYv@fdaM`>F=i4UvGSLt?xo?h<3r^QzGc@1$U$k@ZL>BXxKh<qH_g(r zidf9?(WQQc5Y2ysks0CbN?co+ur#0#2@Wo`>fG$GX8_lz^)f3y{xr*=`ANfz^$lFs z0|;k%hjgj89`O?vGePDWL@ywB+RP;IV7+es8qQ<!-U$9+CmL}Zr<Ke3@wQxfb2Uf- zW?m+Q#RiuuX8=E8QklVU0{HmTWIS|gDxnG(F;jNJdB*O1zaq<2K}SwL@yZ~&!R0XF zBL_SUgJGfsF-UnN_-&r5;!5$#%}DcaH9YGvDDe>T!l4leP9Ib_91-pN8IqVSKEUd8 z7iQ6Ya_1F2Y7jGCTI2dKW~`t9f)@3SH!DoYqbJk`PgHdYg`%LQ>MBC)oB0NL-ba&I z5z=Lo4+I`cAH>Ydn+J**$D!B)0<|jiJ*mvFEV%e;Hb_l21}aC@Ov06e&8<)@G|s4J z{8akBnY;R!BHC(8*)FU`{m8z0ObBu(Mk5shsWUH#esN4#2d+lmtJGtyF%$UtiZ}wA z7<&SoRwk&_;15R!s<G7WT@5eecuYof7GrwWbp+vSKMMY5eT-YeGWOO;X-Jzmo+l&v z&JH($1$fq)ER4RU?_IVV9yeFcRuj`70y*#sE}ClioS*L_v_K6%HMQr`?Gh1uZmF4v z7WifKkMBw%^YF}!XgA{dxkysS^!5U>=A$1o_*mc)6oFf<_-Lsj!E*F4!c*VOxHGzy z%*Y6ftGt7T^}3M@F$`jad3^KIc6N%>NnqDfUP`E^S*6i|!CwoEulYvkSD2y4d<-zL zCV7WXU3fJEm{M0uaid!AyMt1JzYV0pQ30eM$qary4L08zDIBn*$B{WGO$mvUa)$?3 z4mRRl5hE8T#*YCS_~U>bC$>ZMVaaxPS!qsDG!q+I_a}>8mOHWdme22i<R5rS7yEhK z9qI76M^al9(2RalI)BC%Y>+MzW;T>Vm2;^c3JetsySpL#-0;bs@(&>lEZq8V*e@5C zCt;N)jNFT!1-oO`1rv0$49Nw9jSOVn6D0w)pcNp7kyr>FX#{2m2*G>CcPEN7#A06} zZWzeyVkv0vmH41tQ(Kb7g-i|(=&qkvBO@(<EQ^Pl3td>-WF?rja;vR_461!c{mV{; zz@jK!QVgh2PIaddWBP^SW9YJ>oe4nRqK#uEsFcqPf%L_xSg|w48^L4hddeEpk!*&G z5p^NdLhO5I7~&w{ui!J{Hr4BsnOaEj;h~FByn>z4KxE_e9=&fSD*+4_S)YL@FgfcE zdx*r>5^ZUorV<<_%J2o9=1T{byjbu6p>H02*#tZYo(JRdZ(+04)al-I8;O{%_$au{ z#Af8g4$oIL2(`6FLe_O*gnFr%GOc->xFW}~LlpvnIoGrXjv&^|h)2Q<6(w3@kR!05 zg+NG=v!9~IiQ_0CY(^zlc_x@vDW_)u=MztxbTL)R!E~n==$$5HlxeVd5l0yiDgiez zJuw~fQb7XbNao{SORK8$H8Z0nU16CB<>*z$SoWdi8HC!mfC*ht7uHR3@qjV&ujp15 z&SgePtgZ>H15I`lEG|s9$xWIWOY^WMX9V6Vd$OH2u!3R9Z$JAdT*mj`1Cr7)wJ(4a zA}Ad@ed&RAr_x3eR*53CczzMI&=WW$t}Nh!On~uOz$Nv0l2<+qR*->FRiWlQzQyY+ z@KYW@(2TAImwO|(=qwO9I-1D}_mVT;N$j<YU^-;ulpMvT+NCTJEjt2E#nao7_nac2 z$UcRJD-dD>wz^W6cSd-q92&=w9W45Bb!*o>PloUml}B5Ap4rstY#FzQBnDF?ntvcq zJvzx+Wflx8f;6CDqa<O8X(TL+NQRbTI5<@6iy(*XqTXX62YRxlq<n`!?YLKfW}OPz zhkg$Fej#=NnrNPIAEWl+7?7hW9F50S0M%w9PlQ}k4`cIQm&SyB{HB{{BE=aAcN2l< z3MmW4JwuCioR;7cDG{vs(IHeCIPp(LbFHuoCuED~kTa0Eyg?l%GB!cZPx;a!7?Bn$ zYne=@t@B}`@~n|P5HR`~#(P>;EGmnPQJtfwCr(jL1H=*AsmjG^WJlg7;j9YPuR3}x zX*kL|b~x%y%mVF7UCO~`@c}zk;WJTjp88<v1wGlFq;n9>ao|=MR>5hk;2gZ1y0{Jj zhu_hUQVbM@3s^q@42jiYM%k+53<eYs{Y9t-d|YY)@6!oz^jY=ltD^L-s35T|a$V^n zUEQeO55jBEcywsI_~v{XAGvbufiEpWM1su`zesv)m8y85$C40llfg`uybq~(HzLq> z*o%`3uro3bP(*NQsx}6KP}1rvKfA_Ql4MRjnPZ(a_F(u`EkH44t0`A(K$(G7!4O6l z2SwmgNyV$?%v$j+dI=&;dO`pf;7<y~q4Nl7Lt;A#HbfjZ^bo-(<bHKJy#WJgGqDn= z8(=U|Syn-mZWV?&nUDyBGkMp|5KIE6JpMtgdwh)ncO{A}X39Iw%hegD3qp~~w1^L8 zz)kB)L+({dGPy7DZ9<Y-h+S=zT3Sx#iNH}Ko@(592&ZS<d_y|Ei_<Ndc$@Z7^q9;B z-qIeeDaSLG)+AP16T|gEvx}BvvjI2eMxE{k1sV;P#BV;RX6WZ-{hy~bFHTZgZ{zvm zIKXtmHd7kQHVSr;OZ#JKJA_W_$^znB16fiCtt4o-<GSh-p+!s8X|LLbeP}6;L^MN# zF3%Q`;_%Z7ceFJ`YL*(LL(n0hZHct#qtnTNy_5*djtne`CBXX_s!LocVVTeb)!iXl z+Qq@y6PV&lo)o;6gH=QV3Jd+<Qc$F)_v2@MIjDaE6rr;O@+Bap^IX#ltj6_F&1zoD z-Y%Z6OlP!up#pelEW)AI=U@>Grb8lSs|1+2#V~$dqO6Ib9gn&S@U#dRCYF5VKy`I6 z!JBH=r>r!r9l#FK#i;rymI-)+0X()>yU&4`Dhd$etHU!<Qu|O?j&f+oGyAo6&{hy6 z$rD&KvwU|+Cu_L~%ze0nBhe6`O688uJelwkf)y2vgNjrz$_XBAr==3`%haOI<sFxA z$k+LVT$s4Q>A@rekJiS`I6PvTbg(kxbYEF;)sF@nhzWya#tA^(o`Moi0YBg3u${$p zO(<$=o)SL(gP%s5Q4~8#a7&1693~wTeUbX|D&{0z3|@S9K7k<dC6R|pS#k>>%kj<G zgkG%dgC9LN%(9l4PZbXwKb|qVWKEIdlR8PxJa@L~?YxY+MyamVO8Yx<s%fdQ8b~#7 z7|uClVU*Kw7s*#s{s5mcQHXXaxAgPUlH_=J;v%Om_hSZ}&S;`aUeGOtM#oC7kWq?i z>eY{c@>YN=!d=8+Mn@8TM>GcK`)H4YVZ{}GruEd8%+gLHB;vFlIxbYg-G-@pbe{yG zXf5-6txnsJb$T!1VwWZ;jwWgRzzh!bE2d6oAGE>78p}AAKYek&8!3{`k^s^FZ!r2r z7N<MJU-sj1`i~fg86CNgumsf*UI^eMQIhdP7!aOED|o4u|1@OWsks7N;Xc%ku~*Qo za;|4>4?r;ntTdg8J#db3_fv99I`6B*P|0Od8qj!(cFg+pAqxshrOxRSLN&9~W&$&@ zBY3^ui3Yq1O)k|POf>2I1JF1TLGooRxPLrQ8Tz2(mCnnII<#)}VcDHPuOtd8I-nlC zR&rYH$*HVbF(;8e@`$Vo23BW78dTi#7W6UF5sVXW({cf#sqLf?z+RfSlch(?PBK4* zK@n1i*zq1qKS`WyWdtEd_%*S}$W3L<5bznXZR{Buly;>c7>m%JHmL}?*xthuh(;dp zW1D&Hh>rkB#LN~?t2GU_B$HN53}-*lIG(c1yzkE?$j9>NUL63c&|M*_W~C1?g}x^$ zc4a6MEQKBJFu$^l3xmvYR<@J8<}VZAauS5{o22-IifG5m!rZ$LarsYC8`!o${!ead z*a+e$9fzygZ%T?*FcSLuf!#1Is<_jNp+CA&1&q;s<<XACh5z5pR#pW+wbU2=(G?N@ zJ_cVYn4_4rs2vG#Wz4RPxB~}_@jRuWFfj(Xidq*HoKAYWuWWL1d~Q~}7Te^cnoCe9 z3Cnv2oy(W8_<rH5o#fPsMm@z(`pG^MWi@#S!zIqo?67@+M4c!j$5bk`V>}gCapV+V zB@dd&!wYj_!mcG;6szGCThZI47}~cBQneyo->+pzeBxnP8x3{jFah30Mg_Zx9AC&s zH1+p=+)ey0>Gfe&<2JnbtT=AQYGMk+$Q`+F6uphQ(b4QcS1UR-n`v_&T;HJqXt@TR z90+}^Dp`Mb!Fvi7qkA7IH+Ye*1o<%`@>;>eA)s@K=EUJ(QiUIfdKGHXjDeF-K|p<R z2+kgjMA3&bT%zwg3SPu1c;a$NfmMz;^$%8&SjDC8Bf!zW9Fa@CkMH*!9J)C1KPbQX zRoY-c5gku9m~FhL_Et`!4d55zQP8M6jU>#>z0`G!%6W1rQDj4?8+t~OI^Px6n`?Ws zHaX{}>KYfImC`B79Sm76B7R&WzvmEBi>1n=S4aOzl5{y(vCuIobGjjiH-XLtP>~)C zInsb-FzSp(fpadWC@dLw8o?27Rm3#+5wsew{v$y4Sbw+)#f_DjjATrN$0leXsx7OS zjG~&t)-2HDj~bo~q;koA9x`P-g7zqcP{e_b8!8bm7a3LC@%3a-=7?k)s01rSeoB|b zE(~pS4t6xER1aW8m)id-=#M81DLSt3(7Y9`T8)pC)JmnBpX+M1=jzXx=Ym05gye=% zn(^9(Qtg%+V4kZ+g5O}1U#?|{MGzy>lGLujI6@xJ)HZ;H1tzfw*`Ub+>W(oKOVAO4 z0^%!CWPOU~D5ieu*vZ?DufB}xa^S#;AD6SAN=Hw-oNBG($-vaqRG6A_V(P7J8?LNB zz2V*4w*A!Hjz4^9{TpZ54R7Y*qaEa*LI=CMrhL7AxjE;Yw@qI8<~N;sV8_mSeekV= za`2q?;NHQ(!CiwlXHzmh<xYRgWPS3KuYco?4U?1GWX*v)CMTzKXvu5Fzd|c{9~}pa zynn9nd<!`F<Vt12CCP1-+G#TG+{qxu-NXdg)2uydB8E>uchtm($6a@Pf`KG)e3h(n z@@bqCKA{5(VkoP~ucG4<^=|^>B#en$Cr+gn5~FR$$8}VhIF;+9=u+PV;Q$WnNY`3R zxIJlk1UYm(89f-=2~#E7$wd=m7fqb|?l_*DdbfYsQ+D!%D`+M07uyL1UN;9{c<kBc z-LJm#)fb&`pm)#Z^5@?fT=(ia1)ZXmLDic(ePOEZ>#r@HbIum%j(yHtuT?j%d~Isb zjNME3HE912bT{m#POsPNkDAWG`^^UL<<0{iqJ93W_3=YgM{|tu?`0pFoVM^E1V1eN zkhtr9`w+zcE#6Q6$XvCn{PAF^;FH|7biYvqj7Pj%N<{BJ9NvPQ7BwzHwd%(!qOGD= z4^jFCP6rfT#RE%z2PqyUa8mr$dPc~$vGwV`M;>|PEBD>^+0Wkh;M0%r2`)h7d%dsS z_gP%u``-7S_$)-geSZsp`qS?{_>aqU-~8GmUjzBzgAe}cYP!$<<9!c)bBXSWZ+`8* zZyrvkNi6X@RLtZhFL}wKxM%WL&*4c=lI^N`DD><QPxVY#OPkx}>U8v9UHJ735hBRG z>NKxYIKv~4SDDUjxgb9)q>>c)Z&m9sPAXqr^2c;oo>M<x)A{v?AD8!3J#!fRM#Dw+ z8IPH828mN!c2&o*lI&j6ReK+!DFEN|yoU4W_o5HvX>h*D2EQ^-S&p~I6tveVFX8-> zg7>{V4^<w!%*m10uE;yFAI$0GVGU1`(eWHFlG9bbTG&Y*f8AI6@p8ZIpNDcY++k30 zIi7P<VtSm5^4u75Z!}jJocWQG%HZuTBIj?PJU8&n>$u!DufgsR{;u0yAJ3x&KCuJ+ z%6Kz*eDjLiA{*J3`%Cv1HsMTFr0+Lr?w2<`&w<X&`MKZxb2CnflKQTBec55gZW9T_ zQ@*5^EZ!c|m9F)~lhO=loe&<lp8eCePbzKTyb{-u&|J*@dajA$<#V??7kS*4Mt>{X z(6wjOZwBp*3mjGcinfPZcAlTp5$<F7TMK)j?H%Ez`?0kQE&-3Mr5SAeCC*1_sQ)#& z-<)ba<SdXW{HGLj4}>_^Ok$`~^ua5C@BF;@_^W$g7n%1Std~V_3f|uzq`oMNo|mDq zIz%)^Xv{Z)U-S_A86TL~fYVq;<BJb)#?nkhn3pb8Z83G$3=>2Ad<YUM&J&L~Ok>Z* z-<`)VxA+k1>IjIb_PO3a>ce8d$-?19@xo(r{~59PlRu7Lu?N9Qo!|Z48A4{H!7mmU z)DqNS=^i*>aS_Bkj>4}vc`LbK@Fu5txR@CD38)&pkZe;XIrzfXS1vkrD2Q<ZUT+@6 z#aoCay4>Mc_}vQkDktx4BcF(zU<y0W#e!dDI-!gUsAGG;G>qnvoN*~mEsq`xkC#NT zOV5g8zKSrl3YK17uuB}a!C8_sq8xQ8k*Ejb)Ssu~BLZ)Uiy;0CqF$xb)PNIyfs)Aw zR=t<tufWX-FSFF;)L02ixAsd&qIkIsFJfsDTGVPD0)!~|Xhs9)3LmIdzCu9I3Z82< zMzO0PAZ(DhTt)HafKNP^+6wV;YKYFlSe{YPRQmuA(Z7P_(uWrD!F3S5?NZ3K<U#9a zmX%s%)3S|;1-1J;ygHQ+g!%NA%%pZkO>RQceo_m;L8p%x<&<2m{Mks5vXC<c<pMB8 zJ|ghyF5-<Q6ajzX%KhSeULu7zx55(5D8VG7*Aj)c#6ad01Ls2^R{BG5E4)0McB~GE zU1X>U)nafBgi)tH1dE~Y=KT<9lxtK!2c1^6yt=t4P;}!|2waxg0+6gI9uVF-%|I-& z85XBBa4l-a;icdpQCqa9EOJDGxr|oMhe4xAR*AS2j=KZNLv(UGs!R^aIT*l4Qw=h$ zDduVBSQlkdw^Jh}i#(l;ZWkiPt9cl>!!U~QN5uYcWX;X9Oz_P`*3%&4fsGHkVwH#S zo*UHsnT@gaD5oK%8qZ*Jdgoo9g0_!~9s5VHz44epqJ}pnIASS<S|<3EFa6>5K~V|G znGiHsbYuZV;CxKXyQ8G;qEpQgxtRK!%D6bh(y|Q3#Ws{k5IO3p{Iyl0>FC-IuWaHc z9r3e2C7NoaPEDxzZZHlQDIjiiE#iKR%NKq$tM4d`OoDV=T2WSlejbujRuBaUMF@qr z>~sAtH-O6_7Ea%Dh#k^fDVA<CNI)U+m!z^+b|KOIpxWRiy*HKPSS8})3mogxamp}} zHfl>ccch8fpm_+vdM`9Q_+-fii?@md8yu<)!_zU-gK~2|=iyVN^d$=m2_G!w8Iq*s zHm~wBSwt9kTR0%KS2MaK4bz;Z+X>CInKgK?N^;|(keHUv=4mG<g@pk14fXcXXlp7o zdBtH7Vfx;4I<O5&4KCw#$yi9?aWM&zIr7ao%{NnRP*)`46cr*2Cm~5KlPdihWK(VE zbly!%h0gPNVC*FDQP%U7aRkICD<X@TI1iD5BCjW^+6YYMbBBwT&ppc~u{qUHq-$8M ziwzHtsfOtCSW>SgqoEu;6#+t>DiIWh8<5T>Dibf_BxoGzCPo~gMp$5=%ZkK1-V;?p zu@>Cl62gp`42V1&)?IxwjRr;`#5qP1Z$)bQnj<(eXk?ZT%~1;Iu61EROqtQtw3<4~ z0Wy6*5-V+2(_VXdz&{;4CQ$K^c-1VRm=J9yG>YTz@M}S4-Vh}u5c^o3N=aTL%*uF@ zUVzifXnj^`0DX%eT;`%r-@|Kg9yH67f3NFHnqt7w=tU(^xi!J0$$E9AOj^>&0-5A3 zNRgD16wqK^g445$1kq%)A+s9dH6|S6ZYun6=Fh?_N<ydeWiiK%(vCnU<dQY+x}e>w z0B{+}%AHd}vM{hP;>VyJ^OPPHloi3*0;R&zknVsrUb?B2@6h#*X*Sx`RmQ_v13t0R zg<<g(+dyWUq?~u$rA;{FX~vSy&^D?#RIGt$$?z2k%P`Xc?#S|nJ0X?SH4~V^4;aWd z2FO<Q6C6xL>qK5?@F7`U{aY6y3<y*Qm82u>D2}7<Pyi7Otw#c>nb`XUxG1MwkU|Cc zVrd%&WJTpsInjoIutch2czlPzyv8kQMyOpHo4nSbnMSzzm=ft_p06y5M&DrQu|U&D z*;M6E8C~Y-18|p!w!p>059Ol3tDd^03m8qbJr2)`Jx96d%AA(XbitQXvOwPeWU*_@ znvezcs`TtJL^67Um_>R;dU(RruXUBX9W7fq`b)%Z<+H@C8m`hfXPsu7XXlhk^hg(9 z7A7z)`N9fZN|RubQiPZmh!A~!wWcm8Mza|qk(Q1nIRtoU)6Kz0jTatedrr(@3k)7) zA!Ewx2;kkcCJb2!h~N;et$YJj!G<%4+cj-15qi=x@s-eo{=9NJSZ}&P>cKiAHxi!( zSWws+EL`2audA$5@6noc*U4xN7S7xz*`&}LgtF7mnftDB-jR&q6Lh?IIKDOSs*9?3 z?dNW^a5X9!Eks8W<VajnYvW2QJ0-0dJF3`SrZqrQG8+Ouz#)kZjEyLdjU^*3L^%Pb z79N4Ws^8*gMCqv3C{fTFrapy~I?8uxA#~9v3|%x1+K$r_$(N{5jU!kau=A)Mm*vW# z=_y&yx@*z`f+m@VXkv#$9Btl56nZeYoNF7pS|&z<QNpkk@YQqxrkYPAX}E;~IyY9T z=4@@2kaWm&W}#X*T~4ZH!BLOpgm(J`K0wWP_@)zS(5cZ*#*WK6$IkhQX;}<5y@cfS zs9RsQiMJCWbBoOBhei96F6Z~GqXLddyEHqoP_td$xt5z_x)X%ps#Kf}?aB$Pa70ZL z(oRS|dz)rJ+Mye&iFOxCs`gP%OMtEux4yj!`Iml0f?~9?q6>BhaVT1VQ1R0gxrY>I zwXDtJ1`&6W*w`SYO34h;L?s+8)@cdAC5Je?ktzIv-y+zk4`CDTL;_D+5ULfNQfZ4> z4c=UEZmRV#7{*^g|6c1(cCh7nWJH-UQR4ojb$qua6PomlB%eXzozmnzwx{A~tNH2B zaP&pAwU$<akjOZ)nr;?K&bk{;Y9u?I4d!OKWe<IjsU>cF{L@{sb=25z34QW_G#I3R zw<eG7WOwy7@@QNcH6A7rHnnog2$C^r_z;_-{g`XJ#0Mke1fJ3Kd3A^GyRDt=%FdE| zHk&QAtY1jSbk;QT)Ps(UrM5VFET+#?5v@AbC2#;JX>|n|K=x_;=<gO0ijRzw;k}d| z$_d@5Q<%do0-xEY1J^pNm7<Itv<D|N1TS6us&V>OTmXG;P&*oMEYONt4HGaS{lo>U zU)d*SP=m``BL`VyPowXE8H9`8FAuf|Q((9XSP2(A{88SD$C<AkH}z(B+BYxL3YYi= zv7RN)z6?QT%cB$u{CD~C6i=Y%J@Je?Dof<kke92YECp4)0#x{4%{gX)xN%mwki_+7 z8czRaLdm!m?&Ds}h!qNXbPCnd%GFJ}0SjnT-K{#tLyXWB2{K5n19S%HqpL7nw4W;{ zQ-i4cRrSJ!K1<r<mbQosj3MO-!~m>f0QBl&kQRlK5X7an(x<#MH1!S21tjL-V|=!l zCb}$Lr@a9Z=2gBES8cPv$r)leO^ic}<X#B0EYl<~C9kbzwJmMe%X7QL(>3eVV#r8M z*42>A2aJx~&@y^Ij4SAfYGzs(BfG<?d`%>HD$;`7!K|#hKs+=*#dxawOMH0L$iu|a zN@7{|&K(EY3Mwq!P~ucv(hFL5bQym6meiG~D7->wdh!}jXq%gLD}k25<WR&PLk%|# zb<wR-!8}tNr(+b7a*#C%QLh40=0Gh07Mz+K@hBy+&<-+%vtZ`Q5!%G%X&)IIH@TlB z0k~*+M@0~*Q3t5+anXdTDJag+Rf@EtD_|Z1fs{kX?k~`qTwC25L9#|$njQ9Cs7EDN z;@mlAxeuP*Mm6f}<%|!vb0#}u)C^X;k{NI8*HV)xCB-x5B;gDzm1If_>$tj%w<je% zx_*LoCt}(aPo*{)*-dWaz!0&`n2ctaQYe7QXu=SoKBQ1#gbfPbB!8y3(O<=2WT8mB z_Bjx`+QOn4UVvDSRLI2XTp&;wq`9a(B1DGD7Hke7L^~V2i)4uInd%cxiZ-U|lv)!A z&PPhknaV2EJGBXpc2Ja#Rn&+)A6{(8&I^*w#GoS@S|=7XBwv9nt_`Lo117{|HAIu6 z&&>RUdK6F14X37y0&B4>O-RH^a_o#8ZM%(ex-{1qLk{w2ca0G*bK3Ubz|cwDVecN| z#d>z0H={D_f=E}Aak(c12V-BWN(&Afv;?%u0a+|OpL-0gmXTiL#lTadR7!T-n|QLI z1)}8CORctcLOLu%k(L+n)0F^A644C91$V%!^t9v{M7)?7Sb{7v4Cet+6*M|#3`ERq zP$$rUk=Vze4mJ=$!OBFma|3=OS{1w;r_+Kc9eKjJ{ICb~DhNtNl3v@bf>$q<A3MSe zQ4$HgmU7_p5hmiyD@0~xA`6QmVX!&1U`M-wl&qObGK-!}?R^fuNbZ?5q?5O#Gh#~v zoY$Jk%?u6WBCcUb!&z~p$Ci`Y9Svfzw~nda%SB@o>?Pp=K;gs!=F1rqg(znX*8Wtt z_YHjzuL4r4MMW8OyO<p-ngI%F^nrykLs|O1WC5pmPfGnl%oA0#0*TW}jdEZOk+k#C zxb#~M=6g0o#ttpkpabu@a`0rwdPMU0Jw9xwdBpY%uZX7~XD}M;h``4#0s$ru)Z8@s z<UaArWS%?sFv5E$I~+8}Uh1^1Bux&&)VhneN|5;$b$3jw9#GNl(-~)PX0$%T{!|C< z)4?%MLlW)=%mpWtiy0X{oeDHkw(Ng)GJc$$TuW%TJ2;9aA)NGDe`hETWjo8I(n#<& zpX$2Alhd(zh7}Du{-~6*n6#dU#H);E#z%!4>Ndp*EkjRHuo@vvycRMMoO%I|kBh$; zH5b$Phzbg$sD%1}tw6b2?{Me^L~Z%#%#FZ|CU<F0W2&%G7-vHyeYAp$=HW&NWP+;? zu@5ss_Z~6lrFGWSio4Ko{!pT28gr2h2My1=723tQ5{f~M+TckYO4^GWlx*JFY6OJK zJ4x#D6h#zx3<J#w0U9`cY*Ul4v^W?l<d1HnGdHx>D8O_D;5qhFEBw)^x{QT|xkQbc zQy+sTF(dkfPKdj`eUWAi#XzQxx<eM!0wTGQMo{;FPnnOXsQq|rv||WjL#wTn1oBsK z(fmw$U_YV^ritS@&JA~<SxSb1;1F1iVE*G)5VR8s_%m(0SvT-#<Q(XuU==My0Pb#3 zG5YjL&5(!Zr9r{z83`h%!q+M_Q$gNnxpdHp2VYvN$_Eqaom9E01L2l(LnI(-D!O7S zxGY(x&`h4|0DAOi9e4#7)YO>j2;NE3R!1IJ1z*r_b}WG_aY}5y5ms@GSb0oC0(@=4 zMK+3R7B0FW{X6oF5V1T0ai9+BG0eL0GnZI~8i|vR8_A|GFj~{7&Q}L?zC4005r^oq zFlomg!07{{N@<`1K7fYP8Na<}@66)$zH@42*hrgs7^0NI2B9GvdBbem51w%C<o+3d z^69qxvf74jQkuw4JZrGxUQWFk9($;6MoNP+0WVuiYbYYQqAEA+{Tw~lp0r~o6Z_Gr zzsgRz^fyX_)ojoIs7ZbA#AtlXM`5)kl(jHIP+<^`d6sdG@wd>)t--Z4?8NO(+PjfR zCR)a#tU#>RMSEx%QX+95Oj|=Ky3saIZPKG^q8V(lT1V?TH32qSYaQ6YuhKT=EX1q& zEDbzpO)CqePyDH@!_M@UhfdE(3kS6$pj=Rjw%<VC>YI=TC1^?Dy_k4vO`J6T(Ze!3 z=q6E2GOU#yeun}*%mv^mX9bsr1(>QtE#wb!{7g0F!QmPI!Htzz$ggxQ*_rH|Dcg`P z%w+zANq_Q%k50{+q?HOA&-Z0!W+E*~DIipLuk3X^qh!z@9bvaEeTdE8FO`;EOA%Df z%U@V|k;+RZT${&MrhIEs8y!q}2&O#-a@gvgQKM2jmC7U~YVqVn+#<D1AX{?tMP39y z`hKX~){+h4y~<Cbdt@w?&n&dpqz|o=>>}=_V1!)Wr7{~I5~z+U>7G4Mi!{g@B^+rm zXjr2i^@q?_3B)wfm^JiRU901p+fxm*q-b3T8ZK*L04KBimY_wgF)@G|*iO<t(7Nj( z8L3u}mMZCgh@MaB4};JSyDtcBxqAP8dLQE^?^@U+yRRDBExTKx-IN=@{^pl{@-O#3 z`TdW6>6-uef-n5%X1m>L?rdK5^S^W2!7u;r#Xoo1mGAz}8+SZ)&oBMjYaV&qOHTZc z@BaOlKK6>|z3tg2{_=+_lRtgOwG+R2)|ak4<5}+*dhv@}&%f}r=fCvD*_Zz3-#&Tb zj*tJtH%>g~!F$gBq&aZXmQTI7clW2>_JK`n*MIaAPoDj<SAO`OV_Tj5t*}!@ujSl~ zq-jGpkU^8Iv5%WmUOhS9ZdLc2W>&iS^MBoPU+fG~3qNzx?%lghE6Ak>TkV##cJ2G{ zfqNd=bKhTlaLqN}S(nUye(2WQSZmx|lU{UpI{Agp3u@9vg{`9|f8)l{(LpnOX=qWE zf;cWs?W(;WIPj9!-|^f%i~9#?Cp)WGy|0s2%)p+z?!Gp?sCv!(o;}^!$zyE$F-?zl zGQ_h3W9;tRZ)-0$hpvVPj9PN{i8V8Eey4Qzovphc8vf!}Hf(wShRIj&``DU&)Edh> zl|x|RQn3$<4b8moa+ByrcJCb6trf8n<dXC6`8YcHrSIQ!#rNO(f(y*fU8<Ahs=vDG z!#5ngF!R2dEC1<FuYBaGr{DGTfBp1nXKuRc%1@kq;Ac0T`g7|yefh-G=5GJU37@>; z$xGIrz5d$I|Ki^Crw41*7hHbNv)A|5u6^YFPi{K<ou9h)Q)j<4Y5e{Rzw*-G`Na=B z>s7D%<bzLtbnPYAp0?j~Mnl-yE_5Q{QO#hbxn}gzJ*RA*Jf+>*(>BRM<<9SXCyf93 zUh46){`jPJdw0o%@?SsJvOB3fzqx1sU%qzF7jI~<S@WIi-Ru{J+M~Q-*_))FzcrnF z=;{}|Ay^~QI_iYqxN*=_jGz-+Y6jbtKz|VA`UhTo?Muub9KGl9{a0(>RlVx^-D$b9 zruv<`_HMYyv@YLM{rp9frDM=ZFkyF4owQ8LwywIZecrF~xK>4Owq(zqT4kisdC7O~ zJaG4)rC<E36JK}g*)P4M^Qmi8Cnoj+tF@wEyycs%mPN~RIKT0Y_z_+Grf&Qo>pMlJ zdeU_}dc6m>Z`ZH6x(AfO#shjg#rIhCOT2S@NOyg$c7XFFIN5{G<AY=K%<wR5_?|8| zitE(`3$=dVHEwpwHf`ce3qBgFr;9Wi@msQ*rY)ZdeUUzP4*IQMesg-J$%|$gMRO?k z&OEa;G)qrX`e*%KY{V9{KNr6zJp741?Nq+UO7kYYr`3GXl$zytsChWBG}}AbwoOW$ zUjk1TNrs2FZ5!rvhUn>=d5Zn-;j;L(;8xhoj>u!ofd<rMQ%wJtYyEFW7vzO?h)xb1 zn0lO$IX-s$M@oTCo_Yei*L(Z{)S_whb+TS{GPk8v+PHnVhfaRsfKWc0^~8cw@4&~i zkFQ_P;U4I5<Hq6jbK4Ib*t~ge%jV7N@eggu<bf}3qJ&Gm&GDR;MuR?<WFCO~57LQ# z>v!lyTb6ZFE|(u1(hvF`cl^>ff>-He`MbgIoExHMP%>&f{jKjU>m;HSy$bm5HaZDm zZX;!^KOL@QcsPU~rjufGSdrEo)pxi|Rfs&gy`(mm>*SGIZB>GO4@IW5d-uu%+D`Jb zJyz<ZR_Nr3Lv+$xPa?hTG@wm8)}se)Cwvt=eh++e4?YLhQ|5ZEgto6OI}UtfGt`@* z*tBWWjxRm%zymu7S3f6N^w0_5{R98-_~W27|3yJ9S+QL7JLSC>ZTWUzd{lx?lvcm# zQIvnAl-|#2S@v;6=<B3+CuuzW^pZ|`?<msfGc9b@Npvpjgu0;L);@-I^5w4(mPaho zE24qjqIj)0F??=rcn+P+;ltnUZG7(bAx*#cBkJTE{}?%I`;PqHDK+{oJWG97zn%1A z-?ed5sWh~OujOms)hTmUi1tnK+?@l%y}7BOO}!p6tsmMXzSM&nMngjzw`+UYv14v( zGfFx0OzAZ)FUr$B{+-qBB#NQZ#NS+RXzuYB&92gke%+rc{H~?)FKCa@cfssHFFBw( z(KtV>?|R$|?c~tDD+JZad)^C!T(}~=Wq+(ww5cLI>V%F{>41$jY8LIZ_Y8g4o8<I& z%~<nFZh}UXo-;#ka5g<C?a_|o2K!C%3=mn@n6<oX<1CRJziumMdoZw`OFX^J6W+|J zUB}irx7zBr{qVkBgcGzBuJrx*(Cgip&Pzu)dxUglw1ao#(s*WxK7rJ;ON?#s@LA={ z<w0IK?i$=ztL$6bqaUj_s`tGD|2RB|t~@jjab<*X^2oU+*wqH~oNvN7N!~{~>d$#c zKH$@XJQX6orWs{{MJ_veISWtyQpwLlDf}ELIQlVt_@XkajI6+kdE8o@htjtlV>R(L z&#U0=?aoV1xZGa9l`}a?+<NL+AC}30T(<iXh&cm<Gdd{yD`fX9x9R2zzx$F?`TY1h zh&O3dbP}}eDadFWZ2EQL<$i7p^YFf{;pmdZBN0z{eCe`uHVF8r6V52PLet4)_a%`Z zXN%~WCR;nrv&QZo9lZiRD&G~e0XdOZ*1R?2<0$HWdxLXJ-d(x=3jE4rn}kaydFaSD zs<aHv+wa#rj;@3LVwRqmVf^5ER!DsOSD=W<=QF#(uQ6LYG84~JfsfK{n+jv(0e<nE z6GItZF?OlF9+{QD=(#DH9{96VMwzN`!$#TSpX5B0w|A0B=I-nMbDM9;X!Sb`ICD$# z=}HXB+ZS!DKQ=Pl^ackPX?kV*^1PKosQnM~r8zzSi}@6v?bma_w67f=#!nX^k1M}T zJA`nZS>>>0%g`2vfHRqs#o3y02o@o|sj0!iVNM5;Zmc`>;Qc7a9Mg}x(q3fLA&~<` ze}MbhTlwODK8E+^;yD@eoT1@rb@OKCTN^iSoZGS#zvx3^T-;Nq>p2bZ>oErO!?fR- z)0{-v;U7W!E1+Lqqj3%=@~v+vmWfdxe!fqUBeYyfr4f}*$}gv+pj<AKexzml2kRtG z%iys1<*1XF_hsI~IZ%uPa|{p6vW7NoWE|hPX?U19)-dA+lZC!cbY_ecnrC3k79Bsz zXEG<_Oew~hEvl1^%Q}%crg}Pjm1DNtQr%dVSY3zfWaty<Bp(NRbKh1ud(TmSsr1gd zxs8ml@GB0>=$E_N{5CG@B+hq=lnR}YOVv*f@6D~g7oBMRlka{v$1lydzPDN@I>xF_ zR4J;HAs9ryd9*`JD)%$Albo>URZPl&cJiLRFJIEhjvbV3Pfu3qF`M18V-tRK!W?TD zKY2fp{*!XhaHYiQ)xSl3XXfXw>8x4fiENKL!HYKM$lp-!Db9XV`o1o6_p-V8_*V#D z*-om@TcML!wj51Eol%{jtf-T`UPMhq*3s2F<BVhIih55W>?)mX+^*+g`~aPxH7S`p zmu2khq_;{Zy}9-9(X&s^WXZ$J%V)-USuB&~46SheFrCN>oviG;^!yz3y+uv#JGRp! zY2T%DEd0{TKHhI9LzGI)m)B&s5GVFsl)v6-YWvbwKlReV)3oo}_}?_%&?a<JNLiF) z;p<yRXeS>#R3{vR^5rdCN+mk&LMQRoiXx2FEVpr=eg<7j)XC7QzAM+sGxuGuR-I@s zjWSiPel5bky6>V)a-Bd_F2DTDSl_hoI5Ir@!nj&^Ut!-{*w-DSc-`MPPQ0YDwd&Po zz;e+)o%<_)oZEJ)dM*TsWk2K~ws|<WE7`MuPWfb6<eNy}PL}+Hv!uD8i{Z~Eorjm= zZH(dfs=rT%ecf>*Q9dc;VqJUnk5fcb8T<Pb3p?(GM236&_&g7`RM;O}?Ps5&i+tj~ zL-sL#(8Mwrjr7s)G>`W86pt)dnQ`^AA95*P48KNKn1H`Jw`~!=oGz&-AC0vNZtu<u zZ+RRxZ!uEo+q=F#AK+NOExy+=>>Jc*t--dB(PwUVYMYA-oBfax@prd7oko}rwiZ!( z`y?N@V~NEI8@`=`EBr?YznZ;>dA!?FwU_-l{_4e(Q<YEoO5heEBs0&RIhh23a2x58 z*+upvc0`(sOa2&~EVjLdhx6Jq#Ett8)t0`0CJ#5K<l#Hd&;9Z_{6tf~=CSlZj@NyR znpdM`qc-sx0h@h}=c@fCZ18b^Bk@Ql(v{A)UcCkd9u8i7@SyWc&FIEK2xi^S`^F+j zrfrWJRy(v7DGf(PCc)jo6_k$O48%N-@Ev~ad+_1lJoLf)#neNF$c{HbJj1UCKQHsX zD;i6G-cR~Qy`b`eu=zkBA!@lr=MC|lJSuJ;dJVzC%x%IdubTHH1bW`v7}%KCTsI74 zOLRDpERYjLDLrX=7(@96EJMngCTlX`89`$MeZQAQen$eOs6;w-={uF>pVAvO4gsSH z9|E2gPP{@P#2HVRO)w%@Zh=I75i^CnzL3kw1ZW>ad_Se7c$!<l%u7~Mhk_Ny`UyH1 ztEh7#h8NTD)G%A}g}_c`RT9PXYCV3`j|fiYc}J1yGFAed%f)bs#%*vwN`C7#uop)J z%br9ZT{)@86Bm=Xh{RC&6|82wpvCL!id+JZ%X2qta*TS#YjIOB`K9i|VH#9Itp4wC ziY1IPIs$~4N*>TB$(C_}kihF5EDd#pXrSW~qo${9fEHKKx2j3Y;iO5l7Q<3?(`+sD z^D(QGv3eG{RcaQjXc|hR483MZ=|VKYqU(~+(`_m)GhR(N;qxQ&L%|X1qLg_`BoPQZ zyu&VN2#bW8FNH?tNu@|LV!SNXxtt}r4KXA){0eu>1P@YGoIEG}KpP$0h4vB4wx3vb zFT1Ef&^i!_BKz@irbw43V1fqqlS;K+pW*XJPN>KQEEKlp!<Chvi<rCA4B7$>ETczi zR(Y4B&!{g-Y*zIOxmr9l<R_~sEyXvXwn7m{tmbuKVf1Ygn+Nor(VpVXxEnY^i9{Z- zSpv7@QiqGbykPPWmlw7Tgoc>A9FKPe%;1JFr(J<Rl9LP_23|ag(uR3{6kqrADl@)h zW^qHF3+GvMtKqr~Mxlw@iN;e>?Cw%YQqayPinDH(FwIxMwBtN<GTx181lgZHirJkK znQ^9TgG-xLldvgnk~GM6CJzzLD57Q<<_X$1`0$EX&Q%O<-TL)1OkD)hz|@FGEvSq* z@O!Qqr+yObXdJ5{8W=$z=_o{X=FVs`F;w<3Zyr(LXFMVKHA_ix!CU`ga)uhF{K4he z5D`Tk#|@npLQ<fyygHp43L3r&SR>g9EUAZ(MLt0mcx|qq=?%hoVuKG}!tR+?x<D|j zS(h;C?~hz~GUs(95}y6&Du!d5)95BS7|8-_qdd=9z*`w!2%=JTXLaF?8zD|<c%eDX zDJUYlAbI$_uzxY^`s>}=zrizjA3~Q*bc7c`*lF_B&?$39hfij&hUGYNb;d$DP+1bF znh1B|rVtiGBE(#zaBwdw|Hvb>1L?Q3VDC*xHOTB<1PWHM>hKOz+KG7aT~I6m|4avW z)7x1XF789H4s{Rqq?bQY)QCckn@S(m!5Ro|bP&ZuWLIcmS@m9NlK=&fliUmOVc<MG z>ft10xHMsdKm2^93|Tj)&i2VP43e>FaT?hv$4vG)IYsY0#4?Ev&ISz;d&&aCn=4P+ zg{00iSk~#e&Om)U<=g(jURVhUIge{nbk^25X?HfAcG<zo0vP52t;DlWj{47Lx{$uX z*>M@Jvm#hx0R&Q=S4kWq@EW_`6=xVwyu*;n6*{h}WFEV#<5x;pqPTrvl3At=1oIR$ z7NM?NmuyyrMuk2#UJ4)`MizqIyt3>nN4#bR$snJOOr+v99;M}4TKO&<hsJx7mug1s zfrx0{<?WzCV1z_X1EnPJIWj;)!c;^{0udT{2QW`Wp`OK*#{(HT4vNrZW|T;SFgiI0 zjdd)~8pm;QlCgoKRdtk5Vo&CAZ&^096PB5bd1<F?R>}PQ1S=qKNGPn6LnW==A@xzY zfWs2T-5tqzB})#-8$(j3{De;)&<6JTYEto3RpdxIB#NMAoOMnlA$<g=RB)+dbXtr> z;sO0Oj|N0NTBBi>5P$+KKn3r+v9IpC6SCWIB%_Fs<k7lX&=F=dVAz}4e5gyE7DGah zkc`3+Fqxc0>QE6S+KMfb(l#BT@W(`Q%xbg0L{RI1Sd5r{mghIRm+7f@P9H;v(+c3} zS1378opk9%kxC!aSA2&mDrJeq7^Li{5h@GQyDdx|_mgl<xDiwafR5i<jnXcLCu?TJ zL(v`a5|qa4Cei7JWH9xf(S>rSn<YM{dVs=BL=t@dQl6tfuia2IqZcFL(&Avba39t8 ztu7v)2j_d;f_ge?R_L`HIZzG(yOpyPc7+sLECwjV7ZrX5kYY?UIPywr(UXU-aOQ{x zpUqL$^CUTFM%omHl|T`kmgh7W*-7%@eOfa@+N4_@fj*^K1vo&w(<G<2UD8e5V9@VU z4x}YlA=;Ru1Axi|C_4w8ag>iRrps+N-|T2P<0F9_2G>10aY5?LMOzXkyLWZlEKNQ@ z6&+ydRg2iMmyv5_!tQ4Dnios19K0g1_>BP{VUImK>EoiU^1D0}B&&J|*rH|*0hD3w z&k9s3ZNiXPO`U8|3nPmfSq%y5CG#ZCb`ykPJ($ZQ4+e@^OB<HKL<jCX%!XK3ym-n_ znT5z(gQIrT3TpHL&d>rOWVg~25`7(&dNz|c(F72RO58G2uv+9>mJ8{klPRbtvW6S? z1a~Vmt#fS_M&%$c^voaw4DHv2)Au)bYP~Wc?1yq}bRp@KACi-A(8TD05(d+<4Oy~q zL3e@bmHBI#Dy-wQ2kGvUF$7BFr$}A%L;g``HmWgoK@4l<LzW&ZWvwT)J*kZoGWbdk zg%h?6!B*!UqdF!iXdY8FU={5Zje^K%3wj_He~9GKFkUBip!*QZ)~Pr#oVW-H*cmP; z5!NxndM&n9pi2Uaa?YdnO-0AfRUJ!k5}9qrg!dR5*y2geASp9AQbw&zQiK8yEY)BS z60*qj4XKYvWEea!Ad}<b7O3<IaZ+59cUm869PPr6M9xSs!&AD#F?36l^>T|<vd^sz z&TlXaPUhRP!Pnd#r>lj%B*ytJt4=p;bJSB)xkU@hRcGpE5s|oW3C4tp`LOWo#&`y6 z*J>ddwP=#fsJt8G{FAq%ICSPDU~2)ZEwMO4g1B8(p*Rh<8WJc&Y6G~m8RxMWi4Edg z-jQe6l6CE%h(Y&hTKSS_fw)WKO~XN$lHfQb#3UmIYL}EY+)6Xnu%K}xRC6m0Etnxj zEM-WP>Ig7s5uEJ<8q_ru)(G3N^(Yb`8i~55jH?EnA(d3In#DfcYm(vVr0&jgS^yP0 zz}%QB9b*k#N~xh|;}@yTG_TZ#=IC6x?5#ac*M<{#^2kZ!H^1;yN!k~k#q^Cil4XKC zDD86?i(FG|*hS%4X?re;bRw-#cxBCQVx1K&VN2R4+$Fdp#Iysr76q=L+Nj8YQ*{SL ze5hX>UDzmSK`lYRr8&F>g>eTZq1JWiLBt#VG`e##so9}JaY56xgH6yA6RKjRBRTMn z2mmOXyD(!SF_?K=?hi|Wg~R$it_EB4hIE4ciFP51=rnQ_rbJs5JL!Xmk48yEJbCvx zTU{qLkS3j++~8blX;gz<FSkh5UoC4>>ze*n>6mGMao1K-J4ILUH^ei0LU+FU@s4?x z4|e*6GofJ~8M(UN%r>OKFD}U1pPBR=+O%OrM#8MfSIvA~X8njC=r}2PE7O$3FVIsW zL5G%sC_9-!(A-Z_sdJ#*gj~%yxyqF8rLT<v0rAzLmu`K>sO9uNw}^vll&&X$=!}$` zwnL7&t%~7IxjUUeNj}jzCO~oP5B1fuu5t|td(7fbqg13FKsK$2w_dCbFoT{72gLhX zb-*R4y#ns)T7RKZ=9**8qq<|&gEsKTgCvsrl2#r8L7w??ZncyqdcM<Ob<(Gg@|GZB zzfV02Q!{6wDc5$~O74>;<#ShHOCw#>w#Sc`qaKsS&wCcdgqj`BD9w9Y=`_V<(wcis zmQCo0&R(2AuadreDs$Q+?aLAtjtB1KvjN?-%Ph?7)-s*dT;^ad=(}>erGl%hHu}}$ z)Rjn}f|Fa^d61T34ngx<fMYtt-A|io1*!_Hbnv=E$U1uHOJOjB_J|0thQ>e;daMX= z*2hmSi16>HQp^vhHFO!Pu0z3m+I!v(jSD$uw4m~-u+x%^<F#~y4qL%#vMo<4Aqqg< z9Uqf7da1t<Qoiz@o{)ICHM3pl<P6trW#^mAc%W$JWpX-c?OHc4)#iY-Ve}uua3x)E z?KNRqZx7imd%^oAJ3J=|ABt1rpR#Wfmj*XKl>}yP-BV0bnHOY8Sk^3F90D>50VEmJ z29CZ`mfShUDI$d2v%(~Usucc*K>*Vhk^mgHuNHe}&82Qi3p_;WL4^gDC=HO+md9t} zkgN>(tW6VyoyRC30dHDjwOIWuVZ{uPU^eFz-A|u5x2;YXXj&MH*mS!pv1?duk$vzq zWO0}xbv3*gC)77aNz<OPd_;dV<E!xL(ehlqF)5EFYuUqVw>oG!-+U${3`h6*!Lzs- z$`;KDY{0DzjO?-tdmG%xQ8tsZcKkrocNjVoyHDR_oHI~r5j#l9FC>AtHHkcwFnwTa zyq9DiK}|?9NPLtZ#U2+|g%HPx_gL71pp;1t9zW2(AIp(PFp1%#!o0f(M}#g<1?spO zTqJ0bI`K}KVIb3B)Iyx;LLqL3V$dS(1C8d88W1!HvS_P&1t2IwFw`P))Iz4SXguCD zsEyz}9aQ2yGmFVQ9<wQUB`3(_j8>j>x|`O|;q{p$Zd_ECo$*e%qZ1NopP$k#!Jh{u zAv4oxGHp%pAPrzCIvL8&GjJA<(z6St#N_j_@ycL#pHFx5(H#x*ZKF2|{#-aGw4P>z zCo{&))b+8G*UIks8RlKLz;!jK=tfGpj+jB;L9kmUAz#sxn>f9Cqd^9zwIV{{<^GP2 z>A_I@u*5Gg;kAw~Fi0$Tm%w3)5PT64#5qWppx$>Ot?Rp!=@6Yuh<*U|nEpv+wQI-K zUSq4x=;zr;I_dZfL7c{!v6SyLO<H=;m@4&Mi(@KQD3wGXe5w8NlujQ=$y^d}crLZk zeYBM<s22!MWL|A9g`j1S+iI=EAHh#URc3t{?DSpOVTD^g>JP|duqS1W)GR2=&8Z^T zp`dn9=MtzOyoZbaHP+M<{Vsxftqir&L67TwJlSfyX1lWxOv8rv)WaSKs%u}H9=~D3 zuzsT@Kowz8O`T<8ok|^xg~}2})sfY(mB6fZl)-Tp)nI3{(a-nHMrRjBXNz;e0uc-! zRTiBG#dLz^PqDJr<u*I3P!mZwzd<oUKMJq;&-v?=c=YXf)FwUpf@*H}!vpxdh)3D* z2Y3w+I9A#kusWV%B0MM7g}zVi$R_7ephL7N?x5dPp#^El>!rl83Pm^Cjy4a-6L!PX zyM{93j3T)YV}S~BCljrR@W^PqZnTshqsh&O$;>-kWw{{d3leZ@K&{1A;tFue!&6^~ z3APU!G7VwU7V1tS8<<MTLme4D7AC(<U}!6cij_-_N-p%$ZigWJ9Xo<MFC9%sO*mF; z_sl=tFj!8=7?VEjJUh0wVD>)x>mpvvj4Xub@0&Eo9hW85tkxQ2gL`|t8+iJAEUb7w z6LvOll*#((2%XgikLJ1PT1jfLY!<s+UkjzrkuM|7@Hx7plxbMAnouq(y^2G=DhF|| z0+4}Qlqf&@rS$6{TFsN48Y|^aqik&+p|J2kQOR*FI;U1>(#gK!bqju<d33=q@O(kW zZ~ZS99bYjcgK73hmJi0!je*YGaEYGW>o*#V^`q75#mXx}CVRY2Tu0Czm9m5k8nhGB zc;n?zsD7>uvV02a_^OT*YnojiU;*=v@xVy?Kx}YfY=h~}Zs^zmgCr>>+s3l&rz&>3 z&h~pZwPq-tYD`t@C;U!@qH}e5#nkj`FKtsX_BYpfri*^`q3YtP^LBAGSV3((IJ+oy zXC!ygcR8Jvq?g)G7?Zo6br&<W?9^<(D~C2yhy7g=9B5J*h0cd3CgU=1P1AE{?S%)B z4t@Z}n%`FkK#7OIg+|+^slNCpdPA5Z(RTS(1)^mXx7Jyy(08d#EPhQcADmFL7RFAb ze=sjJgTGsO)9_DIgrsEU#;ffOCrEQ{cXoU$xaLD&YTeyRhUNZs;l^8FQ{7hmxVfyH z=mR3WK%&q487t4@dGef`I!GtsLD$#vxWggIAyEC34}aDC-Lp<9UGv_rRt_vAYwo=B zw<;fc`09c0ekzea{4hG%({6=Ic>QT3Pj9~SFB{EvyVXo~Hn+X>%CQ}foW12$qyOps zzjE1odz+sA$CnLlIq{Rvd)wuof9o4B`jzkhUspc$#7&R>`gMQ*C-?6D`tai)=zZ$z zAO7dxe0=?bkGy5~zufb#tM`BR?{4}2bsszS(LGyt-+a|W*Zj?=?mY1H>5s3!d*Acd ze)6Zj^=lt_)y~`g?nV3d-}$+(-uidnez^4ae4^#R7xrEE%_eeQcfobn?3p%q+<U=z zu#{mqO2qt4k+ni6t%MDk?DI420MJgF)yo4PS4>XXxklbMnvP`Vsy6Xhz1G^TI!Tl+ zgywER<!-v=ZuriPH|^Zj`qkc+FMaQeZ~4|2AHU&7o;I9xYws&(-}L&IzV~%Eec{9# z+t1tg`KLa9PwNZ+@t6lsyyM2lZkWto`R27xp1ouJYhFU4QKZr4o<}n`BFCS1Sykq$ zFBr57G@R1T`n79~-!N+<(F$YzS3ZBy>n`}CcRg#@uJ3L(_g=96BR79>bLru~IQH!? z`pt{4|M11C6GIPh&uNt>H$VT8i$c2{nrt%trL!)%;^*JH>7D1j`|R6ZboL8Avgzp^ zXRkl!#E)#T-`KG6mtOiae>nH__pbf&Q=i=5{F%$Q&wTIU{ontq-i{r=@)yT`{6!z_ zz4A}Se(jq(?)jHZZ~CX}{=Rwl7t=2`KhS&ROaJnp{`q6;zkl}jEnA+x;<~r(`tB3S z=O5qSz4z{KzvA|VU;D;2-}&!Vd++|gUvv2vs}J8Bu6ge}=O!;0o)2x+31xcJw<RwF z+D8bulUmeC>neQwb{9I4Q+5vVMX>aJS>>wTM^W=>C%Y^35gLmNoy2x>OLzZo-gMJW z+iLyFj`#ns-+#-uo>*KszU>+xytVh`NA5Xs<jb$S{<|mM^w3HBKHt0X?hhPu`o2GT z{mnN%Hr71;yenV-^txXfdd&^WjyA&jojs3^9;OqU>!e28ITYAWRjt-)pc%$=1@5mO zU=W-86?X1OZ^x&Kb)3B?$Z-yv;+o3g^;_Z^Q}$@BXH9)ssaXp;L=$BtXsNel3rlG? zvoDdgpX<5G<&CU3Ewg%?(9KY88e#>0TwzWqzp}9BanmN*I5^0zNY;q5X1p9j@^D=T zn!EBfrAuqu`>Umo*1@k2#k$X8U8}~6!20&MyuQDWFy@<(zP&m+i;cgnX|e;b$Mloc zw2ZsMB3@WGEprbpNms7@FNzPC)Ai_FYRUKe+^}Q%rP6lR=FZKXStc~X#vLnYmYwKC zwF1v46?x#mM%KX29q8$4hrO?SGp38{2s(-D<8|NM&=z*T4Q1KTmZ2fG#67T)wZx>o zag|PbY&O`TI$;Oo=8fC8>=@cOtZTcAAIpx}%e9FW!_Pq{y5bm}^uwYJBDbGGC&_o0 zGnJQt?s1DajR)xNiX-df7k*(yT%0{=DE+cdzOA)nl}>uS2SMZ#MV(OX3Y|PPwf%9l zbC^yxZ{8kP7BB0hcSN1&d0M$no-B0oMr|jYWQ1hBe|b8VL)1w}#U^ig-P2O<!=@dS zEx|)u*ns-L1OKpnN3X|T#Z4Nn@zp%Dw{eU5`#T`nLAQN9zQ|u`SZlw9#rj)z&`wyH zk4^^r8tZL}T>3i6;~dsb#_m%A3y3;6R41Bxp%d*FfW9vJc8=9-HsCNvscXt}kFzkJ zet-=Ky6YJD>=T-+-Zy(+iIV-F+D`g9k-4Ydx&7O7+h6w#IvE-|vkcz|&#aTY@5(pf z6zyd09%SkDp7_3|Np#vtP%gN0e%g1jrvA*h$6<S1BTjy4*vydF-OJc9M<2D^cb$1A zd-$L)pUIxa&AJ|cXm0)bJkK$WGs*jQVr^HQurEM$0xteGMYG>`<+#IiGH{g&SU^9| zxven(GV}~OIYQq>`O{8fTB?((>I5#iPM&x|L*<+D6_u<Pb<*#<=ws)$vjctZFrDOm z*Oo0mOeey*<jlD(_GQ@IJ?8fGH6u5qcCmhG7WeAe>Gs&gj=g;io~XI_J+O@TBzkL; z@c<**I(g^0NjAomwT^PmQa^hI|A6V?xzo{3)xLq7gL>cJ56|u8@RfK={FVNKA1xC; z?60h{2a&n(ainQTlYS>V155WhvL`aV@6x!h(6GcT?%7lskuCLvbN__w%eL;}WDlh{ z#j}?afAzlc$j|WlCNu1lJebJXjD~N856^kdRU7ZQIbT6mLmuT^Zf|4f(wH5iZyeXM z8Ut^^{{gp~Du<qcOIfXkt)-BSaoZrJ=9I{yY>oE)+)?PBKlc8yS8vkFe)c)SPxtzT z<MET8`n6fa{fkTc5p^G<#@i;k-;q6ipVjzZyZ(xEI`5jakDZ&Hqk9J*GwMGDzlM)p z{<Z7h@!8ek;GzH8_5E=5D_!5t&Z$r?kD2U$v-dvml^xZcXWgqS`RZA?U(2#B8^<om zvLkoAAl#1a5JH!P+z}p!$c{U9_z+rV8P@qT!9bWc*+7?`U$<X3juEE)2a++*P8*vJ z3m&f_KnMuquny}5Gl2xi0QT%o{x9wfVPFVY`~97|_vxws#3b3-+0TCVNVn?LsZ*y; z)v2mm_r9vS?61@wL^Po)?Kv5p(mul*gZ4z`+ta?nv}Y6L*bAxnNh_n3fwIc4_doI^ z;h#$(-tcIA`I)~ubO-wmDNAv{g?Pr99~)|2Z)F~K+S94Mps(v_pQ1bUb;vdUvAv7r za~6CrH~C4QUL9S>UQOupP1YNzuEg_?f%$pvZU8%~w+8FIDK+ELX7=E)6G(gK;7RiY z_Vyh9J9yazvvn)I`I3K3UjHOs8(lOuo$;BY(ksr!+l?_hcPhO3r<ojmAjQ~>Mx5gB z!48d~lzcbtrrnKg^Xz{UkHVf=B%3-7*bl#x8{**B-xK%X;0rFiaA57b+QXP&tJ8i- zQ^f8@!WbHvq3v=%Jh{%7xS!pfpZ`f?qMp%4BWeP6S+OfmcX!zYy4mCs_P`xJ+;k)E zY)&SSy=wmdYQE}Gf9~vakIKX7iaQdt$8AkEv3U(RGa4ZA_n7T|qCz8K)9y_@n<$J; z*4(yalWcA0&#&9$l1nbU@CDdp>u4>AJ)Z1wWgj0mp+x)V+9dUp!L>stbKgCs&d2%* zdFX!3nJINY8RU!-x}(iHHDmvOu%B%H-)h}@{&8&5*H3m<yy-jVN7`gtvZr>YZrZ(# zwx0UQ*0oKHlZ_wO=`psanWx-s^b>bzD?2%Ps$#2ce?QSONdX3_Y=S((|MY%hLl^aX z2#xDKlxWAfD%y{INoAAb{f(DtKPEO|Jg3#3y=l`R^DOD|Ulml3`g5n8eN;Y1PxZPn zPUWz%>suB5*!^T%O1rkU$YbETP3R}G35~t731e4n6Z*>~Y<<QiTYF=d164oyL2c5Q zMJv8{SW3C9x=G^OenO@sc~FG=a@hc3ok-2s@chu9_2Qd~Cd@uY`9rhG&ntS^Q|({e z+}p>P_Dd?BBP@%PYkDJ;lCvi_IlKToC-Ba*{-)v3l}J}Sbor+~RqauPa^_EJLI^BZ z{-F8U(4Kq(YF6)49QwmVFYc@2pGv;lW2v(u&x5eyDdkOG)%O(PH#x-w-;NdC<gV{` zB)umYKa^E`0}a&{d{7PQe5!oCrn!{87hkct`@OLIedie=zoqu?Px~9gvbTtEo@JPi zRPiJ4QB!gG6;-_CNOr^*EF@q18eODPwLdZP3#9fj%4Z*9<$H(X`OACZ>Fp6kSNB{$ zU-G(Wm_3AwKffK2gWMwEx_n<dc<2KQ?@7(*5$^FHj|umcA5xl3`x86jO@4kYzS8m? zMe{``3EyW7PEZamr7-c)Ma$c{X#B`&y{x_9B~R(`Z>s!!WRK;O|8kP=E{|b5;<6y2 z0+W~aJrc@G_|e1hyY(?4%)8(Ux5~?$E5IX6t2iF2-tVMK$SvShO_<KzBQ9^xT@hl4 z#vHDo2zi%R(8`L!IQ#Jwm+uNHDSRqq$Rp1N5a%Al>9|$G6q(Dpz3@qs1#tSI!2<e} zb5%h6Zb|6_6kUEEEhETD9QRqlsx&VGA+DNQgb2Zf4mk*L1Kujm!o0Xv`4zzpxg0lg z1;qlwwMQ>lD9KxOR+}X117G7pyvG%iI1PCgrzefEKdX3i`MwG))zm7Cm!VeX-IOTJ zU^?o~p<mkUw=>~@4yhI0o4dqMz?5UaLz&7vrAx3RFH1Z^sRMVJr6>l90Z}Ar-4zz( z7zF1-3gbcdxM$psm;MO#ag${*O26ZM6{`LI$bS47@kuX=X@!}oUMMPX1hd6^tDLGx zNpgM+g;I>UU0i<j>!S$u7A9{=tyC~l6^N6B6xWV80y<$X-y7YM<RSMF67()>@4%D- zHy9_r#d*;zN7<!<sBBYcHY(Gkge?MqrAfS8uf{=I#SYJFAV^TR3l~$0+EuK0D>+eN zLRs?VN=3<8m&MQ2ig<PiHH(m5peCm3*$~QI<gW*HmdXx1RaP(7YDk@%%2UU+a3MY} zXs*b*#_WX~hMOtIyNH_y9PW0L_*0;+7|W*IMWpq}n=($QtesRWY274$N}s^S9Z+9~ z&G&GMH=3KG6z1apq`$VRrn=!0xrTLo9ZRMWhfH)7_;pGi3}5N9OcZ5ZS<*5~K*P zfaQle23hhdmypj~;O}UgFIl<&g*YuyQnBf7AXnCpRf1SKQ9;$hu+A!!E(Q&Z@Xlm= zcfFRv`Ld(%BGehjiym@l!56{q;x18^Gx<2Nk4#>~*|*bF%9CAwg?Ht|<=iP$R*mN+ zJTw4=u|&C?mRLf9Xl|{ic!JIUpTqmE1fm@icoCFV)>o$R8@OUGgboB!c%@|W=U6Rm zv1N225<wQ;^n(ib0~T3HB`gT$9@CLABp~Gx@s9!&UF|g6Zde7~v%K7)S_(FO-jkp2 zmalNPMDmOQHMqtkZj5ytLt5fE9UQv@CqgKgg2rX`IWQuvM%Rs5bGFDxktrPu;+P$* zI%Yzf%eYXEO-0m+8J~=s2#)nzf|9bFK}GOaEW5&WN&fbX9}SEB{LUG(;4;3UNYi03 z1L%eFu5}&iMj}q$rm!NI*HL%;1FOUfEIf_a=v*hnY}q|#^o;f&W5<mXDp^5RFUiAv zk)pE5jQ4~b(%m7U+GCS;6?lQDF*7}YpvK_rpmG2TNfAVU*g2ncGy;yQH>3cGia49_ zqg*e_iYr*fQARBZK@}jP4l-ncIRv*<RFNy6^)fDJ4DwlsUV}ENma@Ro#1-1jxSM2R z<XMChNpWDolFnkTY&mz?qPuGr%TaG=NYtzw-S1{S6RztH%m--Ll8qIV(i5v<;WzkV zM_6IaJ!?PsK#qMWlJF8bk-|#*ob48<oq3+Rz?)$dchNZ1q%&T8Tk({Pf1K#y0D`$p z<f3uMK!XshFka-Lj-R(oH9_Me2z==<cXRjh&XN`y9UrUm=%;*Eay%f7PtqhojTIL3 zl(bbxt^3in6*zA&>Ez4VKrm3tk>{-7f+y$+xPpDKh+HHrC<E0-OtZ-%U>cHV>OKo) z`#zjvl+k9qyG)5OwD<4jop*;C^4Y94vb-4d^LsKkm(8+8m8E*kz`5%Su2|Og4ww0? z^duH|w-8-Kql^aWMJeO}^dT0{1+YBw=HS;s66Ep<+q`206`h`dBb5clAqlFZC_w_b zRg8iOw8zQ7b{7h(!agb!_+@oBms1G>iW3U_g8Ym^Af<?kJ`&=Q1m`aE(1J5Vq}T#M z+0;}DNZ3#`JkM`K0ak~5Plmkv_bb%WBtwLE7iO<)WLaS7T0qBT1hVrgDn=Un|JW+K znRicdazL{P6LYS)IzwEyT#Vg(hT2|r1A|>v(Xeli<@1XVYR}^cO*962NzBfP{M<0c z?kqPJSDZTbqIQM3QC`whWV@R8gOIxgl0!g{YQ(u{A)KHh8jG(<_!3kzAtw+>cabOw zOiv&HXhia+JLm~y@ZfQisSI!oqblCVBwcudP$P&q0?If%9wjK6PH`x>_<}&#x#w|B zk!@s{YPkh9gW@rU_f}}JE6y#q2rqG|9R=@-&bbi<BQ;er9&~~akuL@zyKqI!8JADJ z42fkkFW_!W4>3;C*{t(6{d{AN$ik1fEvu^a5Sb-JhP(Zde7Fa2ls}2>AF^tov{3~4 zif45H^W@_e6<d!Wo)}$}UznRwwA@V^2lCcA4Wsi(Y8fcJbHQ~Ns0w`sIhh~}h_Xc1 zMW9k21%)q^ikzbSSo)SHL6AxNA(cXu&`<8+MPPsj3e-YUEZajt=08Y<=3xM;D#FDu zha2WrJi~;int!}_&Fahm2N0mndw5PC;f}xeZ|5dMd;Zjcc^(qmTBFmAq;mcbnxCI( z6y@_SJJ@kQ$wmP;&oV1FJcaD@7TS&v(G6ZX=rTsFcE<gLcMxbB8hXm0KO#Z>lf9AT z+{6$%8)t?A-Fu(2`w}=;JYO+Jc#RarMc(|OK34%Hx3UnZ`-!2!Tw~G=2e1KA+&tY~ zWE98hMUxdhZz6)^RN&o8$y{JI8!4=A3hn|_q{ZfPi^!OQf0P9*RuM-)h(;(coM^C0 zo62siwxD=6t$R;fif$lpk(YCA)+|Ryr{YnffDp^QEFmsdZ*z;?*kMRtR_#rf1FI_~ zJAzm~*<HLEw#n8IUBfNEB&_85$)1nHO-JoA3Hi0GQ+zw4&twdKk{Wl+ddP<U&}ue# z3*;TD@TFhJK3Aqh%M-{4Vvas@y|E$@c|O@wV=kj2>Od6Y`Aa}9Ky<P%KEj>rashP` z?Fh(2%@|q3I1!~y)M!ewuJN|I9DX9*2<48A1D0S?0g3l=4Z275lSCK8QgERTt^1j8 z7ICQvL={E_0p_`aE{fl##pmS3H3*5OqX^xv@tN=E+$jfdD28ak4_ogoh%NjVrWal2 zSr-oH;B-EZmATKJO2eC<WnUNfhXL*i%qu^Q6&@+(?(6u2aoS}sUUhD!bIM-cF|}Ol z!hG+(ZZ=iklDG31--VLw3YV(bsTEdGpdc(b;ibvPO(iip)uWyU9`#Qr>T0x9IBM*Q zs_QC2FFlCYi?Ehwcy&}lGmk~#)#e<{=0WumCMJkQVb_{;cX{uMNE;@`BQp}m<;kK3 z*gbfc3#Nt!ZNahTU6<-9FJ{`97R19>luGU=`^oP_&>6R&ayNrx8x`3sa1u?lIaZm` zwf!Sq%P9}7g&8OrEHRr#nH5^U<n0an%8d7nZRgur3@&rGyZnW`d%xiKLOgNclQdPg z$c@9r#pV#>#4o#LcUAMHNNrw5a@Hj<51G&A{ga*t*TK=rj+M&_#I()bgW9AH#MSez zf^=3YQYX-0k7XjFto}o}Y`1t`s#rZUV0y_2u%l0^J+X((JM!!J03Y?F8)%>q>;`nr z2Vdj)+7N}#-1Z!{z-7Swlj@tI!V0el$&2Q1a4c&Ehp>T4z&;b_+=NSsX%i+}k3*i> zWV9J&bI>)Xs1o#&Ao>nBc0a!IQ;PM@pG%s<Zse*tYgY^i`Mf*9KdGT8%=nR=1&2{j zw<Yqi-<+OYW$)(=!^343kv23Wscw#K6`?V{nRy$F7$R*z+dX0{5KBwM`US&5M-jzE z&1!#uB4KZtMy_#=ST{gHs5$8o1d{9DbCv=)`nnK}EMp~rp$AH75Hh?f<c&h0&@zxZ z9jHYVGP+j{Mdc)pl(8Y^comf%zD_wNmg1>o1``;D)cZ)t_URaGtkTa|U}T2Q+$FI- zkQLd8rwa}(Z60!G<mdV5zNC2+?a5yYUsg1m#mvcWi_2%(p^#^BV0gkcB3-6r(<4U} zxaF>!ePA}Qiz1N0a(2&q3q7ZIkY2dV{o$FB%!Km`1{tniEB&kq4svioq<&Qwk-r2Z zyDOyflvto-NV4gQP`4rnMFj`t*ytuC_8cLArB&hkARk(EZnen^3D4jHkkJ+|1TB*n zlXq;_WG0T|@RcW#lE&t%obmMm#Td?$aYF8AA{kMDDL2!|QbCBIQhYeeUAUBosd?sn z*=a5hO(s(Z+&=clJvw#gV#t<P%C5wd0gY!fx511_@IrHN^wChdnRa<;xkMxr2TISY z80u+;In+e_(da#-;iB|QAt@FL`;fLqQ7C{8szN~v1rg5vYq`C8NrB|5C@weLlYmuT zX@<~DZ$|>b9)uodjhax1#20-$C8Jd&LK3rpBM7rrIo{2B0tO-<4VB&0ybb#Du9!)T zb$fN4`0^!}Ex5Va;bm%!e$mt@#4yB7kqwH{j&UcH@deQpMR<@my<5BvS(yHnnSr~e z#`%zU=HuI@T=}Er4PoI)=NC&wXAd%cnrJZ#W@_~mCw}qC(y=_uPGp?Ya*(Nwi>oj2 z;Y`~bdAhrld!{?JwbabLdzB}D7}RvpaYFhy&z}MFBz%682T}<PP0<B+i#qX?cU_B; zJ-fylEqs&{MDcR0>=mvs6ef?7IowewlrAG$5^F0OS~>mtL=TboXSl)=koX8_<kM&Q zIU6y0F^K1%b;J)i-RyaO01F6zMLrZQ4x&%RhjAzbP1GjcAnMAzqqeuv7&CjYZ@?gk zX8U0mEku|ED^01G8b#Y<W5y~7c#JO|xp@(Z=C;FN;dy4Uw}jb3Y^=10+gHO{LG}<x ztODk9Q*L+$MDD{#h-GJ*9eyr%olYY^g_7A>9fJ*CyhXn0RMcq^kx+a0=ed2x*mAMc z!6$+#PK*tHwFe`L*A{IyA2HI<_Zmtl^(%-qVt%=TJ`R1#hTWVQINBQ+dVx8oC$%Gi zMA|pFIGg9qEx8M-lhh38xGGn=&zAYCEG2tU8F#XvF3x-m%@cQ|nR(J_&Qk3|Y|7`R zU58~|%YW>3bE0RnCeg|UJ=gHC9PqDdp4NOy8$|?qlH&(S3q+%g{Fr&iaPFBbB70y5 ziXz6c92_JOmBT=rcU+s6WVt7?8&XI39QQVh%8kq7y{E;FTt-h*5c;xn-iEHIa3QKe zto(kD^7u^0WzulhMfP*JQ&w73KSF6i0CPQnVWfPnTjl*Xb&?bB!{~Hz7i}XyfSN<m z)M<aHS#*3Er^ZLGd(qYZ>aOz4&(DRc${T-iXy?4U<BAKf50j&dgKu9b=UUI3J`HL4 z@M?LW+3mL6_+90UU(Vg?6KuIzZMqPaX*%5tpNz#_r#-hQ+pOj&{d_Bismz@l%9YRm zaD2;u>g4<Wi26CesW<Km>XANcp>3pRp;6;3@QsjqpIe@2Q?W%}6rGivx5|?fDqHhP zT92m=nGyFxR3O!>O`$Map{7PHD26F#xF|m$(VncC!0_7KPq}4LA{F-zA!rEB{{~pJ zDA^=(6rQTRV3^s!qClfjHsIL@O1ucp1^2`$t)hLRL-nsXOmai^zNzLJlWqq)N_8L; zaQW5#jB@r3Lnr>HEeqR+hcX{09}FXd&)HWLObXrbY_n+o1n&`><qyBAIZUaugQ)?% z^{n!Oe|eCGdWM@?nkW}sbJ|TI@C%fnC>PkC977D;heumHs=1fuqj7*yY2_qlnaeZf zMR6o^<zjPsf8&8GvoSrtP=3ML6F9MI)lrnPNMnT`%3G11%h<KWU0XIac7?IaW8;Bt zgfWd>sz;^Lg=1d3?SjwaBVt>D1~4%%4#fkPm*d$=o3;>R(TaY5EJ`=cm~>FJPI@u& z&qdK|D7(_9;OCgeMbNk>=dq6K_s@R!XV04agMIJC7F50~ezoDk)#pEP%KmrX`q#yw zKg#}e?&7nyyz!jBx%kqvK039taoQcl^59U+-8bI&<j2?EwEONK|NHm<`diN4^%HM- z`nw-{#rJpr)Sq7Q>u))A=gWTjPo8=4TXw(x;@v;`k*B}=^51;^^l4Asmkqu3Q(wCC zPe1XO@Bj1Pf9JpXy}w_6-jo03JHOnz<1ZF3xN`CKuOE2w-v9iaFTL!eXaC;S4?LQk zy7smAZ@=xW;rlm@-uJ1GzVq|Hd+Rgbz3a}8{QbY)KXUu2jjK-k-dp#7_>1SB^2e>V zYsFT+%uuWKeSJ3Jg~u3mP;5DL&;5H_Zy&wm#9N-X|D$JI`$MN3dT--1KY!6HKY!w( z2fFRc2Jhkq$Ni+XNtBqv+yiYoYJT%02ivh++2gua)q98j>O*&b?TmN*)XIBS$vW=2 zIsW#cgT)`NOuNqhgU#|CW3aK6GDau<ew!R#X-vEGHeEE_c=(jExjF9B{ba};3GaT_ zp|hq2?%Buw_=30-mgpyQ!%tju_u1{Q|55QLPkim-SB@30Klg7g{`IpyddfA=JL`_( zZP>(}@K>*R(cK@vX4kE+edeRzc;)~2+TGv!{wF@V`|JP9oB#erZ~4ZHcmCb!Yd`<( z@4Wf>XZ-uG{^@If<(juW{omdBx%a>Enl~LD{;f|u^YOJefA2e;Uwh&Azy0R__~oCz z^R{n{o)_lc`nBEf{{D;q@-JU}_nlwge)kuz9J}+3hko{5A6ooZKY81IKl6Jlpa0#@ z?tbR3-}%VbFK(ao!P8D$Y<}-|8Xx|d^Y7g2+Od_!uEEcsf1ge0C$b6S>pefbx3xU5 zr*X>#@A_zd?Qgyvn|yk1>?@yd96EF`x)bkut2@BkxdJ(oU``>oluah`J=mmG-qz`K zRmX1c&@&I;@wHd{(7?)l_nA#@YTtY4;6?i`=9S1>Ws^~as9*BW-w`(P`OrSugt03- zo=rOMTzh=x9o5(7&|@98E(-s^2ecD$>kNB%Hn~+%^gR`xCix!Cs@A{m(CSp}NrR|; zjkfQQb+zpHvG42<OPt0#1Zbz?0DSCd1hm#R55gz~%@mpC4(*8~4|Wm)tQ^<ET2_J1 z%q-40eJgPtqz6};0<-_*u@p9jMAV1$1Szz0@eS;$<kN`r^L!!?AC*mfb4`1Ejs?{k z^flBIq_wWBhDMNEV?QGjMB{I5w<-Y4z&<{c(0*6?Y}IDR3MzSZu=aOhlj7nX=y(Kd zPBx+T2fymRN~TBJL_6i!!MIa4VRi7%Lx;5UVJG_^_*&E2R(8g1+KI1K>87sAuNB|< zAoOdrh?%ctQigo8&RMIAH`s)<B$DQv_%7efj?{>ay_3pA`l{Ys{vjf&I;s41n~1({ zleJ_Mh_VTizWBvjhkkzt3+pYsF4ZtTJ9I3YD9+;bWu1OGJzP7@egK<%<2CN_OD;Y} zKiRtVaFVsQwYJHKP5yRP`SPVKzLurEbJwu5cN^bd)Xs<9V4I+bzHK*OWTajx+2cu; zb}Ft7Do$TFyoODT{Wehz?B4nP?^{1vd;Brl0XBgOf=w#untu$N{2UQTFi1XII(M<= zU9}Dsn`n>0+S<I?L~6+<+QV@?o1`(JlA2X=+>UGXfmG?GwCV4e^j#y%_qaB>#(1B# zpKN~3{>Qhz<M{pL(Bz@Xtuu^W-#cMbzfIVei0rMqw6gvh_M#99`oh*WFuqM{I~KR@ z;tN9b4Ejm64?#P6X)3$Y*mcb{`hHN=O}xtoFNyZOt;!~RG}UaP;%n@pT4S^tPmapZ zwN{n!^fbz?$5G=wn=oMZ*~G>!`e3rjj^mA8Y7-_dx$8Db{p3iSh&6E@=Nn+|8hXjv zvyWY`UL3#5>sy69^Gj&$^E%`P-eDBn=@z+7;iq_fn|oG#&;@$l9($+Pw+PdoJ!r;X z)b!c)eT*GsYG0%I@Lehib(n7|nw;6r`0Fp9FF$i#d;Im%@6}G<ROIIu5{v&jOP6n- zPkR@koGzo$ehn&r>@GqDX+Mm=eb)R5mG#n$<6haL`Fhcrvx$!~P!cP{n4S&voyAV0 z?TU$Z@!`gNxk?y0<s<0$5uyu)wcqi&w!S4fQOh5Fwf0Z4=M&wmt|UvqzDd(3>+rDZ zIO*DzH(JCI&g(jR$ImYKR$*1v+Q07R&dhYNI)5FHHzd(K+3KS9Z?t`loM7T2cA#cm z+W$y+T;c1}KF4|XH|m9Mu6>M|?PJ`_w-W!u_8VWKZzlfLb~m$psrYYvgXn*~y%|_L zFaE%{4a-LUhDUAB;N7ol??uiq^_M_<6xm~F-$a})T%d~H!J|fHi{zvGwc`cnA*DT; z+HZIx9cH`s9U^7>>&SzHqEEd}%ftW`RC(hqc%0WgiY_H<oH!O1-@dy@bWXY(iJs>> zjBnC@M}3d+1Z==QO3}3kRQnqFwq)At3C%#?zLY+7on4o{&sazI{H=T@PXXH}Ur~43 zP@4ju`y3k?b;pX@tpse<9zRwj(64p&0A~>F+QFE$bIo0|jh)n-NI;*y=9-;rlds>j z?Gj?y<6YltmGCIgcLeQQv=*~t+t$IYySP^||Kf9twtelJYdl}sw}cOUV1`Kp@^+2w znCGC1Oqx|t-dAINel?cZc)=cM+cU>K2H88APm)o^Tl3Yy!-i0j7gcvc8<lkL(D=0G z{7$}%$5#ZEKQ!&b`zE^++2iQg&3`;d=@~J%eF|sCp+oYI2Bw&cqW0T_Td?v@u(sp- z{Wc-W;?Bk&zzRBPV5i;N*9h(0t@8?YaEIB=H<{V9MC{rn%+8LlCtqpST%gWw2QxKE zeOwc+O*-e`b34{;!a)<;WRp$UjK6gLR+V(~uhcU7{93N=IoO11)4kathdpk)cF87I zo|>;py7mv+WNmHDubEAZ9m|+axTTs+j*zuM8;j#Ohkwu}48dupsxv+Wly#F%NU7j+ zpMyfE)3GMO4Z7|p%y>CBh50LUZhJ1_Tg>2`wW24FP1uC<Rdlk*v-A@<?0capLp~=C zKmBCzZG2ueHA8AmPhQq4XU^HFKD2v~?;1nv?<akDr95dWtg?whJGQBx>|);jPwpq5 z!@w8@jU=CI2V=N)C(0(hzE@@4=T-eA-oA=WZa;26smCszx}wyF)(+7W*KOhsZ~9g$ znTovio3%~OMkk#IF?b25*|5WR8$tDltspyg3=lS(tfA$z^b>vCG1*!9(@%JQF`F>` z;%o7maHg6iM?%lvx!zBdpa;*b?{_^4NJ?pk(x{)P$7^iawv8c`F|1dAHD8tXS^CN6 zs9b52>KNOaO{#vf>j+tW>Qpw_Z8kan*wvTfzabj_`FSs$@Y;XAkY|<9cvg=8uP|t2 z`e#D6aMICxvHzJe|Jx;xWF4yP=M4+Ju*j=@p_-2wW5o%Qi|5$j*U9{ZEY5)EBj*?O z(s!W<0#}<SoyeagF5yOZ1%W5QQhYRQ-6@P$;mn<~&qO3fS3rx3KxRd^kmx-Kh9%ch z^kR;QDD8&yM}-r|vG^p=%lQFd$#??%!Bh`~z0u@^G-=nw-5~MQp^BCVLsDGLDq6ym z8+e?X$&Ilp*9?KcbDB>?++stCgJbne6<15GebbD%P{qq8&v~*J@O?si#Dvrmt$`d7 z*9-a{_75SU7rCw$qz!={i;$%aq2mWwrPzB~G-;W5V<p*F31j8^I!E@HC{DLklRy!b zI_bj?fZ(-ll_vew@jkJ^CB8b1_Sh(8B~QN>=bJ6;z4!z(uqXZ*OV{Id?$sxM3bh5X zrY672%%V0rRTJ4rJWeP{Ny}X-osG0{VxbT}ZQ*XDA4ljvL_V%^LFP%<hV(qwTDY%e zXQ|bST{_ds@nf}`O0<;XNNm2Mug8i*5DTLP3p|Sh=WRdqGkr|QH$)#3DjxyEM}&_I z9;c$>k$lGvhhvkEqG}z8>TZT*A$SHVkaAa>O%@OMf;!BP{I+y0PA0l|qfqbVfR~l9 z4g#hVjYT6}6PsFF<R#1uynJTF_&ce<$%R^QDaV+ywRh5{7Sw2(C|;1-<Up{1obeuZ zT*SMqj_c)E6KdhHM|c**KTG^SK6<Pwe&ESa>m$Y@GPsI9-BJZX2MN?dh{Xy9(o%Dk zjl~_IIjB3XLQz~*O~Di*6v-asP%^&O#P+#C!ppD@6J1(9o$zRL8LD75dFH0H%`k|J zjM2@-D0%^cm#iTIvTjMfV%ioXeT+xmMhLuDb47HO(eaf6smFo&6>)?*Hr7b(7spR( z6;|_Y^pBTl$=G}mrl}v*sOK8<1-^HM0*Q=Ajo51FS(HDYtQMj90B4rgk*#n7^Yx_C zePN>9Jm#I2%{IpmQr^JVOSxO{dC@U;NUXq7Vk1vIj72q6OjR^l%E}iu$GH!9VvyrW z!>^>1Qbc`Ikdd~AtU^Q?`mCyz=o-@PiBSDUn<z`FvX$$6X+`0>P;^itvr;V!-`hWQ zDMdcM)`L2!D!0Fh7;c;-q-ZKaUp%8e8rXUggl&Z2q6mwY0r~L<Z{|I&7Q2||JXMyI z=El1St)<vh0jkm9ITItQ7Fl@1z?ZuvpN&$@KG!|@RT_bsrRNQ@xcLpBF^ij1F}ax0 zF9z7Mj6UKC6R=ClX&qv89twOCkW{j8zheiv_Y;o9k^4`BP$e@zgeB>tt5O6q{~LfX z|3Ikx2;QeR<)}G?C4mW(t7V1F31N94ka1ZEf>O$~$r`%h^uP-XxU^%krLvVHSIE?r z#z5KmXbOd-mf~YZ{9;rJ$z+)~UuI0wPnHatMoN?9vRXr2_GhKAcu4CYsTJ@A&VLB{ zIIU2bX<Vh~Yry?7lm_+slI9lON7x{yKW&BkW6+Ku8A}qJV(QslwJMfh4xq#3eVuVe z2#TrgarvL7>Y|XYqDpS5#5X-Z42Un78?~3-FKIb`=C#3ItoqM1Y&_;l))kX^X*5d# zgFC-Sg9@@eS2cDj#=*_&Yav?pW8jmQ+_QhFKePc)2Hila0+M+ogmnTa%GOW@!l)|E z0L6GAg5b@ooPM#@gd-4T&95Yp;+0(B&Fy!1YMXT9vq1~zZ8`F&FDE=xm(15S;?+_S zSZ5Rw8GH<go86pRxp#vR;Vb~XIJ*emGu`XrEIvQmHJfdQKK}$g<dp8xg!5OqyW*Hr z?CH&mo!Z$AZr*Q?jzy`BayGkRf~YF5JfIX(7U@NckjJt-!xK$Fjy1x&=t~oR47a}u zs%S`6S`n)3D$iIvZpATMF$C{&^41z$B6U?PnlKy*i4=VD6+0p4I1muL4&Kn2)9+$v zY7(=cwAqaJuAFf%C3eslGTce$eOZ>_CWf&_={wd>;<QRKJwANHT*qf!^|whM${`Nt zm~u;Dn)UZjEkcZOWRkM^NGJ2Om2yi-pC~!D!{krQT~SWD7fdjNV{YupUe@+>qY3m# zU31dSRqp`n5+uLs2Ak`ur6UQv{TX6m6~RC)Rg*k&7D`F$n2r8|f~nC(iL+NoOO7%r z!n@3fN)LhP4RVY}&bVR{7_CMbKuG7SsN;Ac)EdB0kCWspNSc8ZZcsRPjk=|5rUh#u ztbLWy1;}F=so3|knfb;nd}9)#6f6Uq<<Po8H+xyr%`YO1kCQgVO)P)b{@_K5?m6if z@}|{rRqu+do>zr#fO|KD`U8E5`^gn9?fN0C2S7K(_C@t#lF}PuO!svuV8KYLGy^Hr zD{Mtu_sULfWV(TYj5*z7Fw^D`Kw{kzyz%<3aT3YXU{0KOUQalH=bHA+sqiN~Ng+!F zSm`?6-7B`7Sa^IV7kk-~%jlKEB=Gbb69lLFq!N2PQWy@p0Y=gqZe=M}7%M@-4V0fD zJkXMH4-8E)Rdg?^hbTJ915=<U44kNqdG$hGkx^AsrIzn|xDanV;`{&M|GhOZqX{Y< z$>Yp8&jZ8enDD@uG;_0^o$1oqn^{(TurUE+R$QmeE%>vIEdhV*Q?{Bh8~V37@eEJZ zIhA7rDSYZ*T3^W6Fp|>UlhV5@b4x$;ht{&Bi2%P2D?R7FELZ%q`6Myf(7q&xp6C@{ z++#ji6~52<B%@p{hY|FD&(8~Rq_Z{A`GdAAHRH_9B;$j+&mn7H4jYiAvYuM&n$F~O z%}?gD7XQf<KlZ7rE}m)xr5dXI;^riG=x-bFQzv^;ysQ1?#;o!i+t-*=I_Oqb_{?`- zuJ~O(0bk!o?m4TIat(+3y~sB`{vNBYyz<>k-{Ta)IhYIb^XYc5YM5&;XuOJ-r*OW2 zFSr~!aMR0>BUo(*RYdFB^HMk#K}bGahvAn()9d`NL9V+VdSt0|*$#wUenFJS15FAK zT*1c!3Fhx~3nJ$uJm<H_i?sPINw=G>KzD^-R;Ou)?GatxwCqWOtoJa^l85pxnb*BE znxBPbuW%NKhqSD7LXmUR757ieA6Q5}M{)$k6Sg5eAAt_wTl$+`cE8__ocvAqd(}aH zOOi8yUdH!Q_<(#kI`O$Bg#>|Xl`FY{Nyog0$|c~|;DY5W&VUiPXuM38@mwBJa-IUj zXmd0t2+oIqze+wG7bc?*(Q$8Dg;r375g$Y43;5AOUDBxd`U{BFr8vQ(uBcH$K_o!= z6N-rvTmg3Gdt3#iKx?^zV7zsxCn6;!epO5xV3oac8wd*co&<~X=B_(5ign1>(E#e# zgB!8n;zmLhvI&^)Q?=$=0?|aGUl-q-SrCzN9Rm`Jy*QIL0Vy_%gU%ZqHZhV0q9A)g zC8NnW$_&jIyyfZ!63e<9P-JF3coep|bri`6B=c2_2jj1ja=iK7vBZSJWCX>nI|>~O z`m3y$xfp$qJ7KB|T}Oc==p`C}!od*Lk53qGl}v<a6IeY&k*t`30x;)IbK4YbA}3Je zGZBfoIvT+o*CYjL7L+`}ifI}MaPjJnG-wmZ)WXp9O080%^6L<hZl#J$yL2rqvXiPc zBmp)bhoscV%<EWD)+MfE>+u^JpfQ-!Cjs8rmv=8I#Eo3N7!V?l1JuGgG}k4NttVog z04~A?P;3(5!89z^K#ZRv;A=wC!B6(WzGg?jloP#=H*xjpW^pSiH<7tQV!{O*sn)gR z1c_UzW5MfJ6v-%7omEOPCy>Z>2<vE1DSs3#>!b8*BdKVbK!ZaK>sco(hEyqaeDb5b zY8z(3$)`X_jYg@`?-U{mn(hqmq8b%%RJ`*sZ)!AV^qWKRNR^&Xr1y0t!072wUITxy zu_&mv;L$t&f{0ZzbGpnr!WFAiVVV>Q%tk#gax%t7vu|hvXPutd%#Agk$4EZeI??BK zl<ATV;dRnJ$(|Mx5<yx;E+h23T0p8+i#A2zwr49S5h>APQqC4i1ko$Z7;4AY2+?!M zBbS1gwwg*s_lI3-+qWqPwFYO5i92Rd&qjJ7{Ho~KQ97Xfnr<JdGs}i>m~aQ#7r5$* zD_yoHklJ(6z3_qy`#*^c)%7e?{^s&x5qjBWE(r%*k@xy-_ivpn7O16ZH%z|b7Odbe z;74Zo{O)ELEhnn-PI`m%(CnDMW;7aPct6S1gf~lW55E{h{AH+ZMBphQ`m8$GHy8Fw zLFA$=oV#)nETd@PK-o53!FX@c_H^xe^*aL_a(RD|U~sOO6_$lG%6Rd?Ie(=p0cp)a zeyS1#bB}WD<Mp)WJP>6LK1Yb*Rvj>?T}O!JavoJ9RB=XdaV%b@YB0X&mXxhsq~+aZ z9u^0?l%M29)>2G#S9%UP2*L~W37n6G%Rx=~vib())~!QeQeEN0906TWR5d{{QDOa< z6OB90=5dnWAs-hp&sy1n*AbiWg`l)amcm>i<hTGST+Sa|JSglieT;^}G8#n+!HgEO z9F>a*4PMj;*<2)hAeZnqy3m%K4v8Uc1(}D_>FmhLR+(3f9Y5-z-Z$r>2!NLp<QR;) z$((oNRaGEZZ6_F8GzLj=N(~!m=AA;tpyu{PKCKumLn1$xHtDxf6INGDY8SNrIHcvE z<J-mjj;?k|>3DMEPy~L>Y0FyJKAazy7m?MBLxC_ESiZuisZ{w#0OACQ!iC$N-J6c` zVC-WW`@}+>umhZ|#aTmzM-{z{vo#sth7DOV67WJeWi%z$kW1H%=%Yw1fJ^QX@q)_3 z2D8+STB90T>b#0iH*3PQm?*-OoTEVPRq=cQn`T*`M-@gX63S@@yDv)eDjbg*=m;7} z0gTeHR00=Tt^n05-2fqXSM$AKH@`%Pf$82!_wQl^TjixufM1{C<uJAGRgV4<x2ric z?Sx~uw{(~5wAKidSp|tC5kzxwsv7g_VaiSU`96vkJSR{q@<LS!yq*=B>-AdtWu`V> zW-*jZkS6aER1rkf5Eg<k1TM;5s&xqpC0Nq$MO6=B3^Chy#F$!Q3H-TmE^afJl;~X7 zEe$9xL0%)~%a)X3&uW%ygjyiBP+vu2aK%CVNiJG6QiH_PKiruyjj{#ZBbt`w7)L~& zmyekqUa@6C4IHFB4iyt*P!1KG^gyF={P=kF)C=hH!Ho?&jL8`gNF5sp6OR&f2;_Fr zX!sBvo03)u797+qA1rzYHyn{BlTcZTHu8;oMW?g{Q3#CCJy%4c_c+eA7;6w<3Gjp= z311pmUCN_VtVjZouM!{B(BPgkj;?E&EXGuxoiS!={a{fktAqptMa!f74pkN-XxW0U z>gEWK0wgyH3iiWhq!ez#6{{&DhFF+aCnNkkMXQRva)v3Y8zK;&^Bp8PTejLz`Z(4o zE`&Jg<{7F6X(YaAxhY3KF5DEa?8hc!(Jl%Z0n5a(Sg`Mo;dVkbqnqm(1N7tRz{4_A zI{He=+KNXyLD`-pJzxc!GBgpMbBY(;(E|G*3#5q@0wz#$#u0drLoW;{u>{H;hC?6m zl1Px?ifC3S63IIvJuMc$2h<OBkSU>$nLU7m21XbDv6hYAL9tXuU=&_-RCwk&`^32j zJ%Pj=1JtH!F0s%Dcn?p7+H>EsQbZT`p+f#7v95U1NBDvZtBgj>D$svST+;d8BA??I zud9lDGFP(5+$L~)X=!m*clF+h{Y%^tTQ2OQax-Nc7|)hi*b-E{IU?orHY!;4dkYaR z)bbo9%vUE#FSyM<73fY13UKGhqaF!-AXjBrlDD9o?8vJEE>|Ey7tQCHY1Fh~otgC# zl0$bv1%_|JJ+?o(7`QR+r-u@!(Rvck?RDjo=*P{K-!#0KmCLM3qCm!cJcQ_8;uS+l znp|$KSQ>x}>?q(#<GDznSL~&Tw@p!O;}NgvLWegCpz-9K_*7c!-5fJKf+1U$F~3UZ z@uIn+XpFl#Pfa{ownlD^4^pzpyfc@FVaLQ|4qmEth(_cdAqT#a-XcTHaZes{T0tPM z7K+orwmZt<=G`NVH&SZV)j&WL^MoQ|k~0n7k~C?#5q_F!q|$oS5=$5DsL8!8vhsx} z0xxxN*Ja1bW|i>LeFl{%FG5fbezI+Q-SN0Vg~?Q-OTi6ART2>4Y_!}>#Jjvz%CMMG zc|=#<YX`7Q(*DR-^A7wT#2yy9A;M1kr%QR>5yHjny2)fP1jn78K}GU0_xyr8)kB2r zTIJCG!ADrY5+*es&}-a-n>8lEtA?L>SJ-56m4WN(E0soKy51p^w_8J5;lhf0<B2Yy zTyuLkt1}To=GgQ(s~eUI?KQM$B?fZ{omFKd(r<tTGUFMZYxBRUBhX?oaHtK>ow;)% zzu66n$vEZGzMaO<j97QVDY1%SNQk;a710f=+><^^>1;J}OGF)&%R{G1Lkz*YWfjZb z{D`_BgPBPObsI!b(0pBBk2^tw1#+RfB2rb+>V%L<rO))B)qc{42`?GcrbVy1EUYl5 zlf!(mV4T>MPX@qr(3hM;?CkCuiCP%HQpbm0m_N$tnCiHt)}eV~+Tc^{68=B@V&p;7 z7xbZWQ_ihFa&~>uaa2y8J>eemi~Q1_7ekU-LE)AprcltFIs!i=oEA+??r8a`Cfr4o zzy?p%4fUSqg#)97uTWL=Z`V98ck_7=O%S@~SqAEiJur$%T_OKUtC)&~AQ}h22~JR8 zO+lme9F#{sBBJ!S+QR2QLPr^oM~E2x8z`7SzfTpMpO`;-erwNijGv_Pvq?9$1S^w| zXoiP%Nm)y8Ti#vp3MCGj1dmXr?~w*iONg$k1Eib_<8I20aZ{iRPiOJ5{L{-_w}_la z#><jl4Dx~YP=JkoP02b>l&f8LDb<E8xuj_%Z8Y4?B`>+&OO=9Db!n1+(kh97+?^|L ztSi@GXu(ZS>(jJ8@UfMeN>C^rHbGvAtAvQ?9erUP5(k#=k<LI7>8sX^oLEI@Qegxa zBt1PflFEzh-7JcLgj|)|6V^jup&L;T<CCtS+vXGMgvvH#3#Jd{xBIu7S&65RS*91} z-XS!|akFDgT&X3FQ>6-ryeb~LX9&)eExehWT;m5pmi)EKVrqpmYaxg?lAYAMJgRw< zmaD2MGWXU{=b;`6rWqyX$s!Op@a<F$$^;0M0w`oaS44&p!p9I3oFK`fiq?U9F?vve z!J<uB;cDl23xPJoU6i?&5@+f)Rn~gcA;jsgrh+0u6$R>M?JL&8e6S8JbOSK*FsYz- zEoqP~-05cIpu3us@+TYjf~mwE-A5^uEr<%s!VPTyAEMBAHT_6)l5w30`W*fG#AWZN z^TK&)ABX8MItbV^%r)I~;Nj&#N-CWMVHw;z>oZ1BZe`HikqU4m69hR`(5tqID5r}$ z)zIk>6HIs$khmRqEb~rKh7!epB}@n_ZOoGs<s|b6>)|y?`g+<d9&xj%FpWfRT?$W5 zSNXZi>x7ugTYmK%S$t)aA)Q?O5+&fj7OuDiQ6ujIm_nm3pe~~R0%}(7xW%(*|N82} zNz7*<E6PB~gu;FiOeOPyx8g&_5XtK?w|RyaFTssoUuE5;N>h4};JnvcbSqKU`bfdk zRkMMpXo?_pKb27T8gg*)6{EMh#c9+|azsnOw-PvXQ`CrP^T5bBK2>xnTyu_)9~$4& zfoKrzkWMjCLI5YGtH6Ug61{w&#g*UUxF1;oI1LSGOj=>`m9o>O5HY^uDs)qumb^&& z$s6uo-G7?mj}7;QhDXBwR<jxSU==ijDCRz3as1fNad0_As!0{wdk^RdWPIq?U2ye; zS(6?qhE%^%NHnDmM3YJ81Af9dI?nSalxY=mo$umEu|lk)Lm;D(iA>?4xsgJ(2%q)N z$Z~-!4djxr!Zpm5;KT{KQ<0r-A*SRNx!~QxF9-)g%~spbWvXD_CX(2XWlhcnzc$xA zO6nv4OQTCo*x-|%@R(c4a>K|fHb=-(c@l*`CXS5nz&kG_={9k`RSM2~U>F$Oylj?+ ze_2JDCmmzS^snO=gAk5}jM~UYU*aBqqTL?8W7y5pBm(b4FhU&lEh^G;!|3GaL<%$A zbPww^Zk^FO#r-d`R-W73jdN6boXMyC(1omh$vUpfXJNZzE1SES8$WYysrhO$9zlTW zygj~n(m?6=49&U=Co<<fCq^!3K0NGh&}5tfFiqqHiIvi|2PppmPF6R)$<4Wm9g9<H zcrZ?J<wf3_vMO@p!!9pv-Zinz=PR0BI=q)vvZ*s)F+7|fJm-nYg(9~mV)9Z$iESE) z0M!iCUQ!@V70m0J%Y-euXs$3g8(*XxS@X;Y=b#Ktb2`~&U(`KIc;Z@_bdOSycN7s? zMpKyzAU>NI^wgR=rO~`ycb%gkzTGWPg|0i1S1)L@Z@Cz`>HyCc^G-i-HRHS86KdY? z<G7kFi*A0<O%Lb=8IPvu<KnV&FD`g`xO6@%VzaT#0NY>>MCta1=lEeaKC}1-{y-VC z?_BtJ-a^js)DxHH`<f#|`ODphn=a1(MS0s3VY9pIGY`9Wm7{JSN7mc8u%%P99H!sz zJ;{pRc(Z?cazE`my8T)Q*c@y|K7ylpvpZ$iSlNoIjp!CzZWS!jd}vjlda^s}cg!(6 z{7|DgzkDss25Icdqd0S{9|H{nFS-Jc>h46fY$hPs2H4*^uSIK!E^?M(J9p2Ye9`Dw zaoY%=8|m_V(B41&s{Nkydvs)|i}`t+sM78-%gCFzO0Nd#x~_;{dx)=J=<L!$Lx=T3 z_zPFV@&~`N?|I+-ru)QK-hcO|JBIiD!Fjj-@fRD#HIFv(hwt#WEx-Gf-#x4Gh4;Sy zNA{1taL12)aq!_SCw526Q}2ieW1Fe-@~?e#Tk*ZmxEKD}Cm+7`#w|Dh_>cYe^G@Ek z@__r3SN=~IfBEzezhLp}FZ$zOyY1=!@}bW@@1Zm2#!r0S*FJW}mv_GVq!)hu?(dIX z_}iEL#q>jmul<QV@BGH!edz8Re|Gw9RKxx(zV)Up`wr}PdvDJ6`-e;SlIO@K=<VF^ zy!rL-zw{N^M~eN8i-yYP31j>BUzC?C6zI7x9Bb@9kkfU3`=)=lcgqv^H1c*gmZQa6 z|NML3+xZhapZTRPUHr^hr@rzXW)lfJ{6JhO;@-W<CcH0Ml0AlKZ3XRT(B1aF_H74R zD>vl@2Eb^yKJ=km$#M@i+3(snXWL%<v5Uus?)<F-|9$J=ZRKfu-unGF+&X>r#Cz{E zJ!1Z!C(ruY&G()1j*-^rt$B9B@`(?Bq0yZ`_olT^T>Qf8-?;hr8)LUO+(2!UAr;Ty z_TQZU)~>6be$yxZ<jz~(cIUo*-!5*w>I;7G&R^Y^J$#kF?a_Dt$l<f5V(0z8w13N6 z7B9H^vWI{1!~?gLqkFN*3E1Sm|8T}LXTABA(;s{F2mf{J$Nv85ht5C$){}q3y|=vo zXMg{qcc1c!AA91f&-~}V`24^8&V~Qy+io5mfA86^e&XY2ef925XT4@^=<lz3;XOOP z^T0z-EPmvF{qkS^-NV0m<Ec}>(V@IqY!Ce6Z&Ws!dAQ83vVIb!ux!#Sr&qAa6nk4v z7;BkL6!kyICbaJCzPDesxADi_y{&e)#ZuT4pZ?zWe*FAv{_ID-H2KW)Mt}PDFNs;u zeE<_In@!re?i!)rCWX8AeeH*`))+RyMs%fHZ~M@#t~u1&Vm8@(^R^d%{8Vgm(t$1e zAH1zR`G9QVT^aW@T9HBTgbz(NK6~fACt#D?Z)L5Xd+R}Ll26^U_PZCqe7-UMX0yo& zLuzdN7g8w!C(#V*jHSiT=`5U+IsSz6O6(jHJ69y${?5mDuid_bbBIJvXON_GMRW+& znmgqb=bq02f@@9_HkZyv(m9YiZbv8Dan9tL&fYw{b?xxx&78CXk&`1w>@2)Zgp3y- z*u41-i|Op2pWmS~hG?xj4lg3$TfznLX6bXY(j5V3od6-p+BE65X;!4OMR_MA#y?TM zYv24PS?^TXpgK<lJf-WEuKFp4(xte072aJlF!M$``*X+l@qt0z4Gt-_{q^Zq$>WF? zVpEy8qn<P}LroL6J93A2yLA$uAnB2Cx!B|bAK+BV9h<~GYG)uO9Zl|TY@$<d*6fVM zDt(es+hp6?^S8++f->1e=RlfGx@MDIF(Pu;+TpX$PBx)9l}$cCg^Ty>r(gS8`L#_d zdDsL6QA%-w-PnXP1CL>oGv7|M$y(bqd1%|3Y@#!9Hfu7Pt4$4ZS}Stb)24DLjitk_ z{(3f1zQoOM!LMwxw)V!_CJo1cW3_DQ`gAjSGdkIc^tDaO#+g%(KQ4J3#Dq=O*1j&W z3L1Y3a1s&K`H4?#{n44lk$JcF@}q2mIXLC)ST<Q(w+RPwaRQlvY_i5VvWHzDqtz}a zc~&PRQj_j%Z1-H%N@q|f|Ii{To1C}_pw(ry{<Tdm*(ICkth5Aco21XNY;y9S!BJ^Z z+hmgVjZL`CawL~KVQua34``EQHwvC)zUB($zQd`X^w~tjKAZIEW_lcHlka@@%vW<3 z=~`LJCO?~MKq8K^iQ7~ZTYq%xjsg0~PK{lXS6|A~Y;yG2m29$AW7jr26;9G^?9$nr zF4=^9w{3F+>L-V>$!5+|d+u`?yRgZC4q8*$l~;m+%_rA3`S~5!*w}T+E{$E^`c}>2 zj%$;lv*D>^F?RL(NoKU&xC3j44`a=pJz1$P6mLAZ)t}C=5_KDeQ(PLml1;YJLaVXM znAXRxKHVyL8^^BiJu`9xZDOr3n;^LE{z(s$X3k={$gH0oJmYh|C_l~9!iCI3cw??J zJY7+RIe&8eM#7_nFI9YXer4M`cPaN(H_!aobN1p(+s#qrJmNSTROylX`iY|atl|k9 z-vsAm&b#qBf}_7+=V01-m!rndIh&F*+b&ib^T^Q`X8M4qSLxwqtA%t~JIUdh@z3~* z?S~atpRLK`u)+mfn#Pl_Gc@^OrULOb<{O_Tk4r`Jw)i!t%zCFst#rmZI-`>(N93@Q z!p`vIrwr4%{4_6Dkf%D)nVvakeb#4kN?!R0?>8<ji+np}rA}3sl0)6O@dbtJviT}M zXa?5}=vTSN+>JAP&p7i}Pu$MAl0U{fqysl{9wz=gJ4Tt}7c0$>DW9D9OUHk;IMC$$ z%I6nD2d?WZ&k&A0Yn(wQ+c$@y@&u;z*YTEqycixs&ZQR=CvN8pC&)nygm4yT-ki~+ zLz#2_B{tQ$nsJ<HegN*=$WX&so^g@I8OYf?o*t{B*~WITB1UpdUN9efpT1n3q3K@t z*fM8oo_Z>}-pC`7&LK6~*YWO+_fk5Wm3IN@OwOVly2GV2J+Wm+WjTQV0`Xk;=-!uK zP$+&GIl1ed-5E-B%%$_Su&GXNRJts^6>MH_^3a{Sx`1;=DbuC!aTe(EjprA|f!B4c zE_l>5y)0klcO-D4_6}&=7ZP;4Ywst_1ZnkA@ec0zRN#Pcj(ApR8@(-!>6dT^W2l14 zQI408c0h9-4V4`7dH6YNPd{y(n{?JEcdxB(Q4w3WWe7w3=QxXS>&aU=OV9C$e0Y5< zvpdL<eHy`O4pE&`$Krz>X^Kb8z@M`Bdme<`Thg+=+-cWK!=>1NlA+jocW3UZ3LgoB zizZ`zd|lgiw(%sr*1r1HW!<U2tMN!hPP#d|<<8ykt<AXqnX?=>eZaYIf4h<mAEoBZ z#Whaht7ymdPWpzO<(UkEd-(9@7%1J2Z+>%iRf#UyC7bN3Y_hv&leHsla!qBE4{&zr z;Z4}&+u!7n`%P|Z#$;yOC$_$!XOpc1TXp91)~%cI|8X|i#BXHMYR8Ub6Xki@Lrot< zlr>cL`eNoOn?yG-!=rp3^iJ7U+dBp%o9x(0l+`8om$QwnlE1ntn|zCTgWBZNPp{iV z^Lg1Ma%8t`qWCH$-p-vrm`xZTte?<)X~*2h+}a@q)eZe*)7y6M`cCH@>Xv)>hJK=1 z7pX4c%K%kB!FcK?&v}~Dtp`<NvkCs<B}8mKo6{P1lZFZS)~y5Q(3+(358O|fHyG%9 zOVqf*$*)a5?h!VjpKuN({lubp6I8*X`hoHOp#5a4#(;JCYd2ACJ6}!<r7ZpZ#Cqh~ z%;Q_>C-wkC{TL*F)8q5sd;ACJCoYX$vI(P*Z1PvwM0PSzKXIL1*hDtpd3>8x{bbh% z_}l=qoToGECzVY$ePHVwl1(x_J8Vrhag+aen>78vkorlCDyI6$rpqc-*Xv9eAgigL za0_C_Gr}O5U@=)lJk}&?dhM^-*wx=pj%AY_i@R+cK88({?J;b!W9Q@ZOo@*>cAb1- zDcj$E@}#}U`{c9~%6NS`A%AGkv^W1A{QwDk-klc|fAbbkC>y&^d|5U&k@%Z@kxBHS z4_ag7eFC?@h+~r)+|MU|{j{H;w0ziGxFtyLQ%TO)gybXlsV%kqNhD_OlZ|uaSNkbh zDyVqUW$sm1{d?ON$o|3PyJd7{3B}lbmJ?;lXN`BFk^F(=yX8g@{YZc4%j5)o$mc%- zgZ65gE~iddU1a!>q34Y`=~V1Xa^RDED2ab;Ank|b+pa-W_xXGaNH+Ahd9ZYQaxZ$3 z^aO3ME-`T_-JU1p4~JsGMaU(qcY$nS550qWorWj@Vb6l^@H+UhJ&WQSxB@=t`O7MQ zc-hhqRKLaLcS(w1*<AJtCjNh6LD7Sy|LpRjCp7RlZ*7&|%l2H0{CLw9<YV&hUs=Lu z-=+C(j!70_^jQ?Y`-N=bv=6dh5j=lW<)7bPAO;ylz(@Z*OO-5dX)eEi+VVR8ehcU4 zr*KPQApg>N<iO8aWjlCbY42vt<tv}d_gt@d*SX)nhIsZZPFvkwzU-Bc>+Sh`kGNd^ zzCBCw2VTnF(8&JCg+13x-<y68d4$=c*$2S|g{T90C~qQ}UIMFb)vp@XbE2>yCqb-{ zAS8_R$5o6LGfg0Oo<HZg<O6~Kg@CJE@fM(&1aQ;C<)Xxz-{M5+hY+)|Gj6hUsT2Wx zb)7?KTn{F!pHShCsxB+=xdq6*FiK=*$ci@250hS10c!qLkXQ#c`W3lPcrV_nqZdku zXugle@4kH@(6BM6B@j12Jc6sADYLjtB;TV4w>Z%YB*X{vaho+_{tx1$6n&i8*x1+m zg2zBTw4yGK0zfbq$5%+GeDgL0`XhSydP#evXGcOmi|-E{jn5xVI)Wk<^rKqrr>|6j zL<|&M=J0t%t}2{Lv;shd#d9T7*k+j|@Thv`-YvG4oH~s#7=!%Un5Iw||NTU(-B=?V z{9Y!<YP1_Tr*jM9uCu1pa(i+Zlr|*$zcb(wri(1>rMpmp-)I35e9+sJ9(d!bf>Y+( zU8|zhp2etmeM##$`63s`iVceObuGZM0qSt;hvtp$H^lY@X8Lf1nHDgo^dJVcPoPNE zk?2RbsR;21s<hkybx=N6Rq&b$Q4r1TchpX$AeD8c($z&24?z#z6KPE)X53#`@szto z?}>DN8mC06qJyzn`<bh2a2z_|&6Q|gn+Q+OZo0V`ObO9<kmUm2GK>hW)9QzO1H~Ip zUl??W_gyckNI`zZr(|_fP~~8t=pf9?S%2Tu1`i_W2d+X2h|{aH2G@1JMW9cs+=|l? zQ6SdZ1<6AapUA}KmNq5~2Ju3xaxg;AiA5~3s9@mAGP!k8dZiF4Ak;^G2G;~5t0;-g z3)IaKN@oS0SPC8FBCw2zh~2MOpk#@FRleCSKv{8F?81QX%-uo&HU)c^8@o&-6qCaz z#1$iaHUkT~<r<XlcHHW%-ka|2M&h%wK}fxQEn74)MEFdc3#rCZK-II^y}eLm5#g1V zS|)7VA#?ZG(kR7{vt?zaM-xH%^$c>8;k#KlTc^_G04LT}yJhyXkpu|MPzkkQazY=D z8mu$$&bu6HGh1>?&5Vhr%YzmNM2K-daIn@guVP1i-VGtFI3F{&Fg3pf4-4b?RPVBb z&V8KaI-bSjvm}(Big(Bcr=TooRVjmhVU2vjlvk?EwxwVT3hT$=o+nywed9bu!>llK zZweA7L4-6r)$2PD)}%D?gA%)wAJGD&X2&lFqGHTB3v{uI0Mdp<y_H^uUPFX9NUAgi zWFZU%kdibS2P?ZRh=jE0w&yHu);mw+z}|u!9Sek#3bXJB$#Ju0-J)s^QH2P3z&fn- zk*xDbJnM27DAjC6+oRBh8cj68&Dac<Nnky8sy93XpFFv&w5#6_k)5}c=uB0mw&lpl zUTpHTS@=?gAdRi!f?X&8YeK-uGJ(&NFM_9PQ_5a+id5s$pag@AXwZ?X#8HSN9c8Q1 zZMugt6kO5K?cD?z*_*0LA&7}}7_A~f>sF!znKWG_Gf$wK_-O1x$i*P5{lXOP(dY)H z>>cauEGA7}tbA@7hH4l$`9&e-Y2!1;vakWWR@KOL!2AJ7VnK~`H=GfVm%~pBg;m28 zl_QRHW*See#8HHnTpx)7raP&0!<Iq=gYnRP6<ZZIAla0v2p2NsD4uMLJOnOKQ<rBh zvFlJM*YV$#wb0l=yaO&JP1SK`e+pvDpfb8p4_~f`eNz`x-|4M{Nt8G`4}TP{VS!X) zpK!5@Csz7da#pnEP&7QaeY~qjf%qJ7^}n9}sfml4^011tGKL5hVv~+4{>X`XQGBs$ z;zLxh;9whX<_zV$bj``mM?VN^vdAvgS>{Emp)3$`wPn!J-6YU{yCj&*+%N_q>>8J1 z)aIVml*CgK$&a0(RIi?eBZ>-A$rUkzn_~FWparTw6KVbt%$7~DpHc56kZuqWM%t7n znbp=Kx`Grw)h~x977T~tjGlFeJ|D~pxhcX0xk>`(BePHok8hq`6k#WG9QnjXu@|lr z7_r0xWnQt2P%fUM1LfFIAV&1ayft749B9b}qH-x{=h7lfB^v2HX(k<29mmItF?L!t zJ36}9v{R)#$Vf%UEcSDw*Eoz2M0iuH2qbjK<`O6il2G#=o6Ly9aRPWvPugr|h*Sin zx7Cx1RJBa{i2f*|M+BE(K6$DG+O&!-4j1K|@aItN>xM?PN1GTFW9GHzZ-r{mJcO$8 z=0YqY1Mrv~PH97y^oSHMnbGn|xw&E)c4?ed8WNaANxaM^Fg|49$X2<$cit^wZELv& z%A`~_G#gvW0knwG#!zlVDpPAx1@%NkkGUfO5vV!o&OJWH=<e0hz%rf>hu&C5Z>?&1 z0tU8GwIYUuhdX!fx|WeXhKN+|Kur^)TM;!GmU&^6vjl3wWoSG>x{g<NBQcE&T{43e zfQgO}gZhb7GN8Yrv&DPk6FUAk+)QCXIkx%X09HeIvFq|?Y=x92*&m%r7UzAzw4`~C z*eR3hfS%~?WvW6CLAQI|{>bLMn7$xCooAlHPQg8JFU<<3tkofeD5OV8;JioHDVL*? z(+PRrz^>6vPY!h0O-G$lMh`!Q-0!GqxcE<5%hzDaps89lU{z%fNIjc7yCTse1pVG_ z5Op^MN_O~8;?E84ZA-Ws1p^Qzor%n2@Ta*1Rl*cNg*q%_F-FrKbIu4Uo2|sD`(qTd zP6xA!LL<OaSJYUme87SfUvy8R{p9i9NL=i&-`TU&*fpj{a^H$nF-jy;=l5DV5)H*# zLdqyZ2N#D@H2f`LJ`cDqp8^G=QwLYX75V~O6)6OBki6@<xT>+37bfe$!l@O&iQgNZ z%G;vrOj4&F_2x|<(p1pSedNzVbRtTu$}L@hg}Rs_L&^xj1)6};<zzJ#L4xzEQ(isK zyF8rhhS>bTK1P~@&ztS$6grif>A7V`8KtKwiFBa=FRw{XlMW+v4dtY2SH4Vy3k_=X z(Zvf3cY@;_-1(WsytC4DC$-QBf%-i@aO2M;0*G>!A9G4a^_)KIR*@6n2~s?+o>QK1 zE?gvasyUKbY29EHTRt0RHFATZ8CQYwbBxhmlyU+7csgYxJ9gGe$lPi^*P;#4a+073 z(YY&>6cIcF6qRaFz00`A-{#n@=H8W!Fk%G+h792`e#*`5l|%+$9%gdR6jC7KsImc% zpb0nH^z|*!79%(!rD+eQq$=NR*J#8EMKcIdyoksaJNeQMR7|tl1y&h~2Kw>TrxM-f zL^)ClAFbw%Jd~<W3I^$k{AM%8D{Gt*1In*JiB<-m8@u=r`x!ZM`pn$zAD~gOgjG$8 zn=xwX4w$-C?p9b%<C>3M-cd5717tu&^rpT90}YK7Ll#ZBn^W4he9-`7gBk^e<bKv< zM-|$6E3y`qo199VWCY0`x_UHkD+Aqr0kOMDVL&nsNL0uma|iLePy%26ovj~3T+78g zai~~%9u&yrMvsHkvg3*v!H)oqd0mot=$0VG7v>=|rf}s3<-%<x4hLV6OmAh8snU&K zOreU#OR_9X3<^f@(Ir|bFVv^wc#GX>Cxeg174D5ivW!(&UrNxE7&)tkDcdkuL}Z&C zk(<@s+wu;Uon<9$BnU+(d(SFKYeiX{xt@R@3aWM>iy%HM`#|Rbz1$nw*ke71GSXFy zgXIV)tA$w8WBEH56MN4ME?6#cnin9k8(WT!ZVHf%aS?9>Ptc?Bj5EyQj&uUG-49Wc zd@<E_Qbdr?M>;!54}xwoXwi`->rjl5msd5GtP=>$%fNV@!4@Ds`%xB78Ci9~mTH@d z_uzncZo%bqhBMd^<`nCkP6fpbQ(OTH5X^NszSlp#<6Tkdl$#g3qyv_!=aH}CG6dYx z9BPIwfqs?RKwM`gS}cWI0!a{QNm6K}Y%kbEP$GR#uGcVRp%AQaMd96Zpah%$>8si( zg3Q!T7?9maB%0BI$wPXKCMd8+Q1?VqG?C2pl*|6P-Q+$^Tp4%}tN%s0mIDDYfwjn# zdjh{CUpC%+lJg(az^+M)fRjg1a{z~t4EkhMaVAhW&qjcWH;mghH!bC%(HRYvK&`V_ z(Z@POiQ6~PBB<q6Ia%sqhY|u)A)(0)-0{57;kN5uz&)ZQX(NhaFgrj0g6r!5{{BW| zzk2{A?$7-L(U0wKTumrnXNggn4L8$X9cm<HP^R^Xk!fD!CuA=ExngAO*2JuXkfv&+ z29p`#iLSs)(0+{DD8R4fxZE=UNtr{AIHSvPU8HKLSFD_S=1|tyAXi|+(x$uX;6`7` z>&;n(#(V@d8q_;<rm0p|@d)CWo|roMXbUcxpo>lg1&)>{ck!yck(y^;k|n03lTtnw zl^B@$$El#)M_|6mgfyR18BbK%NF|2ET8O;|OjyQV$Gb!M+(H+L@U;BO<UKYOg^C+i z8(<=lk*VYyF$ReXvL}Yg3dI6>7VyL`mZ5o~lb+M-X*^k|g;$^e`61DsaV=!^L^Wke zkMyH+bV^vf9S$!9eT2%1`Y+fMUc~5zvOyowk_YotIG4GbU}_?62{qVl<_W5#>(Bv3 zrQwcO*>TwJ8koly5n@IV{$wXGt1{8N8;L0t83_UuK`+|cu*y|~#W2&0q-5_J5MK?A zIfd$|hP((7d?GN9B`uSsyAFr}4Ir6(ikT@N=CvY?H%H4MH&{*K#8X2A(UqV`%7nL_ zsq#z^lyb-k&4|a0EE;1Tz2+YsRidH=nHzE|r*=A7roH!@zZHwTTYkmIk9Hs0GRP*@ z`7Va0!ltw*fkQ&_!nH}f9E*0O4u@|o6x`E7lnHGHCRGLXzNi74N5iqbR0OP%%XxqG zBk`g^P06!}j+ocAI8ZO(7h*t%VhEpLY6*Uc5HmN_%^x20u6=9grPR($paW$87klpm z9obRdiPpWk>b~lcN39vnAdko6QjaiVa6q;OFyMGfN;8ZNOJpM%hv#HuKgWUWzVimc zVrQ3)OYQc!M>d0O#>NmZvg0I1Bn}~Lmf$?27%#^mCMFxmdv8x1oZY-X$=PF^HBL5! zhu-h^Rn_h8EA<_X6X%3;_@u6??{9roU;Vj%yW_Y{E&zwZ=|!hHV$zyUZc&NF;Gb)( z)F)OB-d^EvF`z~OjNuvFWtO(7<%&UJq?A}Qqh{lYd-oucwmG4i@XlHdl_;^eAp)l@ z&VXA9zJQRMpg_d01B5-h03};uKL|X7wX0eiMh%Un6o=`S?@p=2{_Lq{WwB|S&6T)G z`)PHymCk9MCVB~%>NSM$k3**k78*@#V+(4wS;cn*jV3#A*#Nv%aO~nD<SLVF%pIzP zbq{yHMk{Yu+Tgl-{0SM(m}@)%(I3I@wBdV3TJg?CX%=6q053=IwJ{deP0S|g;zY$Z zS~zQbC|$wkvRqx-JBgWw_xozN0){7#8>k9!-1!Ep0A-u4|MBrB)a6xsb~N+4*{fI9 zw%O+8>gBeg$&L_Ln^?GKp(?QS*0=xH+QYXF*i+yC>gxBuaR0&Em)`%fwA$B5tQ^iu zOrp5-R{QzNk(W=Kk$v}lVdfWxulV@ye&XY|#EXl~cdJua2%0z+vr$yCx173n_c8qR z)g@o};sq}o-Sy5;Pdg?S-E<tSb?}`h;^o-`SImBR-vg@ygBN}XF~JxpE&l8`PAx6o zW^Zdg(`YtSVk>tYYdtitAFAv=xRmZzD;DkMJh|`ErPeLcZMPvhw>~iUho61;KTq8I z=;yxprgKM<9nXH=E+4;bvH7}fmDi1=J9eE+N+(rPUi`$~lYgiVUiRY;A3y$yk@9UH zQL*K_bJ64<-+QWmS8Jg4zLzy#Jv%mj>3zr5%Sv}Di^fgNo<H%;zgqhIZLN<k-}&j* zXGdCh-+AfMeFx`WedX{;{kkPJGIV>X(JXPY98Z!aZt2A<&)7w)k01Yyzq{`b|NW0o zE`RdFU%mO*HP1Zv)>Ef0k6y9#c5NjlrMvDp`MFb-Wi-jbr~drGPyJ-+?~a|?_x|7h z|E_w^|6ojZ<Ln4AX&`nu`rtf?kH2+!dC80&N%!s>d3^3&W|lE2CyTcE|8g9c=(fZ} zeRg@@3_||Fi$1>hW!3(COk(>C9I@?~{I{>h%hmsRc>2TV{img~uX;nW{7_>V7dh-- zeFrf)ZjUo2w7;}`tTi$J%7@aq@uh*?xE2v&@@T8IxbwDKvCjYH9dp0^yC?r_;uDC; zr61mw-1Y2gtJSz=u{plI@;Y2g7?GI7@#3E%CTZ=d6OW!ed7tXL4egYesEhyjuTH&Y z*Td<FD__<)|H_FEU3$;S&+abWnOHbIF*`0X`Dkmmz3bN*ljXZcPTqHEsWcaz)aNf@ zo(wQ1=v5yRTz7fKuA!}8S^D08{_5v{d~)%VAO5SGXOI5%bN}+xgNVsvSxl<U!OxwF zhtba-=KK2i%xquZP+wnv9~O}S^Pw!yciOw}c@hT?YA4uybE<C`v)nxe8lLc^9G+&b zNv4tVJs`;>!RZ4bNIQ(rw#$>DVs{94kjY2dKin^V1^NEI)m6MQgcsO`2Jsv8eB(}R zKjO^d+0vn5Jd=$bcz*r5^VJO~fwR6UH7fU;@557k&x#M+PGO_Q4m5?fI|zQRucMXo zLzC)Dct#h#^$XkA6?_TrP5mT&2lUUs294%3yT7c3u->5u-M;=Zf7O1yj)#ZQDi5pF z4)q42<Wo1k^PTw3`guOREAKS6xvsTd?`9X18+YPSVa8+#55$X2`Wyb}SXWHwX8=6U zOlZpr%D3`hPVGF(XPV(A`ACPR=JD`(`)__~brr8@so}#(f|xiC1Rt4VBxoUee0~j& zB`c;J7gLjX3jjIo`IrpLdsA6V)YNA}4~gJW$Ugbxv15qIbBxI<s-yw&;^v!g?(l~4 zXzK%vIo>&7OkRNVo5uwG%80Gk@l5yp6yBa|gVYQ3<~9kQBPO*Q>o=Y*Cf~n`^8{~g z;(7U^n0ycKqCUyz?gcty;%g24?9mVt*o6-Imw%|IM@-gNYv1`!XH2GQG8fQyXJ0<Q z_TBHUBPP#2>tgckv-5tQI6v^Dw~TB&pN=}BNKLJ8hzXu3Jcf%9{{02Un%<~&gyiOq zm<W|mZL_d3ChP6_1zp61twT)ELc~OCwIJh<mIYwD6a3n>F(#{B^CWUH;Y0jq5R=Ze z%U25D<j<pF?Q)gvI^=mhVzTq-DqdUaT)U>&{W4Fs&CjnLJ&N~H*Z%%X+1e%XMboA2 z!<a-A#sEYtqA0(19Th=|2`2${+A*PG@ZG(Kxc-y#E+%g6>YOL}n3Vb64%RNl1bcLq zxA($$q2ZgEw86j6=m=xUG~VIEv4hgMKusFH=;aH{HLqX+rdvC{P-&(!_1TdY_Ws@1 z%6pG-a=m{K^0Ma0??lxxit=!@1Kfq1>tRnPvAn-V=2$Bh`#86Oy|IMvH^MI88Eo-g z!|T=P`-rPPz9VQ7E%{@K_WoS!{DZyGJ6VS9!`IJ>&v*}TbjH80D88Ugu%YliVe$oB z3ft}K(g}`u@jjwb^c`+Lh7&vS3GZ;u5O-};>UzAJS*h>eP5V08zk9mHcR>|ooL%_* zG1}4mNk71~XiHSBd?mv7TeA(+N8jv#+`YIa@fdQ{lRoD9axCkU@IK?ar;hJ<Rr33F zoHrezJ=HwU?`h%r$xY1p-XhMkRP|Wv=gaA<V!Z$Ot_i%W_g?=FAab-9?>Wv!H>uB3 zBM*`INx8l6Pwoj^yw521X%F`64o^<qbnN)M_I|KL`||$eyC++duS)Q4VyksAPQ*WP zE$XEW@Nb$()M4zjzyEV6<Nd+=-i!AJLEHV_$B*y1V36-4^0pTH$h}uc9Rvb=sT;%B z`QYAHjN=PiXak^XO3;gA`p}2AGvE6`Y4gwH#PA-xb6JI0jBz=E9DR5EYU-h$3z^gY z==)3epe;MlSEpbXc2KXeS10&}o2p^GaeR|vU$PCG!>W4t;q~<od_Uvz2*(3w^U(Y| z`|$M!vP6<RbTn{Xjw2#j+t&AUwuXI0cy1lY*3h6=Tje?-i;8;JPQFVgoUl%C+Xg@c zKFNB5*SXq&{p1mc#cR)t<kb4wp|wHCK5r<`KRLRy5Oc>_H%>hzzO3I&1zBHZ{l^=8 zd`}1R@_v-+?`PfemKn&fA}=E1{Utf9n8F#TeD?s>6XzF>$3h#Oi>Mp#1j(AkHlky& zdX{e#pZMJc;+tA8--vHAkX22p*^sQ(?zm%p{h}{sj9g3(-T2P+8xI{l6l&iX6L$<i zA8-_i_GJ+K?WBR(854BI8OP+=XI%|=2W;|t-$VaAsn+Tk`|D4B`@7&syJgn`(vAsx zksan@;@1D6DR(Rx9PIy^jECU2j|mRMT})^JyArS97Q_Vgb8n}NiwWO5?Dzv?2uFKG zF;VY?ylT&rwF+?uUy_n**KnWnW9X=Vzu(J*c`~*C^^oq0SZG^jk1F#+HFqu`V-xd) z?*<cs-)cyXjU>jt?Va;vc<P3l$g4dU`gx)b9g-NV8J?fOPHO#wC{7R?{rVv}`MUY_ zoFDYZ&6BD8JmEQ7d!7vA3(_FHvu~IxJMnSfn}UM(+0AyuIh!Zo@Vo>EUN^6o%axr9 z=PL9G2W+l|$jgc`X`i{kUKb1>M#DSiNj@exWa*pYdx*Y=H^#)x6Mkt3#$|RMk4m-> z69y(96W89}F$wMu-!e7n-aTDkbMxZcI5L)&^GK%y7!w&$>meqa&y%lhI!})7bn|5A zQ5wfQA@qz1>fw0_+U{cV96OHDaH-@&5)&Sgd2rib*ap>+E*v{yjoTw0VQ_iV<4Ydb zM`GTbNuH43RaD6mZb=Zw%@gVYe4e*E(QVgyyAOH04JV&8z1@eL9bU9`@b;X2yo>!E zFS8cv?3L$zIWXhec)81)vCEeN?&K3Zp(Ju`U*h66dVLl0oZZ;l4Q)i<iT#%1>5G8N z$Gh-&xGZ=){Bp_ru8^AxP;z*D*vZFNL{-s8!aRQOnc0mmbavzGon57!UDPLb<LldY zVIJ^uy&!qJp7Ni&ax@)iiOI_>$QrewjbaOJlpJ+B+Y1hlrk#BJ4rjO1mu~xcyG}pY zjo;C2S1maCzAL=HFh+aqyA5DLF-kG>;{YYW*QAgoMj2y)8Tr%=RB#}k#Gr!$4P<65 zU_gOW+Tama7S}*vj?^NvM9d7hKu`i8iw&-_0n-#i0btxma7orkIs4`0z$Or@oH7VR z0c#y?0VRcF6vu~28w}~45EBtIv6_J$d^EhmolhraT!t$1V2r1@e9c$2CRCj%Xxftx zQW}j#U}IvqUh(XtCq#J;<?~=XfjMktUXq;I_KF_g%nZd(3%f2moruW<7F?-KAl}A8 z+d|G$XMo0E9!!p)yKsk1y3WjMFd_r0+}6#=a6mXcdLZ<d(-srmiQHSEm(|RIm2L(_ zBsmV`NfW{NPyu}ic1hGsIzU&K)pGFO<+P2De7ml3qRwWp<8q`Hr()YAlLQouxF98( zU3eEq*s#mm9K@mE^8~+@fRjZ0(VxV$y>xY0&}p(;kJQtGfrS6SI!hbCfTA6>=CUl+ zZJ#q53c3@K3$hu(D+Ev5k~;IAH1Zv9z+-HD(2`!r5Z5md%Yi{mc<$ZyF;nr9ahv5R z8*tdjfnT0<jx4C@&^O%z<mhfv-kVH<a{M^ZlC_1s1D=b(8+nC5f@eLo<#Qc5OBfvP zLKTOlKp25lWjZUvFbWb%j%v{75C9lek=#dtPriZ3Tm5kw8I&zP0Zb@#=ZOWrH@jYw z)zmH3frU9?Vx3Xb2vx~rR2c~cu?1hM@C9=TC4;sTjHFC-D1qbJZyZI>G8Yr6b|j|8 z7oAN(Xmc8LhO^!=vJ|SdpaHDG^+3xK3|RCJ`kvpMCC}Fa!Si{Enp;%MO={qut4<S{ zwF)=b6PuvS2bsaM%m7y1>hk9DqKd4r7A+L;&@rl@MpGHw8OAExg7R_`cTPcEPVF5n zW%)R<wS%<g{*bNtvedBq8T3bb1-_mZJpnsbEh>s46<{MASY~*`Yy|9KJd-V`Y8gJZ z3^nOUj46XIGAb4$FlX^d3ozua7cAAlM6DhYpQp;=53B_JIE_#nBP`dEU_|{&BOAkr zu!bd70&$H6IMZP6qHB<+qHC<}SOv!5BzXoMg?r$UiB`bYi~_;ppuK6KN+E+!=d!av z6kssIyzg}**5Drs*4M)!>Ht2$FTAFh2yQT)Vw7a8xjhkAAW3oHq{Pae*TX9-t&(fn zs1HxJX+Ls<0&-|$I^eMgsnnouXn~q6%I`>`jP7@G?3o6N0vw0Nm*Jy9*?Aji?YyR( zBy5A&pN!EwN>w~JfnO`BQ!+M407g<tY*vI}-(P{m9<Wj&o~Q~u*u>05ihn>1YxpR4 zUJ_{*rDZm7LPw$tjPFwjIY_K8w1tE~i-|=7u&lu-&J>N5U?uHJ*ewF3KMEz6J2!*7 z8P9j4+n7uiZP!{8VOR!5jyld~G<X!Lti~K!^pSXMk)mPT1@nOL<stFwg|AY2-9pHS zpzdm0=yOz!>Sjek63f(c6UGCNT)~AIx<6qkuGF|u*86xpP+ugc(~{sv7*puuUuk?q zpFL#p34oJjb+5D^93!%R@&*Z)b9ta4u5tte9Xthu=jQ<43m-{KQh@IMOT-54h-c!G zw+kx>&Nx_Za>N$Pi*ufN9?%$iq6596s=7ghPr1T`MN$0q-dViOA=N1jRbeA*gGLdz zScG7iQVN>_iv(&}DfuMf@`nf6y@Z{Vuk)7;1y<mL1=oAP?h1XBJ%jG;SumnFndHI? z4b@a)u?BA~^t8HPD*&QXM>fN<fc6ywaeZkiGw}1C9U0rMK0#R^<Q_#;&WfD9dZM*P zo{*!*&i_zT92x=1L9|i0j)|1L#}%Xv&2~{Ms|?X93IIu54n2)HI!XeX12kp~CDg$o ziYur<EdDYll1VLEu|>!ar?q%ZVJX%|jpNxm7*x8C*4$`nptQ^(<9x1yEnt<7`Ad1A zTgCsT;!<l-ig_?0wZRoYtTga3qs*8a#>Ua5T`MAjg!ZKlM=;3FI|yF{eO%H+QpDTk zE_FJvIF3dTiJKUH76o`_anCPqlr*ULuuZr_COL)<2?T6*GWNxJ8NaRqSnF^|CFlkL zC~~XCdx4%{VV*_H(JNpj&1wKfjutA!pzUGJ<-;~sO(|lxjVxQ3yc|a$G5X$Fu@+qn zvL+9$4gQB3y$fhz5<vp5t*}0NY4O31P48iY3HT~rPT-Re8X7n%l%A2IBoj=n`=nfr z(3yDV?k|y6#V3oeH2}GW2Ov4p1gy{LA%R9E=n@)S!bZ(&F>ZC^aY+XamsyX3&+c^~ zIlYA;-?zjx354VL@JHViLa!Rs<jH`I*=QW($F?5=xQhwe3Q8dQ#Cr*}-G5}dE=Yp+ zBoXL`r=fmWLn;nwnSq#OZJ!}(@F5sT8HgfxkOBd?)MXh35B?@-8FB5KxPmh4uK669 zS9Nrf31_jHfY@b9OTTHg;_=gENk>16ENfZJv>I8Zkkdjojv2Ykx=d1yl%D|7q7f5N z=}L!aExFXafh}J^;m3?smVKVJJvLZt66;!vSfjl<!ag7A)YvQ2S&M^L^fV$19Rt(G zt@A)T0Bf#bk;YOb98MFyn%jaRy+WN3**-%%1L}yu*W#gEk;gd1YXc!j;NL@JU11t) z*CiT#xT5qxE%ZlsODP%Q18p)iX10kY`I3nrYkF`kAwst`UR9k%aY2=o!Q<c9KJ5a& zE&^>78LVHhMMr8h7ZxVqgxJkqSc=tTqVBLQN7pcoUqiHzh)PI}a(g9bMP2FzL0|_> zXAtI$BWj_if?Nn2eKqF^U$SXWFMl!)o(IjG(aV7WwGn6<g=*+OB9OPpx&ZY!Gpw)& zh|9@?xbo2UNqbq}TitE+JY*sUN3nxoKoT>)KJ1JZb!XKY@B(DSb{7as99f{p8lH&X zco3OK(xW_<;K~JzxJy`Yut{GD!?is~$r5WY2*g!*p~=ySow&Z{e8QgH4UXJ)xSQ(? zfTq=qZ0abYE8u(tnp7^41|<isqAt5SCju+av`Mxdn>JBOvB?Mvs2;VtR%`ZSYV;)8 znjBYM7@?qcTpp5%M#-*mXNA&EG~U3kA*xy#Id~;!K__mSW@08QZCbFP8flKWB0DW^ zZpt^Rbd3l%q7=+K4N-$;0M`;7P|Jd5B7hL!M1rS0<r4R<5gfFEhEx5A++H^B1(o~S z5LZp0`P{f%GsC+p7<hjtwL&=LlO$^<y&=NI7dfekl0-vH>KaJYy%^=PuaejS{kt;6 zHPWMD5zV-2aZ$=5<wViu5wugP=xCD$tpmF6Q+F^}r05tE+F3}fw+4c2?;D%z>glMB zqJWM%Lp!W6q%$mNK(Qa;WKm$h8@diLE^}$lU){+&=Pv{cHH29e@J>;sJ4YC%NQcj^ zIEx=h?DRsk`@3vxYB)$>Bm*RYL1$AguX3B_xE~|G6U3a10=$XGxfSCl#nV*dQ^U_t z;+4T82M(Z{CbaK(<Nye{0<X8!Vob|gt%e^;tQ<IS5xMx#9u@)G<N44AyQ#P9w-INq zZ5Ly_+b(T(*`*%>s0umia5=tj<<qR5Gz@XcQI_1d5x#Y`G5FG>jnwXHBW!j1;@kKS zv^$~G6nFZuWrx128dF#Gy21X4Z!}N_e@O9?(j2}3XBGo!b|jfK)heFH<j*M1)n~6k ziW@+)a|r5Oy$XJHWJH;3=8~B?Q073dkIWX^MIE!d2Wc12Wpa&x-MPVF7lpQ6*fZ~M z+eJ?W@NqSMzz)6|1JIYz00Ebu-j|UsU#@q)jIxazeCcjuqUxrr?{wC8Y@_Qtv{7TK z%+-%kd6T|l8|%J}b0g08*f-PoTr%!|GoZ-c5q}o*U4ssyu4!)k06BnQ!GjRPq5)d4 zD{SdP<EhB`#Yug%qn!qZyf!??=~9!5oE?g3d;~O89|1Kf$b>e?QPx}^f*Tq%KoC_% zP@mASF?iO7b>d1x25B8dUP0l-iVT2<l>#)K(Ki&N@32bH3+X@2`k}BQTA;Qaa_yxj z&S@>B;IGiF^qnyFgDZBypcy6_Gfk-@V$#_m!|=6a>pPQDBzm-+3lVZ!%>*LOYaoq} zIv;tAyGAHi2fU=uHaYC-77(oe)1e)LPD*&fS{~~2K4s$rqH(Mvw2TK@I9HiW4b~8x zSLiynf3f5fBRO5|?7Pqh%&qHaLkZwJaNNa4rF_wTf{Li(dQ24BZ4YMy1|S%M2G`n) zum^Cht<B)GGCEPym3JRF5XY~YxX#qD(&^d(JZP@<s}97NBdv+rfosxHthMnT*-sjk z13z0^O5+DA_zm7#%y-X0#~9)ID0XREi(TwfapM5&#x)!##{0KjoG$1$9++8)&rkIY z2d+-<JrLK<IVbE>KYJ0^+RA~pUDp6M6??^`-%l>#XgT=PlQW=Q3|ed@M_6hKJl{t6 z=G*9ekv2|DTsKqOk2zaAP?>PP=-T<Jb;HCp1Iw#%ZBHfh1wGO23)^@RIy1EKfeyQ9 z<Bl5Js1KxK_to(j`tqS0Cg#!<c1K;`VK097By0D5hrPH~<62ui?Dmr#b!F6AHNl#w zleyic*U!#e6<@h;@O?AYJ>R?f{p#SLnfsX!Tywz<?>{oS_v*Q1-)LC(=c-?wn`@e1 z;F@^NU{XIQ`^k<@yGHCTV4pgE5Oy`}Ub%42+1Fe>qAxg0&0P<>`U7*Lr(k#YK(ITa zF8|ZH<npV6-H~HiOgJbpF{<1{(D_RJ=o=@ow6i>^)@Bh4<XGItA(L;T^TnWz$!_}c z4z_W}o)7vq-f-nLzjpWoZyw(Jf54Y&@MW$#gFW+d+1sRz$6yz4R=E9yeP>}8Rnl&W zc57(k>~-hRuD&ESbJt&W&4XxXbp&?zwe6}I>?bof_%_ZR%-R^*x-pj(-A!3x=JAah zTcgmVyO!#VJG&hfZOsg&5+>*V9McUTybaWUO2Uh3Qr<zGCv@Q8X}?``K81@B`ws)y zrDX?OwT3w%AoAtQq^!Le<iZXz!rWQ{)id{H3#P^H7UUq(hp(lhBo2edRO7$A?f&#U zv*WD$$FS3p>|n2)D+kQw(Rmi5@SOY9$}@kX)SB9zwx0NtKlp<`z_|hiUJ|=yZuq6q z$a~;3<MKXFc9@DraRE_@#!KQ0s(GpGV#l}Aiga7Q9{=kLei?gNT$)MyKy(Z&GMmNZ z?DN(j@}A=u-`Am_w%>Bgm*4)(GtbDCGC7j4B&&Z+yFbQ*)4uI)PN)!r3#FcXa@ltD znG%g3s5UAqM`;||R=rkDMp3g*mI5^JcRuqOJj&MkIL;+-{SD2+wUF^x_m}Q%AIaf2 z!f~(aL-H^^XUC;2>~_4W@KV{$cl=iHm&%uy%I>n?g8FdI{#rE}MeXl*ifU6>l%rwQ zm*DUQV-jmKekxv-&f@J}?T@J$6W;Mxat-f16a-!>yWad6WiOR4FO}UhYTOTnf7k;* z>;cyUvX-?@(;LkkKj0w`>^#2a8K<)!^iL<>VSn^PL1~M*ZOge^(QUk|)KQ1f*Sfeo z;8dzprn8(A&7)bpr_nJ~Twns2aaqsQ^CROVLf%V0<Da43UVe1iOON-ewFg~$`ssWL z>bbTCa^^!Iggn>{d7%H}<w>C_Tgc@Rfa#Lx#=2M@IlV;tNL`+T+wlQI9g9x~;MRi` zH27}>b4eYUD0R>>iV>o9j`O)A$Jr<^5(Xd&Vz9G_a(CTzgL*r}ArR!1s{`2&5a`~% zp8LCwmlw(7n^@>mah|#bt-MMvT(@m)sD?Uiw!^w{(q0^dQ<~n|wl}Mb(`^X{;04en zoBmHCDOV=jI+m9y1F!_bX~Ag(y~&(>pvxnl;PGmxv&*`5PP$#c<9KaLjb+HLAm{1c zGOY;JOMv!+0R{dJe72(rrd{S+C7Xi?IcCq;Lk4yBvLJTr#q7?yyQ;ym>UkmW6#aoI z+agcj<Cq0uqkwi&1*u4!#!7GXjf&yHj*T2z>6+Ijhv%!w1<#-Ei&SPkE98}Pc&D<k zY)+t!29C=&D7FRjrQP`5a?lcasK&Ov_s>?-68Rlq`K`_^+d+|{6+6nMP=WyNBXE6T z(F(n@;VGYTv}E$n2|*YD5<Zr9+ysC^q9sp7k|%WJER*9hNlD0q4tDYk!IM1I<Owet zFRVASx=DT8moo0A1-+5#nt}e^(4Mxe0WbLEBVpQHDdlm<cg8Fy{g01k-qR8Lk8eL% z>{@v}aWOD+xe>hlykris;qbD9CdF=_J8Q{~iJS!|9n!4XHc&e95HcZcAsVouJJf$5 zims=&=r%h<M0fIgyx4bwAt#uwC;kFS^1#uM=>g967JAw1C$?=!qu3R!z}l1;n8-~> zxwyE&k|VS_wagam*-nK`%SNcqygW5X9QV0}EJ@B6&nf-8wnRr<Jhy|Fbydzzhe)Ws zgS54x=@AIg4)tkZ5PT63ENr5x!8-!F*@Sl*l06Nd9o9KdI^~Vm?edI>CgYqxVhVkF zx6mbH$k0p#0?*f@d-bC{A+X|fB!%Q=kS%O&rtYXNqq=(Kd9SU{y-XV|2#$BqSj^RL zJ=^ETqyO;C^;c#`=1H{qATX}h;hzv`hjfgWoTb?yKxyH5LMAs$P8;OK=S1l?C10{_ zf@v3fmng)~KLwb7luBN#mGtwdJ~w30^cQQcM_UTbvGx}=13D`!tf5m7OTuQ*Yc_2r zduFsS&wFUujr85q8)?N9JWbd`AlKR)YC9LgE(_qWr-FRQyJUWd{2=zgq_SK{V~ylY zK9i9-?I@U3np?mKWb<nZZtV+iR{?K#0dG$M@0<c&t$??`fHz*idwBtGqJa0x-n?YF zfOlsB@2&#gM+$hS3V3%H@a`$#eYAjgZvpRP1-y?J@P4s3uY|`+dX2$SRKSZ1czp%D zq=467z$+E-$_2c%fHzRUs}%6I74QZNcxM&xwiob*3V785-f#hLM*(kV0k2@qDiy3* zrGho9^s<8bMhkdn7w`(!!BW9GSlU})^V|a7z5?EP1w38A8!O-ytk<Q2^}1vWY%Uh? z8U?&t3V6)|-mL|^;|09i3V5vo-iZR<QUUMw0^S`3ybl%dP8RS!T)->X|4Ie>U#VdK zD;4a2rGovhRIvY*3iiKJ!Twh&*#Ale`(LSG|0@;kf1fDm>rWQ&?knJZs(`mrz`MVI z_do&fmwNNc1^ausV1F+c?C<4*{k>eUzn2U4_j1AhUM|?*%LV&;xnO@U7wqrlg8jW* zu)miJ_V;qZ{$4KF-^&I2d%0kLFBk0Z<%0daT(G~F3-<SN!Tw$@*x$<q`+K=ye=is8 z@8yF1y<D)rmkajya>4#yF4*781^ausV1F+c?C<4*{k>eUzn2U4_j1AhUM|?*%LV&; zxnO@U7wqrlg8jW*u)miJ_V;qZ{$4KF-^&I2d%0kLFBk0Z<%0daT(G~F3-<SN!Tw$@ z*x$<q`+K=ye=is8@8yF1y<D)rmkajya>4#yF4*781^ausV1F+c?C<4*{k>eUzn2U4 z_j1AhUM|?*(}MjyE!f}Fg8e-$*x%EF{XH$%-_wHqJuTSZ(}MjyE!f}Fg8e-$*x%EF z{XH$%-_wHqJuTSZ(}MjyE!f}Fg8e-$*x%EF{XH$%-_wHqJuTSZ(}MjyE!f}Fg8e-$ z*x%EF{XH$%-_wHqJuTSZ(}MjyE!f}Fg8e-$*x%EF{XH$%-_wHqJuTSZ(}MjyE!f}F zg8e-$*x%EF{XH$%-_wHqJuTSZ(}MjyE!f}Fg8e-$*x%EF{XH$%-_wHqJuTSZ(}Mjy zE!f}Fg8e-$*x%EF{XH$%-_wHqJuTSZ(}MjyE!f}Fg8e-$*x%EF{XH$%-_wHqJuTSZ z2MYG^fr7nbpkVJ9DA+p&3ighHg1uv)VDA_x*gFOa_Ktyqy<?zY?-(f90|pBAfNceH zb6dgO+*U9*w-wCIZ3T04TfyAiRxmfW70k_T1#@#-!Q31!7-z!;<7~KKoDCO@v*Chq zHe4{yh6~2oaKShmE*NLS1><bE;234N;233BL4WQl=+9jR{kf~4KX*OY`}*LnhYEO~ z-kc}*#UtJm_xGxYU3vNxNX4Lw+5ddFLqB~+zVJzbA3U%>ST67Ue}1t1eG%R+i;mr( zxvWUzyIGs(K_T$-c%B~kArJg~9{3^Oz#ow>#|iAhe1mQe>AYOPgLp4I&_f>Nf0+Nk z_Q0fj1}oBNf!}Lh=E|n``n(`dWg4h-L!kG{gLL5M@jN~7Lmv3~Jn%!lfj{{5z&dSC zT|PM$Z1hqH{Cpnd13ixiddLHRi@A^2OZm)PkK?fo$0VZ~KI8lTzS`6E&_)V&LZJ7` zgKXgE@jN~7Lmv3~Jn%!lfuG(QSf|aY`_FisoYwyz3jCAzz$R<$UJWlM)y$WBIunzl zd$LuYf2MeE%Z`(`mzUqbFaK#?<h1w(8##?U{~wCy%x@Xp@Fa0gQ~0J$%;Rm&=B3lg z=lOX&Z#CoZJeg<p#50|q65WiKTE4rsjhNKWSy00>;hkTF?L;m3I_C4@j-SWd2J`xP z`L<+dDQ~ZhcS^CGxA(IDkeBF%w{7Nq%xmQ9$jfhzZ{2>rzRmIQgGSZZu=h8-iba04 zj*sW(@nALZ^LUUC^n4!pK|kPmxsCktV|kfgc(564^pXqwUh;t-^aI{YKFFPEZuo9h zB;VYu5~JP>eK&KwAs)<h^7DA8&s3r4^o11gARpxNdElQ;ej|V6XY;mt;rTjx%K0|* zk`F!v{ebt94{~Rkw?qM70Lotvux=-3A;1IjYD}A-$AetAKB(SaCZ7p*7c&0o<Tvp5 zexTb@Z!BL&Psw~89?#@^;9Itz8Tw)2pL-8%a-4j=hSQU3`ZbyF^KXufQtmU2QXY4L zz4=}~Z!eF-a%5=VLH;UqZ~J-q4gP)XS9{s?^pI_+BQQ4T=j%ArHs<AB1smF&uipkj z+=w5-K^XAZKCp_k;mQH`ay|KNc_-N$clO)%e92`t)YsPETt3f_o_cvO1RGtj37&7` z=JNSE+PIVNjc?7quQl&qmoq;^{#o?EChMBj@C?_`)U0~nQ>7TEDTFL{XgD(1kb5?V zdsgf$$ayjdr^%0O;Cnx^m#)3hOfLADr-#bZbJ}$V*^mdFd>sJ~{0+G~UiChdGG8{x zIYP!aULa(?ui8H;+!RhG@B9d{i1dQ6hn355J|lSV+_B~7?Yv}nrafAmkLT)X*Kb6> zZQt2x^LyA$BYbyd;4LV^Mx8)6WP|T=K_t<>=<;FwW{@MeEDC5=k7sYdomRUI4sZLH z*IN*Q5Q`wag<Nkz<_twlUfzw%wLID5+1K}M!nfz(_t*eQ$3ednvqq^k6iqt>8mNb4 zf(2J^pfNZ8<4Vc|2@5(whPFY0AAsRsd)}GAkZ*Jq2@z6FV>1t_Ln>3N>MVQA6<=Ix z&QMKnE{_vC2OEbL={WrrHhx1$at=60@hCF0^T{^ST{0c0D{Gj9sZK_aY17&z$I~ii zK|9Q)nd6<r?sB5LagRBw>Ebyo>Y^1Rx{%!-B86fd%wl^Tg6KOVs7qPw`o>2RV*IQ$ zbBwpm!S|k_F2FFA61u>Z1#>h?pkyU?<?xNJRem$8b+Wkt;WWT0NbE9Q_v35y7@9~! zJ;6rR(i}1Ou^pN(rl>QeFoH9}OHjzSwR6p>M!zmK>h6Ku%ZrVsC|USbN!_Nl-rkL0 zGHb+ChXocrnyA5!ikxHzp{N+UiCiSI883_t^4jGEKMyc`b4qUZ;g>RnK5O3Q`Civg zPEG2byJU@*GI79)vPca+8Q@m~y;5Q_;wA<3kj;^+ZW^#^6Id37_6lMBc<|H33HiPb z8a|KPtrv=E^V{}vJ_NIES+VUcv77{vU@eaadRJ~R!P{PP(yD5*tgRQq5B9XVq!}ii zUIK-LB^f}Dw|Djn21wn@!Wr+6vlrROQVVRP$OU6TlY*m%WSKu?+4OqgGY0f;4IgVe zeZa2+B_LAKeNeAei-LjzKxH0l*?U<^<lCrGfMEfA*Q>Vz0*Wea3BARd5+@^R;xW+V z>uNQqMmR3P+)mYi!u9`g{>pe&D^1DB=ft?Qqa~1oZNW)%a!5hxq6;%5R^(_Oe>h>% z*K4g3eB<pnC1gApmaMfxkH;Vfd+-C_$@dCKR3l%1!p^1!O$o4_@_}>$AI6=o9`Eb{ znG29I{2PZ6WyJt|ket5bCxZdt>#Qw8;cus>YAln32J3;8^oSx<iMp2foGzUi*qnF{ z&!H;HiEj~w=iLx{G~^jqqa?A(D6Rnd=)acs28MRJk~$4(eXtltUl4BxrlSpRo@65J zf(b>}qv(7gK-C6LuiSRn4>De96HbtjTqEU&U?pV|TyO;KvP>@Eo*d`!UUJsU&q$8` z3wm9738O7^sDUIt6V4%-B?#633M#=MYKcXGU?hbm;}Y~ygsy<5h`Wa28b_*=l}Lgw z?MH4=%zR^+pu5MD(L!y@1>BP*>r&|&99^7Fl}*Za6B<cv8(GPq)Y0}Hik)NwgdYbw z6qq|h&UR`XN9U}Q%TMXU*>Z_KjzrjLI=yxZYK@)ixgi$DdlB%q0!xWS!Vk5arvEl_ zH&QdN(j*w5bOD%6dGalt-ZK#hwaE97ZlW@{5Qt981axEGX?7zwP&5iFe()I~%IIW| zvXNNMLmzOKfMmTeW%2Whq5072`x!ezY6cp8Y$ELyuz&l$)XY=u9R%j)Nh%wRU_3L4 z)ie0zMma~ZAOft53G8uRS>ibN_GVgicN(8A5GLe>s2wW`DqWIWL1;$f<EpkOc|bK9 z#Yji7W&xljRamPcg?>OA9YMP+OFf=n(+mXoVP%AjueBW~K{IhO?DZXL&06-WU&iB! zNwSAOFMZ8oEluE|cwyKB5@!XP0P}VoDy>V;aeNw>jL`-MJc`lUd!-Yr2wHm7NZH}A zTeV`7tc9AAd7{Cz_%QDPtrjxONQsrZlAwi&TL?BtYc?D;xqQOs0SDCsN+OrX^K|m* zmIwA#hy4z_p+~)-Hkq6p6QP^mLRLegg@{3gh{TG8ZqT_py1D3M4|%zO=lMYa{Hxo2 z)8Tti=*Xi`?$}R+mW1nGL16RT3OVb!7)|1*E!>5Hr-cRTMzA1tXgUG6<~VuX$?YTG zQ+Oibz)N_X7(csaA)yfuv9s7-tEd#q!Uqml4oGWug||a~1{uv}Bo=fhW`R&Htn{5c z-@1I;b!L~s+G})ELF|(9LR-k}7GyG!GpmD33_p0dBeT$1FkwKpi4^ychT|@eTjcq! z@hoN<pNNHxU>r%5F<fHcR;ymHxv#zDd)e6<UH3BFrrT~y?LH7jTTbN!JNmNQ0x_++ zuRLU}&bIW8I*8`qk{ZIsyr>d*8bmiUMw(%w)x9EUlzewMC?O}e0CWNGOj$(@fh~hi z)Z@^h7rpI5qNQ9d?2amKHhG9bLGo@4eeUhJi+nHp%PwI-S{q5ASfTc7$xfHJbu|m{ zhVI!w2yN?kLn-g{hWfG*w}F!<7)Q`~I`Q^}&h84)M|t^f-21<w88n)~36^@a=l;zb zAXh9BOU11KjqoCjOr*#ipKYS&I@c=gc}>YTIBx>qvE9`ZE{mRy(wRa{)f~ie?SLGu zfZ*~NJ0l_BAqQ!LXCmm6AeYw<c*ujC&*KBEw|%yrnbiE9Cvddi6N6r`<MT_X5Nusn ztE4!Oh=VM18mZL|sQ5s|HEfght5cr#W@2}epQ|g>gM)|eJ_>lRtt@Y!cnvnB??Wtb zN*gvi42(JY0q`@H$N2(b2K(GMDYVQBbz~x^+ilL{-fq-kx2o!Ma{=!#1tW9(;Rp<a za6c&XFWVDfuk>%dlGv!u&CPY<C<ogksL8Y3jbD$1OyuU~>P~*7F2W;orsM=7@4K_7 zb8Uo7;0Js8JoCdd1h1o&2nk4>SIj#=ca(e02RSFBTs|l{yxUfX;i7#Krzmmv9v>9V zMsmk|-HCI9JcPc_)48y~2dysH$mymWG%kV*fvh*I5gk6juJ(c<4|-e5T`Y1D>C(*X zcT(+!C}vz7!)E?LjL?niHs7%~PcxtVy0E!awqT<`yF))kx%MEn?aFfo3~}0ym=oC) z+}@S8*anL&Zq`XTa;Bld>IP}ER+TUxy15&$w#T8}eltPl_Y<A1$y_tVe%Bgkh41U@ zZcwb-@(_c*8`#u$c*fso7rpaF$W`G6^qhSkldzv$SK9}xoB9WPdoL&NeAocQH`JEW zYWy}Bb9*E6u%8^pexhUeGKP5)w=bqpk#ff6()k~_{NsD-h{<(v<x0=*@;$CCb>Yw# zT-QQOy8EcpA7^iSwx6Kk4ze{&#@HO@GhxIc!8{4E&T<PzEv#K}OtB1Vaqz?GWBj)D zD3#%XzS(|qSi_FAOU5$P2K|0wcF$(^#9Y`T#O}F&PZ~y@$W-UPF;`WGN$S!eK8yVX zeKVrQ62yceT_Ez<Ph{R5{NSI?F(&VS|B-7%N)L3|!!@}a?&_;)-xodX!<N@eB=6o& zy8PKPVjww=jdjZkXDGN;`xbUNpkozv{vI1Brw0R(e-3Rpy{B7~&|4FG(NbHrk9i@h zeV-owVDk)X+iD$WXf_jnvG#xQFVLi;e}wB2{}K~@Ewk6V@a9C7j`__rJK^pUpY6m_ zb?m03dk7!FgH9`F;p+Obb&`Ac#(lWD>wu3JbVCu3XQ}uH?Aaz6k_+oo_kQg!{`#E0 z(SPM#IWwC|ef=@@GwLxMqTpljQR;2ye(QD>9n|T-+myO2y-Z!HzJh}Ud)Z~ms5gv_ zp3PNrE5PH5v)9yYS0^d;_kX6MAN}^dPbl@kWp}+<shwy4?eALkZ@;y*nBZKj?_L<T zJw14+lY<LJqqqE`x?J6L*K*bUaj|*gz%tJIGaEm)a3m-Vtc6m@i+Gfo6v5JEPChX| zI{Vt{vH$XKZe2K1b}V(KHj|%-hYsR5_Y(g>be<jhmWqa3N`22&qtqXu@cZ}-sP8ZA z+urjiFNh<Dwv3?d3u>j-K}Vdf`xB+UefxJ&dd2@aVTJd56N{36a>Am+6i1&x)#vUJ zm?+Vyjje)aO1Ws{-^-&hQ7MRpyqeM;<a&YAOT50i)8oJFa&>FEWS|fJaptAJ_WTPy z|8ClkqUvJ0h@B*&n<H=WJnyUeScZ$OU_;&Q=@D!kfsd@ai8?fl5dO&Hf9+89d)Tck z%jxX~a#@$C(8jDD-#3~3BJJYVnB>r3#6U)$wB=z`+6GFLM1g)cD^q=!xjaU0(An|e zokCYC<PMGR@cF=<a!k|rq~ph&I08W)IqZnO<c`};om{5>X^+1Rxuw*dN+Z1m_L=uR z;rQ{tx--RSvlbl*`_^jYPY}oX$*)4Dg+lOorj2V*GaGj}TMB%+#Yh_#ZEiVwWLzHP zBLigt_|nyu%)aQOUi#Jn0nc)Ui_9I6)sr4(p#Re0BGBj%i+wrd9jsnO(~Cj6-kiCn z);z<YGcp<D;vqN~fWTV=C+Xk{lSYjE5$)R-_{RE@0hyl$K2jqP{99#W%e$~BD@+y1 z6G+uWs%}6Rmc?qI)rxvIRg^VH%oEg5e3o1U&^~MGEE+s{Z%{CG9B5mqzizYv$;2XI zFo=Obw{Duyf(<Xf0J}5+T~P61Ss-!QbmZ~VWs&xhrb|-Gt-&tRRuE^a(^R`0JYqhH zkC)XbdJx}-end&G+l_K<@+}L(*c<A~Xn!29kLhkcM=WbF*dSa@K`|zA6VRS!G9JC$ z3Ut@3d#NPda(S^%Zf7|!w+U_ojjaxlSe8!V<EWiH^g#h=@Yrt|^j(-0JE%f)XJ^44 zpJT*x4I4UmL?}A5GA<>p_}7NEZC(+b6%R;TR--{^nFWi_BtF$}Ziq6$O6n4Kt!Yh& z?dz-LX(j=5C~P$^QrL`F97$;os@|xnv43dAesyblcTYh+x{hlP0A&x9?gr7-pz$N% zY$ihJ^i3mh>A1$x4kR=%=18-{ACw)mZzl!HI11V$oi+pC@mxDda}&XhoFF6gP9(GF ziF8I97_|u)9I$FhsL5l6DX!?Qfa*n&+t7iuXFzav#S*cw4mqoAz4sIMOZeCvAH{Hw zpg4)p3av5za9J16L8J?iNel?*{yAK<{xy*`=a^JD=O5aj4cNpV)-L$j51hl;S!L~= z5aVi?AwgP{8g~~g5t5VD<O*=KIIzA)p71#96fly8$4A6+q(%~<rZSjZV?nU^u;Ioy z2V&#;DR$CmuK$A!?zG~ED@`;kjk_|zEm{QFLKOu3<JKLtW+^!>7_4hDI>Drg1s4!q zfogG3lW+%$lRNvNi5lF&rcKaqq`z793m>-etTyha8q`3JsxKn3c5xZIw?%mfD<JAe zL%h!>v8ddt6;Q=NAbf_-s>mv}Vr;S;0oI5P)LW1_hl1)}+o+>i{c2FbfYnh1^UGGF zGKjV;%&hT9%l#=(T6H|O-&Bh>iWXa@g{Y%q)oR}Pc%#{}*4}F)=q^#iR3Q&OsHy$B zx${&j8D6yMo&7dxHSe{Hl!vZSHbw@wdHhUp;gkU)xF1uf2{fw~WVY^rQX{qyunXv0 z7KT-tAS0mTcR(6{;9%{xq^bC7rfLC*YC7UFiiQ$K84Z?Bl$tRON`PUJ%;MnGpzZ~f z=~J9UYDO)xY)Ko&M`K1_fe@pJc3{Y%6l3_!BF3P^GZyIpNUX^nYJrY_SkNTnP?(-o z^$ZTcEG|zEE4FPU9!;(`*2ubo0m1qS%%yA<^h<crK+{N)Bx5joLRIbAPR0yFVjAYr ztT+Ns^vo=%&K8g6KsFB3GwN1^lmG>QD~q1sOv0^LM@798P=<J=T0#Nt=mDVMR(V|> zr8K6QBe<7>_|Q-0P;ga<MI2#PV;w;oP>rg%`=KFYfx#rbN4<In_Dq{5;E@|uBMtI6 z9dfN_YQ_z4gA#iDKO*5nTP8{+=P6hOW3E|X!a)acmtehDu8l%OP4RF=FRGdrrUciE zdljk?#0yspsd$b`EeKG8ghYXoO5@{4PokYGpdTR%f)nv<Y=Of?sZCUbBlU<>X96M3 z5!(O}=Gev<ApnM_L{*)lKyV=M%)w{!Tu<=$20^mGQv(Sn#~d=~Z{`st1P0?DORYg| z?jA_Vz{(8z*d4dhDk{ct4BM2dey1c<B>k6w3x106jU|V+Ek1<fZd2m2-H_uO3!=u~ z8gjsdbUlX-8|M5^$Y|oQ*}A5nMoW^$y#wYxz;dv~a+T|XVQB{%=_Gu!z%w%IU`v`B zkquyr#LkkSA_oe_v$o&`b$BhJ&M{HZ7OiVRvcbk;`yEmJr_j&Z+PbP(xPZoB>x>M| z#TC|g5i9YnQH>;J?Qv{V4B;3X1MD?H!F?FuD^?x1<YPe&j#LfySQ23bAg?;HV3`0C ze3mU!p|PcV-?IX?b@wG|!eJjLzozUk*am{u(hmSuKb2IPa<H1BMNe`()za!<6H_e4 z@Pb?uiJ$85t%Xn#ZL$WeQOsPQHGn&_Y^4U4CM!N-GLF@Dw7F&3EnGyf-LMDHb4V;z z#SYJ6!Gi&vfZ>`bg^*OOFS>%5C%7DNRlPD7=PO6uHP8W?}k@N|^SqPY|__~^u? zTxD4WF@b*05LWEQSC#VxXca3{q(K%hxgaJ{l6+l_Mb!}?G)oB#34+^4t@s7+kUy#{ zW|cgfis1p*Xq5lFbA|&aupq9Gpl@B_b0}CaVPF_+$YI}i6Oc_Nq-v?5&{HhQOtET^ zep~vJsAYC4MQef@&1!I&RytZb+dBST;8$fxqhKLMC1o(LE9h3|2Pum8vB7Legy^2N zuI-v!2NGHEV(fy@NLv~nVtB)iMg>!cYB1RXmE%BGdk|q06ws_~Xz?+A07|WNNwq9> zTHt{G03DAhsqH9gc*tlCzO;#A3acX(3EHQh!+azQ2WNFwAa6VG{)B}X%ABlDeuYaN z>RWWr4lOmnIf$O`<C0%X2ffu;H_<#<R<y=EX*AYqaRWK3o9V->v35{HmfKWQE5kVi zkSCInvo{DacF8JNodG%$DeSb!0m!92n0IKY)r0-AZYYFZPc*P;#*NyE8jU!*tX;C$ zq0_}g!#7CG!E`jnYJXp;6*TxkESHsWRZ^9ehii7Ed8A?y78zlhdxwfvFqpKeMHAGI zWOYRWqeL9PSmA~%Ta`A@(>03~0c)2<8)FQ|C<X_62}TL|4TA$nJTQg$C0-vbPz|&( zNgB~zRsj%BB&h7{hDLRO$5E4pFBWC2T^gC6AxcIwaElK#wZV!(zm7oPj;q?>ajd<= zN@y+gd225P#VkiJ?Kv1>TL~#`jl?@*!~<R+_z<bfGg&-3vEZuGWPG@RT>SKUg067n zT3v!MXpN~!3#X((R`^H~Fa(fGyF7}lMIX>TN}pl}M|USUUSeCPtNlv-QiOF9W1uEO zCsBQk5v!7t#UhcwCHie?%gWVlcB74|eX>+w3F^ZLTTX3aAXHkaMo>9EGF=_U8I^$> z=`uANJJjc}1#pLE7cDECWTU4KV$Ioa7z0&#KURGV<RfZvFin=QhoPtMM^M<49B?9K zkvV6Y%AknJFgOrL7|R1BvjHn9)|lag=ns|bPc&MAmbbtKe*r24?LRC7T3UKc9f3ik zU_#p(vbZ%iz}%9%h|8oFj-v*AdjYJjfRTU;HrA`zeuJ@gtfdwWX%37+7aXAL?Ee6; zAb>Z8We-TJ4`RofRsEABTYO*^N{NX}8bOQDgK@v~o3NOqW`ZKBVvq!A`a%q+6Wo<S zos79!Q_VP$HY+0{abl4-PQgSSqM8*V&i06EMd~7}`tMNc0!*$wx9gGZSTT%>`v%nv zn%Tm+zQB0QV<i}e?hzF?acosRJJp&@G_XbwWImAqur5ie$q1DInw^4*ttIBcN}p1~ zl81tIoJ8tEG7GYnl{JYROXHl*ha$*gDTFH9o>&!I3$xCUsEFwiY-KQ&RRFJnVxQ)4 zA2}b4^i_=14qh6lqW^fP!iJ^kEL6u!s2(#|b3U?!QxO`#Acub}LK!0v6y^Xq5JgU- z6D3R+sDau*0<e;DO|~2>I+1zK?cc;0M9GOgLdM<FQLs}3{%{(PU{k4LM?fQK1D60$ zSya<CjS+=0qY_(fsMa`g)!(m`9JIu090j;Bf($)}+G;4Fd1xge6#*%_1jgyL#Rs{y zQffI~Nnuhb4Wu7pSV&^)BthYR*e9w$<j9HVXwdgyLP0`hF2=+iXwxLfnw>O`fpWwx zwbY2z+0zLNgi$!XA|)h|QG*7w2<$|STGz+oUFv2)gyF0;MA32t`^}WYQ8LC4d_@_j zdMFqQ_>7x%0*1jJ$+@J=E^`c$YZ_`erqY-vmBmDlX_Zdn;C5M6Ey5_xj%rbL7BQ)+ ziN_7*3t(17Era}e^n_94dIf_dij%4aP1Vu1aTY*KFc@l5b7D{_JW!@iUI01_+Z(_{ z(1&kq$6EEEL4<%2I3Wl?wKBj>s)1U3Aj?DqXIMM}+dS6*V3>PFx!#PslHi#Ljl`H9 zU!Ksun-_VUK?UFwOb5}NNwfw36KXt-l1ifCF=yIuV$syebUzpbOcx!$hXw&-7)Evy zKFXBj9KZ&K6P_!@KQ~w{<Ra{1)*Xf8*dxUvulnKFu5=8{o!in_r2{2pmmw*`(x6a- z4R$3Qoj~iyB22YE`ZZOGcCaxT9IetFnzm6AfEk6}K?WTVLDuqtE-Z=+6ERCk`DkP` zhFr6G#MnF1!qhbzeE|w$#aIJaiH~WTYT6?|z>-o8r*1(KJAvg8i4r1%h6|)ERH(5y zfe|SignQ&#=u)YZ=&KxPw4yW}#N<%cq6smE5~0Q<uBEKVs=hdVAU;9T3KPT%Yv9Pr z3R;JTol=HGB5L0)NY?P-%F_+A7SEcjV0(qhWb%H9Lk4jlMw{V-I<g%Mi~);=W5(`C zE61VH)eCeTOcTfBD0#5VZJ)=OX_%lb4;neAxMbk6Fd->Rc&(VSQRP6wT~(q;dIk?_ zm5x~6r?>uyI%DMua4$}5f8EM8OGv{nCIV<S+=2x(bpy}?4_0z()#6AM-2j`$apGmk zmlG})>i3pqklzX7oZ~NAtK<F%NM%xEi&ADXnjx!Xt(*(X0I{Taum_+U#{&bEX|%f< z@ipuNXhXE4hJbMM#rVg;ZPkiGgkuLD-1RHFjSXW}kVeTykHupqas<#!tkysfeV_tp zh=hQMHFgQ>9UQXg4CZSb3gj^1P=qcLEcX2v62Ju7B3kL`mc~gDjz~-7SrMqB1q9n- zckhamQ)*8<s*aZu9v1&*$#r2=sZ}d#Hm;nAYnIaNWq}c_OEZ|QIJ-RnZ!u~xB@l(C zURFDrwtwJM(o_SBI7Ug)$O#qCwh%gfA*e>9LJVwdmbeQ14Yt;n#x$%W0x)#(V12FG zf2$ltV3WR0_u0$heq*PT(si>n%mp~CARQ&&U(x8><ju(gN!%A#2Nw1u_3dM07E>L4 zG7u$u)##)eSV2{Q(L0k<Q3LWLYP&Rpp=Zo+JvvgiWPOL2Jl>zqSRGl57E0J4xXf9| zX>ZNi9`vfU4=Jn%bo&{FCZT!!V2}5sKpWIDH>g3k5-4Q!Ysoen$SNt0A5-24fDHki z#A>9ZCbq}b#3oqsF@yKQ$Q#~uQg2^m-PnCfDw#D{8c;J1AS1*)z4(&qAjT$IP0(sV zCAf^cn#z3~oLp75#{-L)PVs>~d^&ir3PU?ER_Zl0PpQL|^mq*OjmFaPTLu(XINiX) zsaxNeJE@Fa8GXn+6irulyer+KE4DhJ)NWfEtgINNu4<-f6EQ}GIP}8y!?Cb8nQW$e zR`*UC{rS}gnh&0H`*OUrt@d$TN*ho&y{m@!y|SUuRzTnOJ&1x`Y-}4@j&b>0j`Uhq z?QSLL&BRiM(6S9?>?-Z2hn7p6AXt3jQzPh$NX^F&HT!mfumW;bW3MCNG)`3;7`ux@ zZ;UGwaeTHY!PkT_Swu`8e6aD#Z$9*@)~V0i^tKN(CSU+2$15pga&qb7QtQ;N+V)55 z`!2mYIezHR9{vwcE&gWdF2tm-6m5UsmD?w-++MkT_eITH`nI3gcF859+e-syr3b6= z#LNW~>e$Hk19Ri6l@Z+6Lk!P5`Rcm{KH5BS*6ok(J2^6N>-H<(w>`dc`zzDK|NV|i zoKF1h_B*~ZbH7^q=+epGzU9Q7cP`C+r;%Qju6+1~zd5PDaqBPb`^=Ny```YTpZe~f zo%O9t-t?6>VlC?1_c!N#e0}ESSNzHUb=LO(`^wrCyYGmXoAFL%-?aDpE0?_a!h5!_ zKl|wGeroDVU;NCokDm9`qx(PenMc2vesXc(mH+M+F5P?1#c#NM<sb&!z=?A{weP;D zaqHsA^Y%WvyEHsJQi+qKwOqaD#M}S-Ei<=aq&Rq@(RjT7l{1Ta(XJ%8u!62!!5S#@ z#A2;k`o=f*jhUA(eslE;cMP4I^xKtZtRA_)FKt@HysU0tUT#GF(c){qTdMrXRomZ? zT5IEF%sJ$Y$?f(p8e?BR@#@OwCmZQUk7qGS5fin$q%Jsl-=0#lx$779-lO-O_pao& zLqGNK=eOVgo27e76;=9`#qA%Mt)z&_iC=l$@qr{=9Ju6?9h@hXgV)FD;bbCypW1%l z$_uiX+<xDscb)ji9VgD(hM0Wh#EI?Is}PgQD@$K_-yN0yxOU_AlgDT7w~HTboc!&N z3@k4$e*Qa4Sl(71e&PKm<HuGWyl-{pi*NcDfB2vN>_eY=VBcK_am{A%#Q8%f|MIG{ z>VNXTZ{PkmR~?w0OYYjy#5`%d=5M~ga_RQp`So*;KK$q<Gy8x0(e>{<dfvA_^-GWZ z;;X)xe5`fuc|U*jQnl}*SKj_v#02n*h{<AOaq;+h2k$F!o>VH6I~bGOn}_d@fAxfm zh*FG6IeqLF9r>6jivx)rAII8Nu^4xaZ+z#zF}2@*^NSDNG4wL5ZH!5Eb$_Z(#i~?R z+p363Vi#X?b7}hl#Kblci)9<ktNGQb^VZk#mFe?0gZ9)@fl;qdK8N!9@Y7RMq|MKJ z&eS*y>!41uP&sFQzHeyo)oSQTlGmr^)jLV=Usvz!>j&?t$~nW2RKGZQNXhCvdvuI! z7##dE(*F5xPVHCgYNh_<{JL7N*Flr|l=^A%)zL#Q3=!t%ho)Zt`k|rW`Pa@HGyJsb zSL!Y5#-mt54yyGVv3L^P(tGqMTlCy>YUP(#tJUFQ^|7x-Se}m_SUrZ74M%);-vejw zo`0HcScjAAKk_l9R@dVtX`6aZ|7&N?r!a<=t*!>*>MAK+00KG)Eof8egZr#ALwWe= zzURsRu3Ei;d42xEH~rSmUEg$FJ4BMe>go+cR6&mbhT1W?aT0xg=uqENE+#`m{V#~Z zR6~uAv-Zhj#4G2hspr=RU)|sT6pXH~ADUlBOki<+{hiPE&p+Mw)KMRkeyMbJ)?itk znMr=)dGt9t<eR^>zrSCt%tB#)ezTaUZSZYMVsdEcxu+46n{P%;u%Eu=NycR7-}Uu{ znC#zApN@jcn5?KFHh2EUk9`~TbKG5b5N?*3V3h55ZJ1Szi9$@|x*bYXlMX>HrRdCK zBC6EJgT}PAzTWqg;yA~i>S8iKKQ+}WCXYO_x->66-j2zQSxkn+x%G9%WNmHo%hT#t zegze*Pfn6?^qh6X<lI9qyzs4~7~(e`I@%GF7f|c^(R2ELW7n6?J@*B%b5!QZSH9w6 z(${~*6^zM>j|n}F-@vpzPZ$$SzpLK$x<gq^(4QmgSG`3B;JLs5{PQ;+aysAsHgg%4 zoF@XS2=;!^+cEk6Rh%dDS0N^ou!OneVv?LcEgH|gurVgz``+p2$rL~g;Oq?>IS;V_ zE+)gn>(BK)<@yqHQs#+^$v1b+OUz`*iaOS=p)Y+29?qjnJLbua+1lmoV1lDhpMPFW zRnta;YgdQ~*RFF9J-7a+voJ6}b##bpR|^vF>_be{lNBG6=f2AdXOA|KHk)qkVobPp zy@0igbBjHci^=2*9}a65V&c~>$n~$!zh#O(oejMZldpY^bs$ur`<P5V@`##`K(C;I z&*AS$6}gzqKmA)+3)bI>Izvq4cnUJJ?GB<nv3dHKux<gyL`DYvpf}D!Si97UkIB>f zf9|(-wqug0<b=k7+*mWYmwAHww#>z*OQc*^qepb2cHlVo2oL=(n;o6e$^PA-Ukx#T zQ6|$$G)^CY!JO<j$lVcOkf_g!z1{Wd=#{X$8+_VPbv1G?bJzzx{R-qfpY2o0baUhj zu}L4hwpG2j(ySc5wl(sFO1*LzN4vYG)yNlcig|b%=RnA3VCxH_<8D8ZJ_`JBoo6(t z^LjP<KIYS{9jp^JT)TsBIHoYX@DuXuXGh<cCNbp%u66bg!>1Mr^bHx?EO4#hal)Mb zT<_#}uzm_ako{JjZsF=JQ1m7Glzm~PKd)_Bd2S0sdy?{jj$C3=#pQ9>@D~b{`nk#D zdoD<ldvGQ-im54eQ0v`QyJI3z^=n&;7Xux+RxM6~k5#`!b_O>o>Z`-=ojiW_1<AnS zNH_zkI%&~wsRDmAQSo8slzaE&ar#+*uUWhaCqg^k`*`c(y&pXP9^^Oe{oU809lLM1 zNiE(4AE9IS(AS$(>n7?{Crca<yPa*d+w%{<7d}F6clDUPntaqDZ4JJqlQ_<I7pA~g z>b=d@#cZ=64yXOYcwFjTq}^m%H%%l1b(RVAZ8S+vF{eM!hkP2N5*eVOzV{ki9Zl58 zP3Tix2xH8j69bs}lJ`hvkIHdSeg5eu-!wd*CO16EHFpZSH}F6UFy!a?x#tq=e?cZH ziyr*3fybhKPA@uvtJDH({y1x=U^hHD${Dt<hD;W3VK^_>2Zt#>T*2|3TU6B0$1AnU z(O0};YHEJywQ2}|7<zYj9h^Bni35nqH`32)Rc_i0LjPH=DfaF!qYZb{l7p(OVJbaT zfss|$KT1#8=k<QS0EwJik)FhA>z(pzQ!w)!Ae7hDlc;0!E}mcIv&LOKr+1o|yyG2= z$?!Z@@To`E-*)~yj=Rul(9Or>&>{Naj`o5_%)`FsXdx!)+h~Wd_FFCwF==pXL`=p( zo|$nxq&W6sI*4QQ;rZdC()H4u(*DYgINn`_i$kw{ZfKqL?fNA3hKE-lVN8DQn%Ba& zT8%WqF&~qgB_`a%JcO9|!}iJ`*I%vd^J;$(@p-iVY}VI^I~{3{#jVB!I~3X{y58*i z7GqMwapEKEX!Wp*3Fir6o_*AjZ!IP`JQcn4ZNbiS7dksX=dDdkOp>?a!ixIJR~!%N zy6jKuhn}9gsIDG4x;~^XQS0wQ8_Jg-z44Jp=GTXYrq*AJ12o!y{g<fs^wZCx!SnOK zar8evrPPf#23ya$m^}Oat1iJ9#_^Yj>bLzoarmryvL$_FgFrjqBqraLV;G8LF&S2~ zMe}5J71Kn3aUpCiCT{IoeHO=E7@u6bG}c6n<P9;|+S(Oj5}n{YAcUB>5YJB~`nf}D zJ$dUgc+IAWFj<#M*AER(MHnMoyQXA_sY>mrtX(+LQ?DIzF**NX(Oa)$4h#+b(mU3^ z%6xK?b0x{vuE@nCn<rUJP(SqDm_=hP=E_U6cD0uQ`kTFz*D$=A_IvI<LOuoJ)_LTZ zlj_#9?~<H!b`o>a+j4Eb(9;G&hF<gbs+oRUt_t-|yIuBD3vL9yt0(C5T|?4`2bNKP zgaayv-;Hb1--T?a&0Ej@2z{(x;cW~DT|660UElWPk3D@c^YIqZyW8nieVrxk<y6SM zT{z^x)rUUuZG>Et^<;R%NS`=dXLR6l9vkHD#DNtpx_9pQE+5A~aJztmgVg7O<BkYe zb^#~3UGyO5`R}Hbx>GG!WES?A(~jIx>9#BOM4t~+i=N1(xTg-kY-7;L2Y<r5hU%F+ zTgKU?lCoB%d)_|W<@12?x*_NLjez*=%6eUd1)X%n(_h)`TUVWsi&NJ}R;(}yz6Ans z>4VG;9Ba#=&v?EDaD1#+UH+m9k5eWGwi93LL|heJlz<p1Fm)wCCCTGLTtiC6pqFQu z2QjK}x&%6U7`y;$pcp|cPG3Bb;K{LYZS)FZtw}1qE$$M(!)Er@R1lz?aV89kcNmM5 zB<2Pmay7E1@+92gLBD~50}|m%5jV|SkZa*@4FXRXr>)JqQL><*ZYf!XzzU@f{0Q1Y z$`huE6nBG-HIzmN^n^+ByP%EZra&9XWIQQwg6)83a^j*9^W^3Dj?p3Pi;?utM!NPS zv+LjZQeu1|pLNr9aFAltO!|T|!3RUC@1*K5ZhU)Z7vwlYm_9Mgkx0(6gSrkSSBPXY z^d=+l3_iNA%1i)jZ>L*<yoH=<FH$ws+_M($2))5>%ECyD_4Z%cl;?=cUA&ETY|<K; zS~}Cy=s)VUDP-Y7ewCC-^2Dt6QW}!(2A4~nK)xRLT*k88{AQOLrR*&1<w1Kw$OFF% zH-XyD^Q3(Jl#JWr8by8t99?@%cglGhGu=_{EK<9w{;MY?M*9+TdZ7&^Vxk!&y3@Y| zwl!pp@VSC^0hyK*%H{oVzLP6ExGO-?c_j+CpG@mq#>U;UN-2L27EeiO`k#=e#tq~= zR3z6(SMrsL6$@%Q1Gd653K$q?$v7g8*3!Ce!HYFiO9muZQ3j;(V*|ykIDJjZ1U`5c z5~-DzXq39-Y2SXGyN?Hc85d}1$)xq9q3c02^4LqPW-NLSPPCyJyWYu&GOEefvBm1{ zAjN6o<J!Orjjo|=O)Uru8&T4#n1pJRT1ZGVb{l;40rEt>O%!%Z8}al3yh+KRA-QUH z1jrI@vMSu^Ut#~_+M!k>c7gRoR+$L5h0!Y)kt0gVK(kuKg{gi#yb3Cw#6|tXU~;(| zmL(Td8B0;D2bD=wKXd@oIEcn$57Wxp$S7LEfAum*8~jC1%3vFVp8z}+V!&Hu&7evB z$QT9u86#z&P^GY{TD*#PrCP>~?6jKnM-YgXp=uMW>v%3%S!$#|8sQl{{xW;C2o2oV zs>6iAEq<E8x0a{V$OJ4>JnT8b2T537tdCA7Rz)}zO7M&cj`>mp;m6T%19sic4qJPR zjg)1dfn>0wE?TgxdZO7tjvCNWavivdy;=^^t%b?R-OI%VKZ|FTB7{NNs09XYx1+CM z%Z{3NLw({_9HPfojum29RCY!U#JDzAhiMHtc6JQQ0F9G~NR3%MI&rU27(ZyGNz5#+ zY0fUr#!+M7p)m#2qzif>YOUZ)STKa)i$aBwj(H7J3?=bwRcp+ND+kUuu^LxLz-JAW z>b0sK>wXhqoJ_nYjmPllToOn0Y*97P<FT>u5sfjCjb|}*>(pE}k--!F5F61zcf1y| z75HT>u3uNLyINIQ_q3hC6~=M71e@Y<NVi~cY{0cRw#kB>V~1+nfOWo$q?8$k8CU~E z8j0yQkchy;Gk@@UTF=5AO5$VDC6s}$M#YGmNz{T$hodnwhF(bVaNih+N>`F;WvUDD zJYJB#s;bnL7Ng!osI4{&j)k+I*26H9z?_Ez@PM{sI$YvqG0m{Lhi380orrn)6hTYz z;4_Zl#uExH$7Eyf8TRu8UUrD05*{ZT#|p^?L(k$*SFMKT15GTqXjQTTx?z{VM?e); za4*0tT3jbpXc|+xqavl5VJ7qC-*GdJ{?N*TG7;(6Q12&|)fU!3)EXM`$Z``8caEuD z26b^_-~;a>qW}pihL#7$fNMRyOYmgfIJyQg<Fr6UDX*WyDpcTzXju|=Sp1TeEwKog zfla*JxeNtV4g%^zEs{&~O4G>j98lWSpwcH+`Eq(iLu{#q26ZfFI>EZ?l(nc^a8ZO` zu^Po!HL{8aaIKlAr=S6kWRZZe(gYq|9gSC5DX+{Ys?y+tY&`40vl@B_!UQ{b*eym= zrGu*pDu?Sx4ccQ^hAsetu%o^Xf&dn317b-I88wb^v=ba(ktfn2L-ERgGdRNG0FjDl zco7ja$-hv_Ca9IRuxpA{-~=nFLLa5a84)m{3=a(Wv&oJ$#?lA}C5#rVK7FM!8a#NU z@L5C3{0UGvE0-~>BGzJgNg)z0kiHpJO4h7HM<h2u<m}tGH_=BR?vBx#7Ioq!oHfXx z9SzqeJ4sC}fmK_v1|{?t#yy^WOU5CFC!V-A;;myj-Dd5j23%vbpXdW!J%}m9D{EF> zBx`Am>J`$JTEPR}T3HJvi`8SeZycj4N-Rc@O3*AY@zIvE4JVaYxiJX-f7adwPLAR_ z^gi9&GwK~JyS>XuUW5>}!<uiAF)XYhg7Bf*^;ljLt~~-Ruf*&^h$9n+$TmjUu~E%1 zETe^s7Y8t4EDabt@?s;d<M`Uhh5-|QKolMcws{0Z9LLwMfQWcMG~fSJ&**_6H{b8O zwL4v}Q>RXyQ+2AkyH1zEauV#J8k$L*J4h$EP%;OF!-46mh<+ET@2}`?CXOgXn;cc^ zi&rHwr=~jIdC3^accwzC(M8mmlYSkaL*t2!Yn}ocZUn4O>QU$#;YrFNb#de(Kh0|` zI-$m{kBXuy!(K;hztS8<MyAQ06~`52l9g*C71$IVG>$B^v)7W>Hsfp|6ax@_I1Ec# zMW}Z9yWBk*(iVsn*rKBV+JoDuc9dYR%?IqJ3#w6U*~F;ZtTSwhSK16zsKMboEvEk@ z5ZA)>fK+zifhFB?U`f;wfqEXb)ui|C4EB#~@w2ir3j7!VfF+^TDHJMK)fEjy!8a_A ztfx#EnN(d&m`43XW$=tRp39$N7oZCmR9b)Cm~3y5DfN~j)*1mTvXoTlR9A!FA->8a zL5{;d6%dFm<~1_P@@6G1lc*Z3GFrF2-UiG#&XPM!J3_15`t;9H%*Hkj`>CkEA>yLC zVjn>2F_JVLB~xY9dQ#lzN`g!{FFD&$RcIX9v+@mIkVf#8h9=z3XTS&w2%N8dXz5@r z9s&#K=-8n_Jr0HOj1#x1AX0G^M-N7r9BJ>QukI?f8oIMksoYd?z2k)&3v{f3Yz^Uu z^;}3#WAKX!BMPxSlhLA`e<&9g>ft81?oe<pEC5}bHe#|SS}!-bdfhMQDIus15X?Es zK_a%27);W=Z|bA5QZY;KF64R(EN28|JZ}qpF0rKHF`4T|UtG!Phw_d}Xat%I`oNOG zMoFZm7p8TJn2MPJS#BsNbtwp8U$bMcW~HVP$$`bUBr8_#BJ5e`@mQ%?amDJ77*-iG z3(j6_Hq|!j&@gfpE!|hhhAvCMp?+ascqHA7aZN9rjq3EU;jj`mLN36J`S-CE=*9p? zt2H{nKoU8Z%SU<AVG54-z6J*MAL`=~+ETTWHY@0$Csh?@&50zlu4AD}4`u)bGE3*X z5dv$BXVyFD3#*esVH$Hd3ZvX`sDiGvmdMim7=s$KV`Nsu$_hG%*ih<sn_N*MNBM!H zjBJuYwKS}XyA7JydJo<2Fu=nudTc-*!mBl)h?SMgAwuIosLe40maCFaszVJBsdvY9 zxtaIaCss)>$c_Jv@i69$V6$0KT;~9!fRV{Eu+p)jtJ8j2>D7qthpwQ`u^w_!)M?7V z4hM{(WKbezaOWghF_4-RqrQw7n}HbY+QYy}bYm!uccvYH`t@HqjAP_O4{N$jk~Dm4 z9OQt^gi8KR<mR!Kb#7KeaYsE4huDyLJ<A662Zye5Ep5y>Zi|=;{|9DloiMm6wQLm5 zu80ct0zG9o$5^c!m}ApM<^tx2K^dcDh1z6@-3>XcT0AQNfn`-ZiyX}t0H_rfVLPmn zl0&M2L8G6@Y+4Gb@*tO+-6S2@;l<_%D-J8B(x7&S#f{oI^dAe0rpgo~iNVVPFVF~& z>`VPtB4;aO+#+aNBfO1#2Wwn-kd&NUyf3NL(N%!(fRP2Q>MVUZ7URQQ)c8UbFA2=V z3RCyWiwf0hs_K$Zsztsvz}QQ|`n@6RIb)F?kSr3|BRP1J+KGla)%X%FEHSES-dGj} zO+}0L32g`gNMu|`0L)jSlD@^5`^1J1a&$PoqlqXBhdQCahIEg`;n^lEjH_HIPA8~^ zw9>L=b36=BjHI9O0@mR$^RY4clnnHb)yJaZmD11say3^<R0|cxU2i&7fn^0>nfq8E z5z4pggdBU_vsfExs|QxYWMv%nzzvyqcM=d{G_ZBoQYX8@zYw^n1cdD%PBGPnsiQ!o z(+CFCBt$o}go$T6qYua@6kBH?OKn5CC_1i-33V*{osEV6wu{)e8ZI3N4C8|&8i*K; z(Ug(J740+Q%;%x83(O!ZK_?$upIA#>V<<9ISS0r||J*FvWbPpca$3v9Q{^rUu%Jx} z{FJiv+AtbcO{VwhRT)!qrow%~fvC$3xxx^+)`lT{OIXR9aJ(xn(NRm#J2f7f8!Qa8 zD<I>2KprH~Y~F;tgfh+*rUojZPN@dYtD7xl%eG8lCC#C+gJ;bakebmv$?7HMF3xb3 zSnErM(ZUWXgSsSg0=>TqnVxB#FLj3cJTN{h>tZ7-4Ii5Assk}PAIiv}yoY39wyKw~ z?>!!=j3@6Kvhht05LN_IJv&sj5q?`657(ku*bja5^IjJK<Q+@NF*@aVDB~_o!HX9^ zB!O*ojU~1io&&M;mZ88Fg!@9N!W)@dUk*FN{sBy5E&4B#+5%{cz^hu#-X>FC7*Qt9 z$E3$vFLR-a3O*!K7z_p#0*U7{QF4TcyODI7Xu!7^$;HR@21CrnZgC<RGX~`yQtvNt zgF0kN&Y)+mV=&jcN(c!k5V)YX>bR@S)94~n<0gXYOu!7J6!Z$|&XZ+z2(BE9<hII3 z%zJ^8O7Px%+y!{B%rbYJ2P{DT*D<AowyO(NLKp8JECP0k><gvwv8AqjG{$lS5&&<x zq)Hb@r#Q-}&I&eZwdMj^pi2QWz%5b43QIp(;fp0y1c!Dz9fVYu@PrXJWf>`6?u!Kt zRDdq0b()S+q{X5>CjfwIFo^ckdww&EBB0LUKn4sDsS6N|)>qVMn2d@sJ|64jG<cWx zLy4~(D0GQ&$&I}D6LEZhHR_5nw55oal>kx?3p$|8FdVV<FfB_W8WsRSdq-<$>4vw# zxDIEvoCzea$YF30IacvHDsilxVsUBTry*f}P-r!ssg@1M423Ut-AHRIz#2Xa5-5;1 zH5-rD!8JTQh_aS|Wh(=WZk4)VprFCNJy~k7)>er0;AE5d+v0GQj7EWu!oD#V$T(zr z46#0D)5UMH(vU!tVl&vOLJfzVWazE2OqUyhX+*)z<aSx0%nDPD6q=5fM2I?y3sy{Z z)+LTsRUn!tAb}#I%^Ab9n}Xi)a7#stSaBXrg>ke=;!NMnfXupz&}{<eI>a>ucJO1& zJ13D#Od1D(xQ3lxs`zD6)DcW`G|xL&I!nO8R#-u9<cKOuW&vqPS*j$uhLx+9xp9)z zMNWUnLf2#D*R+HT_Yde$7O^ej2_A4KWyhpp9Zj5XXtOdia&-YSO?KFggGikpFx>Di z)UjJ05}ol=7e-ZU^P~#px}5MKgQtnGPFBX91RwWwKHs%dpbJ;g3zg5(C#JM2ZEI1x z6U6W{BuV5v5A<Gkljd~CvZ>R#Xi*xUILCR|1}#OPa~WZ+s`^6~uT=4|#Ci^L$hvB! z_a%R_MoA}MC7SxgPuF-_4v(VoY|*DE7KK-;%ee(BG0@U-Cu_R#<qa9&L8Z3wI%*8c z+6t|Ye1!}A6suxnDeUzD>DpdL$9%30<7rZ5WNiLqgo`;y{$Vm5+(2{cLOgYKC-Eu? zDs%|rQ*teu>{Tl*2?0J{=LV<a7HtqlRz`~+lnXj7ZF^gyEgH#6(^Dxi>!oqWy%6DE zG0x}b9qCNO^c{`kyTvQRxa3Z~TSAr(ayO$%lKf#)Upsnf7U{5-kfCxAo?r*6tNUc3 zF24Gm#irbZalD3DIqWLh<S-NGf`|I^i5-aIhiCgnrzBdjdZ2{e?mV`*FjYJhVT@Sr zb}ZiE_(OCQgTCB9GZY5BE-B9(Vp#Dn9-eb?ILu9|Ssiwj*IO55rCgQ+qS+uk?bE%p zC3u`0OL%WSJbp+rQ0Xm;H3FNeEQ5uMd{ZoI4>Rjz<I*4(o&C}LhZsds@bJR!V^?Do zSQ?N@L4__lZTimoZIc8;vZ{YgBgzh2K4d*4;Z%}8T&AQ@YAlSRsmuF=;c!WGgO100 zlD<fy;8HCdQeNKUY7yI<jV;MC2xt9KmgK_OzNF!D@s;I>&)tXfWlYK(56yaNMwG|l zuNDT<=vr}BZ+J_o5RTql4s+jrZ!X*KYQ6G9OlIp?b#5>adBe~-NAuLF!+qY?L_QRw z#%E$QTPH?J1-1dly|<6Ye|pd`GPRMpt23WmKQ?F(X3}k<MfAUFVhg^vUBU8)&px?I zB+mApJrhPD4ak>I2nSc$;e1e<iN?PU#0lIjG*)&MgHWa#tX)tJt0Q62;RdZFLm9{? z%Hql%YGgdu^YgF7Q^C{|tR@%2)gd`2mL<LM{K!ux0k+tWFHjNWJ61#D3DJ?kY-eKc zuVTzt3r1aZWM{n+#^tPzE3b&qb~7i;7?EW+MNyv|f%Vkj107<oy{jS*UR;kez3WG3 zFAHsd?Ep8&_Y8uXoXySG%9vKCE++#A(N%*yOr&3DT;Ny&U&5zV%xE-bWz5K^Lw5zn zyjR9&6Z<FiFgi)X_0{|7e&DdiM$xEt6BEf;XLv=?#0wh1HS2z{VQD_jZTR*1-zjAB zU%d6%U)*tc_quoIzk2(xbGMg2F#qv$|LoRZec_`^_TTc+T=OfR&foL=RQIM|eL8>D zmwtHgt&fZ@x#N!2-5Vd??}n?-IP0+AK6l+0?%KKj)~8<nmlta@(?8wx(2xJ)itO{# zx#W?nw>{8t@Qc4)w&daMGudDLYT1%6J$r8Vj>jHb{BK*{HTe3YpI&m?E#=Ff`P<Xw z=i7gI@auP7_pwW=+2E3LbigBzj~@2&E1%vl+5An{Ge2Cj?ZFinocX!_Kc741-^T4l z|6}~J?DV|wV%Ar3;AL0efB#tb^I!UvY&`c5x?lX`S2nJ@|JPr8_R5DheEu(gbJ*?I zZU5_V<}1@R=Gz>WzDWGbzutMrkrT^~d2#WJFAo0RF;ic@^O-*jfBM=hU)=oQzux?t zA3wM8@0!24;^pgJK5)aW8@d;CtiR_I|9Hjt#0UPD%0$OW?%Oxs(*2D`KXCln7Y-ep zMDLuv%l%9E=o^prUHSCCbU*&sT`Puvao^p4xa@@B#%KSa@Y^f*yZ=wN&qNngUfcN9 z$A5F!&a--R&tCLr-EX{6&VA{QKkmNcjP)}cpZV9WH(tK6vhMy31&s7>*tzqLzRu$g zytVn_9iLg)j5i#gzvIKl{<L@F8ILc2>D-epJaFUfPknCPYil+fd*1nLmp=CCC5x_q zY3-q_N`1#4cKqb;KXlqPPd&eG?V{%%I&j@H>rTDkqGQg#d}iUi+CTNKy(0J1g@uzI z*|_=DmtH#aw2xo)#AlkPp8Lwj^9N2IxAxB;es0OOzi)o>oQEG>@|jzje|YZsU%%lm zj(vUYiQju{H8PP)%5vaguQu0x_S2_-Y4YFx=U<-thtEB6!|GQrde`tVcTT+U?(yRf z7=PFFG~c{&$YlOA2mIlh3r;<5$IAz9{PntZ%m4C~118Vh_S3^Y{_w`0b+2zb_Vnkz zlAZYq3!QQlb596Qf3RWa+;N>>U-shS^B>QzT{gb)g$I^Tocr>_*Bt)fOFNc+>fwza zx~jSDj8A{h%cO69?VkVm)~)fx*Z;aLlO@OB*__Os-us@h&wc@!9Cg(n+<*1NXWqDO z$rI1~c-?sqU-`ic{_(88>goH)fwydKPMrA4X@CDG-@ZF@a`>B#4?gqHlNY?Ua^Y{b zUvTRC|LwVr-QQj}nSJ814I5WA|MJx5UKttr<eejIaBgn<)TgjaV^^(#Tv^v_8Up*u z$)&YTIeB7&j@8^hXJoR(9_b0)eJhv&a?8^ZX_vc|UAjHeQ99XKXIxwz0OfUxh|Q#a z6Kv1q$jIE5o}S$PYYROxA@%xAH;s(U%n*^@C)G}8Z6WhQvDlGmvIRJoW4mvMmY%q} zx7IQ5>IuPiucy##7Uy2V0_gqN0`+`VG0)AN3^nSKkFw9dnag2NSsU3hF|vG4jzuLv z!176%_{dh>?LD#8gSIa0r*E!ng1hI)Om}y^PVZN8=A@aH`;#9Y!W=dtTjo^zvIZJv zzjnG|-(wi@+sUOF{6j~tZ0+h|8$7#}C8H0*hKKb|DRpeI&X5jmg&f%DIx_I8Dcd`C z6f#&FG;??&_1^;>8Atj3uOm%y+mOlD=0x+u-VRG9(|xhM$b^KGn<E)1s!V!PnW)X- z9Q$%bUhte-a3?aE+`eP-WL`aTYp=AlWfFQ@Q}RbPZ<$n?tnHa=&KXlEsFmSbn1KCh zuGw6>7MZNwfsI7ZNRIkjGMVVDX>CoF$y%W1{sx&)vZF`sFZV?zb7{-j{oX_-lXEJQ zk%^J6x#qEaoC|vi?D%v?_wUGr{q!`G-qrK1On2C<W64<PX_j7<CLcQQmnPP&HX|c* zBXb<Ii5p(Nbt~M{W_w2^w4k?FWzzd(*K+NADib=)#PISxWHOP;WdHrw<}#Zzn|Hi0 z!k*=h9hnyjn=>zrj7%0bZ&sO1^fX6$nn%$tS`V^q+ccEc#U$T+!^e=xWGWM~n)^@I zo0F3i9O>OcOH!Ha&{8UswUe(mCr@Ubz-MQ5oQ$-_$!?i2lzJvrCg9dl=w-qVc<fIn z3u`r9WkNc&0P>(xbIQasNP<1_4~`s-Oh%e>&5u~yGbbHqs7yxY;8;p^az*Y1tR^X0 zLnh6h<run-%7b1eJ9Z$WABtSJi=SGC{q*!n_S5%_aOc;o7oJ>7KbfGrcgpqGt4t1| z$B%3u@%9mqmX;%v7hdqq_ElykU_ZO|_mqh=doUnYnJix(hJMIou*t~KOD2%XWGa*9 z1XjDqWbI~bGI}Q07Id#aGQp^M;wYMqOz6~m%0&79M$_P-yCzfJ)l}UzS;v-^?$+$x zGTD+z#>*tS^+O+8+tbxkI++C_uUnPmH_POcpJZgJOtjq-DwC0sTn;u*sO~~0^ikDa z$Ykpzx+|5*1Ts+zY-ED&qK_gIX}lkqFiv{JAQSkaj(rU|WRmM?K3OZE<><D{`&nG- zIN7ly)m?kbg#Gj?lZlSwkV&`HVLh~EHcNAi?^mcytjtZShAI?99({E=x@)_t)|Sg4 zG2C9d3+gj6@w>W90nuHPsqR8~V;|G8V{L|A`^}t}$=vV33YE$Bvr2h}MQSG~G9aVa z_z@rD$5cjl=NTLq?r8L$MC0mwy7j>}+^+oCrxzu^9<9u3y3gZJ%S$94o5!R0i7WhG z_=YvEHiEfge0QsUg`<9T{o`CBxh$O3*l0Didt}v)@^8^xQkn<Ec-$d9#M7j!PSPnG zgbrT@OR=_9ai64d{Ir~hZ<h<BD1~d;E5<(>ZM`^c;iX{OX6jqBXPbC^crBT`+DQDn z#8<TI!MDEct$N$>k5{M9;O?1QK5uJ>9bs)5<#?6t5|IX-S^fL)Yr`GhXN6DtSsht! z)!O+QFAsY-Kfg3!&g_R_Mz~ts8AEE{*r9fkjl7JgUE+PfyM*$@Q+#|M#N*X^6#vI< zcATjxJZof{`WyMxBl>KLa^5zvGr!tSmnZ;SYWIja;-cNO>TTqG^2e7>V_SL8RjaA< zUD17c>=YBFg<@9AVslAdlIH;iFXuX2ZKW-(a(_%Y%HJTvN)w-DS))7(^`T*`<<qt_ z2q^8~yHw%sE4I@=F77n7Q*6gu_LVDAIvWt#ZgZQ*%G3Mgr5zp}k9W4J{%+=&=q4wr z_5Y{)4_zLe+B}u%9OYO;5B`62x`IKozqwQxuG{*c=CxfjPz3^9u(O@?cK*mnYNFMq zX6~T(!NX>=w7sXJxfQMQHg4uy$}_DbU`d6tr_7$9sh!{+G_^7T>TR;xYQy@H?Z|)P z@0Q7lAHpOFG)?A=+5e=(e=V!9mL&u-0Ue8_&+pZS{}P6`k%@%sMz%I}?MOH4;Mq|# zYRRO>kI?@Nx6Yk(?p=~@%Y-?gV?>xo-p0+0JiCWXd<WPIvSY`aJ~+|J)kZhB@BT)D zmfXEU*bBv85y<pwcDLOpGfsm4BjaTI3z)QZG&^p&W$u<H58C&t^uH4E4Wbcma)%#o zw)Ql4uQQopTQcFRPyZXoY7Bg=%UW1#etEEtae~Uw`;xbDGe@F|acQv>$6lbGcW*gP zsBQ0YqV?}p_AMgK=A=(eWwQPAs)_$kj1$ZpSy(hX=*u0=iO>HZlZhN|5Vqc@dbh_o z+4m!@w72!raRN`;GWnYy1Ix-w8~tAzCvSs#JL{;oa9T~Xx%W6>nEr2NqHhpXP44aU zBBk6)pSEwx=k`?LeLhdk)ADV*LLN%?Q;_@$)1bmttoljYPO@E(!l+B}P@f~B@O$}@ zVb_ADKis0HeQx8vEqrWz)^ZWlM1TAynp%1OyhVliVH-Ckv{b9E=*l!bzi$iIH^E&6 zp0gvnBna|H#GVH{>`Yy6%l{p(h5tHy=i5?IJiEZIjxQVY=@ME^yoBf64u2Q#TIKzi zRDdfXaSxxz)+yariXlDh+8r+{n8JIAD}AY5<sFZZHHZAETI&0#yD~P;hu(B))w_N- zegz0G_xQcPf)=*z7(?h%dgOla;a3#S5&t^RAb$!i)ajHq(#jT}c)M&c4qV&$cDKu> zZE)Z$I_lm^9l+_?kwyIQfh*-4d}*EE=<gFWp7Owl(n|~982Dye$xeOJaY~1$-R%+g zIlwzlxB8A?+ocag+A;MTKH{&Apbz*95q0<K#rXDXXqSsL6z_^Q(va~D-IcmWz{3+y zi#VU-e2fhrN@Xjr4d(&i756UQIj&Q*`6oW6Se*aq)#&X3amA-`b=F_?Vp<_f<7_Kj z!`HA4O&SW_*brgTLZRP}JMdX92x{Ep97pn&KaJJ-V?$(%`pe~)Qnhulb{sMy83#=1 zvsR(U4M8c|s<Fm_-D(%GMa#AAfWz$?IPGM>b_exU<X-VTvIqt~8l{Og_1f1o^-YOB za}OHYz=|mHu$~^>oAA5tO{8Yi`#wwC-_BW&=dJSJiaML#-wLAGRlmaRt~YIOT3Z|I zoBH1Hura?wP8+tDcfxch6&LSMY@pS&^Y$o2s&}sCs~7GO{x1d-Dl`8@*c5E`EuoFo zWTO}F(y`Qz?e-1#bo;iVRNZnhjeNTjTA%fBx?bCp6DiLfTM<i|&$mh2kc!JCwnb09 zRmv*VUQTh(DpbO+O+kx`w~^99VD&95&UZPdp*K5Y_Dr$6Bc!)u9&bAW1X*FL0%ChR zqfvj+0C4JzzwUi$rzu2~LU=}MdWzk@N+h-y?^?{>#m)G$9zrjJ;0gI@UL<Z;twu9j zl(c!3LTP%6si47D$uvc2vaMA0dFRVd^OQREwT#xNG2`)TYM&3Yx5h(q@j1JFrO>pa z;gE^=__RjV8Gm(YAXLuy1%OZI1LhJ2hBQ72i*hopOtiBZB%;t_@thX&F->vWVm%K; z_el}q-xHqVB%=8R?>m3pMU0Ob2J~R0?HmS$r5b**k(|<KpeUVaNE;KM&s7$jM;-^g zD~!`rOJc}R`@IF1#;x;dT-%yf!S#iQI-3^op;Y7$5wa)R<539StE=a6L4?t>?ed|6 z8;Zt|ZWtjq`>|n3Vap3M6ZAL<h#<DS;UNwrb&=yDKrnfbLF=<k5NZ(|!2Fx+sP{2H z<P9=G%Q{d~xTuTRdl<kJc^{j=(#;!eGJl_8^M<n|lNgLyp7ZqzZyg-_A_6FMRG-06 zLd5WgQwFv4bV-BYWfy7C@L>tq=%;C11I<dHf{^lO)ao@Fwcv}?=qM@>cga2xkk-N0 zpCv^t#XsAZ*%ie?7UWF1mW|>BwC;c(uq`)=4@cl)Cc1|QTB&n+HaUaK@W`^p*T3Q` zhyB(v=?EN6f||IYox-oKFcfrA#3Ii{jv5Y8;t3$*1pqg!E_7G9hFj&~o!moL4eoJn z!If;AJ&dyV$@tG_rAXPhSc8|YT9ecTvDLuMx?Px%)5wZssy01K&{zgyI3@!&;^+_q zRsE({vQy;9Y=Qn8kZIWcXa<0clPR{(1*PDe(R?rgHb+0GL_%l~dEp!1t~e5t6jcT> zeLpa;JV`1napR=d8Rsy+qt%piq9+na6whjxAb|}QMLGLM3OMU~cEwDwN9yq?WYt_x z%BR(&kT|U?0mcMgPQ*qbRRzO!mowskv8w&+QoCf<?&nroQ!S$EZ9L--xKI*#&`xK& zna|oL^@YfAB~)pjvvyQUV@jcsvw%v>l!<!JLi8uufO`AvX|5z+jTXwv!3<ksO)yoR zvbyQZ^=}GC<9L8FP@3Fq*p?5%AR05;$S6ZY#d2|^D%Gkeh$#7_MsUq%YHGh^l@I-K z%qQsqW%CpolWz<|gMNZr!2w_w5knflB<M8eog_(Q6norh`~cJxgPn%<DFa+!#TY#5 z5269(0b6943_>bLIJi!|0H7NwlX{Q<o=`N%I!|+a4`Tz`Dsb6Qw*lDl({|KC4g8K{ zyR)V_zOiQT=^GyVs1!oJ8WG4zL#lfPqu0|$d&N1euE-XaeyYKx!h*Iyd7_Pt22-y^ zG25VsgHu}*nF5ctqm!yq&{io~G?6B_L4sz874?|LLMz@GB}nSJ&kIgm%;y+2+35w- zOs6<#XfGt?ZnRFmK%ar2fb59sJAx=N{n{t_r{9EG-5cW|@)CwnL_IC?RdXmz+8Dwj z)?Wk5KH)S-shTDOrY^jvRZrxjPOtt#)l7<PE5a!u5}l7+t?@BuHO1IgeJOw@wafZ2 zf`>P8lw_?KR&9pkgwBbqGFKg)Rk|4oMNKd~QV4dJMtKIqz!ZY1;6ye>(FGx5yrJc& z)HuvPG~AWOlae-x9Ntqfc#XMMNrFdKY{E{gmV;GVnr?-|^uNFvJr$|P&XI=v97W=S zvBXXYO>~+OC{op_6a%vqpRybk=V}~>g^^R1&D|V+)=1SLOf_uS;>-+6%Ax!Ulp4f0 zuzMIkk{T)00=}daxjJctsae8lK-Kpt1f+uA)*OQ|7pW1!us{uL>vK-5aNm6zx%lc# zmlO8-a!{J5)g$#A)O{|Oj8KMw>*4~Eaj`UL(~KB+c8Fk`TyWyp*A@(eGK^iXL5;e8 zm0=Q5oCgNs3}fg<<Yr-CnPWF^lH6b%yB<e3tzoYv<xPz5I8bucg;6%RTTQhB1O2ml zA_3jsQGu0B7<YkGmUh7{d;s9IPE4p9=_DxCyvkQyKTQkLh_mdNW<<l*1VeyQ^i>8+ z(2u$a7+f}An$nH$IQkInrN3IrVzY>{v5daNIj;gq(8U9$DByt}ocC3$DwzPPT}<Hn zR3GDxAk%up*$W<&%mIosxuJXms*Id!2kCf#)GQdw)}w7BPpfcB5zhFZ<%%;ol(e3j zP?M6M>KNwSezct5R342>Ky`tpm9C{v6$cJY{1SsO6u32Hb}=53BU}AvD3CgwkIR8c z;;#BE7Nr=k!WGCHjj@recFb5oP};vAl84|9lsL^#DiGar0evC1SX!B|@+G<e1#p#c z#yCfyz)qBd=^_T31-a?|o5Gqh0o{i)3NRy!$n!fsD<|Bvx{mq`O)hqupsg-oCjzJ{ z2MDhZX;`PXP4!MgLTn0mr;mcbq5lP=6$9hzck~?Nz-}VZaF_A2Rb-?vRagfW>G&R3 zD|G`9Dw|fvs+xAsB}@&T0MZaLpx#xuPLHyOn!yz=1!Y{RRW_k9RE1+wJRq%(Zo*C) zTNx%=?=p^WDqthv27-*4S!utpiE2AbQ_$`f%f8fmQ-S@L9bXZQI^s=9U%m3NY**;m zi_x#2t5{Yud|+XWJw7xunYyhqBsZajXpe!OiuOk)FG|9Mx{5;SWrk)5&m7EG_$!U1 z8ui!XjbWu0MIuEP*38^eMhH@)m(1}oiGXAHrVHhpDvS<FOHcwZkg-i+j(6Vme(<Us zOH_!`#FR_}nkGg1nv@sB`?#sZNX1}V8ON2Z^uYj$%qYxtfQ1!TcC5Q@npU0<)~-eE zgyI~tFmTqeH+7M!szSucLbcg_8Zv+yz`jVxlDqh&&iYEza5PQp!Naz-LLJo@s$S@F z#!#r<Rf`Mm=3#MDg}5G^ZGzcNn2ypV9EJVFNS~~uyJ&$7j1n<2*p-z7@QXvj4F$~v zHd6&&tR=ed$LYsv943O<^HiWJg^P(4f=`Kr!G2+AI|yn@LB+s=t%0Y8p_NfYdZHmb zrJrALnk}YGd})fNqQa?0b*UZ~N7qI{H9p7%^t62hs*%*v*xhzhA^fJ8B)D83OXF0N z4toOX8da>qTqsHa9m5hw5fWy}(7-MQV^(Z$FZcQImfSMP(P?ldv6V&1d*Y-|LSChZ zj<Wk5lkBrbNtEH}Y#mt8{IsHTF3!%^^i5!KMni390|NtW!e{;vaJ@GB*Efep$jYJK zPS#x#pV5ihrjzw9%70*qvOsrGt8<muu_HSS3US3UqdJINkHj1@6k8DYbraA-8p;ju zhP8LH6l8Kq3fI<u&QLainU259eA+n^$^&!43U(70%Xu*UwbfK0kzT@RMJQu7xyM?x ztQ2KzaE#>A;(~|<6m0lJu>|_Ln1p%4MAq5JRe6e#jO(d4s#vG&i6KB)C6pJ^9Z+nX z+*JgP9aJJ|?H(>_ha>n63nSK(q}65-2xZW)hP(ODFlLm&5mt&^i;<%ehxkZCl0^3Z zITr0!ziyzasiUAr1JXHRN>WrP{q5}-pg!@vhIFeeDDdo-0=V?bqJ_Y$G5up0`NU%u zI71%As4=A30@?HeK_FfuQZhuwEQ@QvLOaVI?npg+mz{!gwx82ufOb4iSr+$3+=EBa z7zx@wolMgTShT&z)^xHdkj@A;{ZrJg7D&Q(1x#pdBd07v#Y<hQS2?{G^jR|-p4KH+ zvy%6Pao^bs*`zL6s8B|cD#a3?q`_L-5G#4&G`;Pd>JN3C<suOS*EF<{wq3RAK5_$` zA19wSvX}^edGEc$Of4eSs>kK1Nun})(axt3VRJ$}b^`f02+&;8)VS2E5+B|B64d*Y zaoiHq+ae<ltLzj4vBD>2-z=rE{8EG@c$WcdH(8L14Tm24#hS_Tn1e40&=VL@PNIkU zVVZ3hDG$s##gH{hmN8WxMG)X0B!$R15i7=H*GoLig;pb`ymhMeI&1_m-0+{H`IPbf zLCW!0B$|y2QD8xaYJrP+zBV&eHJHX(J4<VZC4ZwAwjyp=!7Pv-W#dgzuc7uRZqumw zRxdQmh=nk0UJbP>H<1UpqNGdK6W2s<WtnGmN;0@YfqciHkEP-Kvn5)=Ek5{%19uMS zQuHPOQBa#|MJ@hb&T7n-xKWYu612^7OXc}^<ao@yXTfP)M25$hj&owz%~__C0CNld z9F~d0unwXrD_E>ruDHdzCYH3ldW~opQ|J)(5k}h-jRwJBh}g=ONm=pR$Z>6ziHr$R z8{^zjnt0QcN-4Ze^9Es-VRE96(}{%2ZO}C}7?<lY+PPqYn#>*|cIAL`gI^ePL$qja zaouB8GGeUc17_!e_fax~6*p<E=K0X>i)t>*Lf42PF@Bnh*ABFPh-Zr|tVyYN`bS~| z8eveW?IE{k%t{hpO4O6c?efr}u}U><TQpdm>Ka7ZzS(&uYvKnyVCm_~AR0NMHl`P3 z?X<6WVq-II7)E@I0bWwI$HiRPWz=cyibhUDJiT-zVk$`H-l|VeFJ_AQ95hlMfNEN2 zO<M3oRk#hR6U&c(m7GQ#waHZs@`CCOJ<d4KtHdE)k0h(5R*=3US$W=6n=Xkc=xyCd zij`?h$Mt5j9*^7QK#q%`HX=wSH(4#xJ!Jc$^*cDgq6)xOHKz$_6FsNs10-}qDE$Ia z@Svh}HlY^b8VdB1JzeowE=k}hKjps6*{0;dSFi0AXfMYDX1}Nan1wmR&ucgv<oYT( zr8rlh>pBMSd^EOk5a1Z`I3;9n7qy~3+rUl@w7?2`frf)=)Ot_Lxx5GD=I~9<CmfNs zlTxa`c+!yhBTZ2W%*#6%74!ztlprXpt(Ib7xYFzfR~0JGpLMy5r<$(GY{wNDr0?MU zj&qkpG?-+5buc_KRC<V5jh|2BYMl?y>Q#zp|GE!BSm0bM_)7tcvl0x{l%O&<T`nKw zI|I!hPJ#BiIv)!py2Lid0@u(e_rVD*<yXGM1vH8V1QDG}ENMhsP{#Al5%mG%uNw1l z7t~N$!KkJ}pn6U(G)B3Wx(JVM=sCp%l%cYu>=^&fH&WTb7aA6q#QsdjF1iW|5;<3* zN?)A6hpEU8J|Z`dE$cj~bK$Ih2_u#>i}F4hiY_0UU3}s}KP#Q)X3X~TP<d#a&rD=F z2Q%$aGT|ay?0oOSARJ!<0jf}wl&IFV>U|gSEQ1y}27X@hD0c=rr>%4jhe|xT{Gc=1 z|CD>qPU6GfrAvbVzSCU3rowty-tk@+X*nbLj((Q#84!t{awlfhILgR3RpI&Va*90o z`Fr$6#g*e#c5xUF+up%zsjG}Jog>=;6HeL6_^1@?%F}f1qO8uz<xDTx98V<`kv#9X z#9<@&Zk!C4ZNf)Fq%_ZpW<q>fv-8embs@=TOv;>J+LbKsJ^dP~N+lzs0n=Kib_p~m zpysNFo|ctKbZqtPpGEKKT64v3rau@Q{VQ3WZ5+wOGyk%}<$Z?F{(Pi%aM#({OyhPp zd*<-FlhebaqVR9J^1QJaK21)mu1@-Tk){P}6`N9}C9b<L2}+M#BVlPcL7JX?a$3$q z1xa?%EUgXXyEjvcNoX|j3%z-9|2>f`Vlg4v3>-OU)6!Zrm^@-sD2`r5UnUEjolA{# zV^c}v-h<-1uMLl!lFQ;`M&R8dIk-<&@h+DFd%8;G>vr=yN)MQy32T@6CbAND=L#Dr z=6?UZ3x9w?eeY58YuAL3K0R!+xm95By^6tlGvUP%AOFbd3aG17po#f-Xi%Q6@v$?z zdRcs;uP|~dl)MeE4K3O{A65<c2O}OG(AtQvI=X?1gFGY7s#pc=(eWD|*;)Er>BWog z`NWIoo&T{lPc?sX-nxt4_{nFw|M|t^KXJ;RJ-hSr@0@$w=}$bn^Tj{<%z67fdizs9 zIrDRWe$0nAti9&U=DM91K6dZzpWXi0t-pHt)qi^RyLbNNyVKLtL8ao{k1xG^`%m6= z@)x)LWapZ*&p7t8Z1~SB8PayuFm#!yoX=wMk(qbt-1qM|dew2KJr@7V_MAB-==`5c zzP~j}mW<6^o;~;-x!L@>ozMJw$?+F8Z>~&NI$SxJ4yrTRj(PLgOY}hf>g{8z*F1gS z+P{D5^(A+Fs&`{NyWt%NboL&*>bx&3IpwN;agJK`m%59q-0NWQ{p|nz$pb(8SNC_1 z{_|@W9eCP>uN-*m?HewZ^5t8a*ZknGVt4fq=Fh!2_N{+@`12P$-97Wjz#%z(_MXYg z;H|@#$4eH!BX{tk#ob}l)%n8HGnlL`{q<MB`|InDE<apa{ra&du9-K*Wa)J3`ts9f zU)!<j8v|G5kGx{(NoLbGZ#{TJ_x_8oesaUL|9t6Je(EO5a#rc<r7Mw%KDd)}zx~MT zr>;EX7kAwJnO`hE?zop9c<nnM{IjF~eP-v97hjCdKJ<w@U%cWw7cBem<A1U7JFmaG z;;4J?c<T8x*B<`a&wYN~4R<sTfAP`NZ@>NJ?N9#uuZ}<S|32=_6`wsbo1HFeADEcw z{>44>|LGkofAZAMCFdWP&-?cxEvDc(p54GJWU^sH*pV%-`u?|{a{1FbYoA&(Bgka= z(#5}6F>q#lMRa*~9+ukccJADH{>P4Q)?H;fa2IFX`k9$*IFB{gJD#am9~t}Q@NXYj z{;t<v{ey4)`)wO@i#FstB|P@C=PepK^V_X);&xTeIMJq;pZ&d`Jn)sD)xUcCAARWi z+11128;`$z!+f`X{qO(unjb8zxdlfZzG3I%-#LAB`uv}D=O(a0*CiZ#;;+B8`-c9- z`FAYJ9=o9{FZ*;pU#-YL4K4lUQQ!aN^+&INsPwUybANQoys?f<<YjX8!`ENieM)tx z`+_5<3t8Fp)x(ZEtb5_&-VblM;YW?HT>8Vi%I@ih)<4u}6FB^A?bT+9eVQ+9+49@E z?w{<zCQsH)POQaHOET<zo*U6Yi!tKzW|4e5RVc6-eM__Bx#za;xaAhMVR9IwWA@h{ zc;`~w>0Zpaxo4hfe(3PS+x6}8TRSy5w{av*<IbPW<i#S<<vkO@`}7RA9_$v^eMIwL zdTE;}<U&ee`lk*%Bk#+iPssMha^Z}i@PP^T<nsXG>g%>r7Avfto{o<2KT^0ouOA^F zHxj~RwpU|IMOE*Y#HV8ghCLWNSvwx|`;)hep1-p_cuv!_Snn3U7kI0loQ7`Nw@@IR z<I{S2bh4p63a7`Z(H>1m7QqYlsuqTe#RCqAo{yeS@$R2%ol~bkd${2TYp=4cp1QVd z*$R8NZ$~D=)?sX_-gu*tnQO^pViL6c&u+hMs)9_||9Y~@gnhv(lL^C3f1JMmZttP> zyjGd?yp>E^G+;}nvXBD~P?_XjJ^%dX*5>k_W=kd$ZJEUJHUromGHKgsy<?}!q}g*+ z&%WDe<HU~b>)JAzgIgo>UQ+yfyS7Yb{aKSLlkLr|Et&N8wq?@$<nk`2i`Pf6RL#7w z&l|nHoSKBoY*yRY;n$DA&`{)oZEKN9Pc!#wvB*1bcKvsBbaiE3P)i6svq<E&+qtMr zmaj!7^In?w5<L;TZz~fmwLP^9)4k5yw@)e>Qq@ARK^@EMBQh&%*QrdJ&8h7V%@zv9 zT2If(CqLJG;U#KrHh-@<8Dh<-KgzcHZx8kQPP4VAM{OzJ?6&&8x_o(hcIp8KJo%uu zVJ%jSDwA8D%}}OimrSIFQ6(gRF~tufQ;Y|mfRC-qQB5xs+0jg8qV}3=*KTdTl}ysJ zio#<${aKT0PKdqY`;%uiean{cn6F~vrf0b7*+<xMVyUV!dGg7&O!QRFk>(L^C_FO3 z$}jVR(*6(7^x%UX9m|)eGQqkR_qTVdz4RO>7Oq{}wOnPA;@y8Tm08-3-B&&M65Z9K zXJ$5=A8I-|u!!y=b=_Vv8ChOOmubH+vxOm%&6_(qR3^8)=4H~OV?@UanEiNFY+6f) zJh&B;l9Z3Tt0g;?N!RkN9<JF`nPgsg|NH6d=&qJbIMEe5MqCr~%4Ty0*tSgCIQRLQ zW+RjBUU$uP^rX6rq=}xM2OoSZ-PM-KtW>aHRGDNlBQ2R=9MzHux@$Qt*nwupSYS`x zHOczD<H6t`6c4&<?FceMDm|*qXpjDR-IdB@!GRb<qWjkl7m<nTE**azJCKPsZI>I> zyH_0kGCXYWyf0^^ws<Shf||~Q#C#2J1@?|Sh>++k=|4SJ4OfiT`p0-4vXC<kKfz<X z^!>ONkZ*rguSLGPhqrLF+IMY${o<&^R@2+g@P3Cdw?!U5&!zNrysRkdOHXywo2$y$ zS$rf*qb)keuw&$G#rW<~Nz1{L3-lbsAj$oxSn8{3o++vQ-~c$%Hnwp3XD*718=!0T z3a&8|<$lCDjav5@H2X6l@yB^MxG+77Qs4cz>>;(#UM_7@5n2p3oN9|n_QDozV{OoL zQd?2QW79PCjh;DKi#dz3ZNr%Wp!vygZ5fK3^Ax>3BWDpho>j;S;=}r7$B)bO8Qd6g zw&C=mp(rk52id>4E5GnU>lPgn#hi&)TQ<Zwii#_BkA6=53#9@)=P+7-24eacp#`Dd zS=HJw`9;C*QRAycZ3y*@#py*F*K`*DoNYMmW%BWsy(MQMF5@mTys%Y{7JT#L_mnSu zSF~^;-xNI|L*7|&`NFh$&f{veHI+4(sp~YY;gf6htjO#&7p|FxhR%?45;+qxUZH1D z_MabJ(>||J&r?ik)lw;~X(;PG9z37HVj;ZHPOA;)3hG0q+?b?4f4(DOJqObF3vDa$ zB0N0f(1^1r@gp8(&b7{7t=DDlrX9C!X>1)Hes;6YD>+3KmP*S<FuZAMv}9!+@d;`4 zd|v9kue#=r`ozeun>?K?4j;wW8s>U>ssqkeW%s&^Gh82FZH9SJ1`DBDB&G!3Jzc81 zWc$ARYO0=<=)djI0?}g_=@jU6)lqT$Qgci3<Yv#i(F*SeL{(YK<-V=Df{hK$nIk#) zY(yp(c!BKLZX#XRbpE$C<ZfTjo5M9D<(r<<2)3&yLOx%n4vj-Ou#TKX&6;wEUUs?O zCzF3>Rl>It+PWttMo(;{nEtr7bt^Mc8%!oV6VQS@HRc>?Tt^4m8F$oCELi85HE?x) zb4?ardIBVlPf##`DwUH@UboiE1pLTkd8yQs&L`WOZJ8`5OH?Lg(1th~;Km<x*YT4V zCZ623`A+C#QHD&KVkR|t57(ASnxtnXs?B;EpqF*{aH!uCQNGT>njP@qtH^})kv5#V zQ<q$$ESOZ8d<!%4o}{^8PIGo_=aUdX{bw?n_1~LNd->icCy<Ht63D!uXAZ;35gtB7 zIrwPPs#@2!OgcK+>x`6`v_);1>{Uc%j2rPX+2@U>%ER}I-X~L;=q%ip2|@o&iBu+f zhM_Lab=9?wal#iU=5#o)4B(_el^K^;8vrzy^NkPs$#P_p+1wnd4)A<rz^}W!Om>V+ zOm4mt`!Ox#i}DeGy<AHsX^-XjWsX<eLnco)<<;hHnarK2RC)W;W?LpLeEafM1!S@o znT)7RSmUHJX^wiCNMWyPeWX23I%O-LFVOEwAd^#~gVS-+!z>T~M#5vxl7kQ4O!N01 zCmj#&yNz+eit#OEGNNZJLeT5GYsH?jEt5%2-RF%SWHO?bfEksErm=<y+PZ6dU79;~ zY}ukR*}Qq}JbkoI>*bIBXgL+K8p*kqOqQoIsc(5zPfndsnN0SqO=Y5^)36uGue*F- z-!US&xh<^qRedpGVL$mcG8y@#(j;$|3D8ZiyZktr`y1c=sHZvdCf&8<!^(o3mkEbR zQZ5~AJDL^MWK7l`|6Z52OlJAWnXq<FzrPY4Tuq_2r@9Nt#3!EUmn?SA;%%9{o$d+( zmC0_6wL3K7WfDBsvrBh9_+Tm%)m^cp7Vai?`#Nm1|FSDSZPWa=Uv9(ucT43KT-zpZ z*LjSs^s8HTh`Y{lY~yX6rPw~_@vhd{g#W#j{&4H8!>i*<Esvz~1FiEI?`oC5E5*yf z5uTo`VUNTu?XtV-@aHq`YMau+Q_JX|Xn}WaxY%`T`tGwLcb~6F+oLbG&rRI#3%M&P zcy%k@_7}8lD|el@*uvXBQ?i}jj=$NL_!^4@^dU*;JYng@X=OOq>vd*_o>}O1>Xl-A z#%|w7`OL1>KnR@EKnor`Mx%P(qazDDMjvgRN$Afg)C4DPiVFNWkIWI+`uVu1PrQA$ zqQV<6guhMCV+?GsN9S!66|>r1;&?9+_n2K}eVTi7ok|mH-{4-Ky~m|xw|HHfrnaBr z<~`1CTH7?^)5bL2LZef3t+?{S$KSe~Z?ng<JKs=x7jFo^$r-K9yRH`IcD$YH0o>lH zK33CfJGVhte`!KWr3xWMA4N{{s3G-zgm9Xh#<&uVd?z97pGj$s;n(1|w{!DvYeSOJ z#$!BC<1OI#DjlY=x=e*df{tHZ{V+n>$OOy|f1EUp85s=8lVT*iidEMDq6m%ReTB0? zTT&e972?jgG+uC0B|g53MhlEn2(b+bi-g|fBIUv^XLp4N>=j|4?ddd+`#ztfVefp@ z?p>~(w?`%Iq_^^iNwja3@jGcY-X^vqO-|+bHsyj{McF(H3_pcbVh7>26}|(*)p%W^ zIhqIN7H*AC@fWqYXV`(EOPW^OeTdItDj4=$>I`YP!0+Nu+igK$d}>IphK$F5kc|1% zx4XD@J#Pj`t7xaDwCI($WbMS%PopjH6mB;_JFdGT)y?uVZ+=Bf*>;bYC=D48$3@+4 zQbO791)pU6wJq{?Ek5y07iv_n7O2@PSIb#V3H4(1vPWe^e7aB8OK7<`{DeNQ;av_m zr!N)TC6KJym8n?#V-8YwQ@T6U0@Tu}Gwr}z`frtMw7NDoc9$}Hz_Kl2cSb9;yM$@w z?oRm~U{8CS>Rs{zEZOH1yMV)1Ki!qEXJC&)rj_3I2YnZCG=qEFR-SqqHuMgqYmlqH z93K=*3>R0tF4AC|?)j}y3QyS}EvGPsmx#t{;gl0x<3UtRw5xQA1sLx<RMe)&iKOYZ zG&hZ;VXo{D1>P6YoVeCTY9%B=UyQ3#wl0*0q;62eX{bG`kgHLM<m0p?GG<%~p*+ZG zp1Mj(r3O=mqU0&$_nc@7|Bjt4+@}}X{Vj0XaG=Q8?Udl%PH|awVo#~QcS=O+*i_{M zMl64WVNn5bcTth{_)&Q;iwceg1ARmCMhTcDy{-)ROOhGlu~hk4VgEs^ytTUJ$#6^I zTy8k49V>7Hvz}z&h8n!vFIW(174S_E(<m5JR|ffBk_ZO;sn3Q9E{PAOeqxO#^9vjj zc8VA6oVg^^YfFUr3&_lX7Lckx;z5Hg7wFz2DoI_<Q{}@!gN+v{=K~O=^8)b}9SY+u z9emwIwsl3;lc|+08H8MoQBEn(5RkgZ((b{!Q=mP@KoJ6<Kb}QqXiU*+mw;`Q8TXCj z<%Z@$xz*N}oW|sX{7!=oNos!8+YhwZ8-Y!s*9Tgw&gA8w+#4$_E$lRsaT*%<faPG0 zz(r7r*_@-!ex4-OB(@I9ukEd+M42!V12Jw)<Ls`nO4Hz=jRpeUo}-RO#tzSt4~$r& zb3iDwL0_qq3_7-!CLl%NvEOaudTjs$9D7rd8ZMXuMU9|k%avl#uAsXjJeM?qKnNLd z1rUG}nF^*nq|yCL9Av1a#9DDdaC46GuE5MGXHzNnRDVa4=$Y)u39a8I>M?>z8$~^i zvUFX)>y4ng8b7N63>6DupJVHoGg2QNgE>>7C7pv%c=idBEVFSD?oZzolr6_kLIu=^ zYGcW!O&dggvn+z`=ptl+Ny$pZyULEECL-YbtQ{?uq%7qOTR|LcsLM%HE^vKekh9rQ zamI4aPoAAXREmQM)CtY3-l;yGfN2~?8I{>~WI`oKoa0!g&L|#gGY}b~y!0>fSQ7T% z*^0@;feD~WlCjzfCt{p25mkc%(%C4lby<z^02H?OX)sODfYXb*)_4y?s}aEJo`Kr= zijk?J&3&N)w2eoypEjZQ`+#P_8XF}5WW{kMeHOiB@tyu#q+<oQYq%I9>`9OaJ;${c zkx;`JgD1veDagwSZZ+FtLK34$3IIz65dK3uMyD6%uGs->I<ut+9b>6+laW45t8S)e zEAuR>C>||xF9ns^d=%ITs;ZhZoIYo8N(f?6;?$rTaKh>w1Xx3WCV2;iQ{qk<(C*5P zn_L)G014|9yqhPCQEY}dxXC(iZKfrPyBg`sv%AZM948a_Sb7=93mtfzHjuCih6tdT zHtD%3I*aBN*tn4WNRlDN`WGpKz=kjd5rnbzC?qkXrli39Ba@ClMSY^2!XYUNQgLKu zY45=-b!dd@LVWP;c0&Y<N{0v5N8v8%jL;<lFw|Gok`Uc8=7`p@tEd~{Z9}knkRp^B zSNiG}Q88L55@A9Z^ihjj3J!{@=w~Cs*XjXP)D&Aw$#|v@J(sYrIHHg>sev0iuO;hf zzjk&pz|{d|_NS5XE`cQ#rIoqNg=9MuI~oWm9nClbVT_d#!<tgyfUh#Y4AX4ZW*Z4( zmW(LSflx5oy#|nxM;PS}r4V*4lu!p%7G$dAP^p>{4gu&Gt1_ll%QQd8NHf(-Pra&# zm^L`+&^`M##}hq$|5Bl(MFDzRVH3O}%R3#SZm1P$dzNhXOCBUB6`m43)R74WMC==O zI=02t<Y3Yq9{|WY0t8DIn!@UB4wh1^DAI7@z8sljEcQg96*~|{!-2_&7naj@hL+cI z#wD7DGl67l0fGy{q8yw�DF=*l1Jv0gk(b&;-rHsdy9l1j#P7=~Eb6Rl+@}z<Vj| zj}3RM-?{L-wn;t+?na|!hCAJ;flg6Xug7dLd@V8|h4IUUEpif{qf?x21%)`WNsSN- zZRxW*b)<w8NpIEZ3?yqLjKlFFryUss5Ga%)h5^_6_+?qC5a*?vPu5B;ZNvpOh4m3% zfumVa2s@$GMv^?8<|WB}tWd11@?-XWn7@)~)YhPLK*w>EVTx833^XX+$*#=>(j8t- zHyW0PoSj62-Z;QY=B$n&MAu1}oucwum`}0=83tRpHK}&p7ZsCvobuNhVj)9*aPR`t z=Sna^T^+_0#L;TsW8x$Zof9@PN%&nlDDSGR!LqgByREn}EkldkO#soyP2DVY@aSg@ zmqvKEgcTcxj#kuYJGnzk!Al9~lbI+N`|@Il(F>^q0RyQ0lOSb8>q+8n%xrTS(u5M} zj)+*lnOB@Ufj(#cN7!M(6jE?ux8p&i(gh^5BORQAj2USJ8X^a$7yaQPf6X{QV;Vg# z5U6iK5rL4$;7W}P;{s!}QYUm1`J@(N_p}k~=pJGC0U0we?;K=4b#Pdb&I+YmXn$B( zOv{%DhP5bsrgh2%Pcw||HNnN9!{RZp<|~!jlvc`0g|quuKJ&?e0_8*CKuVS^kXspZ z^1*i&q$>K^sv+zh!tfHymGxUSimJ1w?`NT@nA$MkOVRbA35OyFhNv1|A<^Yg)`@nC zxRi~$!@wYPRD9qo>7d7`GybYg*3QPix<ObusIdq+(W)r4q}KgPkbb0q9OGu`^@T{# zYhmCj;fjWJ5)OwQL-&I2@1)}c4*y`)z62;TkIOZoFH3a{VuKB<(Jb!_;=>K80kEFC z)@3g*M#H=TXCLXp*>K1*Kgb6LWgay$^6J@;d3sh~g|E0RbdTAt8!PqbA*+>@?QFk9 zIp&V&yWwb`k%3AT)U4tg9AoDe*{X}^zQHWIr!?-Q!MePJ#-6gw6D3`#eZbCIc_%#5 z$$*~d2n;Fi2nZSTHztvcl{z}frPmq<SN#rlK<N|w#EdfkgdHw2RL%R@hNjQg9L9Ii z1pnA5g{K67=$3li%n);3C92THv42MeIRc2DVy+isTgAdXu>n-*96=bEAgEiyD`h~H zY*CPylOo0NV%e|Eh6stT%2sEcFy#I|9a8ni7s9g{d8}4P;d6%sOiVO0KvUIE2y9>h zZaF8?h<M2ua4%t9STQg+D%V2?Mk8gmXSzP<Wxd4wUdp<M3gwDa=*PFAxxm2?h7sXd zco&?o$@pwI5X57NDaU^&m`S?&G>^mL(28T}&V)w48fSO7e3Z#*pilL~tQ4%-=W7K4 zqrl{N$l%lc=!cfOk$yV9s!hxslCF4ME#i)pz?dvO+@MVS8<QAf7sYhuh%v_}JtF#W zE(>$xu0_lUOt%o1ltFSYTQa6NGCP;cl_1~H_rEUj4OEE>Ll((~dFxQxWXTS@TqI(3 z5gf(6E0cL7ss+8JKI&?_C_;s5WQz5LwFs1cA1QD87RCv5^i>6}L|yM0=0xRi3~mC{ zAYa7!>s}W{>>0~7POclKwrbFKv8?VY4ak0txEl7#J`u`XhU=xPk}08kmiW*?t;TIj zG8T>VUcng4QONnIN)2v?si>HAnTC^KacBZDHtG56J_EOJ%bZMYL;N~Vj0;2D5?xGJ z8MHc>a5o?c;%G4VFW9Fscr=~wN6>)@g!Vm!F&)uIaI1_M?#^Zr5o_B5pY>-Z=MP>i zmJ@r4Xv3%~kzTI*hB1ak{g@uI1I&d;;}L?$ZrrkN(P0SJJCLy2Nz;}5(sm$;k2AV3 zqEEB(3>~C7oyIMw8~i-g__)X^27Xqx+yE<A3U)9~E}h1fJl>}>iJW!fPNW(PE;;W6 z=+rsyUEGT8;W-xP7fU6}2QRv5nvB5#X2>uvgVZteL(xRj5xE~4Y6@i~(a|{9JIuPQ zRQgAGB$T^YAZ{($NX#ba2>=+UebztFh&xY+;4#miDpIuW3ob-(dCRxT5kp{qbYzYD zi_mopGY2UcKXskdS_`ymiZ|vPaK^97;0%ptK)GNb>^B&8FYpX9B2R0%NbliTKbb`X zmQN>~V3RI(oksyWQdpgY`5`(WOLmi%nl(x)!z_*iM{#sj00US=(#Pl*1|36Gvt&Bx zcv^iluuljz%)l`+$~rVi#&1qa!vl*=3@ZoePn`z+O#hko(~m$nOrd@1x11%VvmK!y zfhZz69K$gU+#4}XRXLTBit1VY5{u!6#K`64pbK?U8bpm4wF?O7QB!070Sou3fiG;# zKxdL%^7Bf;mQ`nq{Bm$*6q)HM2kPYIij3cwbOlrN?qrm^gfVnA-}{*=92o_FW&%2* zgZs1~Nfo0ZK)u|_U`dh-C5Bw(4q^vkJy6T<_y}TJhVyuN5@e}ph+klQv#YiYv|Nm& zJH$ytx2MgpXhg9904kGYzEAg;)D?~15{AW2sbi1fl5xkzib01V3ny1WRL&T!Mdv@X zu1pcKTGH^#g@y<N#q3(bS)M_LA-Ms%gQAWK^^h9ko4BS90CjB=kdF-OB}h}CEktcZ zoZvmhqqMj#<}N5P5WNyrQlaNCUDl>Ub4!uwj_+D^6~|c3=y%-Qp8}Vqtaj>RZR+Rm z{D!?S$U+56YMhNw-JDQaT^VL)!~Lda$IU$qN*JXySssQMcd!Cxhv=D%P48xMHGGgb zjVdx)#crazYkW1p(vn6)LL26@nt{=>M@9$@s0mqUQkm#DBWgjb>7;mPrNNz-^ZfAe z3=4;$gT7DC$yI)mW{HANGH?=GnBY2xB!{Ocyh_!8cS(12_mL)|r@@IdsprMCtkvjJ z1Ggk`%-NVD@;xgF&5&lirDJhPi=9|0%WN|1)OSFteor0oyoTaP7fu>-&L~XO;F{#B zX2_{z6$^4TfTV)1YQ8i?uedZ{Lr!lReOzM_3<+hd54SE}27#d!&X;y==&r%AZ+NH^ z!zp7h0UvTf1KRz;iIFZ!O<HwEoHgPW$e>WZ5b~hUQUjyJsEBabovieMMZR%@Qdp^9 zVWF`rL%cHQGX|cF6prK4R~8i+NEmq(^5xnCExD2ni$OSE4F{YHyCR+o)4y8hB_a)K zB`sO7G693SjN(zLIgRb*n7UeyI@3^orLOc$D_LpPAZ^fT8Wg+WK1sB5z!)9Ms2rb8 zq~%-+&qwc4ye;}@OB$cw#-s4cg_fs$QN9^&HzU4?l>3?vV7h-e_(2k}7t6BLdA~iA z!I?{HCpN-fduVXB&hcQ0`cPmf<!s&Nq6!0RF#9d(&q=w|aELzaGv?{+@1t%QIDA`) zw+h!QZ-e|2^(<Y=*@(Gb9;$*3DXK|Fp@epIRNia3;6fE|SwjoE0INwdyI*lXzW;Gw zS-SG;^@~-~`@x_)=ePxkyJpuMX2Qj&VO@Zhbh)7}aEif&^L&g8!yq4tOTyb2uL=FU zte<qbZ#_%H^&}iKP(KW)p?&D)%vDvM)EHCf8h`YXzi)JgQR2$w<dEFpvi{EdlIf)~ zHCufc=&t0su_&%@lHf+k43FmG?^&v@aDt!>b5}JkAEkTG3>T_0E{l5|^d-Fmw?#@J zBdi1~QOr46h#FiV*XO!E9G5x^P#ST6vg!c;_P_5sy;)Cq6ncF9-W3;O{%Hn5q|?7E zMg9Bnout`?2r!$3L(eZtZk8{v?~hkSrMu6KqA|I$cIZ$Svr|O-ljH>HADiOQgf63D zD<dopMi=LkTxjJk_pbSOq1_LGxG@Gz68Bha5#2I(0-wNPvV~^v45Vk6aOOXKWMR`u zKa=jm4w`}eV9ftL&$7AIxHixweP1-SI_uCg*-UM|s?vbIqr+qm=aJGS*`k|O3=5-D z5G~~~Rr&f*KElhN54!Aos4K_PQKEQw_UFBqMWeIKI>WBoL5-M8B2$}Bem#_YkNXgL zX26X(S$6lD3Eei{SF%niuU(ciuK(tQ&%bnp-7MBv4u$!5Lel;i%9rKd`Alg^IS7mr z$MfA>ZXw2}3&QJ{c26F*>VR)muK3zj9pR;CZam|GgFh(8zVZDRzjN^Mf3e|DFWULr z^Da8`_n-RnU;Jpn*t<K=m6<isq651YESWt%pNsyl<G=Fi&Ltb~T>pd3OKxAa{EWwc zbN)Sdum0Qre%|qi9{V5N%ejNuaQg{exBup&3vbx4gim;6Hr#PX+_mWgD>vNn`2E@E zpMKcAw)tPf=k7Y@9Wyg$SLYt?U9z!pOW}V#e4#rxysI3~E1{|UI61njQkZvHl6>in z3zq+K-7kK1=dFitxNOPdFSCPi`nnApUH2_t?p*xpg%=(Bwa<R6`%Bl)W$*a$`uX_= z_J?u^!vL4PR9mht?<)Byc1iAA)7|lcnRwwPKVIMc+CRMV<;~aMlH2*lr{Dd|GstAw zyK_%J^3kQ=_=h8|+Ihq+2R9#k^wK9@TYu}NkKXsiD{nvKuwQ)d)03}okmC2YUpxIo z^Q><?b?tMXdFthtUQO0Nw`B6wA9g?bO6k8p`@~I`fA{%^zWD0KA71g`>91UK%lWrl zal^k~dBDam9hCj%!@a-S5`FRX<9~cf$N6h=oyEh3^0|0nUz99Zb^GzZom{f2^E=h< zuPq3|1*d$jaR1<$@sVHJ@#_aRocw$5|BH)uzIy6KOOo%O_uFsn`<X+pyQu5(=c~u> zXAfU;{qa$5VRqp&t9LH>$8UFS`@x1SKV5vr|MQzip1*(SpT2SUXO27UnT5+sCoDXp zwDS)qcU-u*sxpz_h9&QL{p8$_?^|-z?7CA=Soz$B=C+@HZdvJ93v#(rPA)vWa{hyl zKlQ2ouKTq6b)~*C&OV$E<Z9*P(OuKCne|D2<7+!#yZy{(9{c>^%kQ{sasL%O>RR`X z?j_Gp9`MBJiyvBZ$I+*J_QDP2+>cM~nRsk|o^jG)4spnYCj`567k6Xt@iO_&bhef+ zufJy7YcH%j?8)zKyzB986Xz{B=BS-_Y(9GbW&3P=aOcKPE&l$;|LR$ea`|tMzVgLw z+nYZ<{D|AWylq2s^3azb{lT`^ZvFi?&c9*fkDvM5*Uo$HmFABwdgJBSJHOfY<25gA zTlT&CuD@)4^NHhr@agc`7n;q#xbB$wn_s=?rSm^Gbztwt&z;(N<;v!kD-MX0%bw^Q zUL`{dvyA7F|ChSAkCUsa5C1>+&YjKO$->@UmbeKCoE=se(x^)oH6kTv#~}_h;v{Af zEwBsan+8h@^oItEC1-~voh(ro3<}hTA)*bdCA4a_#KQ1U9_mWaul1qjseSpi_TdXr zj3@&0dw<TI*=zv6((mt&pPt>h=bX>^oX`23&*wbedmc2atW<tnm!k61#h-06qxVoG zL-IP74+nmzJR|vMJ<g2cjE&9g8J^W|GZAZi_i@h&v1twJa?wS@qpSD6rrE>&WFqW# zRNCBO)@<tTBhup@Fb`u1JX?kKjpedE-95P@=uA9i#=yWU6^-Tp6x*BhI-t^QKId5f zY#SAzxa3Igd(*T^T{W4Vy>mODQ1|L&v$Y#G&+5wPgXs|7GQ-1fh9~ZrWEWNP2z#w| z_h^1k$@H+MmHSJgTUkLqDzn4GdC4Ek-bnCDo292Tnv?GQ=;-Cm+lN*+FM`JC=$2>X z2)$pgXU~=`)J-<4b@%k_fu7`!@H;D6i{72rTiaNvx@_q6DGS{tY;N6q2RVA{TUp5O z!QI_s*ao^So$MPPKD#T|937IQhL|B_cYposx60YNar9Xh>*{)JjOwJdF4wQS{_uy} zI$6E9Tb_Qd`I?^9UMGJ2wlqoafKF7EO&RUk2!E+g9z7i<YD!r4A?og<ez{KWMaq~) zqw$D$FdF~M)S)^Nx${mQ-1?|G*?dEDmOX2~R41d-(g~YU4|`nYxo2jSos^j#6=-hk z@H<r}8E(GI%Vu=4cXn9V1KU&TR-K@>%Wofgy7^O<pO20{{`{!dNp|<+kEc4}!c25L zGeddfmP@Xw<ete?C&MkBu;83E^Ij(`V1GHCZ12*%&9-&2x`|F$#l3sB+0w~A3ho#i zN|j9WR`RaGmFA;bPnvIhquwuBK_A`C{m(WpYqoU4PB*mn*0*+dk0U4KJ-i#6yA98H zawB^*_Kl70-qSr#Cr^wckfZ8#U$(WT%$XO`LX`R)I%)0d==t+>i)|S_vEz@OGRmLo zgsVVVtN(w{N%PHbX1IDM8w=3M=FRA&nce-lr}jSD?0%Gc3JK9A@q`}R+<YvT6yj#T z<)fRsk7#yp2L9NctD8@&PMY0Mz0f>@j1FgaKlN017HK!RoN;##+Y-i(;O0?QsE=x# z(Wjp#-m=xco}@ZC;)vC&-_cyQOmE9fv$*<2ZP#p_weS=)h$gz<v}cbDcgd~PlNpxN zlh>IXQc<1w2E(^qlySZ3ingmFKmDn0a=46qoPD;oUBlfab|{eFo;jUR<wyg0`L;`S zGOz7Q#(Oq)cfYJo=Cp&OM%&fW$sv!VZC4qM%+79E`!G65+b(txlF47L6WXp+C)B3o zkx~g6a!aG?MBA>hF<LboL(fU~v9w*>4a;WJ(&pJmH;*16-J3^8A8VqM)fZ`7(0%7G zW{#i<<5tl-@ANv+s<wxnO`~Jlc2SksIhCi@Wni+U6NE(Db;J=}UGLbpY?;imKe4Qt zOzi=>Uq-rW*w@Ikqd3AoLS}}9r~3)dWpWRE4FkE<Bz@Pbqr_f46B*t=>njl!Cb37) zu&40cn#R}htxkdl6mPxar)B`l-@C}7nAo;}LyBk8I}0PydsC1ct@kmyG@K*nFy~hK zFH^W_rN_K-6JQ^A#J})}*BG<{EyaN^_F%H#(Xjtdp=#KJ39W%%csdhrZ^{Ead0srP zKCQO@V4E0oczrjJ_eCv#R7&rrZ2Pr{Vg_1ye2J}7VoU?k`-x(d`1=mklkOknoez^1 z;Z2b47i1ryuwaFvueQcyU*VE0`y6qG>ZgA_Q%UMRL&e`De*YqS^tQ6MFAOhvK;toV z_xC(DNGGME=P>9yhjWJ~kYi)+4ny(xJOaOZYohQ=CTpwMQ@BH{Yw5B>E2!TaScsw> z=fY2c7oog>`&fDh<b%p9ar2Axo<-g(md=g+UdX;n?yX(6Ld#RjfTZO|ryH6#zQm_I zwe5ucj2^WGkNw?}4bl4^3(<p?yCspqgY4PddZzAwY{5(Hhh%>u>G-=RACyfQ#c!0- zaO{@9eK+MMaav|d=fZb?#FC;JmF?<gK0n;ky@inG%ua2S(hgYL{bo-d@PY+YO|C2( z8d|xMwiP*gQ+s>!y`c6i%Z%=+h<yF)nG9%0(Z$dQaN}e1jWp6SoXqtHJ$u@Fm0A>j zg*7v?kv;hHchl%SdE7k9zG&U+#}033EBWk?+obk#$uq5Dt4(V|u@CB(bhG%-KH50~ z{>MG@u;!ff#@evY@je&uBFE!T$zz)zz4NIyn0@5?GkY_8+y4Kq9sA+#>gG&-c(LYg z*6W0pzeNb0EK{A(Q?e~RJ?la7_Igh9Gu>V%RKHQ-WRR~nGw)QLFmfdS;Bkxr_lvW4 zs?FE`jZPpj*RQ8Locxx`=FB5Q!+Y70nu_fR<eQqe>rKE1l5B%ts*`<QCn)9Rb%N$8 zZ|V622Z+4X_52IX$M!t=i@7^H(aEzqCU`04D}!`(jnee2-omZn(!FIgVti7Ec{Y-6 zAy>1rWR80BmbY;7PhIQDN=&<+keQlgkfHUY+2jsn>WTKH&7OVxRVTil(5N(<2kJ?7 z_klA1N;rIJJ<(V?uz_!K{kRr$X;N&K{)M{Kba&4%D$T+Aiwry+3>j*9UtTAO;T6@B zEqBW0n;+fs_#AC^6aIgxC)`Bub<*S}ciMvz^`x#k**rT&66j=9mXVdWu%qz{)RToP zSL&V9Lqk(Ocg*j+P7c%)Hg@i5_Hg5PTPNUYeQERif1?v3&(#w>O@s2ouo9|FO&*^$ z_?0~0(ppAu%%+UDy$9@he0bnKuajR|Payk>>d7;|XkNbO$!DIQOQ<=#n<mkhmO0pe z!;!O!-0=kGbYp8Ttu~`zoBB`pK0diy@0ffEUa?F-ByBv8^$H#-y=dws^j?(i>0wI0 zg+Iq%>@*S54Xw9D-u^-Dq1A+zhc|KC;q7+#LBRi?JjVHiCtoi4V~s*H_E<Bnj&sxR z03yd4>;11bYRS1NUKsr<Jdgbf9xZz7e-*t~n>F*&Kfs^9t-zey=8tl<A}|V)@xbu( z8L{5c!W|Ec_m2DLuk|Brb7yd<Ca~2xXN)|dZjWcur^I}RP)I`@K@I-W@YL=7z}43_ zw&idwyUs{i3%XIir|PRI9>-^wHbi|!Lqn%n9?$qVM*S@DY5hsE?DL?d#zMDkT-zbG z<(dn#sVhoDJna&0UcW15SW+9P@%}DxMeALBsAUQwVnfTb#9s*9kH_&xd<h;O*9v-} z8~1+2jm0v9@hS0Ch&PB)oMrmPcO{^>q~v(Cj8)rsu-Y#&(R{z1?`gTs%fJo-*@Jus zd%}ag^L>2EvFV&EP%vNH^^dPa{K3>*9})`ZefW_1v44d-oXe<v5vKavFl^(csBH2* zWJuSldXrj~6)hs`(aan-KGg8kU)!`I2}(I&h>20kN6#gw;e5r?KdV1{*e->nPYWby z(a~_Y^<~^Q*1EharQt&k<_g;LOd6)oX?_(Si<L@geAb%8d|ro^eg#s4YdTG}jRV9I zU_JA=)X&fViCywgnl~`Wqvn#|^gKB^4Z*OhDs{}+Ubh;9Ff}YYBQ_IVZ4G!gkaDC? z$^^SibcQ)inDhIy^bLT2gTNDb;M$r<jEA%yhP74d%nLL$4bsBJTX%2p`($+W&OxT_ zwFg08>>*TZq0dA+tQ|A;Pq)FZ%=Sz|^W}^^7?=tzg&o9zTk^4kF<*f%Xi58*pi)Ck zE2uPw;^tf`g|;an-azKU+<}l3W1in<R<q$@HVtWqD+NCD>PiRxtbbBG`0!mrgDhGK zoWcgwj)S}ylfWY|euPR@prEb^h4`O=q#EO&2R-IM-qEH5{R}^qjk@%SkMyMuWlcq- zArD|Tba9EMD26}L+JSK`8|M)p1`I?Wo+g~XtT~`oGv{nOT3wb)Bc}U2;AKdMdD@5O z35ZEQPl<#bV*&#t36*2z3>g24<-O#~DVFDIx$%L(!f=2kb1aFX@pMU*cp$6_Ll;ih zwEA$xR=R`$A{cFpFjn^w@an~?2QO|utDBUl2k~05Lmi3LXN81_p=r0MMbP1&U<D}A ziPTbRYT_WYSVV&AU^J;V5=J|^g)5|}C<Rt)7^^|TVyPZ=vHBM)#~(D<F35&6Z~89A z^3=q!PEFO^PSA(9AT76AF4aUQ_!!?{Ioxgiun{8*6fV38bWM*MOP;p*Ld5G0$`88Y zy=&}YSz9K0V_Ne8Eh{XAs8uwUuB~4zlQv1>q{g}i=f#j^Nh%>uZ|QN?u?w>wifJxn z5<w)bxk=0l2#kgp7L~@6S)-++hL=@3tW?40a}3LH+-d=|_|$aBgiTibavseP0QDPI z6=@iO0qg33v4S&gxN%PhUT5$b5W%@r{Hz!3w8R|&X2HTxS%~ZTb7B&QJ7n{YA%q1* zkq<CR-n50O=v*qGk>RF|qTV?}ETbL`XN1Iiz!J8BT9lO_G%&^LU<(huG6|<rfbqn{ z(*_n;F&A5SHa22ueZN`0Xe5cNAoYPynK70<Oy}Vr?~Cm8AW5n4MiRY{pwC&}7bk31 zHKfey72T7C)0=Vsv;dMk5+oKJXnM}p2(lG?9;IL6G7hMEGhzb-Yl&wOx3y&~mVdca zYM>C5n!vDv)Zur6aiNYPji+Nhk_K4~@=pVb`fc6<(f7Q4KBA`ULIu@rq_GjBMO;il z`fsEC_dyyw-x&4qh;3ktPCYF&M_lhU9+Y}4fd+t7Dy+|u^JEhABne08(p~KGh77|* z<fsB_DoUG=!eLKXoYp-z#frF*ouOo<Vp)($g$b%r7=YS)6Do1RP1G+#;j(hGkwuQ^ z6Bdti%EkGC0aFbzoOfsf-Y93Oi!hAw&q$v^<OELJrb{TGR(&CvK_B=JG69Ms&4Z!p zYe9%Xq4ZJwQ~flInsqgBk{ZV{GEr_?t$v^(_!UHi^u4-Kx0hPGTsVb*l%jdh%RY=k ztv)b*fh=|;j+%lXl`}RTz=Ne)&^EGDz?Vka^i$9T>xZYcIX%p>oMXD5<KS6@bTkWy zEwvDqVF?DTeqCZ|c+vX(+%llwL2#qM0pI9okvI6Iu8))-V+Af`oTt)akue@4I&rfh z05yhR#$q`fV0Ahm)9N8szvm&ONP=iJ7(@e}Q#ZTqv{4Yvp&FJ}>JJDQ)Oa0#Vp#C+ zE$`8X&HE=vZ4lk6EBhpnOl(CK&X|A%JyR{D6uA{8;d%ptm6rolaL?%;;oG#0BfO?a zX={r!FyMs-P;5<Xm7?|_o!q=)<4PT-YX)E|S0sdM$Rm+69rbn=$ezVPC&k0+WKqRK zAI~-+rN>mj;MHN2=aJ^y`6hut6Qr~wN0VTT4L1O2^sy_;Gp{9Z04e4nir_|A0OuzO z7yG<b2FEkgU{v^dqrwu3Xdpx2Gi0!YIYoeLPcRI~5h^e1h);tgbZHm`5FZey&`Eyq z7-z@^I6_x4k>Df}dEyz|=}%IygnHv`&8<&HA24IBRY=QJU`LH_jbH+&j{ulrK1BLi z7LOLSc@CJOjoWo=kZB1tUtddpDnZ+H2HXTe&-Cvy)Hz(vFUrM53UjAaGH=o?z1Iiv z&AA@gnPlt}uz}D97C^gepns$uG^{guvFF>v*;APrn1o&BBV{J#W(cw_)-Fdyl@^yD zDncL*#0XfPpPB)wGOQq4TsIDRv4Myu88`IPrFh(kX_q_07PR;no{<@(_;u*UWNHXW zC`{{0Od}Cj<Mc{JM<S|e+9;3001vzX%#<r4OK6=65I7s!EP%dCI$1r6Lt(9lI#Od` zv!q#!3s6Z>(h#&oUrx9a=uiusVUSa!9`qL8O}&ksVerLPLBh#1@Z`)wk1d#m?5PS3 z5lvjrvZeTL!(QzA6Yt5@j+5&~j5$G@w%Cm)<NYH6DRvg96sE@>$0b+g;%&!WV;M=B z0rP&F)C@%QP+taG1GsTWYOGoToLTMuQ=@H{&$6}<IB9k?PZE^$i7`HkNk5B&K|!#J zq4C<rszXc20jLkwHq2AgAa5e2N5xj;L@Sw2nNtI8GauXg4PoQJvBNLo4)|f{@-aR| z@8Y4GASj_JLJMs^IY5>Qtv_OFREt{;JxGvLG=!$YmJ)51fm$k$tP3i(!rptUiGi;F zJ^Cf7-5=Rg6j@12-$>Ha=Y5BhfUcmm+zv+XqXWx;u^v=xrG#KZ5knl6w<)STX!)or zxKAsnRLX#si=~a!Q;qs%9GG=rped_SIlEU~4Sq!joq{LjHLWfe7C<WCA}tD;TIvQ{ z8b-^gb=FW&NXcpdPfLID59%`y1ZD87T_0A0;zZFmik0A6s90oGlp?#x`dOQR6qcQ1 z1l|l3VgrJfcHClWy4+j=8k8Cz(DsC>gWwhz%PFh?tK2WDOBCux+XpI7&E;Jo&66=S zD9n@`k12M9QC%PbHREb(0o@f6uLiZ8C$9AmY2;yVmog{?BIks3Az@-yAWg)FblZke zz133jVxy421GQu*g|aouFdmc*xlY579uu|jJn}1g_|zGrj<m7U0h}`8Ie{l5g6fJP zDYE-7+(?iKZl<sdO6XG;Z3ek{CM|=w<_xSUyT57XfJ$KAQW%W5b{<sD?ZzeT;Q?5k z49R5Z!z3D{_IdHq9{_sA#>qT<UKo&&MaNl@O-yq8*@KWO#TZnegBTlDn86c?lwBl) zgnOfJ&b{E{+uERm?3!{qFP8@e{yKX!=gK+!N6wclr!ouqVbT^yT}A=wtxLh-)XeH2 z*R-1b|7MvqP@vgNV_BbWNvr}f3VjK^L6qUTOz>(eoi`I2&^@bCEXW7Co>ZNwD*XEx zLVsh7RW0~}h=d(3hj!HVhG9?YXeq_CFnz^vJ}5e0ru&c!o&%cmA;030_u)pLlM=Z; znRGanP+><*J(*L90ZQA2p`lIpJLq8f)e{N|+yS*M{ft6hYBcU|T0Kcyr9xp7yce{W z^vgJ9lREgRxYe|>RVWm2>+u5^mV1guQ@j+jjjjIwvtekXBsXnJ)AhIWLeE0JMejxN zt@m%D7c|+*!C^jCeaUsODS&%0<TuOL*QZ^iHtQH&9;DuvF&@vbZ(PF;;Mtc!eMO*l zx;lB8M{i!-xt`3!=xo^8Rrl`r02XX7e2GpD1pj8ababr7T3;2cVesYk0*DURrb>$Z zh`oiW-F_Lh_#he?mWakaigvcI+_Xe!b<o6%Uq*14fYitNUXW-aO3w@_m{)+l-3*t& zF0TTzj40QPYY1a5eO=?g;q3QcX3Q@O__c()lq&>eONQ+B8tWm}s_otLMXeHH7|PaC znw$WPO}2zukctZNzhS05uIhz^^l!W!nc0zv)#vHySeSCcOrh8>yftl{7mCz#I}+Yr z04*vW^@acJ{kYpEtwQM&n4<pXm}_*(O7lRwUK+9&*b`SVi`RC2leO8vYCX|`4e$6p zn#b!qYtw}%et__qnf?!Ky6^*0*U}Z>P0m-|uadyDBo2y6Vbc%SM&%1GSbiBv&CGO0 zn=ZU8TDA1DG+Bv;hS14Sk`%+@2c%fM;)m~h&!!doUw6kFil<-7#Efg*)kHN)$fxI} z>9tXa+x^MVyr5rqC-3o8A;@OKh7D_nI8^ctm)b@|KVdf@a~ZLy15rnTzDwi3rw_cs zg?*2_;RBS+(b2)@Hk@@*bjXEgdAx{EK(EkfSx}mqZd@AOu;|i;4Ms<^4{Z4OrO^o& zUfQC^eS||Ylnf1p#UdX^Ggo~3PZn+HdG_(I{;YU9dMg$=`l72Vj<>_jP4kjYYe)fz zjzgH<{3e=v?h$GI?n#aM+t6Q@qxk~?2)xMD@z?p&8m7<GG}?|i`xacc@TYkC{8i?j zmmPo{acetXXJ_j2cIV>Gw!a<z>u$4V{k|Xk;0OP9-+lLg=b^nXJSK7<M&zf>AN=4u zxE^}wp}qHm^h)twX?|ZRJ&*Tq{|0~eedoTtPafd!sUO_;ZGe0Cru?x+;;I(z{qu2O z%un#9H*wF*ueV_q?mKJFJ;9ESZ~3pAgSW#|J4x~ARIj%4;^99SFKGF<we0r&DA%QT zg>b6ll*aF9$GfJ5*OS`OVZ66j`XljHpH7RPjvuw+U)jQI#gBf(88{zn(P-gDZCWim z<&nEo+HljN4Go`Gi#PB*Zyb@E-h<MXMLQ2|c>7M5Yc$;;=rHkA(ILb3y(r&E`QbI| z!Ls4LleIcL(tA*@Q=9Nf@;XN!n3~o-CE-KYz#b{sYt+Kb*?yYt^bQe^aZPM$K0Nhn ze1)s+u<mZfE2e(n08_dKG^r6Ezr-nCcnf%#>O)*W45s^so^&7v9|m~1J;lpBkosj8 zca}H~mtCC3%gjo=?7~)BZJz%<O;f(svfJ?AN&QMwX=@(WxYRak;Wx_7wt4rNwBX)4 zaL<VwhuhesyoI$EuOCn2afeHa4!u@9WK+R;jXR&`fqPKkn-DMCS_^fa)et++7pl7p ziOhrHtN{Szg*Y$dAS{jzne)dc6x%|K=0!NzX=Q~tY0Lpvco$#0d}4UIh^qrFiJT^n z4Qoyv-Z*YY2HwU7_A+VNRt(!{<w|iZ)C_i=AI2(<zCqIuz;yr)nBnER;*P7&8+~J2 z*UxeG0bCZC4a=SmOCKyVxX>9zWZvM@Qi@8GWk6C=7?hmmfY<dUr+DsA@~Rn}GePRI zYPH-R(sDDIQ?GvrQClYUw|(<%>(foc58x{=-dF}oN^am_*)igtGcDYCQci<xYQfli zY@4|e_#FL%16zEj5mh?Mk^XE7@=wFldY7)LX&Xx_A#_ckInqDGlR-N{UnW|R4ssN# zL%SlsmE<e+iAmG&JcTM$Qna9CLffJ9<kYb8E(nOqXH~1TK|08^1}rUbJ5=pfW_3QT z=30K!-Zf_v(%XWl)rxSC+|sHwUy_Ojvn``D(ifWp)sY$=N|kW`{#q-lIe^Sbr~g8x zr_o@GFLNNKqP0_<|MpmEVBp-&VYKl)Ux}HEC%S$(Y=CD%j}uOk{s?}{&l@y#n>s?( z-kboCsR5W8P+XcSZz@s~fZB2^ENbaM0}swY3*WSCwNf_}Qb(F*%S~`<$euUYWFciN zavs$3wcXw}2l?={89fL--S)j~Xe%%|>U>=7^xHAocA@Pc1;rs7F~47Gj1RFqBXMAd zd}YM)QPR4h>&*r|)`5!55)@G4!1#C?n5Mx3BET)51#E#^>K@dM^ksP%UHOU8g64ca zYTj=Qy{yKy3>8A3J%ZJ^08~sI)<r%m-lNOQG`csjQER{hG-3=5HC8x63u@X6DKw30 zoCa%}IbY;(fR*RDyc()A)L!oxzW^Z(14^QN&w*G`K_*SxsvBIw553rD1+OBfiM1?5 z;uNID7!ixDr9O4FP#r+NUh8w*6SvZVD|Qc%m?3404hG}%oshz~8<(uQ2+<c3UVcLN zfQgJszd#Q`BD@Q9K4&Ze!QWXl(KyGzftIs8$d0DQ2U;e;aU7bK;sWGS4nWYbQy>?) zGzp)RjD~QAx95LE-xTtOkQhCNcuef2rzKWOQG-|gj9)>~Z%t4lMW@M$^Ka7AL>!AU z39}iE2#pq$^N!&|7a7?ksL(#MFrlI}G+a?F=Wke&CU44L=s(W$ib3gPx^{R%Ep9x> zBNA%yIc!$^SReQA(=-){l?B?tSf2`S7N(s-wHDxjdEb;w541S|uirYk3Y^wkQ{IGJ z#i@G;s|>3pB1NZ6cFMQ<^e{}@r7ub>*qw5fbt4w;%PeOI0w?iEgqX-r@Bq?s=uq!2 za1$xNBV;6^V>5PIC2IAKpGgib9X}<KNe9T;FfQa}tDKfh%2c(;I~0llU0+=5h-<JB zlci20)2B+!=xf7GM6rTFfD)>MUX0W0t<#<u1b>=Ce>UMLI+bGN5i7g$p$&_wNU_C; zey{9Lh>9kBJvBQVt8gSVr7*ObyC`(=2)L0!a>-b!wh6+Vh8aRps>0d8fi;BdLKcc3 zS)!{hPKM_~UZw^@M?#L8GvV!NfYu?UctGq<I<3J0W6Ordt`?VVF{~lO8#!yL#Hnyb zYb^NrszF>%EVKd{ud#4|Q@oLL5)`FsI+CD1q9WK4SxeQ3`W>sqr~&m#G9J>nlx-<o zQc}qTEJuujJ`)uXAe2=`V(JoMVZz9Om2f)dV73IXC(3eliWn!;6l?u>9w2h3FJ@^0 zV7k*uR(9|!aC&W<O1<A{n}v{^FY78q64Qqt3|MSpYgk<n3U$nv$)E=m^g5^@Z7Ug1 zgt}}wl4yYM|7r?>vmjX)80!=pU-W0{BoU-p(<pe<1p0{dQB0dXJTd7iBfHX32`H@( z&@D{3M6RKfNk)4D2<kl^(TI+z4tJg8k|<Hkn*KPbncPTZJ8iiXOtVaqQPfS2@WA9u zaQ-?}N@3tQP11u4qvK6Z4_9eQvNNgI#l^S0JDxy4)G!gd25u~W`UH;}0Snb=yeRej zP9ulNP8PV|ILKW?aUJ5ij!7KYrMhO-RiS~QVD?%MS1CIwvLLo)EX<L-2_pyj#OguM zNGm!TFd9qa12gJ%D7n9234&|L6RHi=c4rg>fL|!po2$}bKzV)0!TT1F1{n6oe?y2+ zO97_pZKyVE%jK;y8AP^ikRz&tMJ-y8rqvW6nj<V4@d=R$vYjQQ&+y<ol16oP7o1{Q zi+QvKS0Qm{B_0S)GrgQU4)tJ2^Qi$54N}a^I1|OjilwRu!pD^3X$nbRou0%K5xFW` zUiSKk8rkSZ8qS49U47#&kXLcAdLrj4|8SI(+d8b*b136ryTEDRC5|?glXgKeMqC3z znzg`*r9%vzgC?J$Gy)-8o$7^&lIKaGS-^Bc-fUILSk1{`zDk+ahEbOokq09s$xr0; z;tJz&c@JR(+~8(x<2+2ljb021Nprv>p(chAHKz6GRhMtNQ_+%^Zc-H)A0a>jI@1p9 zP^?p*D|(<KGYwoWlAtCQeMNk0a%ex62WoPcZA}jA{WKi40ggFsNEF=-rnOrB<fB_n zM44sB&$=K}lDkO3^{jO=SR!|supB`ATGfaob#a;;l_ReOR463$cjs1Zl#MJ0n~n!P z0eyOp?V-6!=$DfsvS6J!$V0@kDqxif9|diH4<gKZGMXLZ$w6LaN_oR70qgT|gwvn> z&`_}?v^+?>iwE&5ad7y6e|wO?veA<JE|g~tKBc1>VBv8vbl3H<DZiQ|#vP5R6?xT1 z5E2I7HKHQ7jA=R7c|Tdf)(3dbgK$R*<TtK%B2|TFkOMEWWJH2Ba(Iy*v*d`PMUb(U zjY#f%-H&3rNVzADCiSj?I5HEL1${MGAnWE@scwt<`53yWLG$vqf_|98+$<IDpxK10 zL=S1B$&ePB3kJ&aa0pv!XkEA&EgrlwUqeb}*AhPE(R?_$Qa+>>_%j8bY))~m#>C7K zfh}X4z%C2xR24bTI2otLkRm<-xn8x<%4I^&1Tms$XABMLsBxEygpJU3Nw!xxu;eL- zw@H3HsB+~=H7|zVa~o%^Yj4UJ+Z~MngZig$8=v0gCh8N8LZ^`^FOG1h+Bj;LXUgy@ zNBbo$81NUn5e>)9`PGzhBh~HI4h2aVR{J_!mE7-i&ei26dBWTTW}e30>>LnhOx=|Y zm>)+6PfScpB!|(|9b=g(SgGc%v*M0FPvUxD#NHiJ!jqdi=pU-2&JZIo^hlFv`21_& zBBtM!$xPKwcP^CP;x5ujDj{f~f-BCJ;!iVZ(d)1*^z~1AonRQA;Wo*{^f8UXp(P5> zhw^cETu*FOsuP_JF~1kqYk_c^*d*QZTiD%Y!pVpdUah+N!Y4BIn|TiuLL=fYfTFf` zAuWj}?cym?g?^|3GG%yhp#V>qdtjorGp<XOo`td>g;&X18h@!eq8DB6T9#<Lyl~ht zevI{7Y>^Kd$x{hW$}!TZQHB{YlGldfgl^oaEf!%j=!%`6$A1U{9|B-CWd@~;fRx9; zE>i7+922s@27yelj#ow+bo11PMyAWMJxjv#OSE(1`d)J_xTT8N$<9fWoO;5EwQ>?1 z!Xfl#{G_|0axuOu2;+^p32s)9sD8Ip!$#l4f<|8hZqAR8U*Edv_;@5vqhPi9fgfwd zDL3@rz6h^{v5x7Q9f;N#B+zkNAwpPL+4pBmQ;c-2Y2;CNmz=WPqLTC6%@KLfm`VXF za#1Y|ZJ69EZYcuMg!-eL8=r`!NK!6ooIt9&yw?zKAd9N%WKso23&fCaAh1}O-lC&Y z4skKWLlT)7G6pb**`w%4q)b0Xf-Zy&RSC>W#{;%R1<^5BX!Rkv0S8MyV;!0T8@~aA zQ`fu`MI5<8%`$?TEq)*>kbEOji{U;6xg2(|z|ay_W&Qh(4m-h(0=F>45@e&vS6#-0 zMd4DDE1iL-q$uSasSj0?Xc1QqKq^vrPI~=ZO}L~a*e-6`hM8MfiycN^>M^zPLqF(j zWCrB7VGa#yPK?0?A5I=tM_0eAmW=XF1zK}T#U+dIFx~4=p%}ds3V%^_fJ8~BeFn9J zTXVBOAQ3h=x7cGbTAxq}bl?*vI7JhmDn@GfTE=3Ba(ah)Lna7Pq4Q<Mxe(w&Bk{Gp zDRqf*A<bP9EEQ|~4p;(mUcQ~LN@%!^4k>g}>NBHq6FHH*MSst=9=GNCCkl;ebn0Lp z@@4cHMMKh6DY0T6{Z%*%JCfUU+!$wj7Q+xK)qRE}Txo>6C>BGBmb<R<HaR3Tjhrlv zPm7PC&6SS+a^8yRyDB+)nvy)hML^QeDQV6N@m{4Q_eST{u(@NWs4MUD<FYX8<g$vE z$Qu2M$#qtgbI-niYGe~dw1PO;+Ml~v8V@9aGx#QvPhJ9ZvC>Y0E@D!S>}_oJ;$Ur4 zl;X~qBCCTCT{RvZ=^F{U9BGh$3?m4#w-$%`LQAA^QbUDv2A?pZD*~`0=SdgOa&D4R zHaJcSu`y9-?b<lU?vEiKOY?~a#ZYp#1pd4S1z}K2Y7Des%8Wy1643=VWZpSQQB3U0 zaENvH_5^DbH!N3-tvQoe>!K3HGnBVP9pxnCz0UxgWnEZ)J>!Wh7Zoh%<!XTfu@I-_ zY{Q7P4Us0Ho4Z0wHf<80XPB^vIVN16NcL_+C&Jjw-6!iqS!$?Y+>v32D*lM@Mks5e zuM}-GIIaQ;VV`tKH8KzIvf=@p7@7ncXkjQ4CD2SWX$5UqLZ@j)TZSSRCC>M@KFAB5 zxicguXk?P&X))q|8?j;<#Dw`%f)tTmS4(a<8DpnhMB2z#B_D{Tq~#gdk@RUo-K<cO z5PMQ-fZ>I%YnU)v8#!P2{jqD1W2EkbN01fK6l2odJQzhgC<V+m4H2{~#HFmSXr)B! zcf_{gA>9=MwQNu!?>Z%Bok)`DkjVjB8tCZIMc1B%meVn(i^ll#sX~*B`UcU210kRf zEix{Y#g3a2;}IHqgNFU-?yQVX?k4KWom!2<hePK^`uWmSk{~_>5gZ@TrI^68Y!DXH zR?P`gH2A=l9?{l?i5WnZnFL{O!Ul3~5DXwVZN@tWDFpFxe2o(9L7H`50ni0K5iW3K zvzF9|7t?Crqa<j^ZOGP|<b;tBX|4nWRW-;eQX5Hyi^Y_OG=R5v86xFOU70K!#1R@p zWr45L98DKcE`;juKaGk{^jF<4<DKK8vji%XfTr;xO#=6{Svb&zADCXPf}<}<24sjp zqlJtJ#tq2Yt{U3%%s|Gn)r#s;rBFu!{N{-rD7VrV7~<0K#fhyeOENe)*m=CMXPU4t zF3B0(b!~(A7z&}4?Zp}eF)}yNPopDY$a@}b_+WgZVJB^a{aKcs@D-5_!HW2ri2Fs9 zgG4^`AxGm$iSMt*33mlhUPy?WSq@tu=d*viq;DH&)0v1nqhH{XUW-4L4}KG?zbBeP z!bTe#FKAl!)F6fsp1V|13Ylhv9z=`e;dlxr$o3TDs6eji{diQTnJY7FQYnh_zr@|3 z0!=RN3~IX;o{>B>awggv3Y6$phW?2hTBAGF_gQl2ZnoFpsfX)oQ>S&p{I*KI%1Hw^ z33AbPV4ao7YE(K!K&jy>8wT7V;dur0=EL>cLlpoJg$A4!0LHwUbZ=I}Dq29-3X_f> z%v7B=A|t?wf+0v8b=au~V88LZlqkt$4jO7E#FGRkwjKb{#JeEKf9tXI5KijXp%4vR zFi?6^%($55mIot&KqjqEOb0oRDXo#x26mWIWk<&Yh{X&KFA*aRrRR-jjV2Adm@)u4 ztvDl2kMc1al1tZ-`i4<M*+C)!r!!P3<bjQx)O<YhYvbZuAh(7YX-*lcNe2Wc)(o)6 zIJk)rHo*97Zcu@2kmM{Fte+w4DteU{lND5uHj*wQ<KnY>4GB`GsS+JvaU+P{+0zmd zMA8-yhu%|y&?uWc3Vv+rOBV1{`u_eBqnO_Kf@^Wk-D?781xliu2*aQgLP^jwg32S3 zWoYKwcn-D<@zkaW2OOSCr>&f@Wv%PV{>cqtY`Y3;@_kDNC|rzF;)|23rT^oBN!GcG z-KV2#B{?LYoX_3JBNO3Tz3s9v$Oq`4@BcxRgHVQaE=SW7(GEK^ab;9aCwG#St&=C% zVDhdc7_w$X1q^?=k)t5HwZlvbvhgIXc#n0;qfJ&mxI7;nb3^!z;;VM#22G||s#NSm z$@FmQD^)MaYGLRfB0KW4zQ%hRQtUUum-;ru@xx^4TjUCv=3_bI<eb@I!iFvsS+Z(E zXRU!Yh$dXVD4AJn4(*M@^_B9_n%>?HS;E*W46~VlvAEdA;zJ{@X$ob{V!G|#{&?9A z*C~T{-R2Cnp>x7YM7tf!VU0yAjCPq5ub!TW2K$l|$bLe@Vm92EWInXu!9OftcuHsI zI$8ctmrOCvk{!QeL{6<F$4RwDM^=~c-tWIn!nNG%fWngO%<j)pTP3*X!mX>S@5d2b z-ya9%_`B7^;=e9VoN79eUPm!n!uCPKzC8Ph8A^kVhqhfi`&&yF1nVDrgOhv|FhH%E zQN0&X>YQb<e!27&%O|X#+|C3M`r*y8JYI6%@p0|lSAUUI3cUHx2T}<ZZ=a+D+Q2Qp zcI6jYAG;u)+L|1ljBM+x#N&Y^$9(mNe;^}&9xV;?GhOjtbxxhWb4n~L3_gD7g5^xt zS>LsCVc(9E4fl#9@zip%B->nm3K0eHk;K7!qk*Y-s3_~c4I7M;x;}sC8^V=jLJpPb zVkO$JVeqx4QRv!LSQOprP@S5EU4y&@PI|vJQs|M14v7Z`jOEjtH5F5ib0?ZGj2QOS zbJq^h2gC^%MGR%M?F*ArZRk1+tgdxO#^xVR7>~niqhyu4+YMR5J8frYFr7P&0f@Cw zl&iwd3}=JT9vyZ<ZlQ3o;o+a#{n=xV?CxK@_o+{Q^|8zQH!VBvu|1Ex`GR+S_nGh9 zaL1qg=;u#$F8%nrW4}3i#}%La^szUtdehhMy#4&8=e+yuFI@fDV_UYo>izF~?24JU zy<zjnt{p$A7QVCVkR^vM+4auB4eLKQz2m&^-TBUkK9Nj)D2yf-eE*}jp7bZb|K<Pv zl`VUIa_NfqzCSxvec$Ln<(g%;Zmqrj&1aAN+3Rlnn`bUNW!-mbQ+Isxw<_P*^Z1{< z=ls>5*wcKW**yKC=G)IX{n=;FesKSBdmg*v*6Fj}^tas~KlD>4pSfxkecDk+ed~o6 zF1_NnKQR1vpM2r$CEr=Mt@gsyafjd1dD3eyJmHEhTULDOKc{~3okPCy?Io-B^cL2< zX7`a-oVar6&^s25-TJ~GpL6nAXT0x<%bvU9j@w^*<jF5=UlDd>KlbOhf9=q}`P%o6 zI&$@MZ@ci#$KC&_cb|3An=d=_6Q7#-gTbHt`_F23-2THuKlf<);tjXI?vp2;I`-Ww zPX6n^S$g~zCRX40pIg6rcI6E_e*3GvXRW&JinCsL_RCLp|8sNg6@U7PuYKd^S3KUF z>OA{hfBLcJiN~#Y@Fx%d`<ef`{mOp~Z&~;^k3DzM?`<4AA=eqb3Z3lU{n?JNH}2fq z{NxMY-tsp4wl}?S^3t*Q$KU(sf4=_5r$7I$&wb*^$AV+l|Kp99UB7$q#@h97Yu^6- z%He06`nR8Y?2+F(s{1%}^3BZqwsg+yIDg9g<F@W|mgN59Rb8bsW~O)i>w{nZ_M@Lj zcHBtMy5Nr8cbxpYPygM6|Ma_eK4(7gu0jc&WV$L7M||?5yVidB;ZD`bPcA#<8*iPO z`oZDHR@UA5=(Bfh{QaLVdIp`G_})FQI_He{z3}9x_FwR+D}M3GzPsZc-FLjUdwKtx zI(gsqv!fn+;f4Gef0tkPcb~i>xAY%_ZQuIoRM!G`(>d?^?wRM@x#g$LJC1zzJNb3r zS@MDVuU)aI>n~q>#lN2T+Vv;jaq{htoOjNv&bs1#S8V$6AD#2;6^kBwVN%Xm{+dj% z<Ib@a-+J)ua~3`JhktZf<^H3--~XCtR&6?J$IRK6U-6@#e`@*3Uwr4ntJa<K;m@CZ z?Mby)-GAgs8&CNB>)-yd&)k09JKyl=_uer3J2TfW{YveQ=IxKIezN;(&4-?Q{%g(e z4gKdoUH++e{q(r2ulwi!Xujj3N8k0Q<2Tk0xo+nzoB!##AB-(qvV~gX&FIYVQ#iW6 z`@v}6Skt)wn#r8hY(8A!dX4VehPs#8)wBL^*dXZc&g*xXZoK<!Nhk4desdIcbt#!K zn%3;GoOQc_vu|nK^psh>0OB3*=#Y%Z=;pS8eS1t@_I2-jR*#8p&dw?x=N#`-dtdkH z>^=AF>jrv*`t=}Oe;Dre;SWf&(X7xpGQtkKhbwd<qiiE;4u9@*d!cd2{TFTA_Yi0O zR+@6VroB48u5nLIwv7GZcvh|$sx#<vu`;Ar3nYzCJ^t^vb3StY+%INx$3Md<*0k`F zzwxzKb$9aCcE$Z)a~%248M>T@{CWlw+)%(ZEgapeO!dhAQORfak~PiZTR9Jyi!PAN zu^XH3Zf5rCW+^z$WVp_vd*ekv-SW)pr#Ci_$c>JU?a$@9x$1$8a?=IF#o5wkmZ@|1 zLf7ixkj&G`Vca6nGBY#7Ppb2~9}GlwQlD9UPq)`ev#C1S_adEWs<Ll(cDN_Qy%wqy zZPUldgB<(Zb8<i@$js}cJJreSBtA$ddWNy4+uZImJEs#ax9~dIhfZ9xS!t?9yB|g; zMr!+#6S{}ThByzI(~VUpPeJ3T<r_Edn|<aN^*V~y^0PW_uD(YVdfvv}(y{wKO-3b1 zwArvfUVYT5D@(H(`!%#I&&<qx;HGA?HuI-fBhBUYeLa6qax&VjI@$O7o^0|6m!k|n zJF|Z@+1E4LGmK6)qZ7haC!bTDaGJI1r1@@cuK`>clfCGKi!qwbJAbkFxn}c-G3nm@ z?CGZu%bsj@KX==3>CMn{rPAuv(CA*a?5?k8o?d#?fwPo3@7mV`k>}9~9W?pr?$&yu zI??j`_xnjmo`0T{XPVy4f$uu$LMPj|qZ3Ne*<L3|<kC03F(s#Wj~rZ2sBhGhC-%wy zm83GKljaQhTcA1_)_T&`$;OSFOU{i|IJCVvJiM<t_6`}SRgx2$qhqTpW24<0H$L-* zCa2bOAkeE-C(pldKqt~%t?Ft1;kmnKeiR+5?0cOcrLg{$4{s6K_~h$HzFPzZog6jZ zJv{s5%6mmV|K6Ere||jL;HDAIm)_ib{hr;2J<jRlTv_t;a1W=R?-_o2c){kLM|Tsx zXU|*T`V=SgKec<5+fkZSl=NKm%Gln$+;;+t7yb097xq3!JsE4Fld&=4@6PUj^wGUs zu%b7qy#DpV$@|Ne{pk7q`yY8cZI6`I(Nrggz#eUv$bU6yySn{VC;F61cX~qo^UuHT zbq92!ZC6O!wSBwJPn$G!BD-n3&`GwXlkQgA)v71m2v@jU2z{cH`EAz>5f132-F9vC zMP&@t4S%3X+l6)pwC$47%8PW;afs@K^ZaSz{HBxDGTQJudH9Cx%>SO<s@ZJogk=hk zLo`2gt=l$~wp~vj(mh<-xbm;_^@rY;d-pZ_zd4h(U8Pd@>(Th*oX6h1pAr^OCikG5 zFpo~)ncE89+C(Q`&r)^JNxSVD8_Q(SNmJ{|<Ik^tntI~ft|N}1?b_X~w;VJdoz3hm zd7bRv-?jSq-_B+q{-4X1weLUSo|47N^2lWW;y7YnlHLsxrFVqL8sL{P6}a!*n!o2{ zk=`Z3`^^YHaN^bCix~}q*LS_)-jfkVq=1X~xd%lSG3Kl(UWxlp@b`|?dey%sAYCRB z#P44}(8t?S&gsbA+*RCA(R)(h13HY|nItFucsSj|-&umc_j>#h@y-OVh}7PuX$Q%` zX+cUG+&;y@sBM%6cejLV+Ppx!|3J99+Wz>DJ-iZI<zb|frlGcp2G*o!i3~&@N5j*2 zYtlR$War~WX}tWRQu=NpI(4#do0r95Dw@UtJY<?H#rMJgS5$^%R}tir2dZ8ttOZ%@ z6XWg=2HJg>@bQX+I~HAbacvcKVhwkQtXhg+?-C)J-YK$5WqOIN4K0m2!P|OfBn!DW z#otpBUS^%Y3uKdQeYa1C*|)yRzl8fy@T03V{lso1nS3bXdZIWVCNk~&lXFR5UzPE4 zQRNr5{oFBfW`XZb(D88OIfVPnfL9UV<vcDp{xutSv}iKKS+exf=%dgmr^%*YxXu;# zF7RoHQ$M2!ZIX5P0<8v=72X*VzyB!mc<!+4IU;(nPwz3&@JoRAU)s5-pF3oZ;{{5b z=?Du^qoi;);C}Kl&ZJRZkxeR#A&tjg&@?`G#ne`<=t8!(cA3gHpYLYyFxDKMc~V;x zx%lFl`p~}qkIpn_ySk&<?tR=dl4Vqs9QNGolk|C9I7Hh$ESpEU=|SE*+Uyl>JRyfo zs(_AZ^p6&TF#xTujxD6QfB)uT?F5xRuVYqAX14@qoN<zFzOH}fBe8559-g7ONq&#} znpV%qo~s*A&*YwacHh3$tG8^~JCh^DzUafW-H(gB?mz5qS_$rHT1_&y1sl5wef$j8 z<Nb$YBT3gR&FSbp^ggsTqv`lz>@B0Yf1%bron5wUXlRuC*V8sTSLH@3IpVPY`L)r_ z3wH1S^3UE;dG{47$Q@TKDX(*8&oi5wnNsQN*~7-9d3@TJ&IOV>t<$4V(n8Ufld#XH z2H&|l1D)pOk3IJIQ@~db)8jn;RP*wAQN43`b{``zX|DhAnuoT14q4>8U#&WsnR)UT zE!=uix#WUWC(^Aga$6@)ppz08?diQ7!`xDXPMXc$S|{TMSpX-)P5K1=gONw)>ExMb z7-O_}-5|MP$&AShs*_;!jIqx!1km1dW@a||y(c&3R?qzFo~xgJx`s~321?n>je8>B zX7;V->DN7}<I(3*opj5g8<ii`$&zh`uh0!CbEg@%w&;kgHO~IquQYR?zJs{?XQ4RS z3_qRfBsALZoGKT5?$PEW?`}5#*U$GoyZQ+&DF^Fhq3UGs=FQLVKWyyLM_;NFl;L&q z6nSjUUUZRXl*gT`^$wKgW6dTvq|DL<Jv(}m-j&i0Z`+$|xRBdBpLyrwUw=wRv||9q zwv5j7s4S@``Lv#_p6!mPCtBPVP)3r&WcEo$%iO*Jp4O90=2_|qZz;5%xVRI-sYaBJ zuO~A)wg<LvA63Xx7kN&yC}B4lpQ|Sf+`jOIQSIV~wVpJ&i?z`ZUr%0(Qo399WcTj> z^}i3Jo}|}%tUG(`jU>~mCpv3baH;a&MRj%8-7`DZyl$?ZOutWZU;WUPwG8#7GS)r( zU&YqgCe(Uz%<q5gf}Y{U3qCS^^>0gV^tYCrtYt)L&6%Db=gB4YgjdMTz)wo%!f<S9 zZh2<&p4CmYhnoj<a%-2?lgpczU%uteVa9;NW1}Z+x%2XtBo0s*mb9LPJHx5qXcd*t zDaN+Uq&j&D%sRcp1v9TxolsjSZEc-A@r0)XO}*7ILwEDu(c`Hns*?rGq(3sRo^Uf8 z1NOJSU3K!~A9Ev#pI~^Mtk!bSZ0V$3Ph_T9l3}eU&2QAMej1&0J^M_vi+a*cJ>i1{ zHQ_UVNy=U)T2E9b-Q9<7%u`QPCw;dlciMJsx$fY4^0_rLxzGH;vN*F}hE*q&EH4+o zLPNgUG4qEv?789n&E`9<uFssgoRR;FbP}je_BN>}VXmj=r8;SDx$_sB_b?05dO{8L zQsQxIm%6AXO>RgTe!9t|2G?VA;cdLlEuAd*i^Kl>l;5Wur>4CJ@Jq1+K&RcZ+k5%i z{<%GO?eN@)$M=IQ;`PK6>W{t@PuY2P$6uxRQTmDO0R6jC_!<9_8;LdD)_%#b&Cf(i zugy>NjTZfXX{9gmR!xUA<sF}2d?jCLf~3uJn?`TS3$z_*LB~x8)9m<Cx}T9(h*M=x zE1uw`deC?E;%dx9TiWzm`y6=@>FK~z)hfNeYVCV$!F5ll<|VqOB?Hs)la6@$i$CJ& zO50X`0v15Ve{RW1q6@+ypnLe#WM)&p-#-XE>`LL@??7;=-{a#C>|Ydk)#04`vVP|h zd4h0k#Xq0;nN!)f2soT-#beK3YEqkhld(8Hrj-A}tO4KC$JV`p-rRz%{%tM%Q&Ss0 z6`MsU*N9Pj8#UzX={~}3mc5R^f(Ez_2P;EO4O@I#67FijrA6xj_A?S1-f)d<R5ak8 zmrW@>AFl;BYPWf7$NvZLc!|OOM2{8zSBu`?ws=DpO5gMBl%+X*H%=^#SJK?LYxHX& zes7}G@=qN}YO|ag?{nU*PqWrLTMvbJ<9y!vTHx(AG$n4lm#?JQP)ogHz0Z0Z=R9L| zfkvd*aOW+&(r7zVOwZQ5NH~)o9SW#nGzz}V(N-dn<>5`_DH(V%Er?pJgjx_I1*@z& z{53u=@MRZfrsRj}2dc?CqQr)84Wb7oNXa#v54f4Oza#~j^aVpFWQJh1eKcIkpFCl! zlL}_3uJdZJqR|a_=X<zhtlD%CZ4+jqOr($$mf`!6Cuu<duBK%ve`(Yf!21t8GRlG2 zi)mEaOle@7vbxjHgzfY-MMy>ZIhI5d^=Fvj&xI4nRf_n51lUGAj{IF4!w2c(07rHX zrf(W;%1KHCcgVC)P0H(mSR&(0_d0wrPs4Rz40mCwgroDJW8vN6Uf?4kBsJFZ;JXcU z_2tu`$SFQ4^?Js=L)r7{;aL$ok6A@K$jsxY6;*>RU#hW+{N_Qu$A7m5KF=s`=}*@< zwT*X?nHQO~I<@uE4s4~OdH$8g<J!JsQbhei+ln11#JgLG;5C#=BNnjH(3a6UHr;Zk znn`oeelll#S(D~Ym%D)Jn`zT&JZ6_K{p#d%Xp0}z)HW7*s@mGdXVX7A+aNpbUFj32 zM{dV3EF^RELMfOp@ZPkn0Vo>}&}Ztx;mKoTOzWra)TDZEX`d<kBw+pAnYJq)PAX}* z6XAcpX=hMjD(fV0>g3gdH(DgyoGW0y+aqY%#e}BiQ>*P(yB)y+9U}3GR!5=g)$kG4 z-sW-T@q6a17I`C4Njb*$dBNGDl;%qPYSLV#Zih9fErL=1pgu6pKj$7Mb>#_Qy<-8N z5ev|z@VR{WVi(#p)iQyWKZzT-FnrcmgeY<9^Bf*(z0(P=!i{(O{CGP4!540Yq{bD9 z6fo%2HIFo3{4~@2>xe*Q<4ve;*P<s`COujveb9l2@lLBz+lFm}0qzzcOfOz(2Ra|Z zp%5vCi(;!SmGExFXyT=qooZZGqPwog4Nzf}j!EU(fy-K`n{g6w*fr%(3E*L#5jkVf zGF=g?0hWksh_QhQAivfN%^)<QQ|ZvX%6CLdH+vK<Z_~U>b3aKc&i1HZf!edAMwg4Q znne?{yq?mO*hc^jU41hIX+s&aPM2R;UIK-85slbZr7;1Og~_yacn2&BfDS_tT;E8p z;uCqCjOc0u1T#sP$|r3-5@LI1Nij7^Fd}vxzCKo1B*sF-ul_&?5h-IdHdV=5a7Zqa zbpW_<#3@{%hg;IX%}-f{$7s+oPJo^YizhqaRC5Nk*kpjcJOhBi8;oeq2gQl53MtE4 z+D+YUc1SP;u`0AUje=06{D8h!qCsPk1KTPqP>(G{0%C+V&m5r9e|VK;QeR~hfl;P4 zz7gW986*!jD6udJY9yHCK?<TEg1pO876`*=QeHqsvo*}J<SWQp#UF{BgZEO^*b-uB zA!1t?pTw$aQTMGW^}M5<yjCk;&UT2|6(#=zN&_^QtOJpC=ttw5l0=A8|1o8Im0H?A zIOKgFVUezJ0vAQm`bkiCViBYkP!opaHjJj(qX`31=){E)L8%EQ$Qv;|HzdoRCIVPK zr<+e${b(laRZdQ;7_s3ZRt{QffeD><L^+upxxVCjA$Zz2AxTBYj#3OdP7QamJX&1Y zjlg|aJ$GEPQ9hV7G2KZ$OG)eN%)$j4XKE+WV%8XW20TxGfGt*eaw6lbPSJdW7M2`V zmSuydB@-qnpH@*Y#%CvR-fhHW;e(x=ygt%^Fqu>w#A7&-4om+{M7isPTu=yfu&8um zYuS)`fDh=>WC?|w){KnTiKdZjHio;ha)`klh=Wg87iWd)NcNb^Q?hX(E_fhV38|f` z(CFe;^%$!Z3IW6hJb9<-N3hD0uh52K?KnxsrUErY;F9{ZOxySHBDBNCJ-tK^CpE@| z7#Al6aI4n1;7kWFZvs0~xgiu&4f`f2r16Ncx+c;@(N(*$6bLDWvfh%tkRdaL@@7q1 zGZb8a?fCOXdH0zkdpHOR6c7cR46Yl@cEG;!eGG`sM6eT4{U&i7WZ>WXjm1L#PN@jG zkdWVNOwOs7+FB(s7b`0*qgyIkhH;#O-IhQpb=GK2kdSu{N)J9Fhc!8c2VUDmy1#}u zl|E>S>FO|F50ff6j?+!mTC6cv<7pa${4}+IG}M&!5r@RKlnC5L8a$&a-$1a@ku9#c ziNa^tWJ0{7L2S5Zsc*Kh6og8+iMXsZb>(BBsI5K!aY(V$<h+UEK9)nq+~mX|ah_3o z2mzia7}sw&6pP5?vZNLEtgQm-ArizJ&Qdj#GR~2^Ac~JCF}PknD6)Q6fQ8mt&%e`f zmYpL3kl-^bkpWWG{7$)w1gr)}!&VH}x)_KmAI=e~zcPGqRT3_8<)2O!z}V2j2+uHZ zq?pfaayePv$Sa_l<13Z1ikE!EYl4!C(tUJeoyB3qb`YI~y?E&7fDH0*Yy&~Y=)-Z+ zPJ_Z+h;7CP+$57|(=t}+ORnXHwT5KPB=x2^K(>^&iJg+1v@B33RSG+;CM!oe;N0|0 z&`7LstizMYsp76e8hFYT#+Ew+;<3~<l+cP*chFf2%*56nxf{4mn{U8sbVx)2m636; z+EZv{s12fjP}9E98eOmf9bh#POB9|2V`5q8aOP=?3}cQi1Yr(wCF%0SboNB+rx69# z)kKy;>LcU8%O4aKUtQFoo2{qJR$&b{1P0dOD$_hbW7DY$reIonf2%~3fAVRSJUD$A zg<Ji%zLZ1P0dWdTXKx?`0hz#tkRsh>1JsJQKyHR~d2+|6C3(Un$tiq)B~=#l(N;}z z(@7L)1j@T5I}8l)HQY2^PhYv3vyrmHxQsO8$?|8TBwo>AL7<cdS(MvD4^`(aZV=_@ z`knGQVubx-^*}2Y$rC-bwb;<}P0$}mFWR-Cg+0010k29}$WjFq$Lbi52GOWC@Y!I! zI*Mf1S%o=TcJL^JDBiJYTA&M)aV2i_H*)pxyr4*5(}<l5Wtx<TLxsT9Lvbt#rgll_ ziq*HooID`aPO{DV?0YB2K`pyM!Uid74dE?_3c5hd*GOz&$+%pQlL@7jz6vP7rgLzz zv&I^4SAfD!qJ)vtw5qJ7{GdeEgi*dmTcVZBhNplORwyA2R$JP}8r2!BIvJOO8Q(=~ z1WCzTx**MGSYs;FQ`%NN%#75hZQOC{kn3$o{N2M$98NQg1Rbh}Se19LY&&lwWsCaE z(WBIpcrn}rrX!5PGT|VrIFOz<41FT9D^El!*hye3gDK7~2-($U7bGJMim>5FUl{a^ z6N~6Jl*Y6YpvAK~V!TpdKttpv=fDmIL&VRP5|gV21%jf9DAgylNT{QvPgS%yVi`)0 zwr%hc_)Z|K({@Itw<Kcd58{lAsyIXUpo^<4rO%7GTQD~mI(qa^;Ydxv)#|QZbqCr5 zBo{l0?$QE0scE8nUo1nzv_lX-oX~0qy+Ey4wvFhF2lT?t)p!VDyYoZdtR#oWlQA>t zILE-O5$tf8_<ph)Aw13+nP7)zmSwEry`lRSLRW5rpcpk|d9hx;5p_69c4mYTAiU~^ zxUQbb-pTS+{p0Lb=&*`!D2R}Yj7rdnHOqT@b~<rGa*M-T>62GG)47=VE5nW}mn_#f zuo?CZk{($^j&&K7s2<aXMlQNC8qW&+z)2{pj76gnvN|=HD9Ke3VxXu@%OvF<Q?Au` zx`q?uv}FsT+Vb(F-lgLr9UTb!s;E#^#q9|UXa=c~tSgH>%sP>VA)Hyp_rMiO74_bB zgi;Kh6$_(DouXWbfBTyjX~20rREX#i=vi!X833)CzBCYD+fFCP*jjuQ-GIiEdmRP0 zcTG`x!|4q!te!G;Wq7ol8wRm7!fT4oMz!CHt&2~cB0XJwAIcNcgRXyIVK&T4=-9%3 zx5|RHBXq7KqtkJIJ58UIx0+1>KFRMCt2G$3!kPFn6pCIXPA4TvIVqX^aY<hUcqt)v zI!X+0;*b!90HfGZn9X?(06P6q?X>9<oeb(cDzLN_75ju1gz$m*iz6yRBof<?LaBod zhe_i$3x3-SFh<GAbcHQ*CK|FRlb9EaALLDiNz#=hTZ2LyTZ=dehZa_->q9l#w<A88 z_*x%ulc5c@?#JYz6qTiLqM_7Mbf&8si!Tt%H4n!NhU|o=iP#|lXGkwmiab%(@3h@1 z=J<jfBVwp7tgV+br3=ZibX_Oi;~{53bh5>cDuZTw<bhZ+3v5T{l$MOnV@w&2R<UZL zVnb`CVv^euD!oi+>|Js(<4zsvlQv@cq6?U3<8k696$p7vg4O~?v`~+@n{=Rbs!Y&W zko#E11NO{uwuhpF$zD0l4r((#dN8O3t!;o&^SlpLjn_ylo@O){O{#izMgkoRF{7Hq z@a*Fk9B1i0gAInRyRlsw<34c{$?+0Q`{X>TrmLn%lm~3XIiv&oi!TT=Y<u9dRtN<4 zNO8!hdjvMMCu49FYJ%`CZ?c?(f>Zr<j-F;0OP6JDTQo#^IU^mwIf95`9W%gV=%6Y_ z**u0j{({h*w}y8t!J#&Z95i-xl!9oDa3QlzT*a{Qz%rm?TYcolX~ax#j%;UuZ1s}` zC%YWY3N?cfY9u55gALEfb$1PfV#%lSq$VdT;32Tz>z|-VATvWWj(m!<Zh$u(5+7%^ zUK7w3iQgG19hNLxp?^YiK9^ciNRU?vddhs`CPYwkGPz5RLkAG4Q6BBRbvx)N#b9EH z;OMcCczoV%C2A`s;7)K(6EJ}_gvX&3=RhOCqFuZySsFXN541yi*r)XcipM&DU~Qs> z@>f<l8Y!|eLaG^bVmLP-pjVb1H5!7IL@=Ni*ntymmtN|sGusErcmrsZ3Hs$>EpoQO zF%elC%b>N>iI`Lnb;wk%${2PtjvsHcCcKx;X{WG{T%KSo6izql&RjJBMI&b(Vv40s z-6?KKET9#mRnHg4`?t$Fw*OH)!%l0pQojeyS4muxO)VXB7%6=pGSNgKu>oQ-QrXUd z{fLdqG-3h70d9wjCkbGTSi`fR#aMI6KVdrcx+#$hoC%O4n_oh#bCcw_z(q{ylW4)@ z^a+ZYnjr2U!KDdJ8c6UKF5D2;T7gXODHx&V$(x#S-ZuI|WCD>3tM%w3JU(Se>g?to zXC@Mi!&P*?HBu)6G|T>I3EY#~IC$7JG)Op^Kq*qy0bnT&;JK|@6cgw)knNwaP_>fJ z6{2KFte&D#_-^ks1?noh$R&X}jtdpcbf+tKtz9@2L6pASLaYMKi+l7SiBjNO<-|tY zhD3sO^4Sd%MOKrWj8#mD3^1t3DFEX+j2%#l#z+BFvAieiqLprkN|1{?yccxe4m5PC zN`#z5MIrrMPS@HM3jJs0*3)U!=rtp_V6QA_WuXcv{y1_9JCK6yzjyidCzOW1QcWDC zCzjJBs)}Q<soR@XKea<07uJ+5g{w~<X0npr-J8thbcQ()#S2Sbj}l&4bFR<SZ8<Q+ zBg5ayf#YA|&`t=#ZW}`zMoXQG^#Bvh6rrJ3ADS%<p(u(aSB^HxIXna*U~GL_b%|pm z6B5&ZT4DU`w)O$43Q=O%Oi#ov#1TU?`!Kq;1S$e@RzsbMjQZOqko6LZ!3$JR0`tZI zD|PK;uo(utomG?JwXcJVgAMr1VpVW!3?yj2X-Pba3*^;6==%obCedPM^3<>1fF`C> z3afw{GBd<1GQVU)K1i+#;>oU}D;WlEv<P89apQhO+!Oo)UZO!m%SLuW29X)B*`hu< zWT;09Jqber=t|dfJlK>4VecSaiKc+`Oe$QZ2diqX(q>TQo*F6vLPXY)7Auie&f$Y! z_D}Y`1D8?^H2==RM8#16wfl_SG?4(~^ZOF5dcijQk#{Gdam2Nd=cF`TR6uY~rKf1^ zV+?d(q|>q0oB<7Sd2iUSMJALD_Tux0%E^!&|Lgn&vy(7RCJ6|7xqmO}2(C<#bwVE| zY(!2rsZOF`D;j`d2`1<U3RSS8#y5<0f$8ap9zJ3H!#{~Mp=+f2>bTdO5HymiihIS0 zVPf-=%gXzAbal)eI?@v~uH?Io3!F1`v&uy4pg*y^oJ<F`M^O7jko1yZEbC9Wl;^r_ zrQZ$i<u#wBk6#o>a%r#Xr-4YK1;Hb3uuD2%DU>r0k2-nVgAk#8m*ibW<mdrqolJk$ zn8K=DZ}vSam+#6iU(R^~A$Y<|UzZO@dK$U$jI8{o`D!>do|mq2z$^0Ja8nYzeMeMg z59-6T9*$Y6JID1~x84@z$nVoej<5m#XZ<TpXC-(dqN46_$wXYK<>}1c<784gu1B)G z@jC0aD7;!0O|V^iK$&MNC~X(2iTffhl8>@cVUlj+czf4%OS`t0-!#(S)e)W;9d8<( zFR)#pl<QjL8D{G0?JFg5+MFDji+azHR@+sP!iuTf@=92l9nrQuV<_}%`g$IXnPsgv zed1Qc=CD6r+*gTKRhL}Pg4`M}n0}@$!;MP@ujR`^Wi9Q_nL&Kl%~Mm3qO@>a5{h52 zwi+2%fbp(c?!L@$JbvO}Zh3E}258wpExX(eGW61qF^1gbb5qr`c5OiUx4DK{REm<0 zE@tY|;U-Tzt1sv}lC~>kdRO^maSd-cq;G9sg?lDSeNIbfAV=D_k3>frUTILNy7Yg# z|BsHYQ_L@>ZKWcV5nixZ4xhB)<U&~$EH7S5MICGy=`D{GM!KkZu9W1%BOAS2$L-sv z;=J%)p5g0zOlYrRKmNb`zwEsalw?(P=)Lc)TQ#?9is{=;Pt(jFI9)W*Gh%FM0!Rpb zx+unm5G`hCL}KV3LgwMidlA$)B+AUGq8Mu$gdQ9eP=<n_lGZSqXkr{QG=e<Q(E;PX z7zaq6&zET4(1sv_IQ9MZxm7&_n!N9=tZ%Jved{~jb<a8b?6c24d!K*z-gEZ-`#Q7K zpoL+E2SKw=oLed*=p=~yR~@$^;#L1k2d{q5Pv8B$=bn4d-o20g+Pkk?cKHYP7G5{< zPsiU~xH-4vhU=H16P-wU!kP8-lgf{xolY*k=YivIT(<sA@p#XTYfoP`{Pke?pP&5l z&o*yb`1z0i>L=@dI`-lVFMaFaXMXFcyZXMod&}vkom2f^XV1O6b^OIIZ8_`C%RjgL zxX)~FeR$WQy>I;SZ$I_o$G-KR{1bop<jmTat{pq`i_hJB^X%Pc9hiEd>-IzE4PW%t z>-$cx5BGOfpZxrmN4rPg{7+k2dk(I6_Y1$rE3(&azu|NLdd`QB9a#GGec|x(?lp&Y zJ@Dk^4@~a((-S`Vodahd|Fva(A6OP<>cM~8_P}?i-jjXJSRub6hyBq*hu;4CXI<WZ z-Iguq{B+BfEx*6(!}rqQ*5vO#*!rDiPkri%{J$I{zxL$t=a=2Q@N2*Sntu#F)cwxO z=dK;N=$R)A-}$Xq-|^GC?tbwrH~-x|FHHUL@+W6DY}xY2-tX`F?Ki%#^~pE9^qxcS z{KG%G`_Q*~fAB}&c<Q@%tbP3EkB<MwZNK-!dp`P|5B}B8-T&jifAr7a^Qk}m@{OPF zzdm{MXFfi2TQ&Z6&Fh2*GRLo4u_8q1TfXtGe?I5Bcf9b_mc0)=_3O_b`-Wwg?Y-m- z^Te&YZn`PTz4yKO!g2i)W^<D>GyVN>rT0fW`)1?=UAvE4n!Dr@tft<0oa$tF&9C3` z<<`{~Jh6Y{RR1TwzWH5`A3AQ`Im-{+ao66l%ig`^oiDs}>A$@H**`q{J?EVDtv~vl zx$IXz`}1AP4(-`}e$SroKJyo6{q!F%+PC+t`?mby{oj7><_GH6zv@dX|8>W%mySNA zdf@uLQ@0H>tzN!&%cD!rKqp(@c<}ArkG+SpbN}V8?sI;!dHAABgKvBz9G*NmXnlO| z18>=T;D#qweD0^;9-6u&xAOyq@V&L{^Y?%Bhg<vKycL}+!?MqkpX~k5zklZCXJygH zPv5m=>m_}=)=AC=nOzsK`El!Yk3aCPW7eMf)IFa+?z;<zet+u=PZYkg<<$J4OaEm5 z_x|<rr=Iw$Zyxi-H~hs@uX*CLPwl<z>$go!{->X9Ike)-zw_3IUs``?*Ps2@J2qzy z{qs5d|L37+_TIAh;xC{6SnakS{qUVnZT$96Z~e%Rp8xi{fBwRWrCV<JKy~(jy(i!E zxT$_qZo6Y2du^9|d7j>b%QD^c9MCgLoQP@3oY={UR_mCza<+~=oow^%eriO0-8rn+ zOixdNEPOKPxJ?@0wCOnDyk44=(RaLKZZ7_{{M1ti7)bWXSgZA7_vFY$-TNz?@?(eo zBlDRz{lTQLA2xd#n_X8ktn{#bdbIU?>*xEvIoaAL&yKRURo&}lbcAH~Tz8+{-Yu_F zL9y66ct6Q3AtZWZ_OdZ{ZI4p;D2^SbKI`ZxyMmz--b?&s58{K>J#1Sp7Cp=Iv3E%E zGe5d|{`l8Q{=j3l!Z6YVkMoP{<zA45Y>!Na|5ErBq2}FuC1=BAtI*vYbeA*TE4#b1 zKr7?ALwf6jTU*`TJqI@EGdSHlO)|TKGh174YrVU59S0tF>%LQVw@a&gvUoD*84G$! z5{B7V%~kVoHC`v(-Cx}Q{?my|mffwrPfE6HZi<cR@-R9<634uC54)Y!O?E#%mWEQD z?C<Fr`z1Qz94DJ@pG7BeeyY{#S&)6rvF_IAy5|;_FYKqP-TU|Lo1f2Q*dh)eFJ1<A zTPMeHB#`atY;NC&Y}3u(qp#1mb<#?8l4(lgrn$LBqt&{f$`sK_?q6PP>qJl4jh@=m zqm`xAJe%rd{lAR1CcRGf$rL&{eNiXx`IW}p-yWK89{uCy+{Z4|wMeC@qGJ<ycj2YN zOW8eHuajg1z`l{O4Q$As<h<5qbRu)ljRd0$57Pp=pa0qOsZP45yiT&{gp&>XA8fVW z#;)P(Qk`&6Lv=E`S#>ft%6Sj~zf>o`I+p6>jMnZ}@nDe6Oir<To7{Ge&O$#?4dUo0 zFW1R|17m!{X|bQMTU&zQl2*?qS}dnZF1!%MxArVZS#{EC&5cYh_<rJbq9+pAPaV8? zS@&dXb%rLb{VmlACqV&_c>xNqU%M~uCkqQ_(-$&q&)<hm;3wbsgOsz?P#GKDx9{}J z@>BV#(NR64Hrym_VQNa--<zK2AX`T#&vNosb<)thFI=|o;JaUP=J=6?7aw}q+A>hz zpgDq}XWw@3$&4;}e)ZV$u@<p0bn+lN>1l1=Ke}?V_1su6+WqkE-J@E)=UbDR{To_S zn|JTtv!`ct<!I~4^Y;HtEA(V*F9L;+jQ0JuP7aJAFFmUBGM#kBuCq8rtNfk8Zgu*J zj$PVBTNOJu(egSu;g^qH2M!cot`inT0o!XPM^l|_9UZ-;p`ENbrmRhlOfq&IFWqm{ ze&SDw__2#4*lnHYo_Osi{Jc)|B<sG{OIs)13ukBOq3~K}?2=>AiE#v2HNA$h3!RKk zraGbJwrWjH;m#2JB_EsYp6iTVFVo4#K2+ZH?A=W_`p1>a{{EikwCY5a(#;n9%(Izi zclU_biHz;zjN=$%*8_}QaDYx2yT-Du?06O-!1h*Aoh;-xXS1AFL?=(Sg3R+;p?B|v z2O5zc6j7b{vFiY17sp++v?InYa2OADRjdX9^?6-<HD0;xb9QfFLRdJLrB6BSAMc#` zOV0|HHgX1!vv^<NeBx(0ODG$q_p{(dWz1Bm&pAka_)L7DWb_=P#MZ;xbjWu*WBs}- zMbAk}uc-{Yoh31j#U}YSN^&Otx=udRhL5ZHB@g#_C8nay1^^n+vh{WozwZtc8K&{R z&q5PkG%meYrge!rb>U2>@`%%S;ml5+_Yj?XUiFM8=NP5GT#45si#|Q4*wr_<F48*b zi-(HJY_Lsl5E*)&)Ms5T>y)nE2XdZK&w4&;+W7cZ^J(1&`@(*ciAMtYLKKVykX7dr zy<VH1<ty{@#}Mrk&H(BSC};BY{NcK5#GfA|sAmM(eE6m6wM$~oFWTz0#O0d0_xr9H zsh&x+?;7j0p8i}U&wIjaMzCH$JP{A)9HgEl?CZa#Q9W}B=^N`lpKKh-#fo=Lz45wX z&Jb!orL(cIZN)&;rDp?IXnhmUpWfmh^XD6H%wc`<CzO?zd2OO+D`nkP(zte*#~hTU z@$j1Zw#z(iamOmr4lmS6d6kXuGVtlXK0TMn4XCB80j0zFL}WzSoKrjno?^;2(pB0x zTIH3@W94?anB?(iIjx>&T%+e7J9Xu(=PA+B{*CW#fInZ}_}*>3>c4|Ja?Wzs#=63z zoyvo{0}X%Bo-xZTFc6MrGOa?Zb;cQVlCg#PmSrHAQoOQuXdJg#^u!?0e(3)=osV&e zOlSS2=j~W{bkEK41def0$NugXZ=hu^b4Tl&-;@V*{V~<u3O4Ebt;KP`xltYtw4UmI zadOhib6>1*B#asGj!%7`b6r2;3^y_D)ARG-OV6gWyAMLO%m}G*M15E4AHFVGM)$|{ z-qhH4`vw9WZ`b&|DR26fW%tKf=4F7)J&ikBoVFVKpXE(^-sbe|9OKLpuGPcv!kMeQ z8X%Y)eQHY22C;_dp6br*FN}3C(^EmC8-BvHa*>}z^t6zUTdmgKy$24A?j9Xw1baDc zGG})){ORfRSGa|Rv~Jjtke)rCS_vhp&}vOAc%4XV^P*0C&WpXg59@^LO=8?)Q77E> zwsmr0_X0Y3aW2TBlib*YcNFeu{qTn}mu38YTlb+us*~W*p1FlNeX`Jco18T{=_1vM z&T!q69i8Y1&-~cd$-zx$!(FPAQDzbP$$hV=646#LJAL)jAG~Vr;M+f~(R9wtZQpnB zfBmM3yIT7?I#Iv%)_>l2@Xxn24eP}ADzi<|>*U#1i>2u6Uq6aYj-J|sn;e~@sUE2l zRlw*5&M$hMT!)gLIkfdbJ<+&#@4<tkFEXR={Y9Ph>=}EG_WKLEI^fTaYFifh(fH`- zo0ilHa@!fB6Eer5f6StxDdmuU0{`?APocNh_Yo3V&~ZLk7IlJ=y+SKKzTHn~-c-8X zPg-}h=D(@8A_@IO+WlnrZfe7dw)>gZi?Uq2PNe&cGrUe3+41fJ`#A;46Y{oBWb$l| zKx!{M13mEuP{MPykRu&06}D>pG#-)9e01Bn@ap@?gq7lj->vbKP4!H@=5(rLP4QMK zKDyC0nRMyZM>t<sDRk$x?vs;WV-rBDr$s->(@zfDM|oRoYYS^58`KXz{_ZEQ!{7S6 zo?v|2Pp-Re^JdO7PG0v*`^gBb=v4o5M`=2Sc%6*@XyvC`ts1As(8<EwR&*k*@<K(^ z7j@FTtXt-e)Jba;>m!Rg5&3QU$%E+RLT<AvvS8#z)k)!|J6c`c^FQSMIsIg+JMjHP zTDx~Ia1%%Q54O%?REx7;<fJT?VY(;x92C_F_cXiZ=*520nmijRdYz1gMb*ji>?L}o zYi*sKcFAiVE^hk%*M|{1f4F+sn7g3vLKX=fov7d1va&JvtA7n1BgM-o(Hj_AUSg=y zexf>=YVB#!Px34Y59_4$HeF#&(odf9I?=K0sh{gIn46R9_UsvbjzX?Wc{q}O&z_Oz zewj{=#Q6`0WA`5>@qbQW340{|P3Qj2l>b=5zeTtHA0zw!k=*fd@A!9WlKeYq|B<Bs zr|5c~%)iN5q$zrd{FQY!&gumhrZ7T^;o3hueY>bvea7vbYy1C}=X^Pus^tt(g62J( ze=)gDz~Z}hm=7_FJN^k`whig{Z5*fIvQKqB7iGMRU>fmgV5Tuk$jFuCvNCNWU-5Zh zL*fdLC>28l<YffP@<`!nxTy2qdYbKT*4BNZ;i|O|@J*X;M`n>G3a?w!WRC;67#Pb_ zoYA|6)P<cu>T8Z)a5ke@p_Kgf$Yd>%_o~!GU8fy&h;-tbmcoGelKt(6hjnmMKKzx_ zm0FrQy%OO(q~|>4nybw#j#U)X_BH&A4g#Kw=v3ImWIQJ(Gok%OJP(=HYsTso*UMQ( zb*DjL#D_wB-N`-eX+l?<qUwazNWzYnX^)j&PH2b2;0U0ECEKa6f{zRziS|pvD38~A zdTqO8)(3p;d`QzY#+HnB3cKBWNG}~W%p#-{=s<d$LcoI%JJ&RVOH1@LXN`xX7w5gh z^bt&?_q0bk_FfU~;258zJay!$X_{)BPol66?koTeYr64?P82pmOyemuA?TR}qRxPm z1iD>7??frZr1aCHcN*@{B{|R>z6^hx>VtX-RAW9-5j2B$?4?=^dw@&f?Q1&*Ry*$^ z1x4u67%%4A;%p-WEAxA~YmbE;Ag>>NBUx-IFQW?<9OF``@!=yc$?=_bcqFtPww>HG z#KUBjP5m<xfbj3OQAe@^Rhe`CY6)?>$4`aDekq`iP*7JKAC$U=e$mC2)&d(&lOp=I z=Fpv3>bIMrhP<QS@sD$oww?w6BMH$(*IW#xG&@n#38cQOnXrwJl7y(Dm>L&o@+LYh z%iuX+0asq9YVfdgz(X#$P_9?6Y1n;W2sjz+5GL&Sp4KOTdPf6x1Pnh8f~apCVM0El zA(0xC#TY_91(`Y@Qka8RLq4jIGFj8C!@x80Sq{-q2b1o*%9}W>#rN|HeNYLdRWU{@ zm}aF6=(C|BB@~BV0B+bR!c1)7uT%e&j{vq_>7pY5spf3Eg+?T$Z50&9&v(uC=#pkr z3?H)C>U5Fg8n7!DTpbwtRPwmAO9%c*T}1(!P2w|;Xd+geXs1rgb0Dzki8v%I_yiRu zQHAI@WC5)F!6JT|OVjz`d^~E<ZdLC*-s0h+@?H0-dP!>mcOF&8Jm>!~km)zfM*1Wy zdB|5lars3KAAZEr_4qmylXC>!qvA*UT*8?ayVLR=BnYx`(Q`tn2&WFm^eLXuU6`#x zuMx%NOQE7P2e?~qQ}+<9tC)75;JGHzAqYlZ-EgYCG>F+x4@6lX;7?uJYIWaRWQ@}| zB@PD0v(FW$EOE=ircokU{vyILhrd$6u1__kMX8$jz8;A~*wIhyPC`7c1fA!niz0Sb zbO$vi>x7+Ty1j9TB6?4d^`18^V?Yes!SGFZ2M<%-z>pYnMOF;$5HusEWcBIs3Vos@ zw~CW1P&MG85Yd8S*hm>APJ2WoxAwBLjY2Gf8AdRd)kRKZ#|NhnyRvd|q@#)9<BFGY zLYF)kf0jXq$dVmZ6lap!pmFT9aF7}piibFz+DO<PPv7Irrq-KB?k0zdBe7m`z(kyL z-q#>yTV)&PFX}tXRh@%1m61x=k}#_@agMO|RF+*%BP8QyWZH$6KyBLNO^{Bi3uPKI zMk#Qf(d&(C>Xf>*<JQNVOB3xPD*zxM&e~8DgQ7%=+4GQIA=sp3qbg)ju$s9D*oleY zE>HxWjC+hzWYR5v-WjUJADF&+P<FNw+E53c20sY~UDJUYNM%rZ2?e$ZWlDF6K>@e- zUfGviBmsp4=Y=)JJfBM?(Sy(dL*7Qq9;u{KDoRLNaI3P|E9~1Lv#HDi41?w5KEk;p z!fCM090Z74|4-*x%oR|OGV7h6-8IJUN{<gTNM8j6CMbGE8jR!XU<QwVQyM52u!lw* z`~G#+<8(F8V4!8uYCF!ZM^Z0yf%MYel`oI0o%h&3XtTn$Xi}^fxRzS>98ZgBQy?|4 z9wS{<SP82d_ZpOv-(B9T9PsY|V-HfjapR0Aksi3WfeP6I2Bg)6;y7_DQBMUvted9j z-{IF2pd-GEP)Qz#$7!iDkCm2Q(Nn3ESrLUCGL!R!bA!;Z3}{9;^W@2PF4}8xmR}49 zF0|7u5&)QKe>E~s-Xq+!CF1iKN@yp*5}=4NL;I$tdhrs_MJ^y0vt!&qXqawg;kcdA z7p##KU<qk<9W?j{Y<=Nqz!+kN?5T5j{eRCzNyWp^(H9<ksw>T>P)4XC<50>0l_w<* z;04~4HZfGy<-=$~&o&`S;qa$UJ#-YEPZ)r4bU%X>(Tih?S4AslzzOJ3jP7(P`93T= zPFygC#bp=sR1Nt<Z;oq`CAGsB_8%iC6^LQq@tHt8*vd4)wzF0P0VFL=%%*E_3S?;1 z6nM}S;gduGQP{*A7e?+hnvARHz(=oEN@Bx6Y!|yxuO*x5f|Kzij57o073e1em0?+G z2H9&ojYSriM_O_zToIxdBTpf_NsF{GdUG}$0UB5IylrAKS8(7t$V`t*fg;L*<yrml zNWy^A2NNQ%l@TiwWeI7j93ZojJQDWm?E{B!bzH2lAT<f;Bv}VczV09&Ur|{<00h>O zaikuYpzBK_^S$=mk?o0lh%bvLZoESCw{>XroGBB7Q6(6pka4>)s8!Z|YVfsj5FSO1 z<@Y!v5hOh$_A1F;V{79(D${afd~7gZeAW1+<2KC2L0qxpLo5uq!?eB$XR8bu<W*;# zY7$X<hq_&&3pUQeBBf408I~`pymTTU$!ZD$AWu>5mB5$=kofi>C<a%_a=<1ohf?*% zXwjZ#8dB-&x**^qLd?)&ZFOQTy~+ZMtcf{&tGo@v84DDUjnM*PghPpNsEWeTX08Ht zxEY5cYlnC){InocO)_htLpkyN^rQ-pK3LHW2g5Q5RWZ}h8wl?q><Y?!5?YbR>AQXo z5{{w>w$xa(4sw{~58OPIE{%)#FQc(#tY|0U48#eX*PoCzv9mEBIh&cNbQQEcOq`|% zVB-7YV@q<?nG>|mH4D`eA7uUp+fJv4uL$C<>A~q?%)pMgkykG`sT^&Flk-36%rLW9 z!$@zJg-xyHqVoc8*V+QT*xEFm_N8&0)v>$n0tNElc6@N99kO1(J_3%1(lpiiUN){v zqc&xz@!#hYH9ZYjYgy-d2eP)>#Ux0hz$08c(*3B1SqP8Q_sVGjlwJ04PtBu_D=}EL zbRVDOnblfVJf}j>6QNjNr1Bq)(>KXO1GLtsSe+L=?TZ7INTj9pC4J)n>4W@;LuNUv zUp|hev@|MNH8N32#+4_ml8~S?A_Y|-i--csvBVdh6T_dn^eXx>7ZK;<AtOUb<MN;s z1#Hr^eW>$I6eNPfI;h6sFd69rp4wWc9mKGOLD+5H#5WM;FE2%3L_2@mbNC>D?+Gdu z?~LNQ25B5r0Mg_~%u#Ci5v2rz=HMR}YM@EDX-F_c8hY;rj=GwjR#Z6kG73=N2YgPF zs54hU4sIA2@7JnPgC+w4%Q>c(;gB;t#+VQ?;aWz3``JkC$fIXlYh<n|jkPK@M*$Fk zSV#;$924JK3SA3zT;7C;%qY|Uzx#ik1V-5V4^x5RG9ream-UTiM6?U8`l?@Q1ZsB@ z1TfovkowrWtf6G?t=jP0yvhT)A%B5Y{B%=IAh&hmdB7g7jU$M*r}?6`ZO^tXPezV5 zyi?we*mFs1dPws}z4>uGwQK2o+{8={DSvSfew#meC{5Szc3iqOp0SFvgEdivUu~!L zwBf{+r}7<b_-%33(|&C`{fRW4745B*AyR#XZO*@0jMucB=u>SvPsBdnUbZ2VMZ7l8 z?RZCqZ9AlWVLN{k-)Xh>o%HmXri5SH0gx`;wv`!vO_?H&y~|DN-?77Y&cL3bzN^lE zY?%Jdjp$$M*dhV(D;<dLW2Wr=wjIkqOzFx$;RE;fGeHY4gr2%id4B>Ob*}2iMQMC2 z^<A5<LTZ<j6E4nDo=m53Z>N)J_!ZUwui7Douo%j2roK>$`vP!Byy<xg<SXO_o_Vaz z(?63=9DAa=RSTEe?(8Zp+S(+Q^e?6GMO&SpP#!AOJ>^a9mX?7aLpRO%>TPps#x}i9 zzK)&JMO&b4+ntK%(SPyc%eVe|MB)VD!vmqF>d$fQV9pcse~K1bE}EhdH_rv<#LlNu z^I&d}%0Ml@lt$DJSup1bE4=+^&-y5Emj)KE)^@l``hVVG(Q~kf0*yNK<~^X0f<uQN zwaaY~cEl_P&aLN1q~z0;xFe)bU-apjw#Pc*hR<0yX<8$+;XLz3ti+jrCcshW_!Cnu z)C&$MxA~+n8!=^ReM$3v3?u>`V>QJ}1g$dI{;$NT6K{4wW1&r<0b%{|C-g4<38?ds zG!`mFv2ClGc#6U=RBZpYKr^WGL_j7%EI(ciDIM;`v<$Ytg~+8y>59r9l=$QHmG@H^ z+1i8z6FqHM>aTppC#D!2XtZU5rL;H&YO%gtPe3DN?>N{ZvV=bZ87{n2%bsg}vd^fn z6RaXpv5=y%0sv^-W9Y>%({%OLaTySORu9mkI0~4S*tx2V$eB&aq)?BYUKWX^WYVi* zbujTYs0uiuD2=IG%<f}8kH`FlB%hvM(mdK`Qil~W*9rgM^$%yT$FGCCS<Tofna5t~ zd#1%QLM>Cd<BpM58h8xN0^Yd#ny57N&IVjhiMvwYqJ26SrPe;q?<*>i17bY#gwK&4 zS5HOf%Lb}Gt!vSBX-MPxTjZFfVaS<Q)u#XRAzfw@0J5V4SHF{q6m^R4FCC+^+SDxx zgPN*|{B)i@?4sH8lrc|8JpxsxYAEwY0mO`_Im9&(6GR#d^8V`E8zF9r1EX~Vo9mzh z76DUC;d2+|!-Ww~?+X+)64OZ`inCtq1k$J>gkUt{EqmdoY+4N&y`&B$owpd*iWpU@ z_C;K(2OSUF4{)F{<Wr<e{i56?H3}&brZi7SeQt<Djig}@SiA%pQqyNH1yO6qZ_)X1 zh^)Nh?LcV5vdV@`)+i9)NoWbN+UJ_O;_y5%3pFVk?uaDhIY4tBi&sN6iBBk|+`%kZ zLHdA2aT(Ik7QeO|7B|Rhtu4nY0V-ian$?`=456G2sshv_U+Ds+V<a>w38z%m@jyk9 zXp*#zc-S9B225u#po^>lQNNjuGsN8lC%z4{z_eVbu&&sg7as+M-=MH7Nux;qhdf8x z(6lXHPwZhPdUf-@Ea4C~>Owh@tWn;bZ(@>*rDg#05GiFaG&bZhtv0m9`^zB<f~B>N zYo9^Tup2mF5b{f3nhW?&zHpJ2sX2GZ1g{!=Z_EP1xxjncjU3d<)H32!uZS6!ldv|B zt_>VTR2fhFkRsMHK668@dAovRphrny;BFukz=?$)b$$}lqE<N`Byq}}^nNX${9ss- zGS3cp#1s&;S%|3UpzGbd^jc*ru*rltvZ{;Y*<24$2d<^QRhnn&6vnEYv#pjVHCSA_ zC~LClGZ>L%B`6WJnJJFu2(NbBkh&s0C}ImUF|MLd`h0xJY#+mk3{tPidfNK#NaWlu zj*nKPI_<^-N_ODDEm%qeE;SyA5zlKP7fF(HR*)B$N)r@T9RM8duvf@OQz0@7{<^YS zIk13g;v(8rQ_1k<?+DeRd_!?E&B@|>Ar}Na#mWE#wB&Krh12yqbqILG;ea^n#2Mix zW!x%rk-nMQiGe9mCP|STa2ZC!E+jF&K<aSSBNqh&JciTisv3E-V3RA1%uY*%Jev%6 zJ}?t)v!)ECBCVIL2kYZ$>l`Im=b!)9Tt)85p_o!Bu(7c)I5SRqexeduakR48AjvwJ z*kq`*=UApKY{_ig<zhmmY;yipRk2QXs?^47d{cK^_?B;Cb1s_%8;w5yL6|+aN4874 zBCi16a)bsfI<Q4^UM?yk0xA>nX(-!F0K&ikN#OPln8aw(aGF6%L>zH_Ld0;FgB@Pu zgh`dhN}+6*Qs^j8A1^BwExK3fRjEf*u}YZ|v{3&n1<hDdXZ$rSQ-fBYwCGc2B}#S9 zr#syv(Lfd2k*6Gfk>$`3rZSW9VM>I)$@lc4KAHz3pJtb1{d2eB!8)g9o#fQW0tgdc zeO=5<QHX*S@glJ@tPh#_Gdy>slrpE$2M0nLY%Qr3d6a3)KsK~k;<%yDmEzn)7IVM6 zy$YlvL*#5osn}o;3X4Gmu5=~UY_;A)YlX&bVtd6TT1^#eNy0ugz8g(zZ2)Q=MVwRi zBgaLDGONt0i;PzfIpK>o?fej>!9^F*wlq>#Ejh;nN29o5jZYgl8B}H|AP7G2bo8_d z4GM5>c%Z>2Rh%Et7_fblA%Sw0M*<xOfcB+DQ$keW>RW<wir`|NvWW)Kv}FH8>!wj= ziuGjaC5%l2K`u6IN^(Ch0kIuqdeT?_DvZc5NHO$O_4z+>Enly8m^P{(mRDy&*V~+N zO1Iq%QC+|dg-><T1V#B92%F(hc&v`}P#9sH1By}GFzh8Sog6n@;JKG$d=_Stz(n+z z@g5q=?UXtn@+g`y@_eD|S*<WGtXcKM8V~UIYhS2BSuPDGWWrb}J1QMUwAnOA<gC+j zSsP?5u$w|F7y7PivGfqhw8*?Z)I)q^U^WX%gO+1eg0!@Qi&$!Eq!Pt7B1MHrhF_It z%0$a(<`8s5A9TSopGp>Owc(e1$B~&?xf3|KgEyd6OG-3ECW@r!SSD_F5gzYfnMHHM z;FTq;=U0F+L){CCh{-~*Rm7Iw?(Uc68fzlzt6(;!NBNPH_;gidf_~jF>%{PU^#U}% zJ(&&Tfw<X_XgTw=xcelSXl_@9OR&=vC33Po3WmteV9{jU*4xi6+4lB){rXU(p&f&Q zwN3JGT_m`Ohvi(n-Yv;!nYI%;#Zd}iIMO|?#S#?tqnr0A1;OC3iA@=iumXXi4P5wG z+3L$BtX52r>7sF^rZ>~S$%6<!ug;ixlT`Z>rdY?QA2}HyK?=q}0B88Y%b;T#r9p*J z3ChbOsK8^`qbQ6_iN1>tdR#D$?iGLKN4tP#eS06L;K9TUai}eF23tL3v7EZQowvaj zeJ6cRcVOvA76j5zFUc}J2faWUT3m0Ob2N0zy{zrwt~JWh5uHimL78y!;iDQsUoqc+ zgK};ji_R9?5sg9+=c0@Y26&zFkn7J`K1zpxcvZ7AS{9oe9WD;<GGVhCId6FA)%8^g zqlA>h^IaFaZ$l|rmOGee!5Myz?4gxef+d5fo$0kj1Y(wn1=g5FZ)O5%uw4cTgi_B{ z5t2GrW7+MTY{QgBOzQ>-nz89MOcGT(dQD`ApBB^zHG`qT@i!W_9F%?O+Vas`lc(#> z1d4L$uoM0a-Pkx6Mi{JFA@|qGD^|I?`DuNxZ5lcZIF*wuzaHY}Hi}Zj5TVr06sG>$ zV@C>Wk5geOHZGF6TAo9dZVmm?uyt!`?OtyyFh|+VhFIfv%Kc$a_$6k5Rn91N`nbX3 z>d2QwW~qNQho<Oc_ed}@GcjaL{5n2xe!gp3%q`kLMx<VigCZj8a!K%K_CDV4!(k9M zy0$Z(84PX4Nq@6e{TqF7(!3$b>|D{5E3g`-)jky^8ApF$hAC3>8k%x}b}aa?+HVkN zK5E8-F?{8t>4vx>Vf_O~BQ`X>5f<PG_6Cd=Sw@aX?kmu8fbq77ZC@^FB9c?5XPYiM z?f&9inGH7*6y-$5j4pO|+)Ujg)+e;kDs&k8U5JK4q!u8N5-`f4z|h|7NAW&`psjzs zxwHu{E@H&)eLLkj`gDEhR&5k+nC=_~dAXINo{c6#J}(@sksi98MSRKU+)&dXb(>(A ziG^k~0#t0D_I7!Qfi_%QrjZ)@9wSgRo6ip3N&#|b_=S@$7HrQaCo&!&F$@VstBNMa zOvT&|r@VQj8J?VV5#^T2oy<nTtS$U*B=i;;q?3*eU5!LpHe=RH`*sqXHg65o1I9ck z(lO!HU(!i*P&`Q0;F8(8Ob2}dD?;j=-M)jliz-M6ak)>NBWzqFv<;LfHD{Szm8h*c zG1BA_=?a6f+u-~f9r50h#ODo2rF21Yz6cswW0?jI4FnoXu$qfps?bJE{OMH+BUmhg zy1tJL*-~I5ki%OW%Z6;>oHMb>Ww=%8hYSK=D(6RTTg+_<qtp#{B#3Iw`(&ay>l|39 zCMg6Lij_xZt9(=|iiY~+UhJ>x@F!7Kn_OI%p?R8g=w^ZCBj@Uk`(0R!LdnKxXVB>C zkL_m|9KwQ6ZD-=>b5Zb}V(@2$bH!K}ifx`R)?EPA+P$oFxohDztSTF&b}2mSx(-#0 zWT@uIpo17N8}mgXH_c5X_f^WNwjX@);?|nC|G9As5r!ggv3f-fbuPvbL`YF279Mk! z91dE&teb-_)tZXVQW)czAW;LvNU%1xWpXf6F{UU?$-{@!og@sF+|ah~Kb?w+RkbF! zT^z15h>2SQ#8qr26(>;~77~ETtr1Tim2s!Y451-eBbm36%W3HmX=)Y>j7KE29;H{6 zYXy6f+L8+5Z{m7S1(e5BT}js={2L?c-hZjM;+i3m3WZBys0JmbIkot-$(lL?aL+ck zU6MNRnB{ISM!u68^TGUl%|v~P>y;DC$W4h{=E#pIu1-vpHA2021eDWY{0MVWBFOp* zxH@Rz5I|c7@LTJnj<6fm&=%>oUFE3YkPX;|A4}~S`icx;x(}f$G}EtIaT>;12wL7O zk5dO4Fg-)0+2o>62AokMPGi!=&vT(t_72f#`T&S#2+?`Wf=J16nJ^*^8iWJB>LY1R zc%_YNURJa7@=0`uC{eaOMJK~jFci%j44{I?C`qSRvrty}uW^Y8BU~p5YPsD6L*hn) ziy#lhI6Gi(N|LJ`tF8OVfdHJ#gvQu%d{3!l-A-gAmi#pv`5R)!Xt<%-aIIR&-Z$}R zXlz{vWeccAE2spw{TNENDVA{_)QAkoCG8^`wyKUCa`6dXJ}}1_ah4s_5!}Z$N5Fu1 z%J3i|T^scjkhGv_G8yiev~@B|E0*pU3An1uSwt5MVX2hOw_qYVUkZZKKXuBeQlhy# zElvqga)XO*gOSKdWeA@QuF28KO~HCN3Pz|~h<s8hXeurUjoiue97|HYU>ZRv)=cZD z%l2F9?r~s5vW7CVKE6{1Nil{<COZ%f6~*>sN0K@ffRf7L{G;K)<D&I&$eLlQ6-gzW zHgQz+4_x9>$w*;&&{cnwTCsOfEmscKDPdh)aaVDVbwQ*rLMJ3QBCEA5WEfF%DM|3V zT}Cp7T{sGq^8_$8^ARsa0~!fla1nBfT~);e8ZJCR7m@hkpcus~4#k53+z_1B{$r`# z+G#Mf%?=WG)RxY{e817Kc`8!fA`T`$<LHY#P>P}nv|+%19cGXvm<|)=VVIwmJNW5* z*;qzK=6)7jv^{YWb~RiVvk)k8m{H!4J%1{!bImmS6G@pql}mZZXoewzx;TVJ7!D8n z89LcW!zIyBS4Y2zb1ZtpQfP%`AqETUDl{qg#kvmfnh)1tshjat;+?jilykAeCxHo} zUPg6>Zym!$0?p$Rxd{Sn-e#p!PpXt|T#@m{2p<ceEn1X+rPiLYDv;Mwic4IW(T7wp zqgBNZWSGYhhQu_ENPstaFUdVLHMelpagHY@wh>**zB52g1$Rwjkr{4jO)Pjcv|aMe z#O?_4%V3#kLMQaI%ZfaqpgP0Sbw}uU*a>Sa1Rv++v|{KE6gV8uHJm>M!Sb*chL)5N zjgul48-miXYTlW7f_~D}HVn6nhc!jf0`oS?D|hbFK9(8r49SVkV@PFq*wJ{Lue8ok zIy^ufx`87n|Gr+2z#7>|9~ZG69<T}*kb-QPJ01GI4pGW(UJ=y6lk<Uj7SQ0*exDG= zSRC9WvectU)+(gq7@M^1(<l_80~!CU@zXf>gZhvYRVomtzT;s)PvA5f1daj7W*K@@ zg$_z&2MQM^(QJVaUxXG_&dV+dmgaa~9EA*P<dS06(c7GXR!>Oz*<hB6Ud%CN0hT%w zh{0xMMTJm;!R=)eSCe4-7x$THwx>E6!IkG(w@iZ6)x)Y)+q3llvr=&UOzNRz(j{{A zChVbDRT%<=LdP+(K~2YLXV+-E?YNGOP3gNRqp&!0UU(5$2HTrKisajYks`4?CBl;# z)BzI*hPTn_YIF>Z=801u<)`hOgIbNBkNZ|8TD<Y`iwWk=<hh!X?W^iZ1nFPJ@EbXa zINmqn{i;NDMt{~|DVb{53XU0swkPNvLSd}3Wo=*mrleZWIn)pj-lTWQ)g?Sy(|ZT$ zf`FD76xKb!7mUUmq1=Z&lAtLUyML7XRp}x63c7;m63JZ@+(z$@h9k#~SL0Q7xY`w8 zT#>>1S&Gs)R^+2*b9i{&1x^|jITZyXhE;JyOIPRgpB=#%r_{!8^RofN)r5`JB*MkK zM!V0bbb{y;|HaV!GAxkvAfq;ui*u5!lPVO3b|kf>&V;8<)90zW`LXN&%Hz(314(8Y z6X;gkG$(+9_!F;MW#90*5^Kz0S&8y^7_c)RvgL;7w)2uHC#$}En&eCInk0K`!FCnq z#WF}*31*X_SS~SG!<8y*2XBm)A&0DF;`MnmNORIL>;zJV`%ycyM}f7o5vkG>9D?{& zcI0W3nSWZVye;f=Lwa~bb`4#+HssOS%2~?_zRCo?%&CM1zwx=5<N_CMcQcIak4gRl zdt=fyc&40LF;|v;WxSBTz{E*Le#;blMa#dBLXqXItL`+k=3#l72)CiN3x9z4B>dK+ z_s&#V^mNUh=3CXO<cpV#)HnWm_^S2waMG*-=ZsKlDp{I{8eQjpBusW;H6OaU4~Ku; z7X&wdnFFWUwOyrk%glNizFxwCIG@OQF{e~`Y{>y$hBSn8+KTI$n<a5;9g-iJ_*$4j zBHR{4Ck!udPDj#6xTibH{qD|c#BHrK(P+ZwW$u(A=N@v^8}g<QSA(!=urw4;RB2k# zs%mm>LJ57<!Bt1!=yVmCf7Ck0y&F!WGdxr+*=ESScfKT<w_LK+{HHV5%nXDxa>DXz ze0G06K7DnNFD#+w^-J~alV;pU`>SW%eA5L>PQ2*cr=NDtRp0yG<9B`jCz*WZ&3B*v zo^$fQ{p#1={TKiF1CKs%+Y2}M@7lF?ylZgxxA*Sq-G58&u9=(rlMRoZf8zz^kB|J< zjjwylp+hHKx9*w0UAFe%DMu|odd<(Sde^NlU3dTM@}E8}6W>;=?peL-U6*XR<_~ZC zhj8a3Yx<wKao<mNeY~>f#;3k^=)0f!>la@4s@qS$k$%$m`4|7!mUkU`_SbLR`ef_q zse7*DIsA?#xf&C<xSfreW-gfh;PcCNJ-PqW<%fQ__k(+vKKQ+d*6eyQT=k~auj>B6 zre($TvgW-H96YCR{6OZnR}7rhzvhy4mq*9FGdG-ElKIS?*GGGwxb%ZxnS1(Ip5OS{ z-)x<=<TuR=kM*|>{qfx0FI@e>tG~126R)qo`hEZ7Cx89XHy-=It3H4E<v;tQTdsM- z-MhAI`_m_Oy#Iz>zqjo61Lv>!%TpIFJmD>GzH9%X$Cf?y*b8qu=E<kNf8G0@TQ&8g zn~r+i{OO+O4}Cs!$!9<F&ZYU=9=fM=ZY#Iz)Nt9GGE3ibN#2}%=9=07_K!|r>_R7j z*U2$8Ik7*u@yvebPCu^tnZnI0-oy)vd2~WQxqkJX=X|<9Khbmd>08b!UJ&oN`#W1c zeDi~MY`?4j;LiA%c+Fow_qkoG|L(m@4m^GHpXJZ}+pZhmP`>fjHTS%*Z0n(yuG{ps z$CiEf&>zSBAFBS$Z27Z)I^q6f<-X=p#;)yuw|du>p#RNlZu?=Jym$Bm)my&zlbKij zx8dubI`or6*YE!9cV6@9vu}=9EQ?<H<TG2geC?lp{<U|n|BIvFG_sm~_xp3XZK0zx zH{<+lZ`bVgAHH+Nu17!lmGR?tbU%6dAHQXC^{y9>8+p@FuQ}=mKUh}WFudlL&u=;N z=9ME$fA`Mb?_MJ7dp}W#-gC)tW!>rr?|lBZ&bXuJ&JWE!_@=X7xaJM}vAc583x9I= z-+klxpP%vhYnFWf_FLyJDZKCfZ`*ax2afIj(_cIE{K3y%vcK!Uf2jKh+uTDB-MxF) zIaBBCxZ~!}-G6A{kM~`A*VjHXwsp&0ult+N>^<f3<Nx~aKfK{XjhoBEC*Jw3D;wFV z*S+t#rE(kn<crT7x9hmcwXeVUcP?|I*iM?<-0Jx;y&j87*lEMu<`ni_G@iPJ1;)93 zKCCuP_{+)$A62W04?f5c*}{e*2Qe_d(tXP<U(NjOe9vnaWdFXoxeTz%_j)!3atroT zS_d(Gq9&5~pKG;>&5yi4{$}eUF>?-j`L&Z6Udig9+AgOuQW+@}f}ne}GD5ai1<Oy^ zP8owhMp_#xQA;Y>$;-&Q>Rv9E-=Zsfi=^}O*qR~FSnC<g7A-7%?|YjzDGQU689xeQ zl%;Kp#@Z}Jt7Q~#nyx%KSu7Se_h9XZIF_#{oo~-$JPB%Qdo%d4w35$dvF_7qWuC>5 zRz*{ILcMa3iL09eyxp7D$whX6eD!4PLzW8#Ea>I2(j^Pym7aVBLp5r52dX}!T1<qu zZl~rl(a9uoS4%M||CrfJ@fa>O%joDY=tS`;^b(9ZiB!~-qAZcuj3NSz;jmd0gH>K9 z7}2VH?_gUeYFejzY_z!WEQDLHDNcQ46my@GD9Dimg0IlY)X3CGVeEtxWOAyllXs|2 zT8+l&vsYg|IT^`9MMQOiNou*;DvgfonN%68PA0uhuuLS6KmN=!9i0^NJzC#?M<=Wm zH}7e!UadOm>B(lNMhNZ4kWgy`OOHqWJfC0wV5*bsbJG26TPOLcL3-;EI@!E${|V2W zeDdh%=ECaLSToGDTKN|rUg(*sOy#jJ1;1X_b1QpxEAI%57DM}8)JcZ@AF6rf7MGEu z)f*i>>gD~UdjsjMNd)2RRZ;tCns0O-GheUNiR?SL>5yw%Udwu&Jg+)w9oC5!g2Pzk zsM?=|OaC`DHZ@ild*+$e<kXm2H`*|@0e+OX(Py`A)pqz&TPG|yUZIoYr@nfI((FDS z^I6Ip);}MAyw%#Y>31L2ep1NxAYBQMpGs{uS*zIK!vojNYOUzxllOG5UX9_R$vt~^ z@17dNtmpm(?5>TBl{X*t^Qo!j4^FnQxU>PCOg@WUBh8<m`UrByR`9b*XY=NhH@DEq z=FQ#Ro5!$nL~bqP;qE<CQwYu5ccUaYT)AgaC(FG~(y<F3y-ud6f#RVPbgpAp_bYXx z`lU;!5|Y+d#xBh?lD*{?)ycZ27s!*2U9ztSo#@yFoj`RWn-7m&+E0uUW9)+6m+7Q3 zRv8-``|4L2yT(vecEi-sN4Ist*rhrtv~`mI3~=kov@dV$ZtJ9bp{<kFzJ&$V$>iwh z>64db=tWw~U(g9Wz~^SQP<-;qJ>6qtG?jGhqFuBYyRd>gS>BwET{5>Y+1kL^HJWMb zWaY|_sJzgL(kYiuE{-yG6|sL?rY=~UMUvU)#<P3!Q<dy<UX5PXlPmZ5vFi%G6OE); z;zk7jBz%n<9~bqF-Z`E$-sroX=R|Fro%y!S&bTBMwb?l+*y3zp!;@z}y$uyfnGe<& zp4DLkw8Y}Hv{8D=KNv+7^6*?~yfLsI^4RQ5?Pgwy-A(ZOH)0Ev>?QSs<b-IPSB1o* zGIldHod;Q;^z>z5y~f9d?GB>1l3i!<TmhPtEq%%ZHaFESX#9n8(&ue=wrP<*@OILp z!HVJy67+UL)z`8Zh-2&FsjW@w##$YoI`A^}Q8eh$Ik{i1_;UNTZt&Cx-qpaPap+*{ z^N4&Z3tp-D^1}!|G~Q3E9}#iwy1LRevA0jzd#!9+LB-Z3*yzO9J9j?=UdvPA;RtwT z+ogcRo^m|VcU5?6a&`4ukynKqMSX04+HIGCSH5aw8-u%U&2mbQblwMu39o6WtxoB? z%0Fd>{?$(FA8w3OJDQ|xx&3MrG{c*&YaVG_JMhkEr`pR@x~bhvS+`Ld)E8T!lHvpB zT^e$bWB-Bmpwc|T-e}{@h{q<>=~CrI>z3xlMklh{*vL(2oadWos<#oE>dWZ1UgjbE z2C{lg6030uugawGYb6&dT1Q6heErnD_Y{>urb@o~GBV|T-{E`%lH+SP+TI#x4o7`` z@V){v>#o9Xs@75Kq~*-b?ZeIC{(XOJYV)L-!Wdn9oPTZvTh!Rt?#2|dcJ;~8J^9S& zWKZ|#obE&Oqc_)QN4M&T*8Iraoc7WA{d0_<%xVigbH^zfOPPK9##-I`eS}MJiP{Kd zOu|+ugGA31gQ%u$SSXJjIKZ5JFvVmH*dW=_?&zjGV`$|~Kpz)5=y9DNkw*V~w0kq_ z9IRn8dUv-*_hh^JNLL$+3(qK(ECmp6<(@BZnt!Ay@B7He*&9?!uW*e!MxPfy?xqQu zXMHhnxw*{uCJIw48Oh2rTG=ovJw0=WZRo88(6+PbbGAlL-q%{W5*CUYFBDc6d|gwr z*muO}GlSm$P8Xf@F_sagb%ah(5>c$rd;9UsTYIKbowU48_WSv$qmwCgqDo<0SDm~O z>3N;7ws?h34qbBgJ_#l-L2)nFNo(`iKIZt2P6~z54RgC0fY1rc;UzS$hpCSj7DeM@ zKOLPg7ZB@iZP+mOV5`;9Ns3n))w${5zDK{g@8EThIQjTn7hd|Uy{UkXyl%dO+5QNf zXe(4VGPapnarM~LN-UvoZjDZ1RQ<q#v|VWWN_4Vh^VF1v)jj)c>*W3Wr=~V<o+2Ns z^Rd-q%BO~Lx{x5eKuQ08|LCNTRM3eUZEW|G><D)BbqeF|!@KUDJc|JyUdqe*$@^R1 zEKEw;Ps;mf1IM=xzOj3Oe$vgD#@PP~ov;{&D2sDct@NnTyy9)(+eT_%wyl%V@@CnP zEZ2Ub!-)2i%nw0t_afyh)d}BAX#IErDK7Ya!WgIhM89j``w7x*wLW--6u)!+z0J#} zr11BbRPWccBVFT;J1~W->yy+mpOa6y-28g&Cwck_Hvrw04PHJfgVe3uFe$~Bp94IA zeuC|8`iaU8*V2BH(o&yQ8^YYMp(FGEoj(ExX7>>~8Ox(<UHfT2QGz{FsZJ)_I(bU# z`|SH!uuMwYPgEy@PM+yrpr5Et=H~v@>tz3arJ)YnoUBT`PFii9c=!g|yo~atgH-CH z82H@qxd$idC#b{gWa)DRd}^nkY~IbCFgl^19N4hoWjgUPrJvv*-1qeZjkzmN$(#NU z&hK0L>hV-wzSQ*M`^gbH;l|6mR?F*TEKfgColJS1l)XGW0y+tcSZ;5-Oh!)5Wb*l9 zQDui~X+Jrv6P*E6C$R&{BpFxL&+%Zq9p@<-@Xi^#jxYJ~f&{#P%3F_@<mXerO@}js zJ{~O6_3<b@BdF!1={JC-t|z|HrsdywA%4WOVW4`x5|8q4I83LY@iyJ)9)CuV^tS&5 zt^S&JxKq}6J8ycva4|3E4d2h(Dc)!-;-~R;zN9BTI~i^F>7r*QRTexQp0Vn8&O83C zrvsa9yd;$!57^sv(sV>9?emgJ{Gkhxz+wEC=R-D{NQNEir{@>}g>f3^9hR)q1h*p= zrvc#J|JZQ3K~T>v;&cAcC_L;UT}hgD{?cJOKv{_GXiPU^*MLr$sGc444m_jzfM2P8 zOnLa>*Z$I%>(46^axTp$;Flfa)El7ZV%csxzQij(n1(4s%i?v{A`fZ&Fi$?O$AiWq zzUI@omH{i_3+;1_wnx@cX83pT3Y@yQX_$=d;<?2%P8|Rv6Yv_|chkJqi-BLM`P>et zbtK+_AI|5~|5C5urDQ0Pdk_!t7uuv!S4Z%$1FPe-)WcXBc6#ww%aymLT%*uh4>o$W zix++W+Kw3OMKD3sQc>%iWr1d+3zd2l<{fnA6*q*Q1BYa2gB^02FY6WOy1qzE#|i(o zY0-Cttj|LLpPZp3q|U!Sv}yTVn@ZKc=k@eGO8a6_o$l1)g$?i5W9GOo$poAF%1{hB z$=D<%&JBaz0HW<luVvz8DTgI|7dkCa8eagDm$nuK*MTc8Dfknl3i&kGE1d6M9_Dcf zwQ<`44>-32rmtyoU<oX`PDTY-UB)jut5wjqrNuBQvBD3-b=-?7N=V1_GV0)F+JVp# z(4Q|q)Z5rF)H}EiKao`(+0}n(tr8&3@~1xK=pTT{vq(r?n3D<~c~aICQysemG-&yS znk%sS5aR@47Y?v6U_JmL807p1sW`CN)dx3$A$Be(DvgwA$;*Sx6djI3&nmv|eN>lT z$=-=@QpfV1C&=;4unpFv#kmM7|Hm$6#Ai#((|yxuzf-tvQ*4W&6LTU~qPUZqg4=nt zhtiw4S57y=9LW}Nm5(}op{tc#`sfin_!O<N*HIcf@~X7>*%YSKD651Y@$yO|Z61{5 ztj6J^{i2+w9PNU5b>SkXNhx;hlqSycOKB2N4zvQj$bw|WZR3;JcA8%w6?BSigJ0>9 z-767z$6pL6py=O9>Nue>IEW3tI&GR(15Pgi?`jQojX0x5BPSR*s>v(H6E&5Vm6Fd` zw!+XX#e(7;@7(aiz;M-{(lRMB*FoYu1LC?Cx>Wo4V@VyN-5MF9{9-tCSTH68Psfqe z>Bj_h?`s4mDNr-&TcbgozSiYRQ$Y$Sz>jBC1QaR8jHRTi{IXoLpeWqXb00<wCy-jA zBu(>9Ndcb?hkRXq)T`N%$7>D{Z>JU63&7`k<wdg{;X+CjXW=1r%0$Tr%WcRa+>yeM zJtpFuegzeW{XU+pP#!-+4TBG8b=uTIDLXjP63HOriqG3rgWm=p8L|pz`;Ve)`nazY zF-LT@t}h|1B@RbvQz2p%;^A;R>?6#P;|+&|tcQb(i<Q3uFV)+1ql+6QrERT;^mU3P ze3=tX4ZTk_rg1j9@D?{BMDEa~oET?F;O#KyfMOTvU=b))&C#Wr&g#xLL#)9LSK=k@ zoakD>g*hr@wJY+%c^Z$l6lw`{VTA_cAY^4;1;Eczu#&dE^=xPJ5^%45@O_aLMW7Y( zE?WZ}tD<|4I4pg2v1zUWx^q`0<qXmvghd;Xn~Ff!%}2VEqv~YbAuShk#r5*>aEgs6 z)5ir`fb};|`E_Xo&X+95y$Ea@M<Bj{-s50<JVQJnr*=#@3JXDlUB|jftC|$ZqYL_* zWy0OW&hdxrmsFxa9XVn<LG4wo4Pf*IIbUF|!am0U&hp9{M>fFd3c8j`@JPxVwV*V4 zgj!Fq*)EXnVTCfcCy&@{Q1(ZxQ$*+W*T=SH#Rul4AfYPXwaX;t(_94*_^MYAn)PrE z>JCE9t<Le&knhd-yy>rr4MQ#p)RE)@3CkeboY-kwQaEVFjq^M&>T@#fP=1+p3)>E= zQ4qP1Y!-D??Y3$gn;aB^44fy6)(yE6jg$uAFp*}-NXd$|mM_`LvLA`1m6Hr4uxw}< zv>`#tWi@L-bk<sDk)&ez<Ba%<K|xv+IeBy{_j0Hug^+UCm7KO6lM`3+Kqhy`u}2-4 zO`t187rMb&9THT#*41n%)e!Q;ga!|eg!Sa!8<28nZ9Sqcl*VVwO=!T-&ZtaOyzejM z;K2jg_~rS<rmiu^1w_r=aZx)EISr6cwbgc-2E^#PJoI_|*vz!(pxDl7Q$L(mWUAI& z=P1DtJHq)5A;j15Ym3OIMr|T&Ii=>Y)v?}#j@vF#JsO~NIN)?HdwGzE>56j6G!Mc7 zJD4)!q+;0_DyHUuF?8f-b5Mbplx<wLAjHF|*cq9a(H*B^CY-*>=(3z21yke(pbkH= zTm%jro+#Oqq;C7OIhO-+o;by?L^{I7_fQ1&wEP3ChlJH7LFAC2#<CMmAyQMBMxh4h z^&$ivo>NdNZD)NlakQo~Zc9P2B7XR|G;}-Lxs0P4C(5>M5zR&EVT@~DeYA4@NvDTs zXhLS>T`r_?%_k5rX-#cP#t(iSj?)y_a#{ja<4;5CBBxkd0Dkr{5d$sB0YOtygXN90 zB!Xz@pB{5sBEVz`EsdVe?bG$*G%r8!Qm27X0&TZIof4+C+FObHQMir|FOL1-y8BO} z`8X-%XeyeJ7%pg+(RZQ-A1IF)2fDRBHKkQlJjypP9|44brl}w0JRni_)aZ%Np>-r@ z7+Iz?2PaD10#mM_s4PhALK~?3RTe-90Co)rCdw@8UdEk~*sxq-;)tVsSduDjE$Yp1 zRJyEXOJsdjqq;83)J5qf0vlQuF_aLU0wr=9aaxcSDx{MlNL>6@#+8>kGU#4DQq=|X zaUGOWUZVLr%`Qcc1fbP|*oc{n9EjrTmbyC$Yc;Jlh>>&1eb8TXx6YTStAwf@+)|00 zzE6>P5aFX%m+5M~<}$P}Xjx=rwG+f;?ZY8B(#N_0c-gIEroMtsLj=T}WBA}Nc0+g) zlr+qN7ZODs0sd3RI?j^<4S@bAK`&tFESS2;k~RdhsY9pgLT;#R!cO}y*~q%tlOhA# z(S){(q)cI97)8<JGHZpWTP|`L@?5CJH8rzr<Ju`)#7a0}6+*e5yn_Xf*}#V`RYJ#( z-45HyZ3K~m39r;Ic;ut#G$kNqr*{YRaFU~_$p#l62w6kRe5Q_Wpr(CG!Cag~PO3%$ z{4-*$(~aKx$`pW+uWkdp%}FVGqy_`FD`Mq<3RuR5&RtMWY(egfqU?RNZ>0wfb5l}_ zy7c`H^I;rXwC$q#@cwOi&W6aXGC0x9dBixfk<_Udc_>X`t_DXcd+qy9Vb1B&PilT% zV@?B^VsLszO7j}_SSnu46FbXczxnI+?juCNPAq@oX6Y$FoDA|nsfzxfRdHdy493@` z`MyxQmJ)FM@FSh}eIFt|uPnI;POZlwLF$I)P0NNRpH;7;q>B^^A1R7+63&oIZ?okJ zr{#UY)$mIYR{{brA#weKhWetoVUx6oACjZy4Lw9uYFGg4>XI#~X^ccB#2M;E3wJfn z)mUetFQt;tX<1{e53J60Hw!Wc8ptF35cx^&ix3rRV*(S&_0%Z{DS;70WE@OfSPv;b z48xMtdgY@ahcgQ1pXwS#uQ`=Y$C2Wkru!ckSA#CNs=8V;$@&gOa&en-fmm<ke7;gx zV#Gwo4I9T{<|rSZR3lYv$al~zh&k(s5<sjGSO3S_py--<lY2dIP1k~CS}!u>^$0-e z$k7fyf=KW%HxXfy7Gm4zF*<7TSBEB33BkTlEFL!8DF=D2kLngaNptrR0L5{UEPW(( z^E&3ZdUH_PBdi<)AIbv<t&H^NMzCh@TsdMEF^p6kfD~y4xvEn=x_VG&l%VG_JH<Jc z8Jd$-kTxoq%R7MxE}QcnA!c0v6R}!hq(|kkPBl{I^|%EVhZ7+!)DYCNcp$?(UnJmk zo`G+zY3gi5({hFdT``P$oR|y5IVo~$V!;h(X88!(#pjNMSsP~EcPKk3Hne#|gma)` zQENU|hoY0CfH~oSXUY>us)Ib*bWS-8X?gLq9`Ockni25$J6-(?_LCufCJb4eo38o5 z(HEu5Jnr7=O7wl)da7TVzoKF1ueQ_9_jTrIOS^UBu4JV?AN@v=de>Ye%qLmeOXBi< z;%E~|Y^iC|aFNxPFO;2A%{W9>qh(a%As1G+&EG30)POlDh0|i?0^~C>Yt~6PQJWDX zHDhz-P<BAXdVxl)5%iIQW~p(EG8sr%{ZP^bARRJ|mrz;(Fd2tubF3E(gG+jk;@Jsg zSsw-xsAi;;bm#<UJ;pZ7NYsm|!`>)&)8lXNiE38&qqs2a8DgwwsLZjuL}Z?mgP!7g zz6%(!dx@5zi<f*_I(!IAR_a|Zv+KZe*xiE;UuL^z<BHLa=qib!qsh_dM3KxU##IM` z<w>$s7y3~#YFOK!>y_k0)P{?iR#u-_lcY#{PC}Mr7=Wlt@4)a4hK@x2p_$N=2qNl- zJ(B_l)KjKOGNi1xHcVE@5^E;3Mdyl^v1JE`u5Bo%*mbJ>sG#|a)7r?Rk>np_#5Jgc z3sxn=ON@X>z6n>$PxshU5M5NfNJ~g{jmPq`D=vQNR)TQC#7{p9O{x|hj%US^q}syK zoE0r}8adLz9*f<32CQ=J7l%b=7Xu32%r?*&I*$vkYXr*1(s$Y5qCMmBkgYVqitS1a zBxb=O4YtceeL8jr=!hmxdg|idTzUkL!l37P67f4Al_byNwJ$^vk0Y3X$w1jcb$NUs z{Gc)LdWcFbY*@~cCbi`IR#}muMwxY?^%Rj#lx5%x#5xn{GFCXn(Hobc7S!BuXs?DA zb+X14X2+wjd=;;ec%Ec-6m7y_i%~3xtOkvBIS#K~;Lj^!y~7GCv>MGa2$m1qNahVx ztVB)%=Ll+5DGVm?dCr70dWraIB%TNOy2RrWS>mzen2J<k;c_w_;p#A=xvMFKS#$NN z#B;Ib%J4-ofpS7_EG=-t&o=59{T`vLJ|{C1)0#w9n1Daw$y8vem$<|;VY%;8=ioKv zb0YdORl$zfGWayqAXlGe>YWEEkg~HQlJi-#(OO!#fd?%h6laN%c12W%yIvrYQPh)` zs44MaI!7&0DhOUUE|liTlHLlfhkI=`vs8);JR>e4?=pi<>0Vvtjidb}HkZ;E`CdZd zG^iT2>eClBhx#p_Pq85eN)i~M#sy>*gjaIA$jKKlZQy5RlB`xKj$;~uKH3@P&Q5Gb zSEe`?6B15HIIodP2xg$V7t1OAVTE~TLO8QARH?0W<*5?gTxZMJx<IEf+GlLEXE-el zyduxu!CgTc(19_GW*JF?<thck*d`#UvtCRbRbqBkG71VU*S7O89@1^h$ZyPtfkCcM z2ef)s8)lZcAzs3+HyhbrYwf8QZgXf$6%q17)DgXeHDwX}#(cgvy1-=y=jDnpY(h8+ zN>m`AE{bYtX3n~56o1lkN;LB?vupVB%EV3yhnB4gu%j-;dwWntWU}G-N$`LKYFb4` zFIPgO%m~3LB{507?&%YfuSX@)!V8Zpxak0nPGq!|O(&&%kyj9zRs$E!supU)@v?p$ zd7L;C`h*mXTGTb}dTOLHtP=Jkrp}V;W+a+H$|yLGhFGyosP<9kiZ4i{-x*M+Cxy9p zoR)d=JEcLB300$(8@r(#(m%i9+jD#32c6=KHX6`aJ(H!wmJ~x*sZfRqodw?eG#3+A zl`QuB9L+fFby^w33~pivL)N^Sn&N3gT-)oE%LE!soQlV51VvbMq$k28&%jckpVWK; zo!3e1MAc<dR5DxrM+jta5)P*D$MJjvqBIcD&w9_2YlCl4bnkjn56SodMMQ+F!^8~3 z0DLBnBnBcUk)_i{_{s%nb=r{AJ_G#$3DZDgXa~~f111`SC@3BpLF_z`76(?gBE6P% zf`)zDH=!Xxw=3vqEe)6p!aipwGq9J|L*Y&h<dv(9p@he}QRr#GAO!V-%X-i;=L=gz zJd9>`6!1r!8YT-CaUZG1(@H~|VRltofX_?(hCV|BO&lU}WKG?S>$?cFc&RS-U#kr` zbuRGd6~XNLTMMVeuTe?ywIZ!*FxU)b9%bq|Mj)lYF>0yvlUl@rbus@%T2o}GVswgv z*B2WWJ_x0G8sIsk71fExVY80(q0bb*epr$ws|Pi5#c+c~p|C1>Ll6R#pcIpMWTX!x z`y%!<U)#UA`MQAP8Yf-U=x314h#jd0IUZ32fzf6(E;mJ&5-O1)PKLr@Dcuf6tUiYr zrs#bBG@3?$I=l-49+?>;Uc!J#OS+Yx=mWFbnIwCnEUWKKx{~?68{N*^F%P8U67rJS zbLhF+tCfbUa?o$a4rG$?*=l#6<a>ji%U0f&#F!V_>jEc^u{eSk@Lj$tsC8heta44} z65UHir(`TB)=L(t%yQUPf`0pWDI6~R^y=CAW%2h0O%POhW#CYO35F)%E$DkMlAj-E zz>Gi7BcK_{*Mr;RJ7y3Bry5V|ow1UmJfhUg&Ga3|sn$Xu7ngQ2P7W_!dlsXF+z@1w znE=NA)rA(bZw}FOV+mkF?{xZ-ysR45aUo!+f_-;$-%T@NDIPw3cqZhIIvP5Kdx7Fn zS(nc>q)he^6xWmCjqtK3=}~5=o=liWhvlv$ziWx<x@jh<-9+c?$=oG#h+W$i<tB29 zSyeal()j*N%}~t>W0(`%hx?AJSrhhLpQZ7hfQsdq&miuS`@h(GAMm=WD&c#dbI(mr zZUgrwO-l;2?1TuRA_M};I32PRE+sI$&Mk2HH)?`1yr}bN5hUm!-S?8#ONx+U3lxP0 zMjQ<DS$u^N1>qLZK_}RD21Ol9k(u#TbTCd^`B!?s-`eM#o1_JG-tT>$Z=QKv$vu1T zwbx#I?X~v5bI#uTXiEjlu-RFi{y#^hQRQvujir=d>idgPU(<mUJne?Y%G||jG}p-u zP)@6G{t9R*%%TFlu_x8ayVkQB9eMpjnZplIHr=I8Xy|Ip`PZWK4j$2z4pif+wPcv< zd7ac+-~01+<v+PjZymaasb7;SouN7JZy-Ca3Vq#cy%RDQa{LjwcaDtvzEMh#w|BJ; zF&AS)wK4OpRs6F@^w5*px_Ch2!Mpa}{Qn#@anIaiPQKy5*2Wv>-hKb5w|bS@UG|^; z$9tBq|HA6kf49KT1?xVf)~#<mXl-WA(xtvy*jzf$w*l?uj-?NO{p9l&E<O33ufBcZ z*?#b0z83x3NB`vZzZ?AS9amj3_Nnp}CtU0G&aL<xH}1Wv`^o!1dHtSuf8sYMov>r; zA<qt8^|tS>IpoHN{RORuoU(D<3+KJHdHK3bW>*78RQ&RbCtu%HZNKN=){H*aJicwY z;;9m1d!Jjs_|daA-PC+b_Q2oVbT4PhsD)428%JKc>E5+l7jL}r(c8YV;ez4OJ6>2f z@x9V*y?6Xe%Ok7${$fpLYW_W&mv4Az;zI|2we8!V-MI15mM<N&ZR6sV-#GXiSA6?3 zkAD3f<wv(ZnekUO`VH9R#vAVRzgS)Vqrdv#qyPTbUrX=VbMk^E*$3bEsS~sJeqrzS zt3G?!*MD*Sp3NUW>|Hzl<%owKe&w$=?09X-vp@gFy|4fL!A1X2I#jLsVEYj-Uw8ho z_ujwb=U-p@^N;=L)iw9Le!*)S9~rs#hD|^I;l>vx?p*TKsgGZM(`PU1`$%<u$pW+! zY@(XxG&Qc<d*#h<T{p30?#U;wZt%lTryf{0>Wz$eyUUra=XNb0dq7=({DREb$owZq z@4wkwur_<($tU~F{=_ESINLkkz2}hy?>%YhS1<j}$#aWshu&ZN(kIWm=1<=8(|^9> zj`zOzXXk~Nb>Dcwd4K%m;tj{{J^s+^hW_We&%Nua7f&)f4*THV><KF$*?7nu*S9tu z+Wcp?x4z&V%>i+9GgmC*c+R8$?Z+dX)$6a@y=HXRobgg2NbxiXqkQhLJ033{d*1R} zR^52Z_ul$X+jv|%dGn1oeE)k#ZQXq6XTQE<`vDhT@T(ivJY8OOYV~uEj<NdupO)`l zllj+FX>(zI?``jS&)jW4+_*9OZ1LD<yqh0==$Y+@y>!{9AGz`I?=9MP!GR0rGv)BT zTS}uZ6&sf~U-+TLD{tJj_M0c&@QyFM{Gn}U-0~0q{8Fv|-AAo@cHIO2wD`+?H^27l z!Iw_@_m@9<OKoM_-k<-becSG@FPd{__H#GBuzTeNzyA1^2Nu6nylU;{Pp-M}j#nPO z;PYzJ4SSzS{V?3{=zX<MzUS9%SGTOWV9v7Tv=gAjThGZnJ#9xvk$rXS2<xVA0-Bmm zTT~y>1OYJC2qf!;Q>;^s5N@4kvd&kQ=}xKIz4zYBiqJ<{x!QEBERm<vF_W@OjMdOt z);qI&mt+&FcbM%#EL+a6P_ou}aIlzK|9HeVy3WJtQ2G2!ocO)^+Gpu%1rGS7?86aX zty|Vb=h=lQdxjKwpNKmpUI+FwlKFaY(VG30>=P^&SJrl?*#yA4*p(~m>qU`?S#~;| zVtxJ;6NpsPF>GziHm8p4$e%X6;><H;9qiex@aG86!M*~-r}gE}9E|X?`wi*4yHCs( z7cENd?8{G1HZ98KkPbXM<Jd2$7G(};??6{hvgi^#IZ%~#yRx}p_{FiI$;c)H`R2#z zsOz%f-Pb0vfLn?jl}l{0WeYm!>3K*Np$oqDDA7&qUvxH^6q^JjayHrB^H8>Sm#V$A z)7b>Q2_I~7udJD!hIx^9KbvGS_4Vj6@c}%8k8L8QR{7r47FJ|A5Zg@KWaVchUT~4@ zqE`p9lTPi%TQB#ZBv0+$y|cF|wX>L>+Szmr>&PdYw;VZ~KTXY<hfVhGWi4`g;>FD1 z<5L?RpFHjH$upJUYcGmT?%psl71@NHS<RD^$Mnvd$NoF=VS`>z$FN#7c*xyoYo<-2 zcJk0eVw0$y@T8x1l5ckF!AYAyB}!IrC$d*h_Toh(xl0yL?%2W}g|9f9+`DO0N49nt zW2VYBQ!A$mv_>+%{&KawHaxtC?Pet3Sw-{-Rou<4N~fP<u}1q!auj!-+qW0(H=K#z z-zH5*Me;yZIPQ<xM~F?Lwc%1DXWC@%+nwxe#jLFqp3WZeWwuSiL5bffHtA*8$)?)Q zMeKrMM*}t)K5cl;ym_@(_wFqW);2v<8ytK*yW#QLX^;2JV}D<5N^GLk-5ZM8-V=M+ zr<&gVc#qg*$BrU~B-a;d1;aJ53DV89i9K_q>e@+mPSQ@CO|ICsG$Zm%!^A!|srOwG zNxVlveI@F<)FyV29F|S>sAH*DZgZ~7II>BN?hTvNs=Z<pI<h~LHX?Pm#-7;eJR_Sd zQInH1apGTI=;@7dv78IuPWlKn^~Yk9dfz2I(@dLqZ<joZMeFK8ViWo<jOS#i9T3Y9 z+eG@V-fV4RQ#Q4TT`<|~cKR;X)UP-bo78HB!O2a{#Z0Czz2Whm)B2j{DeypKljamQ zQPOuk&bE@KML+&AU5>K}dnGz*(swn_?z`B3c&ikIeT66C1Q)p*Q5EEQ0<U?w);A*i z6E$JywnY04Gt27x7#&^(eah|OTgLOf^MxN_6S;C@3#{?)^X-#DXV}*WB8n$J@@*%7 z<y`hTew6)*$^J<8r?h=ElMlazJ0S9Kzm7ccuZY}XKQ7_0pYh~`*uM<-LBYjo7VHz2 zGDsSsb7=_+y@cUO+2S&&LdXcw&e-Mh>{o@jEb)Fv9q)I9&OjIN0PA=i_zNyptq+Q< zQT*Yu7ZdsTxUAc^SY^*=wBIpKr!KDV!_3jIsNm9gkKg-8WRD$t>MCxJ9VZ~U{f+)r z#=3oq?9Jmbu8Q{=9&xkkmVJzkZttK=*InwCe0e6_hRdCW+XKi)@q}Nax^xBm5W|i< z;g&Y(9&y>Xs4gR4iN9z>_70M6MTxNNkF=5>`xYxF9opnCxGLTssZ@l|oo&xo>UlqR zN*TK6DNEzwSDhkzDxY7LyS#FhQorye4Nv-)U3Q9upLgLv%J2^j1B4e`b&BwM-oNbc zUHpQ}E?<3qSMbxD$)ip3R;krFrK-r<a0Vft{fd+Y>&5#TFIppIuBv#SBf2Y{G)J{A zz`aWHx#&}s?za1%cKa65(K7ZiN*USjSUIjMsNzQZBV{kA416N5=#rU`>lbKhk{#28 z9@_mh(EDWl^N!q9bNd#%i}@|GU$LjiUO?BE6^oZd{fd<|I>m-M@~zd_y}f5@=P-Mg zdk!~MJC$%OD0!^HCPSJl-4vNJ5;l3o!CC>5iC)?}-L37}o@(CW(lpC?5wfSe(AzOA zXAsPu10;O8kAK&+KlWt{?4o8@P!d!UPDOkZ<Quxu*G<FJRFTpbi@ls6^6S^UUr)!( z_HEz7-pOWWGH#B*Zmt-b7WJOU?10p74Djwzr^)X01Y(=K<JA~3dp;wZ>_&GSxryE0 z3;?~w;VrUXF<XmlQe$U*Y!h~B!2p}oVw-H*qk6DOx~H~}O)y#1hetM{hBta@@I}df z0)~t!99yw{%l0iyI*P^ECbjG!Heo;Go7$x1ski`jN778zrfra|%?{TIe}`vk>fEKN zof8w0O%8bd&B(WJIph$T0i1T4lrY)#h}N*lp4u_JZ<dZ39f@jnn@q;z=WlN(=}*gn z6ExytJ|+7VrJY1osoqYa3{#A!w27iBFp5v@<TQ)no}OxTdLp_4x>UNUb|WKYiu;23 z#jwJ8Ds1oFDjeAJDkr0;&orl+qj{{HuNAcuY%OIizDe2Kc`L%B4z#Uqwm{a~Nfh3H z4p&bw?b)xLs432!Sj1D8xo_T1kQhmmb~0V&rbXQ+a%c0V?Zi=@CVf@}lgyc&?;Y|A zN?>+70YN)Sf4bgIQnFt$%RXjzCdG_);-+)hMB2&jsjX9+sO^{c48NnNwx3P7Z^R~4 zgJ?!XJIM~#s*<_16PXS5G;i6Sq889jBAck}U^jSd!WkcL2nX)BW4G8d*u8!GbUTT{ za=-YyV4uEgMbu72tKQr<Zzs(u**{7*<l0Hoo+OuEY|@ndZS4eAoK~ybBuV!N_rHz? zy5(Uu=GR=hmFBT2$B;U|`3}y16FB`#|4j<=hhqE})W93GAzveBw>tF~|ChzJb$)W! z)RcrI5CQXj{(+fSUKIUjz|@oTE+>K9+>a(+m#-{%5Qt?k;u_a=1Zp+@AeMlMKcVTc z&`DZ}Hxd!kMe+*2>6B4giHTwjz9hb*PM6Rp93T>~?&?xT9Jrc<QxwO&QT#XU6HEl+ zM*eB4Xg<YTCjO#p<V#YVf+wO$+$h8%fZTK*5nqvNI**8hAQA~8*HQTLR!tzGH!h?W zJRiAnY#f+{GcFWMF@qrDF8G9}Z)WBl;bqB)K<uDR=W~UYxg^Go5fbPi&>xi_tQ6Qp z32`EiyBeYO5;f_%!UPR)Q~rMmqCAm9WD$rE;(Oy3;dv7GpMe>CqCAW&=ZWE_#KTI7 z*`NvX8Kyc?(g;pqOcqzTpM;_e1XGb<47qx|yk_7%D|n$y#ObEQiN9WY;)PCLl{-hS zvm#^lOlv8k;2P<LD{r%TQ_A1k80U^Ui2YHFqqR{|!ANTP>vJd<8%4g+)rxS_d^~9+ zK*S~TCx`^MwvHC_Mf{^{J)$AG2aM!NI7IR8C2*Z|Ur#ImXz{r`O(daE8ni4po;}p# z1rG-DX$zqAS=k^5k<+}DXI!dS{KyfMR15)(9C^6f#21&w^(_f*I<}5UJkuN!46dH` zTUhgR;9M?J7c{>aU}yOE_lh<I#7+DT5nZP1)`1_GZjaO0gp{N+v}Y4^<T~wHA*koz zI7DQLjjcD$R(Q#RCae`lTP?hr`FWa41hBKd^&sUXe}v+8l|LO5gscPr>bY6eZ3Xj& zfWi$C0Y7npW;1P4hlnn$51s)sph+5+vw9=`F?pmRca6Me78jL^!?{S{BvP=}$ss<W z5?5qcpzD$fjx{j(ND|_LL=Y4cL{H2;b~oizmBf*?*tm-?dS)3)2?&qj#Hut7=lU@g zSAiF(2%QKMyOwOmOk#bZBNwi?4jUKU7x`Sp4?M?9Mh(Z+CTT(Zo{)30{ubg$HX&dg zQ&OJXh?Yjl5Ge~Pwac9N$acO+7lD*i$Nm`(nwtf29@7myj?aA+vc!_JQp^gB?N@9` zZ-gdRb&?;V@kyTe&y^;LNs4Jngo#apmsL6uuvl8SSsexQj6-hi8(+UrmP8TW=|%FD zgiaqVd_5{k5?|{aXJMm&5ms*kvtmpcsy?CC(vW#iLdaFNT+kvGC>Q*G4*YK(bJ-!H z$Jdb@rCdPnD@Igz1slhhm{1&$@u<4^EV9`*?R3+Ld-HymM&wYkKncL_AW-8*{5lFM z6T2}k3Ti=GxzvHRlrqaoz&MVPc_pbRJA$E@b)OqotjcMlOdK~5yEEh`SrV(`*#8fX zV}YcuW(Xh2DP1qEZwSV^h`cWRe<XqEBZl;M<Ko){Gs~qu&j=gdUYR&7Jp37P(@LM+ zZ_Z4gkZY;sB<_z9ChDf*E<)N$oio`OY4c8GLpm#I1dB7qPdgu;Szu;{kXq=;C4$5i z^fVap%0-KG%7{1OEz@xNDHEP0DDw8)%+XOgiax<7Fd!b|c(}-jSJcl%G*-At9{j|w z?11XRHT)`I4?NDrMN=O*32qup-J7U3s=mhMW<1j&1hQ-y5TuEH6p37=YDaO=HI8;P zB9I!;kz9h|Xd-cjL>>Y5Au_B8-eKaGoDmC=KS~MXL^Lq7K*yoPje`gYMzosb6h|nn zP2v?d*QYeSm62BB@?e@FZs5y|1L!l5;58Be3|J!`VXWg{<RjoP;?4k5$Le;_aMzl? z{{)!>0ah*oPEsQr@I@wYcy|$*hyh$8iOw4d&Hyvh@9L;4QG%t6Bx`He(m@-rzT^~j zppL1GC;dFTx719F7UT{^WHj=JOj>ndY*KpTq}Kdg2p15ED4cOld|{V^qZxpaK<Jah zeqaP67tK$AyhIlvclJ>M`1Z4Qu{pVV-F&q|;v<V0AAcV|zz81(k3<YYQpQ9$OPZ)f zC?pC=C^<E%f>wcrGB*LOTUZ{cIc}B22pCk3<C}5E(<ssdmQ1yCJ6zu4=O+>xd>KZH zK0IxFlUK>|0tbd@kX{sm3E=@PrL}@0+Gu3sr+h)MhF)~1!=dOdlY|=N5CdV}mDYFc z3=6S*q&CFOxJc-Cf%$C&#>K`YeXuqrR}k_0Xf8q2HPB30s=?YwVMIwxfEy<fR2@v@ zi%eU`#vVyH3)l9y&U_RYFz!0*5>1MtS}c;W%)?Ph0>ed2wj9?nrZXd$o5d%RL&%eQ z68R<ECl|m-3eZuJT)bQ;qU#t0E$eS3lUdx|I{Fxo^V<Pi|K1?=cAB0|jf`<pSFGc} zDMM~^k@h2oY$}gDS{xZ8ra{t4SSV)Kcfm#J>^PB7*%&jQwR%=Zv9=x~G_%2NWpZia z{3RNhW`wMuq$R2jei{k1t=D-l30S2inNO|)T?8GaLxPCPFCij?#+Ajo)~;OvBdp3K zrHJVu{R8}$(m*%&2MFY8F5ODg!Ws{b{C&rQ`tJg=2;ujJ_>S)PrvC%s|A_|XzRA1) zH|AyE82tzAA2jfW8hC?#&1xvSl}y`uS0#zu9Vt)tGX@M3n>frU$++ePI`ju{8@i(~ zt43owXa)F8J6Esa&aiBR{x}~8*cR~#{Mfy_&R1ed6NMXerYhl}CG)2Smt*f@H>OYI zNn91K7P=%oX;t`_b=pypH7P@bj?anij?y$d5a)SZSeCM>_0jpzJlz`=p255*K1fG+ zH@}h$_5<iF=~u;c$$8RonUegcWvt7$+R2yDC2lk)jOKGLueI)`+5h6-Xg7_pi2z5a zA-w+eU&V&Fl_Z?dk&c2XEe{Wgzb8JU`IjXv;re;f@%h!MGX*OEy~U9q;D;qGt_uG~ zYvE`AhNEC`Ec_kB`S3a@3F`zOA;S4<r{gY3;@~A`XD_SZUcc5&s8Ua^bt0F)v(}YO z&V?564~^m#cqiAlaYdFl1>!}IauzpqR;}gi8Yi<$WhI@v5D(tHz>33{Bw<0vXL*xe zLcblfM9ap>_=gku1TAs#H4v{(LQMps$La>3gt%!_a%PE>)TM!Clbfc-93W6)^N8z! zOCcdiAwL_H4L>*H&^b=l#8?XjGzYPkACOaD*hA{lj1Y#W6~c1l=PD5J^HsldJMclp z=b_~)aTTkS5icN=6@He#5f`e$eH=`lu)CUQS8AZHC%l>;ynIs~Qno6Jv5xIHSb1b% zaUF9!;uo&s#xYJ~DHoQv@yQ$aekY+ry9+&plBa(L*El*nm@0x42NFp6Qj}1D`%33V z@ATy5w2^SY&qYK}2BQ2V!Vkz9iz(74SVG$K1eg3Iu}H|PC5MgjNOBUqNj6tVyyOOs za}pfAge0AUO7!n3ErAFnzB+h3fbb~W{!xO7uyWOL2)}siF_O{<0uZ|7r%|q->UK)@ z<th;|1c^>fgplYrgMNt!C>}o!Uvo!kL~jN-)Y&DP!MM&uv>>fx1_%og!f8tIE}gs7 zQFZbNvp>F0Af8;{wtPEWB!sW#e^yzE66Cb8BosfilYEmtThLL$NG$QY)@Oub<|{sj z74m8EU1-Lo9HoulrA2vA0PRTR8t2+*9VWia6eU8%nEfM!S5%~#1xvZ|CM=1O$8a-1 z>ZzU)7QcG{j@udIeVcgXv9{BQTzpM&h1)ES?!jyH#8dFvX-pm)#FQ>MDP5ez=N7(( z48k{(Uk-fIaV(CD6E|+hl3rNFj~Eb04M-^dxhVXoHcr`&?@oRZ7&4O91|4tk6La<9 z)euN3VV{&-LYikcUCvFrr}|M|LMx9BqSu2_y675<CrJVFadOOlRN|tz=)^P2oq@GW z;Lu2HXG!_@NrLNmaycGw(1SZXKsb!^%&$f1A+0G{G_q)?6UjZW@?^<bO)LCJBN_zc z`^y6Id>W8}%8Bdh@|@$X3v+R4BxI8ENlaY-EJv}bZmlAo96XRb%JJMNp|vW#MaUzN zLCw$O_t9y@L1eQo%W+3zl*M%jT&=9n15AA4Z|C{}er+AXCmnJW58Lv!llqHlh?pv6 zB@9QyRY1FIbn#-p!L{Ny(s8+w1Nn3Eg0Y33+I5E#?HRcWAgi`cV8gRX5lDK8lJ7?3 z^eHZvHZ+PV4S`7fc9BC8+Z;I?&q_L3p<pFfdUd%9xO@Vm(#%u65uRBXNO<69^D&8W zBe-`5dCKu17%t#g=OEKZVq>{bY(NtdSM{Y(j!6BOJi;z%p3*xAd?T(*>&XHi$4qf- zZb^)6;9(l;nisNL2^q%!0m|V)VFR!vrADStSJm_w@m?B4%5vO?hNBVIa>%ZAIx|Ae zkybbhO^7U!Vk(3kKnzf8V~F?@h&$tmJc*56L+nk;m;^1QE7`JS84Qf)jN!hdz+3zd z1^{&8@kCQ;KO^-%Q2J8CxpfT)sw^yqK$6!qGn1np>6o=MI3;jFzh5*2r)KyK!Josn zsft~`0Y@0nOeNk2KPgcQvEoBLIa6w1O}#Y2iSzKR<#>t?)em)lA19@76i1)DN2KZY zg=2xS!b_!_$&DNUYE|@Xy1`Rrv8d6+48d+W?Z690I3n42q2);`)FL%i4wtu+Y&Vo} zf%Kdo=Tqol$eabn22v-q&jmf@M$nC<<hl=77dBk``MIJ~O;H~q0V~o-$pmC{GvX0o z>M>5%a&rlu<FER(D51d7g@$~rhKtx}<b&5iONlKP*VRG|8yBqSBXKi8s)i(r!IZ2U zwOnb>6C^n}m{EtQ0J(NDCSjlzePh2Whf1rrlt<q3J{5G~Wg}EDu12Wxe5A>yecJ$& zoY&rf>d0<H&LJs-qKW2=#UuOnaxG3ua%#mYp07c<p24<PG^un@X=tQbLrT3+R6dd1 zAfn}}T|wRlhQ>3BXkcfq^&>*SxNCet8hm0|0bJ`m)0ch1L6qFkKlfA5%G{k&4`>q5 zSxbp3l`#&W@mT?LmMf@JCKW!^!buuG6<I*LEAu=f-H<POw&Di-(J0DF9gXX&Yf-Vh zZTF2ch3qv(NTCtg1Lc%~W+i(m!ANC@BiIU_CKgPt3Kl4d;N`Ryzrt@>UPO+f%s^P> zWK|wgxC0px6SkteF))%t+>hXcT<ikU5;%vSwN>a&ak!|GKrNFDkJbR4fksJpD>GGL zCchcbuS{Zq2s@w|hN*_gbE&o9-i0Kj7xAJDLXFn}VHQY<D&*+QF5eo(QH&`eHHg|b z*9M$DtH!NvQ>S|<%#@7`g~}Vr8R}48xCm>y1`8WY0KZ%nykO#+z*a1s&7Iguy>CQa zBxSdO70q2MVjl28`S?Orm95fNxwPU4Gm>8)SjC}v0f|L=zv;1l7==NFa{H>1uXtgL z<?td&pzKXJ0#aXW7oK*~knRa5mB=G^uVGpFLS7}#@^8ACe0b`F%8*Bk^Em!)3F(`t zQIGk?dJSs9TvcfxUBif!U8`U&Ip8zAYvt{#)xuA823(ZlDxn!*l;IdAlVGT`mhKWd zdO`t%B+}CWOsKfJJ+ip+h7~B7qh)n^A%8KJHhuwAdVN0K$`I&{2!YwGVdj;*@Di&= z?0gQ;brsX~i9&#t0V5Z(s6$efJWMMfVVCfbFS_t7VlZN7`ENBa>$En7wgN{P_(AKC zMRL=r(oF$3C5UbU8hW$>BImUd3wU{%KT+L%kBbAxA}W6{rmg@t!YT?EWmG@fqU_|E zLen%tIn59c2lmqYQSG#)J7uK7M*+3c5Et+{a4Use*$JB1V<n0SqULi90zUQ5Vog8` z>pEB+d2t!VAS4`CQz}y?wY<#ls3;Pkm5QZ}Fd&yXDy^+cSkeg@dTZ)#iDNc}%+hj{ zZ|}0i5hhnP&d=rODU^i>^t<DJAPY);%97IW;~*yH#B#8x%5C@WqKS?x5mUojGLef_ zcPXYv=tft9aL8)BHuMeZsi32>fv>jXRmI+QdVZ@-fcmVZ_w_oc=YEr#o0C*-t)y?H zv=QHS7->u6Mef6CWiCtqr&eFvQXMB}b2M6%0r?c&ue{FiI9~<#r>(4GfL{=vW4%fT z$03WZJImyN{*YZ<0pAEi{u7A2<nAdoz)$HjF_gdzBGQ)~j*I3;c_`rKg6;PMldFyy zXfq?Zc2AF-BR#P6c2BhUHh)$==xSQ7O5G|qL>{D~pA6tYcBHZ51FYocbCrBnTVHXC zFgnOQ*Qgyn42%y(qYf2z8wT2{B00vl%Gk1SfIHgs11bjmeLt7-GcA<{Rh>5!RK1Zi zR3}-dA0q|}Nv$#@|5n-hWKPH*D!l~;@^vntpZc9L(7c<`F4rvI3y7pAP8w@)eZQ@! z#?zGb3SRd)Wjed9s~%8+Zf$0wpc=vj$`4OaK^h@FO;JfrdoT1I2m{2Ve~Vg}3(Cci zcRk<~!c%T-wBT~?ig_du87G={I6TI3Wmje9m-9gdcHG{THXdK!8R>f$in&l$PmQbo zG3^Hh%rmOgXDYNVIq2B27*`4%XoE9gktMJcz6~|v<sYRh`3yltrYW7?2#LI=)pj{N zJu@;w?4l=>hg}1UbQRUqS!pK@D7D+X99C$V1aSs0vOO_=N^V_F-Bx0T8?0{bK(l=D zCscWLCZE=8tF9_ZVZwHD;3GmTQSBhaU|&(Tt{}d$)1kHsf23AwtJA>oZ5@0CGN&p{ z$N)y$!+qz5Uf9I|WYLE*M)mtcyuGig@$fNWP@+4N&kb2EysV%iklLtB<mW2Y)sI54 zQ;(YMp^yU75ApB003(>rG;F<%fZwX+-ekbgdkcY)s-Xf?QTiTbw2&LQ2t+JYgU!>t z!|=JJ$_)6`oTZ2WiB0T=Qcc1`wHx7xJ>W@UK<BB#cS>KKC;0+$rF!Z>`zn~9A?c7N z1_euJ0)0R7m<B$oqO_-v>Q=gsIwJBBmrIW*bxEL_n>g{KOT-qCJmPCca3-MU(13$n ze+Kb1cP9Zh5ul6W*s2jXTr@~oLt6%o$2ZDUn=sH=APq1V=DLn2=C=dJc0f_Y^&?3l zoV&tFBy)}yf2=fP{qZo+W38PEt(fli_61CDjJ5JP_>0M&f*PIUKFbfnR!JTy8=n<6 zH^@am@&y@kL+FRpF+pi%<Tg!phE?1%m1Y3&a$(bmq-{J(Xn;g}2EQ=(sq9WaK^ra1 zNlcyB${NpT*o8SWl0R#!A)kLNch6OwG#}5{K+^bBUW>~7)G|0Z)~;$v*&Vo3xz(Xs zrUic?ZEcRKMlt;)MphssFVz-#-GQal02uU1T(dfhV=Gjc4szp>Xo8&ue##h!APb6( zWhDf$bH!f=Q}+cTU+B44BBqUIv4D=kjPWGmaEcaq&)LGlCD<>3ES+mTDbuc$K3(iH zq%AliJOc;30z#%}PJ&~gaBE6YL7+{*Jf!(d9a_#G?WT7Ku#$Wa!-^T2{}(CsfD)Y$ z_9RY#^PSbjTJGYts;+{4Yl|1y>xj{kBR`XqTN`CK=+lbj^F|?|qK9o8a|I8nR;r0R z3v!^1)nTiSqz)Wa#}ESoG`aDNS>dR(fs}DrL5G0@LuPCFask7PoEU7WmULz1&fp{| zKm))1KucO-24nY|rVy+luF;{AZcVV-VZ`Adgw;x06_h%Vzny$Mzd<eJSfzADk3m|{ z^cw-ST8|SVK|oil)IAaq@YQ{W3*c$W;alCRy9T64X4E%|Ty!8V6EL;RSmkLMdBq5g z4|FJ?z?+KjXq6#Nx?S}t4;&fDLBCsFHA6xcbNQIAA@I7|m9Iw-`Cukp)f9bBHz_o- zw;}{C&%3O;Pnb{z60Nv3Zh|5+?Br?ZFk7=D)DakPkA^0~LfZ+Q@1zX23M<2@x5B5D zUrv?%K+7oNz-1MAxe|(?P|0+h>RFqFsTh&pmf>y#7bO@WA&k#KXbPhg8WCE{a0{~s zkM!GIpJ$CkcQQSpH-i}}G=Y^Vf-kiNXp&o6raBfsDosS8(S>yHWI|t(%N;MD{^4~A zUs;8ZQ1JmJp9P?NM+j?!U?g*;@IIkcbUtObDzDCTp+k|iB;2(tjn|I9b@>=G;Ii@@ zDjX4tjDZnC=0)$-KE>19zytq5ik8Vmb0VQo^<uja7jj0iXgg9D@f0P}G#*SGYu&JU ziIb0wiyxy2Hgt*M6$ob}9L;Yw9M01KRKXP7^+ZHg9D(+f70%j)N?si1D;g19N)vOA zM-d?l`17E~tJJMpcU25`v0BDQglF7dp^<~ZNkR^%rPj=Xu@UJsypeLivtMKBWQIJ> ztId~`&Z)<}Z$72<SaZpH;wi$-rHe|Fnr^E^u9?H0aOX&S-V-HR$6G2{81u9I$PftH zZ21hdJ@$Dil?g0DcPXWb3J(mCQnhB}*nVGnL(AOI*tP6zixLz2MnKNg_)LF`p^20O za+Bis8_K6$N5{~N?0v3LX~$uxj3n;88BLb_?T~#8ddZ)p)6fw=p<x0cNDi%!z>p`8 z=cRAAN}dl$ST-JuO&BAb?ZM1neMd!N)LXbs=+^eB{4t^G>|}uL-cW9`pD%p=+`Jkp zD7_#Ics^MOk3j_aglFheJDo6Ak_Th?CPn6a_G8^hyD2SRSoywUkmf{ZtHw*Jabb9l zy7L|$Mx3vjR#jDF+o4pzaZ=8Ego^P5D%sj{+`1<MyGn&)qRo`OuPe~HoK8<HJ!#3c z-Jk9bZc2O96`RhOcdDS!s0#;l&(Ym#gR%?yn=h4y-AD)PEukDob#>k3BdQm`N`^OB z3fDRWL1WPBFS(wN4UCK&EN`1F0^5MQd2PEcoS&vXUnJ_TYFdO<?^Egu>+?)|Y(U9; zSQ&NOa!x?ju4A<jP6K^cPSGbwOO#AAp_WJ;l*>4Ny9BU@hLN~&^Fhe&(JqhsXK1K_ zVd}z>(dO&JMt`hu-}P$w&kpcQS~KS)D#sD%Ov!E@@-$C{Ip<Or#(6yEOo`AgTmL$l z>zE3ZGEDG5ccewzo1Qp7KbkqN#C^bTp6FOo%B!g@-!I=)>gu|6?C(4L#=MM2xsxyE z9BI{6RTtl|M3<OPm8rN=mTVBV@VGwLXR0HNOX02I!R7a}`YBBN)pLBF|73>D(2u<* zgVxS4=nResD;Z_Vo;r2(=_M_v(gR(3s5<&AnPEkS5mg8<*YLx>M%9vOE9CsKd3=k@ z9MNAL;34Mm<YyX|DmBouj{7Y$uC8Lz^4x0Pi+6>#qpRQzEt?-yRA;W_r-LrdSE;%q zrZcXGkB(khJgP93S{2p*`I(9)+8<hlP0H7%F0$6r9W>~Yb5p625n0jT%{^hoXjjqe zI_QQwZfHoWrZp@7?u8AHT>9-_?YZXFhu^;YXT5X3@r|EebLUSlc;vn3EWLEaz|yxr za^-pNTu``S@1aK@|L5Zi&&iDD88=!j1B=-8(_>HHP<f^j&d&tDS>1ll+TM+K{N^*C zed>bmtr`9D`ti-%YPGLlvv&6@uk5J(XyW#7yz;e;wUZZo`g?bNkum;t&XL|VcF*Q* z*F5^jPj8=is&Vw@)#Y+S%0BwGhmSw8Jb(T#KDp;hU%BF`lfH4=#%q7|*H3Q#boPwB zPajkG;guKuYQ;zPT=w$DYrb^yhkO6>!<Es@b?4lh_Ex^SYvQ%nueu|1)nfl`=Qee6 z<o$FzLD<ZFV}5vQwsFD92Y=$ni+}Lvzk2GC{3YM7{_Oje1OB5Jo>e&F;fFu*p5FBv zF8#^Y=T5nL>x#x*Ul>&%KDukyT_4=_=dV2bo}glP<-U0Ksn33V=?ldZx^G3_mLD}f zf{#`*SGK6FC4UvVrcaum$$Xw{gP}Aw@l$gy68e%iM@s|oyg8gf9ogg@Y~pow9klw6 z+k%wWcv|iL?=-)D)P^0;?0xvVN3VS4&xUtC^z{Ru-22Sk?|tvPUo3R}^c@>7zM!jN z`R9(g=K8L8fB9<$o3w`NtWq>S`!}w;@<3I*r*Zw?-thf(N9EVnZvXmkCU1G_2fNmc z?!NY(Yq!?6fB2eD{qK8T-m>G_-)!3Svmb2Oam~SNP8+A498lZEInt}|*}V0oM<3bs zsgZB~;D)iAZ&25!%8xE>dS}n*{Q0%3Uw-kQ{&@Q<KmKg*GauPpDZS^${#Va!Sbo7T zKk><{hxh)`ldsR;_3U{k?isG!cG#bryH>6J)gyaf=>167js;g=t&Tm{wUdmZ;`#BI z8&<oq$pMXv7cYMGj?ArxJn-FD-*w4p-~RS9pI-I9=Bu*`Z-2P!!uK4sKDhMX3gd@f zHFc-A=UZj9va4~|#Kej@m%a3!{F>2!J*f29{EZ*K|F%C_()~vm!zT8K+zpeF%Tt`$ zz?ph)2+_G@9B3#<d!?4j8OIY7(b-~IHTB#tIS9+0M>chr%ym-__}Px)u&Nc@nO(J7 zdSap@zdz$6<-j;tM<;vLIN|U_;SXVi;cPZJKYEekqX>e}W;)X8jwAWB*rf@x%%I$c zAye~8m!>DvS3r`QRW}#YyE!O$LOuTY<2|*W2{}KEGs*@fj#HKAWeN1I<Se$R%zM?2 zS}j!R-Q9&?S88WAo1Deg?6S&cJ2?GJ)jGO6kfl~Tu{+b)!wHXdS(`f0rJVc5X=ep` zl?i^Fd*+Tla~z@~WwV8|Yn-r~$Up7YZ8A8GbH3PC`(Ra%<H3o9&L(@72JeV$BFo=} z#U3)8+9R6mQ1{$ZOwISRT5NJTm=q^1r?J@+`!ZF<BPmjLkvkom$QtKY&$3CjI2hT4 zGuq@h#Gc|{hbj(>P5v@rmKp4cY_gr=13j7MbaYzX%@dpqS<Ft<#3q~&DA^R%%E1hW zJ+44msohu#8KZPge{jGigL7U|Q_b7u#6yAYQ*w=L($Nu}1Bgv}CpKiGV})J5s<&g1 zbK+l1Q`B@(rJ5h=NfnEPL@5p;H=7;ACQZFDa)y)G<RSRXgf}~juIA+1d+sHZ$}66` zXKBsZq{x|hoP&4f)N_-y$?a3SsYP;xA&~ZKw3AG2CxWDUit3GRQah3(D6@+Y+a0i2 z<M?cBA}6^=1;Hl0=nRU%-u!S6&2n&fq9!&;f6QgWg~JoENl$G{Vw1t<Vr-L3I4!!E zEjpXjunEeSv;4#+Qk#2rb5wlsY%~;wF%FQe^<tB3ZH7%;e6c3&WOx{c$X9#q#NMLV zgt(~A*LsHsBbz9WcvhT7Slg1#Zo*44zPcC}NevHUlNW!hP4?-#CSCp_<7}98Hu1zJ zuJ4kAqvc$8Dj$tpY~srEP{*s0P413u67^jl8&B6Z9lL+uH4*h)^fZx8Hf@^1ChiPI zCr6DlTPaU=(PY8dq?XNaTB!70ZSPLx%VZ|8iJIKP`OSjs8RV#II4X7XhV*U@i7dLl zOVM``D>jMjJ#QWb;V{v&&yLS^-&@<UheD{S-hKNnsUs9O>boYUCTKU<q&pq=U9nA? zhU2~q(pOa~|KcR4xz@9TL8RJzp1$kF{rfJj;6Eq>ex9?EB|8=~F~n;oq%5TfR7bz+ zuDe7t5=3-bo<=Yih|fw6`c&J1;>_jf3}s?uDFN~EnaZ3`EoUxk?x5uC=62}idv5JQ z7joe-W!p!2da^*yg7$KmWyx95xL0YOq~V_bMlO$w{&)thoJqX^o+rUF;8yTK$+OSp zsann{w4J3po4&Q2bEKPs_TVCSPPCkr-70gRAfjtm7uC~;2K;`24y0`AqKf1bE<1gs z^=mo^AM2~|R6F3DYt>2_6=!v~KF9-tW$>@y_H%YO=TK|pYdcHQ_f>>0Tqge6P+B2j zZaSqLa#QJaBrb*WxvxDqavh#<M0Zi8)a_Ad&Zll8S#qW_4Zu2iIZxW*IjflgPV^M< z1%IK?C;40of5NJMR`U~bX7mv^bH24ZEBY$Vn?9OyT?M~sH>`)*TYp<}u5^;-((dT& z>`RrLiA|Yul4s~&uI$1<`HL@CV`~o$)S;L0iVNVIPpi)tH$GEaT|QFzqpl#NEH0j? z*)BS1qwMOkCrjN_(-zLi=6vb7ayB<*=|D#f14R3mnF@HdfU~zR6dfjEbR@drOl^^? z1DnJ;6C@C>U;p?@IUPEN;w7zJv@Kd1XC6!2p81zFx`Y@vTl*L)m)@&`Q;8YitAn)q zJv4na$_P?ZFE`UuH(fs2d->F)Dh|>jo0tP=8m3mY)NtHCO>?AwqA_(BQm!AV9f=>l z3<jAFh-!sYp*x@VJO=q_j-(E_v)B{GrBjhh@m)W>lzTVcgj^!mm9-rQ^r}B=F2duF zR4<?TP*#~<@GIp*4Nbkhs+QsG?B3q&<nXYnxYK0a{7h26F$~I=BWh<3s%Flw<*(2u z;f{8)aGjHcB;~{ehvWlz#gr=Bk)|x!?2;uy(TG0w0j4mu+RHO+^2{$B#qVhov{knW zbKpI-t%D3GdtPQ(+p@D(bv7x8O*j^udCLxLf<mwdz<dXr^v1ds3^<uo7Yn_EDLD&V zG4#uPOHQX9<O?N~d*@(xe)#aio#|W(zVVig;&!JZH=Y})rAtL8H#?iuYJV2gCI*#! zSLH9|J0uuKj>s0Re0NfPY30g%4f7$Uvk8-7j_`db(y7bljV_T*C{___RZBaYG&`Fl zUl@^O``Ki8Q%Cdme16G%GT)QTv1Vl8Y%<+W6vLp4{ZHGZ2OUn<rnV0Lih{n%>{q?U z$1a-o)UwO5i4-2;Ie~mwY{IlkzD$w=m)OLO?aUO!CWF0$%##X(Tecj@JWFBUp4#BB zoB=+#Q|4X2`c+ouc@DhyGdvIHhqr9my)$wXo4C`L)rOll$fS^V!ekCHu*p)f318G< zQY|(Sc~;iWiETp5MfP8zWg=(QmngsK>)^cFL$AI%Z?Lw#xJOQz&Z4>6?&96j8nB6L z6I{KzO}rjL%F7OK8gAY`JUo9s^m{h!pGR?a-xcL3lK*~v*W4O48km^qDQ>5(Owo5; zPC1Jo6PwVYu}QPoq_?NmMAgS8(s#|UNpik*ViP&veXzT*Guu-bOr?&TDD?L9r1&CI z2AibQgQ-IA@bFVlP4`{l|Df-}CaF|<XXGliV^Cz0rl8b@OEz$a>1@JL_ENGxT8bHn z>@jtlaPD{^?z{Lx2sU}N_MT$?#Y8s8X#dMRvFJRwMt#?m*rYabcS0z!;;-9ey6@un z`fPUo5}|K&`b*M?>l-c%gPFc29-ZWJ3qKRe&1&-@|HNB=M#s<+V(%YU2eRK#=rUD; zUhwNEUiJ=3m>=M)TqzEFnJQBTPi>20)Mht<@YH7T@=?gOnPo=ea~T|Q6F&R637(IK zIetl)L>GQFTpjUPc$4F~K6baou8Q1T9nXG7@@`l=J6=WcaCCTd61&YYU6MX=qj+Sl z(>vYe_D#Pz)@g!I+&Eob$2qcgP|}h6H(mLP;(6m*JH*?xu)j3!T-j@f68!5UDy7(O z=;)$!sY&7i**nOX$p?@ntoe`dYN(`{R;Xluqi4QKh;X$uZY&-je&X7{(QaNA?=ci! z5yX7zd;Zv?=**hnc~(D<{fLfuZDoj$e^ivXp}Ni}=O$rsFLikKGg`8Ka7H{2q^~oS z9U(%skK3Q{o9)iv`^M>FK8?axbSmM*ot=;9*do$QNWxl>Rb@maS_Ljp=ISfCN|aN$ zxRzG|gajUQSL639!{3>Nd}dXKM=QpcC?0@=se_LEOiCRp54Qk{1gc~{AOZ*&;~eX* zN?l*aJK?ycV9CfqZ%MEbvMTw%0aOE!kIjgZ@Jz7kZ(~l@xdTLs{j2axXdiQuTC1R7 zR|RFqRsCF*&zrq|q76wjYe`+qfK$Gp1caL*X~bDV!Y5ER!1b*QM;Cb>EVNE@2EUOW zF2cz*g2X4T^T!>P2T#FBP=4Z*_$ZpIrz5Xw=}?*o!;d7g;6_HgnA;3jK?VmePDac$ zjSFy@5qHp>>9AKMrQt8|L=JYY5GU_I4Q@&`z?gSB-BF2|0@7WiSbS>Gs)JO-m+uQj zVYo?;0za+|?kbcL;>N;VeTj~tTe3Fr0OOkm2>XdSUdQsgfx`zQ3!Hs}hy+|Xa94?O zU}M)Pg?Bp6#8wrIBqp*BMtu25vIuFQm>Cu<VZT%GGa_t+=gM1f7ZDO0)fkWt>isJ3 zg7<+sB8ZZ(<iW5Qq@E%YmPGsJxep(LlEyQMXcCHEM_YXR0w2#+%36mu;uk<c&Zpz- zeeMI}DEElNWe|}n9lOp6SSKK!gxDdI;FyFAS7X$e9uo^|>J-J*JpPc^<Am@!=!@Nm z28j|tFG81qOP>T>jKtr{uhc9BaSTNqyb&a66Ibd$J5i+BM{G$v+kTKW%Mc~}Wgmid zl4v-HoYINYE?kVH_0W@4R*#>6CIgYK%Y|4bfzN>L<eKDTT^ynq;Uf?@`VN;89z2U; zQKu*?h9srnggf{3<eL=53KdVSl=fXW(M}M__$BFtpae##`_vFJd4?Bvf&_->*J0+s z#IDAb_8h>&=ZDE9F`Mh;>Pn|OG~BHkCoi}DAQyH-?L6uTP@XmIh(yL+e&|X1E=h~1 zh$)P6WfzpJ91JPiIWWL4l1@vIRFo~d5;-JHOoey<-V>A#e><@nom-+*URU83R5bom z5zpg?Aj;EP<ymHM03q4kqj3d9T}`;sF9Mpd^$3N07?D2FFpC{)R?_8A2VHBG^7`5m z7Ewdnr2CNWPSt5kggsXltm$TkNKL;gfEe0&xb!6+p>{y88;qkSrHuQt&QIG(2#6OI zT%;_H)IZ3S#$BKcTTQB`lvJ6~${NtB;+0Lisv6#`(>0eUQkvAK3Xc<rw!WvWmzP47 zMS>uzWkbT1R%^MsF1=#Ok=dq%Ri8LP+HkG%qy9M24n7X03RMt<K?><YK1plPa2Zx& z9id`gqptUi@r^9$g$-eJ-W-|%bv`fEc^(ShoMV(xw%{2JjSXnnd6%~d@;rbEl<`q? zNG~V7K|qwdGh}513#0<cnbsr;40XcbQWm+EkQIyr*5;7+8A^5wqH{V-`aB~t&H(fx zMr;rA!p8Uf%uV64b{1mTF-;609f2C~jnY(M_<%B2@kE)&$23gR6QMLpFWdJSPifP+ zfNeIPAIgPkw9{p@3P_MI=n3eQVKr@QL8Y~Kk?tpP==Eus`k{qA(J+i^abT3zq6+Sl z8pyz>@6p;*9eo|0$b;1u_VX?73sr$XI4I9!707bf09Nu*ecJj)m2#^)sVhUOCD&%v z`Kt;C8}EpYF-vMWl$J$vo>u90YL4=o^Dqhnx+cRy+7I}iZt-)TRej!B)E}k#m4#}+ z7)>79wsRC<E?vsmG;Ge%xuaS#EuE^lk}qY_p_fjxEZMFy-mU)Fr2`>zi9(5`N0!#h zGk3Vj=9LCYM2USug5eJdVvtZk??|LTj(KLTKk8T7-I!{@>S$lI!3*U0jJ;2V;uwa! zS>l}|I38Eq3S9jSxxBACko>05N3Wm1$+~sI73xLcbxF4dReziterE^+2NWzIl1V}* zDRsd}?ha2<G(cq<0ypn3d?*5pKh_1_@ynI9GM135omLB)RW_JaHV%u7q+ykHAPQkf zFl7c3Pa6^^EsN*KXQ`l5lc!{g@pOX>10+!*3a*ANS$jr~0hE2{+<ibe<mq-6Up1(4 z<)a;8P&$GLy(|YO5MHiv+>E!6Yxqe03*Mq-r8Rm{Rv61weo{;TzFaA<#))zGI{7)U zL13LoQBY|@k{sD3A#g0G6ng%MN0Jn^+BUVchO~fixob#ze!q7{O8XW{*r$f*ajc5; z?~0BesS(!l6PFO;xSCRkC}f5wVQZ{vr^|ntdjTI}8K6$(Dt(Hw^gBS#3r<j4j#}4* z7_L(N9XXv=IZF`kqx_6?hsJcDDSQM?Nv!o?4cg;-D!~XW0}0MhNsh&HR0>7UT9LfX z5mnV<=nkup(v^9dC=$&H8jzo)Tr?xBQdTU9p#xR!LCO?eWZ*^9b|G^B4U!2+?_p!V zA?(xFSq$P>8b$$dfTji*HGq$%<=b_xgj(4lb(Ap$SomzltkPWzeRIibWyIG&He=)3 zZx6%cA`6C=HN%vs(ZbG()XG}|<FXAk2^>%eC}7HOTv_yeW|dG2B~}GX3YKs|ag)gI zNJAE&TJp1*vS4zm$=z(wWQ{D}*Vs(*Qh_>NnG=1i%YmnCTv3X6H6kf0yuXCA^)PUF zy`>o(q1Zs^YesW`8YZOW@}XRz^Tu?kn><faev82%_B8imFtFX#K*Z9Awvla)ifFM! z(|k2#kJjZdqyZRoZdo&{k=4KrY5@vu5yWtvL_Xy3sg|LXUggdg3O{I9WG#utq+^d1 z>eAomdtPT%BK45x9hy?=ymemdsRIFfYUcZ`+<4PpwFZ=PdC*3&EM3RebQr9qq5A4N zU15n1MLfDs4U|zb&?Q?q$-$}tB#S!DZZ?Ai%Cw|u{PY4y*pUlTL2gV|7cRaX;VejH z*0OXx#W)hkG4x|3CuWYDi<)K=Fbvp*X^It$j0DvGAjrcP$-M!;nxpDUeOgVS>Dk6f zOI04fn<}mS&vz1}m61qAQr-mTR+~}%sEQ2>YEEaW)3OY#U^>jgN;CTlyfIav2&o2@ zA8%}3+adDgymY6JE?9TyDNAQ+(jLbi(A1)J*04t^xl%-BXb}fWA!yX{R6vtc!?>6Q znccNQ<{cy{A(hdpnmfZ&-HbjED^-$f6T`WBVZ7sdy0VP_GnS@3#>*wG9@f6W$W+GB zpZIX|LfxUh(7G^Z{W1S2Qu;QhVWRAahiiSKVz4Z<BuhdK8B0oETdm5=l-1Z##2J^U z?W(&h-E!3PoFv=d%ByXedMq1xEnCLQ^cGs(^_*%^<Jg=W5v;*h%f7zruPsf*Yos7Y zq=a85RxGRVE{r?mH}qq=8|jHqXfUqsB0H;K?e|&QNshY8Yy)0P5U6FYw!xChSow$` zxWO-tyj5g7m5u0rmSZW<r|j<Jg*SbRWnxzWBVDh^!e3KPxseWpboy22YOx6V4$*jM z8#F_v!}~I@weT}8s1;3ES?70`7E<KY{KH2mzoF@e>^;KnCite|eS3Ga*KIa8m(p<e zhjN+jc5^s7kkMEw0+kwmQc!Y^AVt8pO7rIcfvtE%Z~?~Pab;ziQZ8}O03F}EP*QFk zQB<LC;-rO)fRT%rbFH*V*>OZ*{V6ZY!#*^ubvv`T+t|=&PU!9mI`iQGyXDBGLe5=0 zN9j~}!m-YigxfKec@@*>mU2KyhfVMfbxw?EbEi^~a|0@`R5u4Sv>8u%2e6ZpnU5qL z;Z-nZ7;hgrRa@p;;QN(tRy6y$%E1HUmfc;gZ_#vWMyMTFca;*u!8YNpvakT_<>*DN zZNMvnsB#5`5G8>sKTUO_`%%=zE^SPPBG>|WDiUqq?;4jfsR~rw8kWn2Z#HN;eE-a& zwQ<uSozYDf!BF}eQ8?vf@~Y^2?CA!t$4^`opf#=?8h4X<Wfr*3S>^lBLYCHVHPQzA zy~nsc8R(GCuOewZqe$dwy0;-k`|@)tWiPURxnunJE`6(oZ%1@of~_dy8}(62*f^$$ zuyTLssgc|0R2r!focEyAO=od3hYe_PX(hwS*pTO<Je?l5JV($^s<yWp%Awhx*Nur( zrqWTmNwpiR#`-&m5DSg-cdhNV_t{kVG`JQXK6H$EwxNZa(S0G%zVVbTp)F(EtA=rr z9`<Krj?NEsonB)Xy4nDNME=0{g9eGjj~Fy{98%gR&@IDyXR37$H#Ha2$1CsRp}tUe z`sSm#6vZM1yf6mJ?<18JzXXhrUqXZ_2-VpTLDxTSoJRs(v=wb7eDF0|DyWqw7!{%I z%kfY`WR!^!1UdGw+{CP7A$@h5F+&WUbbH+G(eu(@_?8+-HeogBQeD~~Q0vqQo4Y>9 zq~BmpdC<`3_ZcaUDd$Xk;Dxz?)#mWd;}EhfG^QC*f5Nx}D^wULO}VuSwUG{0BX-Ve zBhi7Bs=Z0we&3T_irQ@Z8h!48Ipn}9^#eM!7zjlGCcuYqIzXpPYWf*Z5ydD?s16pN z4h+r+KI&6N5{{5i%iKSgv({5pbz#>~rwY2dQ%76+;%0TauMM=?99Th9R+CoI+Q~Ix z&L|7q#IT^oVS)JjfQSdMX4JW^S>bEz6%G=a;^&%RsSkO=DrQ@rYh*rKlBvHkOoIBx z+HKTIB}ql4a=OY%It^Z%-i#_KJ<Z#1A0V=7lx@UMkyr_oQ@40@QGF`>jJhd}%DKN< zc&!NEZqnAuG{}tbh$+;}x2^8yLc{lmn)=OHDKO)ms=C4+ewUGf!%wIBAv-_SHueFf zmA;P4xN6!eLe2(yR<wnvMnPkacF(FB0#_Ut;m8eV3i<t}j?hk%8FedCE6=Zr#y&m< z1O7`T738(Ww~kmW4N~{tsd)%O7r=bTb2*Bml#z=I%U!8;0STjQ321)c<B^RN-Z&K@ z=;WU9eH!gLiOvwQG*#2n_l=B`!jR{4{iae_&FUqi<w*wb>oi0}SosWqC5v{Jc3Ea+ z?bbf#jd$GTpWer8QR|KtPf1PBFeFQ5mHob&6wEg|m9_cs(06(^HxzXu-jHU;1YB2? zgZorNTW-8Tzkfx_^9#t7_sP0}S}Qw(3drF1t;22?AWrF{h*LVpWk67X1jc!cp|Q1t z(4t$-Ajhs&IortOqYlYYN$nbf!o(qj?7~a1nNl`%Ng2mnTziwwcQX2zfF4ol#_`fY z<7utJacLbR5Z_8(NSHr$NJ>gRq5v78C4ktDi)%)iJfsBqX^oQYvH^`}{0!zJN*!{M z)Fa=w=((|i>?Ry(DoeW!B@wBb0^#<&>O0G$0dz>BCnV~0ztPh9+Dy3OdecP7It&|T ziTJz{Ss;N@J!!=r#9Atd#EvU-iZxx!EOvQP2TcV|aRFWS)y0LU3!SSvL;qi45On*W zvql{)MWF?k->Eu7?4lm~0>v<LOLVmI%<_PwY;z20g!_UA!f4vaf-<*(1X5+?S49|+ zP0AEOvr(o$>~|4@VT5*m_Hr(kFeCk96S!O341K(zc7~mSMPt%c1WZY#ctDG=6>*fY zl6eH4Rx;O|2Cdv)#hgazE`>~NmEq^F_MI5%RZ4Iq1Ug!*QfqKs87RDSu}}XK&b%(H zVT7Wglz1n;_Q%9UGO(_&xcsNAMf2F9Ji}FVSdtH*{gFsPLhMtH06HbWY{9BPDH|9i z<+H-os9;Rv0@hu{HBJkW8e?SSjP<LaCFFLiqUJ9L6<9rgIc%tKaP(|M1>W-m89Wl8 z%#s;2t|SY`Gv`neFRwwq#S!t6SOOt89<(~taWSc#0;G2wp+e;;0aHguowt_wY5q^R zy^8E(ye=G)lXIRZvBycN&9c9deU0tZf-gT|8LySyEJIN`E^OKxmpc}fmb_`2X{DSG zR{(h<NQ-@3I(iVhq;+`|(<(Y3edOYG>0-JlpNK%xM|9#*t!GE!b<3kHT9<3|B76{< zQM~o+C|T<TkvPKN3QB6(zL4`P7mslp)Lfz}`(s|N($*g|hSe~?)ze;FP!f$O|LH(8 zUdE_k!D_TwvMW@lW?bcDEnnY9PsStgT<#zBz|V3&8oOdh``<gJyBuo2Yw7#wH#&20 z=%iPLU#fCWfLz?;msJCvvU=K?%tjLC*sExCDd!=Jy0cUmXV&9Y{OZDm<W&-)^j0qI zn5636m=TTURA#U_7d94{!tzu-SM^HWW%jum+o_mV233f@0$rb4#pFZv(?TYyR;$AN zt<;c#Fn4R_{<m_tWn1f?3TL99vv^ou`$5}B2d(VfOoj8b*qga_BlTy<Ut{T<470w` zp$hY}L;qPbQ?0a(Ra<pa5R9q0>dubSbA|Qc8P@mO#&QSRfi5ri<>16MN_QNG-kuLl z8|`Tttaw+2{#e?ZU!^Om1V<rtbDmj$shc`p=FZbK0-<9fshdlym}xkpcn7m?8FUbq z{R4xhR`qPA`kgtA_Ii7K0}rd)PVkllN2r#<IZFzpJ!b`ycj>}e1EM+BSm~%X30G@D zun#k2;&`nD_y_)?;_Gz9s4L3;i5{s>9W6gs<@B#_ed{;Re=|RTJr4QWuF=t_ORR4~ zYYTYxZZ=+|8_Z=!wR+eH>DC2|Ja|$iB8`@gRRsueb0+Y~Sj{0c;9nQ|o*rjf?WGLy zmq28__e+!VybPF<Oq^j;&9(9lWQ-xih4rUHtJF9hSHTa|b^4slbrkNX4u-zqt+wPB zJR?s}n)G-VQ*_-K1lqGIrB>XnY*qcl4$!`lC08}kY>F)4$3M$wCq{RzZ+vF!!Zo-3 zZ1ug{`VRi=vu7Om%C}z`y?E=It`uWu4C@{r-Sy0!&um@g+YwOS;*B>qH3X$qS5F*s za<KTY;@-vI-}}<rwr&5>@z=cbfgio|n%7?2`{*?vz3Q6UHOFr{|C&#H{OS+??Thcd z=Eui*=N{pO=XHgvXeZxNxvi;@b*`PfG&;Jgux89U8~I9o?7Gaq7Vp{I{INBe-OYDy zUjA=uMyIx2_pNO^cI^G>>)-p~uc^0h!zR1e-??quK{t-R<E(p^Z{7Hz+B-h_$_LJG zU6VPn|GI5kZ{M<aqUDz#Uvcf-U;5-@Kl#_KKlIhkRVw$*n{Pa@=d8-(W49dgrM=(z z`gP~uQrmT>|H{XkH-2~5TaWo^^ZDz3QF{N#7uT;{|BKP*9=qomT>F#9?)lc*ji3GC z7k~7~2QFCj;scMieE#*9uU%vRCAjO9<##N8;pY=S{?IFn|M?RiI^rv@{LwA1-T#wg zwtsr7mwoF`j(KJGRqMZT#`qDpzh`Rg9nXGf;@1zna%1hYKe_7{U;asB_kWx|_45BX zKhZHQ!is<W8R)wGmTimHw|%4d*0s&+Z@+rwCy)Kw)ZB}=Ufbn0eKDb5f2qG~{uftm z9`P-D!zOp!!4maVBUjyY#4*95L$BX^@Cz?|^-t~XKim7>_YOY0^y+^<`Psj_;>z9U z)~>nwvCn?&H(l54{KT%at~~#UuyFB6*Lhm4U$bUc+RJVBMn*>I7Q9_+>~FsH*JGR2 z2rUuV&HmBT?%ABf-96`vn+v<wjPCmT>sD{A?by5bfB*fHKVUy&&u(mTZE4%qgKj+V zy!pDieB&=xK2!Yo54W|h87<B~Dz|z4`cLdAhA)5nmQDKG#eoyIQJ9B<RIcyl8%NGN zwc{VR9X9gF=IuxP@P`L&`%>+b?|N|gXaDMv+R6`aUO4|B<_@3TzOCT>1U~8()A~W& z#%BuacC6WP`{25%&mH^EJKpob+dpvgnj5})_S|iS(e=00Ucd538y3BG|D(fQ_q_bM zUp@QP!7D$uZT*d#A6WT;2S0xM*I#&j_$?2A?XXRy9iRO8>t9~CdrfWkhkyNRTlkNA z&iTQWlw}`~b)@{U^T@5IJW^#kWWBmv*`(G}r;h28aDhHaAg{We+rx6t-rnLwHrvy) zeS7c9o>Vqtt35s0Z1%8X&5j4@rc9PKr$W3(^;BIVE+FFlX$j^{T*+S`Tj<VaJ*rg0 zmD7}yhlu~e3*V7OoQRI_+ctDRp2nT*QFl*G)zpR!wMkhO8vWcGePY>D*VB~eMvr}N zANfe{mf?q#?8{8bJJX$PR@veqhXh|ru{yp;+GyikV}=!{s;M!XWmnxptRSAs%RWXn zyhUqNaYUw?_mpU-Y0+sUW!Ga(9inPYO&Mko`#_wh+X6<^k;Tj~`#6W4O(x#lCb21a z%s=HAF5P=BIkV?9+w&E%Ne7$KY?aMd&L(O+2(U>Oo3Mr#Jya7ZQa#Z=NpMm~V7eMa z;rJ?YN-XQ`^TVf}%DdnDrsGi-5ZNR%nBecfr2Fwr6Nyb!4V%DR^t00O%C5{Je9t_U z?aimMYKtu1-nnP{i*II=p4#?FvB~^LQ<O_|6X|CItDt*|lN++x?OPNYNbk;XL0T4@ zM>fgl_q9o_R<}v-w7iMjq;-GiE{!m(XCIdJ>Y0v9COark9b&p9JT(>75sni8RZvT7 z=Xzq3mDGe6_a-*k$==yoZP3+|CfU<RgOs(}yp)T9-KTLosoUg@jUxF2vi4rq*YoJI zobW*F&g*QVrt4kplATL8k>O-d?QU8BK5dhTS9axL&mqygTDEt1Cz~{SdOq@z-)58M z?b}(XKR7u5(Je?b!zRVrL+lFJz-sm_n3d{146kSdfCtFh@aE=h_PE!JJ-c`JE;^00 z2fU8KXeYhBgY`O8FHfxNbKRZ|+R4Nqz1Cpdch#v7J^qVP(>CdL82T=i^j(ubW`~TN z`J=w_l^wJbOj6@iOt!m9S0(UJ)j3>JD%vNRgmHhXO=?-$-+1r6yj*>CI?W_@PTI*d zwzgsC(li@HBAd+YyCR#^RthbhOi$DB)Sg;O>d$ZMyPCH*vk4%R3BI&tQg%et`!2T6 zW^1X&o}%<!s+f6oSa?lu)^)Y1SQMM2)7XUeRkumg;C_7<`v@P*jAWMSg7il1Dsm4G zn5P|&z_<}4bUgd(7fe2OxiP;rq6m#r%nFqprUvj-*16H%L7|Vr@}wK+bNd%%(M+^Y zlD&!jTJ|rhC|$f)u_bXY-e-R!EKZWRcGAEL8N&V<F7rhf#?35SF7djlpM@P`p6YaY z$MFw}%N<8S${z2*Ox!?ZB!1bOxRTC9I+OJ1EDk5GE`%$H7reN2zES+)K_B}kGb7Xc z8ZV9a(p~mM<*GxkKH}z!)|9%Hsw#2Y?ti+KJ%J}t?mRyS2_5O!yT_hB#rsy^BE^z+ z;L`5ZEzI1Ry4yAh^ETDd5e6?Nio@Nj&ypn>>;Yu|BFm_{T|O$=lgL9_$5-|$VqiaU zFB_S?zmdGYDRD=$=P`GR<*DBD{$;1EMwaLO+$n0nxtBNK{$@cE&trpaa^I1}Uv|0d zd;EoeY0v5$&$ra2DUr3RF6O}bfuP!X#VKR&9tfU4B5|)eMfM>+zbtlrp1?Tzi`K}E z$J4E<M|4o7@kj1OpQ?1X1=ZF|Qx&J%OTl*q)jav(KC#a2*=*`qCi<BPlZ!ILw8w{L z;@-&Lv*@8rn$bULuiP$uMd<SREN%3~nqQm8NH_UQwRHvgGqTiVUk#lr*8=@%ZR>fe zINvp5$G&IL#38Q3i8Cu^*<06>hcbe}?#ys7C$*)>UToR-Q>4#gBxPjHu&<`ZbgK2b zVy)2o&_i@i|0$r8{VxJ??nZN8GYx1q)D{g6Gj9D(o75IPG`NY;e}+w*f+L$SUetC> z)TYEH!auudvX-On&9KSTFq_e*hl<*w+97n`b^UOhL+@nmw9^vl*hM$I>!s8d<{Q{# z%a&TtU~gfN=?-&{!eDI^bKy^9!A-F5DM|CY+-MfWi5vfAU~;nO@#5Y4iL)rv(NoO0 zx#WIvGZBlLGifLjl&!dDM~pbV)NOXq$zHO(*hJAzsK^<1&Mi?H${$t>!#z8;ZsmZ0 z8SR9-5_eCL@S~kD37Bpth3-s8Fh@<&PH1;>|0He?qodp7OFNl&e38Au&CUPGb~2+L zBzgb;<w`rr_jT;oPI?v%qG=9Z_#H60sCjVH44Xh6w-d36YbR`K{H1FrQ?>t>z4w8$ ztf=mNYwzCOv-?alz0c4yG)S20wuP4Xr)5yl_?+tIfGr_62SO_vBaNA7xJhm<Bqm@~ zsB>t{nTBAG0is6bFu^#CCX$30qxWHh=m*Ut3|=+y8X_jX#F#u772}@^)A#$U+WU0( z{4sd1FE2Nrx4KW&s#U92t*TX3yY}8yyB>ISX!wj@Io(_WPwn8!m3<HBo^5XKj9xDL ze`roN>DibKp4eoypRfaX{IPE_EDq~CE1Dd!*YOf2nCt^?USjAc8-AO9GKGZI0kJ3j z=m;tZ{!R6h{U2N12U%&I*PJeUyo7?nK0}^6%71$=o)?2A$#_pBzjt0E@A$=^vDi1n za|R-F-^A=H<xJO>6+iHtGJH?%UMK$Fi20F@Xu@BLXC@AOGWuCj`jtM_{zb;Y09Ba4 zQ*J9|LmN`w6%_fKox&Aw<s&ojU00?n^T_&2I(Y=GDtg6Vox@nkDdM?~$Zs)BB)svz z-WgT_RPkX|jou<CUV5$1FQyCeKE~s&gHw(55d3aqI!tr!_~ZQC4$lD}u9&Lx8UNVK zqj1`({8J-Chkb@%+TR$aX!FPsxRx~@{_^a@L}5XV{N#6@&->SqE}!?ju=~rn*U;=T zgo)Ty$}#w%qSl0oMs}Y4-6~D8!g+|LZ%aRTDw_a5@Oc_uCvoh-j3zj*q{Fgq3dA1G zO0JFRbMo~%S8+{vnQ(5A#GcToYmhG$dtn6t@>}C89d+&lNzq3GXIxCvi{y?%tC7dU zlH^FWP{70+|BSaGMz5{-Q)Cs^8%C4b7@m>Oy@0p`tkVi^2pq%7&1^4b8LTH9+;98m z5a)xNiDH(G{N+F?ZAlUzs3mcor<dESFSzxlIQ~VZtjf=Z*KZ<@XUJPNx-BvMX7J3c zTNW;GX+~~7;FZ&kZK@2WA%}|J3{+f|pGL$5&xTAQCAxiOyos%XMs0eOz!AoiBGb)K z7&#m)w#+7Qs)B&Z3P}u>ZgYJwP-88`T;x_iUS)*%)HwbG^4}V;=;*ab3L3IZgq1HX zg`&A2po##K?$V1DE5-4oD&tB&hE)H7%U1VkBBZ=_DW66tI;2q5&hV2>xX>A9APT6) zLM^7w5r!6)geS2fNQeZMwFGud5L*SQ@fk_<Thjw#tVft5r`4(zSH^3c2r;Xww1i-m z0)Y-5gl2)Lh+z3DZ6vI9Nfi_;Yua<OzxDpL3~TYg>5MOFq3x`VUyHM7fpI6;D7wCv zZYgBx#Vr<rmHJ6FH1J;52XaquOVGh3I9j%fDX5|<K_yrG0a$I^16xY4Jqmdf?|R_` zIhCj$QOKR43P7wvfoCc79ReY$QUL`@)<GxE!ystDw}8uBl$V-Pndg$!j|xY%iftCk zT3FRCVvRDAY7DS8-b0aIqIoL1iqq+=v(OyBNSyA)MS?hIg04#GOh4jTTfiG-kU;-~ zf~iCarChbW36WHaPzhyCR6)g60FSG1VX03{YI~FtDG9-oXb}EcLeC3h>y7#CSXso- zehDkv+~UrT5deIPjJ)ZF08Y^&Gsk+YvT(MHkjeqH_F9lhmKT<^<OYabC;YHV*mjWG zhBwRJDccA>cyhfom5@BGg>+Wo$jAa4Ln?xn?4W1-Sov%F6o3bJRiiF(0vlYSZ8QPz z$2GCyzfFuikNH+Qu4D;6$;aYOXaEflKIEUqF};@o*_X8eqtbc}Lf(gDIK4<DpqmCR z-Ilw;*imq2mPy5n<xtD4Yu`CgY~Ul(7b+!R*`xyNbu%N$&e#M(RG54cQ{QYdPl;UW z#!c#+xU}{n_@L9FEHRvUr^w9|EI|~IOlxpg$&Mq(n1=V>*|a6J3JKNlG#DF@hG;!& zz?OKEg<dQq^eQY%=o~Y-kQ>o1tEP0)rD(*gskC&~IVJba%q(Z(uaDm6NlwjX{5X-y z(aum;T<jI3I1Y@D_c|7ta@Av<jVn0JF`Pc2fnMVe8bk=m0t$(xoYeef$ZoKMBQ%fL zv8_1LQ1)}W2~T&gbGmwk_CvY_lrT%yQd1trx^aG<MQ;|Z{7GT?d6qh@z@Evp=1Z3Y zXU5GIB!uDy&x=GCHRH{oVoMoHPY1#}7%<AhrT`|*^E`-7MnyV#a>OrD=ClT%7+SU% zhbvViBnz&dVAHmvxuvJt#LW2ArOD5B(88L*3F+Lj=wKO+imhPaW6X%1)(qEox+L?A zR3fJ=M;z1Yqs%s6gJjnkPrTP+HER++iQ=<83c05l>r?CJi|O%DNi$}A+_chJHA)`P z<|szvH~u<j7f8IhCE*p6Yv!Pnp`3!(<H0AG2q9;SJ0E`w=Df~Qep!B<CvwJmgbUKJ z4y-8LmYKb@jyu@Ia{+prt~H~UKGHR<Y{J+=Q(w<RDod&HSxZ;28Pbv@LyBR`QA(64 zb97*26MlFCt6xwsWzPhGSoc^nrTwK0>ACc^o5|ZKD`1Qtf>jrpu`XeE51SBanH|$o z@9Wn-D$i%M;nTcLzQwU7rAa5<KpxAjP8}7bOW1_@MQ#Vh%Svpvk|eYXGtIZ%S@b-; zB`;d~e0P0G3rYuA^f_SqHN<#iS{^w1Q_Yx77FuL7(jhr(_N_!820nzz)#?mTKqCVH zMN`ZQ5`u-fRuLA*8~+Y-dSd;gn_;n4AK`v+pIT(Uw&bmM&sME<#ysn?>_Cgvb=0M^ z%h-~QvZc_N5w&q7Ky#v|TB-wI2$WVzGh$D^3quF}T#5vZ0f0}<pk0J7Y_c<b=t<%( zy)aA3x#pAQys+r$vSmrjLNZ56Q@D<$WxCtu*$e4L5SnH!J2WxMSlLqHM?9_=Wg$dN z1zUsrDdXDoV_#S^GeR$B;|U?(F2>=X_2e<oSBG(l6!8%Vfi>VF?&UkyR9o(<=``U6 zkCn?@<589MQJ%c`6Zj6q&{W0=rN%6dn=@NQ)?IEGm%OOoLv@?-bg-jmN#0m<96lpJ zpULVAmYU&!0mJS-TsJb~eR4-bHqV26G&Y%^REm)6EL6``K#|!jNyb?W<tN+ZoipmB zj1Hct{x%m=_S@wPccCtop6F_u=3Sqe2`#Pi^r0Ye`nUnq_qnA7(=^ecJ;+8M_Ci|D zUd;y2>X^lher!^=XzquBd%`qvoovu$jHt;|Nz(PE<?s!AR9u?W=;y7OlbnU}Hjj}~ zZ7rKb3roM_3cE0BPS33AsEk?O8ZcB!=7-uoZ5hKZc_p!CFAbq}88RZ+;HjCk&7c%z z>Zt|*p=xt91)2kH`RdSQ3#Ns>VrnuNcPU*`*y-06Ht9o5l1w?1HM9N&lUVu`D_;3H zO`kSQ<^41fw)SNtnQpUs6sgJyfP}*6uk3=;G!85%f?c%;9neLX>ylbq65@+1X-BN8 zP5Z?<#u+TCO`o$ZFT@)&&(;?uJ-%l8iJIpF3MRX!VK{d#Wcyb`)8-;Q<4NelG;y~u z-zhHs1rD3_XjLSx9@>_5g+tB=1#E_N`T&_#+s(((t0kImy3|}y(3Sd^wxok2W_0j* z#`t0=9c)vkwyuIwg`387)D1TB+9DU#q1$7zN_|=Q4h!vpeqzCDKFu*3a<sf02xbcP zC99<(SzK0VYK=VL^HHCh%S<uZvVmD?85Z`<?6Inw%=1#;i_we^eUOdX3*(f;ySAP1 zX%{*X8EsRT89)>n(9Rj)M9@V5hJlXh!hF7&oFBKgYucWDrlw|z-1>^i&K}d(&S`r{ z;c8$9#~ClJCz5(bsX+<1HNW7!VQis<o_zAoSFADl#7~U%5zB}$yJ&}a9ZG`GENDR^ zW3`2|_vNAenIYa~`tfURz7stc{QT127<*i&Gj1;ITc#-?i>FV<bm@oV-O2P^)3N4l zUC;C!trqlBxMoh@$>||ONrqj`wQMdkT{BjjC;POx7ekjoL#WW;qm|{otz~g9tYsyy zvZQu$ami1PV-Ip#JVOkro=ZAsj61MoHy+n{zwO+SXdid+8xu|UK3>Y~W?s`s>s(x6 zpK<UuWe2_^!1Gj%U4lN}ri#KMd2gsZt(G?#xp>8<h_|RlIA~0`tE+}N<yO%=lFzwM z_qUQlLj-H2+1b~rkF-tOUAIJz8B4|61M?|cN{XdEZcGQyu^u7xSc;fs&YY<>EPXO# z=ZjfQA<aaZwXK=>jkhKXQo2s28r2h?$h6JYC2a^BH)CeRpZK=q+MM-Lx8;4sl(h_a zwt?mg6CGZG+Xe2!vXqJ`{M!e8TUB9>r{f^4#{49)#)>3}6%tUd8BL)vpwCgNdIpBR zR0<|{uq<;^ket(K78lu<8%^dtbBwK-ZC}UfVI!txHo4EZi_)QVz~7d&m;|)bGgM47 z+8ymMjL*BadCe#<>g~9hN}ZW&Ix4JiQ+~n2#r|3qm0D1M*31w&X~;R~Xa_Kr&%IaN zxHrkB?#UFEuV@2QX?{lDmk*55$S8%S;-=6V!?tN!^jE_!wQ)-Gw84IkUY2RO5F76t z`JCxZ+m209Ti*JOHuaqJ%naJwlN_?&O7NB&G*TH<qGmc=So0-|S{BmV-p`d{zzlWL zd!5U!J=vsgIv-e0I>kcY29|y=m_c*U8Lsi@&vIxpKI-f|$EcCpGJ5+AA!PAAK>Ng~ zG~-gke6eD*B{I_hxzKVM1DZ4^T@$ovE?dfsyTcpDP&wJ;GQN3wbH3Rbm%X22(7N(P zIz(-Pw-6>%@+__OXR)@`?r01MFe<XygkVn^7=r>-oI=i)Wbj-BwB#D^ag?%PkSL6| zQojfE$im!bQPrX(%iW#v4KtastIdiDN7rQ3CN1E(+GVvgeUW(v19?p(jGO5y)6}4+ z`kU6!$>n(|_aU5nb_x*TyD1LW7*BS~h6_7#6G${ppCn7ulWDy0dAfL*MlqH!A+fPA za32+tD+1Z!!m0MM1{APn3Kv^hMZj{=GbS8$%IP?*WC7Ad_6uy5veOW4@n<LFICGpY zGz?s8#;EMe9a9Awa%;h~lZ5Ni0<GVh6x9LCzq2M$`FjA7F7GwbCuS8qBZe8BMrgP# z)iG3AP%g4Sw;5@<r8;TgbYhG?{J``$&+_nbiFu=Y2rj_|WL-2ED^cS%W4<Cf|5p4o z7b*@m0zk&OEip#yA}HRRWfEsdy__wjbj!S(Okk2y#>Vt~a}JTH#K?0_*Sum}yq;^U zg_2O8BOYWO=niTPq~2Lo`I?F;W38car^$>h^z1j`w%qH3_HKrFk~Fc<5)6Ef<hXnZ zeQg2PNXB-MaqPhCW@d`otqla*Iud0`nMo*eItfV-LMF9kS7LNF4zk)s01gO;LXo$A zI>n8UEy#B<0B^*edZsN>Pxp0Zg3LyWm)gP`(;X9^<(Hq|D<{GHX_FqcI33K;^f6MA z-bY1bCY_mvFKWOCsai5g0>4ez<WRJw#r-}t%cKs|pVUEFdMUD&&G^&^hf8MMKqs4R z#?9Jfwlmu~rMYm!82>Pw{rnDxs23b$>r?C4?ShasAZ_%E#7VUdifS!-O+Zd&L9Qd# zKAo-V<5VZZfhyecM^p6XL~gPFT%7bI?d`Mh+QH00!UmzdWUEXjE#(3e*cxmjaHb8i ztH3g?;GezNtmSgKMj303dD*D*q?ek`B;m3GN{x;adYT@35g1RzN~}*an#|C2;w)q( zGG^R(H)7Q(oHO%k8!GR)vp6(@L@a1)ojip=CN_8Bnd*S+dYGH(BG;E{e3+jO?48jF z)rI!}UHKi52gF5Rk}_kwv2!LRv!g*8h$$0&50>$>{31BtHaynt&;4@R_5K+KpH@T> zfhJD^NApp#7##6PnNDYxjPr;{l10*md3}Qt@!6HB0VexX^sSIm*YN<pqt6QYmanBA z5<hKl@!qD@0NNg+-Iv^$jrue};n9+Z;Xd{4G)0*bEK?p?aWZ7W>PMwPosRsZ*+1KP z2M^Y|%dnr${S>{|cfo;7juVp7nz(DZH=PLzN3BD?KAI%av=08wsSKhd{2U5$2{M+f z&(bx-5#Y9i*+IW~8<V^uTSi?hqJeP<#&lLJM~9Tw1FH$y#!Mn(Lcx@0l6euL;Y0w` zNYi;kS|u#{47_da6c3HVBsOy!&1TC4EF`eD<=_Y)FC@cWPS+lrYsTOdnIP=G-hM`| zOo^{U_KNcjSZU)lg9jE$9`-m+6!qjbMe{QYyQmHJKq4ly^tD}$dBZL|MS1$ENj6OQ zm!x3_qpO{6@;kYiqTFK0LWPMF`1pRwZH@UDM||F#QG^eFgk5T9O=8PRQ(p-%b--i9 zrM^=q7|jvN3^B6sywso<BmTwCU&?I9>`anoOtk7s2|*ganPx6EcM{NdMIy{A8-9J~ zOo8Ny#!yYV(tIM%FCOLAqnl|%eBp<R@sYky=k8(#@7XiWggu`-4<;CP^W^0lCf)1a zUgL>T-tQ?spZxPhUh79<I$t0lN$l;$8htLPi>nf_pONm<oF5!zZ{kAJOAo=)L7Gk% z<dhl4!ey>iI>S+q0-c8%V34%QZj%m}$zpI~VDPQ?^F1%RZH*y}>Q6=*uwBR$(Kt0M z+JcARr%g6Lsz;f%)XYp8^Yr{=XIj2l_vl3>6nSTg=1qrY6p}u*$<t3cb85`&;WEr! z&a}B_!I978RIxm3+~l=2)9@o^;_16*O<q4W|HN`F+hAHR9UNJDYi`;n@`azJbWA<r z2tbN}`(wFFu4ZoXLQ80S^hst5x7a;*Y_dI4EKWAfM#Bf%+^NRAvvcx^H_#M+@70^l zE<0o%++`j=o>wb_i*3(ym*mvq!kt^pEH^7N^GPFjHM=yFZqfMe7d(~D06>*2>+4j- zML*o+dwWtbGp}1rr}`(IdDmOpd#5|01)_&B?VVYzyC9?d&>MbvF+KAfXrKGL?uR=Q zcY3O=MbMw=+h&^To}9WRCFA-nJME^-nT1FE!k*-UyfJ$Hhrf{U&coksp2a;Zdr}vz zs=b}NlQQxK?kgztCqA)fPs?$8G3XaWbSav3=y83<lMT1$CopjS=x7&XnxyS40*V=H z{W9N!y7VT0vH!MtQEj%fkS=w)mnBo&uWY`|sEGNb%|^33JImH|maJs21^P+ox4$@8 zJGDPI?x&Xy*32!tj;na)TWubjwUQtG>|=|2KXJzo@45Lii<6((wedep{+ClOzIyb; zcm4U@xBmLgckDX!*Y9pV`n+vl{QQ6a$;Y4H|HNGzfA0_WCvSLcddE+G{agRXFJAL= zkG*c?SGT<JU7sJm{f}-;e{*Q?hNZ<Duk*gu{+r^ikJ|_Dx%2V-uFpKX`PrpC`NDN( zz_X9>u`PS=x!{T4ddGj6+x77a=<!}UEk5>GZSPID?PYz}-d|=v-JE@p^8r5m;Xirq z*Zu*XXcOocv>r@e{NfKj>veBB^MqUf`P--b?nTLUhxRSpefBF(zVgJ69{R$68vC#R zv2(Zog;!>;zWB_=#V$6v?h7aXhhKg5vxY8SSgd{d!by`n`pDg1-~Hg{zqb4O_RU<K zvu17a@{9KF-rTyjy)e-7*-0l|^Q%{1{f5`S=Wo-6nbxm0e!2apkG<;L?)=_^2fp%( zOF#1`Uwq*4o&VR1uX_Cd`NRKq|Gp=l|0AFK<VW89pEq6i`s+{r%kN(G#&^8wt{eaK z&Y$^*n^{M`9%K_y`dYP9i)1<Z>7~bN_H%nTRb2B4?LA5Qe{Syb#qP_V{>=A1_sqq~ zmrV|*Z@lY`=Ushr{awHH_z&IqvD<dtedv@If8<R+{`K#C@~V{`uYdGSgNJ_Sk@^XH zPkiy2S6}_n*Zug5-t@#5zWU6Uz47OV@4RWacEYaY-Ng+zUPnJkzq|Ra%-oYa=TJIz z(X*RdiapG>%$wQYSbJ>4-g}y|$;a;awF8w++Oo-;ySFipvMq4&v6pmPoLTZuhd%J$ zI}iBMCg0q(c;8FL9xUGX&wu^Gr|g*6aqFQ+PTBAY^ZrA(-u-(&^oH}#{mCyL`a<6c zU+>)T!BZY-zHrlln->>vzs2lc`uoM5hhCIDW2&g7pSf_dxPZNrk9^?o??146SLbGp z6?3)Dom)G*FWh~7#}6c}{u>u}Tz$&(-tdBl|F(VKa`K6ff2sY+`<jnTjs5PyJHPT{ zUpln+3;VwP<9A>3(%=2vFMRuL?|=QzeCUBcJMVed9Q^e!48QY3AAaV*hu?MQji35j zddGo%Rb3zHzjlA1ZJ%QsS=@uQj%QQ<P~S@5b9T7?K6c;?e35-k><&AmW!WpkEE{Ea z(xDZ%oUm!?lDTWN3UulqC-IzbcFe6D{KG%I^2(K|;SU)9Q*&ZrwQ0T1lmH+zR+q+A zqpSOldh1PDo!`R)zt#`e*?D8K-w$Cq(6(<+{;U@+k@~jbp=9H>9a?joZAspzg|L^f zrxI1ke~$ALQJ#YZd+AsYe&v-P>8)oUYH07IiF)>!RuS!ETv-{@4$APS5XGk+OO<;U z{na8Q?@N;N!<jaXCW(kBkLg<#y9SFkS=s)w$B%mY$8j~;<cuA>zG4&HK**o64RbBP zCYM|?w=LL&T-d{O4X$j$M;y&?|3~ip!|gAhGuz+t0TxitEt4l)hufsGhx}flsP4mT zqVpQ}Kh)29;f<$P%8Ryr`%@pS!j+G9Kb348em48grhf0E=R7*c+GT8_&220DJ~H<} zZJ*{Xt2WX8wpg!G!qAo4J82%M<Q?u0_OCp;vhtpTl}%#&s!dkb+l0Bz-1c)GJ?^;k zIX~slp}Nj(TuC^&=5V>I;b@cLVcA6XTMt9W>2#mI=dcNmJ*{hOva-C)jx%gh$0i2c z?0@K?YgT?_rBH!oli`p2{x^`w<`Hc2(A@GjsPdO=FKvQRLq7?1QQ2jUO<1qJP8Xfm z_{fTEvgHkxhN793quFHTr?O4M&(?m4V3WQ-$0ihG`=OObR^}ea_w5*_=Uh|Cc?ei* z6OLPCp9SN=F@ST39)048_h`p-{DZGwr*$T%+;8eD<@rD%teJVR$rDc;k4;n^D%g{= z31b&6>2NiMHgU3zY~luo_6M8L7id+CUCZpzY<}?}wmM)F((V7^Lzj?U9h<NUo|AZ1 zzV?l8poGRQ!`MaK_9Jbgs$VUe)D3XBO>|!4_;!t5n|XK<LA1HKBgd}sXRm~@i~ZX> z!r0ZfKa5>Eys5!aw2WQrY{J1m%okSM<Bo>*POcnt?9zT;#;$OFtaKNIu}d})tnVif z=ojz%+SiUhpC+-gzgp&hlzyT;dpwHgyhiytTd>y1?-eeX;jt}`wZ>=6)S39F%7=Vv zJa=)7ba5Xdv;R@(87o_O=3zEZn{XZ@=m_U7;^_%bjMu)!%`aUD={B?1u*0*KnG3Wp z(oUEVW@=gOW5f^VG?F$zgEJCIzZJP}UBh$nSfB-#tfEirDG<WZ=|W<-e+W8gKcmVz zGrb^PDML785~*Q7A~8+zg|fx7A<Mm+I#-dpnSno);ZpWVYA@)e3p!)6_6hREH(M{I z4moS`6FWJ3Qu*>J%D095Nk5j2DV}ic6RPX;8u=%PI~-<3U@6Y!!RO4u4f#f9;t{CM zoQY6+1^W(9&IY$$g-^qwP6l!=)`s&FPkLrHc<yD!k4{pRSKvcVbv9v?;Ddiz+~3&H zenrU}y?lV@_h#mo-2DCG=j_DKi=XvI3bVhl+RqsGF(Q9+pqJ-0a({ANkEf)SJdKK8 z?zz+%lBI5MK1n+N(&e42@bvj|=^FBq?!rsJCZTMj&(s-_<sMG>xzQY_Y*Du>?)S4J zL(kjFUeB{me%-(=S8%2zWmEX7(QM$BUs`CL#Unt~$;G351NW+CIIr<sr7N<nTf@1H zc}iU#4yQ%J+>5w2^U!v6{D^ezORQ*>PbcK6VwU$emN`W+j&sS6&~tx$<-f07S(~Hl z?%Q|DYZ2hT{*7jH-}e6D%k6exbzIn9O=mcgQCI%c_cf=kxyF-Zs;P`MS7P1Xex1)) zf2d+sOa)JQPT0eBns%x;hS;xFMZ~>aFOk;6JNf`$J__EpZFu-J6_-tX`}cFQ<ha?9 zun4eTZ-nO-ef36jXegGAedTkgHU&2)WykZeue@BN)A(5ZBQe~VZequ=akDbjPbF}| zZ(p4=pT!h*bB0I0D^GcfN-dhWxhhL!Ik*YscLeBQYNCQo4j#oONBPbL?ETD`HN)T( z#bA?qeLJU6^1+0aD-EBJ2sS}8fACw)zhW=qB~4~f+pqlS4zddT+sk44V6ch!WfMl@ z(k9xU_0U6{TFt&JZ1TVnYMV`Gg*{x@gmaOH_?SVjblS_ssm$zImrY*&a#Px5W!tl_ zkxf=OBQx4$4t*F|!<l&0)<ca;I2k##H`)mrXx^oDo#px18&tD(Y!buu>4W><^Y-`b z<I4m6!?^n&V8PJApZE!KSP7s0FqmqkRy%Zv>pfQx4iA-0wsXzz>AH%oE#YY)e*4Oc z_{PZhWs~7x6VCdRH0>(n${B33vci|K=qHanvYnF}oAiT~l>=V6>v9(NgkqCm8`<Qe zAB{E<$Nv4XpJ=YMf2A*+&&W>GquAsr`rgHkmD4zPdRY5YSLY?#hr05T;o;Y=Jp0*w zeeaV^f{rWoYo2`?BfQS`-v3vjpM<&Z{uP}a+5gQ49=Z~n?AY;5%?z0rvCoxx*9SgO z+2qO%kE}etGWQ<7EEB$cvHfGi+p!7r#vR`bAM$wMfro}yWD`osLEwDJXXPUwx#SXT zVz9|0oY300@;Kk1U;ypw<4#<6YS>Hs>*2I;-H$f;zQ(R$#xBDtial|vdrmpzPAc{j zB38oKwbDO)uq!4yn=qD|N)icRL`)K3&^N}Dh)u{WE&*nIm1n;d2ClPv_E)B5`v zyLLSE(5g+eU)#KdR<g2fo5rrHpXmPMv|scld0B7l3O0G@A)T>`P3l)RckGy&`sO#R z#U`Aps{P*@UE)|}!q~<B!+kNl|6~32_q=_q&e-)$Y_Nj555=)7+*dL6{N}-Wy*G9} z(ogMU6M9Pz>IQphk6m9f@<-t!##maLCO+9ZRde#0n0WbF6>m!V?p{36>{GO5dK39Y zj8LXGwPnId_F(>NPI9kH@qo8i=|X6YQ^`5ZzpgwZ@$h_@di?kcs<_gx&Q0t|t>_h@ zlwI*v{yimMb#7yIE@S1#a}{aRhvjF&`HKvFm9M(hP*~-|`&LrLIuG<JjIW6Nw_J$V z9y-hZ!#{=u`QG<VnhsA}JMXo|GZndkc%X0psqzRzmwyMoVth&ORPno^5x+}Z0>Xm9 zmX(*hbT=<Xgte?(GgGAtp`$ouddVRj=R0=hJt|jndp<gD?ZuTm)wzq6*ebpkE|H2J zea>f$>22wk=Q4I?dh#kA4$EhaoKDbDj$vP8^f{MN8FD^jtZRiSlg?`_>E4F0LhE4M zq*es(GQ*!9oJWZB;fkIc3wePXmWsCxe;cYZ@t>#4#1T6byx=%!Hei(;CeeXkraWv@ zCt-91d~j`W<>br0q$%$k0$vQiw~QY!pNiPBJTC`u!A)`XTLl+lB8}H1y@+M_M0LRx zeLxS)L)hU<PHLeCs^}GnOVIAoJRuj#GGjvVErcV%!ji2gSF+rCatI|ong!FZ1R_GZ z$Y9sTF`fYN1q2aBEb=R`5eX^b)qz{w7R6M^?2A9EG<{kY0Duk{S4ts%4Q5WfOdKUR zd}myE#=Tn1Dy1#ciCI#dkRGh^GZ7EeRmv(BBEn@&5=7@0pQ#6#il33Q3JIuwB`{va zKZQjf3lVELLKvqJkXVz4X>gR7S$c}J;Z(2SHv^9xPf2|*Bt#qn7u;Ss%DxckzKmP% zMLW43QMGV5u;{i&s&Y~uF>NoZM2<#PnLY7J9VNtCD3h?hp$dyjkXamcWvdnMtLdOb zSgMfH7mGE<mqC(PGl2<LlOEAiA}fiGfH;PTtkUQg>&1Zymr!6`Q6ondIID=t58OVu z6}l{>BYpW7sKGGH2ts*9DH6#=tqTV%KWR42&m6}X7$~;V#@IyT&p7m`zYVhFR(eFe zEgZ5#452sCs;&qXRbk;1!L8zBDOCet<R?h@rN#*jyf$Epi+VUOLd0>!eheVW=d5B< znWrO<KL)GFAx`5B>DqYqd=pmQfQpbB8!#Ub&ywbn5S1aLu)E5O$+qMohksxxAYM7B z4q{G11U!Z6G(4}jS@setuPnRSST~EbWv9Af)NpA7EGZL*46N2jIO-zSMo=U?5K=wQ zhoOUaw&58;(i=!xhOH_dkxFHCqTnR>COeOGAM``V&12A{e1bNamzJhWXdI>p7Hlyr zBDW<adUy7zdBmhjrZp@TxEMju>X0}OB5{>S(1;_!mDKr?$mceBBh3P2WTuRel%Icr zvNHWVzX=NrmD1OjT@ZncjG9YK)`wPY_@o;z-yMGeGNq<$Q3}4}r_hvByK%{&^MQe9 zdg7_Q19!RZi^Y)A7o&+bC9(WM$#f(P<8qCXDKjq;5(mM&=@*^njNyzSt&Zk7WzDo% z7|`G3fC;9vZO0-8vH_dM^8B&5&N<HIsw|8KDgk5pCgk$?h2sQG0_LP#BAsc#K5YcP z3{sNzCtQJubtWUOalFz4-lZt*i2zzQ$^|V9i-AM~3S)y0sRUw>O8b~KX=}z9i&U%5 z#i~f0gw+{7aox=M46|<@$QV~fr3DQ^{ibg0jP>X>JI&lUX71HMSR_KofdLtu1%@-} z<g~|i4kCz(8gxmmlKR3a#pcg@1)Li<O%O*tyKxfRCW<)<2`;{(F%80+y1c8f<B2lA zwy*&<DL5LX0mSd{-R2p`>1XD`usBV$nGiS2f;w8EnQ6KvnisAfWHnP&gHQ%ouj@8B zC)g-4T^=x}Jc}lg^i&Pg2T5tspb@BMfG}9bk0+bd2S}n2a4K`?0F%&^xKoA=xrtv1 z3g{75$0gANOUEaMSNDW=XYi#7b)yxgMZQ(Snjt%4_3?VI96Uey5qyPcJdLyJbT!th zl<d<r3tV?QS(=k>^#4xG0*j-pBw0^s{er2nm?Qup{<_|@7PQ1M_Z=2-QMM&w{j?eM znd|t;E<8vcbPOT$sc{NYWfYf%$n3}RiKiEJGU_WwIyz9o{%-uvK{Bhf$>bzUQ4;cC zm8W2mYe9#4aI6l1S%cHljI<nIKt~{-=gcKmB(=eNS7xEHGJM;4Lz^bA@Jo?Jas=`d zEt4-G{|GGcb7VvQi-R+e{EMbZvIOaLO(os!7TRy#+}+g5m0$^%0UAv_yJ9@@m4(^{ z5I7>LP*5aX5u$cZxywRaNyx8L_4TOTR!S<qB;#u1p?QzqjG7LjAiOgW62<^nwS?X_ zG`-ek5#b*10+*U)q&V2nCn;#rEOc^Y9=<(bVJr1?5<SEZV4MueRn6+#hzAy2@Qmdz z<2EfckR-&Nr<h`)roEiV6OBQH%%x8W-5wTY2iGsm#PPD!BJx^!-eeX5(+)gkK#;GJ zfNKn$GG{h8{Aj$WU)1)*yo^zMqL`Hd;_M_T&dwAl!O8blZUl1lAs1uz>}A%~RyJ9i z+UrY+NF>Q)(@+@I(utp&5KEoit0u+liZHnil?~zo(%+$-Ykh72CZ687K9dDLW1Jbv zhrI8`YROntr9C(qdTlV&sFy|vvM@5>vRT%gOMo%*Va05su^Dk$IZQXus<t4VI&Bmd z$t)9UdpGXk=@|bWI{{PMw4D)f(7=RKSt3xy0m)qOf-QYO<ya@zr*b2>vaH5+J(t4G zp{29sk8>J`n&%_`c;`6w0Mg*-we@D^;Gs2{ENOVtFc&4z(be=t>EJ;d4H*I#`l1z< z(!@P}KW$aYAcc0x@w|wk%W{kil9CfL#}$avNtY#en%@R9o%Ne}Fh{Z=J(N?kUg|$% z3<4Nq4aMeP!6r5ms3K;GWW;qatDgkOeBnWh%sJ$<0Mx<DRdB#aMM1p0p|Yh%!P+*y zp*k39B&WJ|U(r7<E>_89iOXEF)A%f>r@9VQmuA+)mC>oVlo~k^rlQwstdhzYc{W%% z5XMthxz;dlN@_0o6vHxR+C0mByRi9Q%L2i1n|9FOlL9U^ovC(rllQC^G*6|jIP}0Q z4<c9dpinrMm#sOu*CUgKero(>>b8YRO%+H<;e`x7&CBA6*~2DW7JjFv^CVBbR`K3A zetDA|sf}Qr8PioVNuW3ES#neep&Q1#UV{v2$kMQV(!Z6yrB>ZGoA+>FUfaN#x6R^` zYSG$7@5UtS`gx^K*hj}zrp*M@fiOaAXIzblv4n?`iHF#L@w|EJzAqgoIAg}4V%j0Y z&dkg#ppz$i=Tj`*O`VD0P|9FIQiny@jY!TczJ4?uY@gDTRd?19GK(ol%6EgEAA{rh z?381MxABFkd0Tq>hQZ7nf1x>}@Kd4ZhU>QPC|ziulDQrzycH$wPFg3#%b}t?dJ$+Z zxo$d?K|e<^o8D>7%)qj^oc^b!qIv=x3cKPW#vumT{(>n{6DqTd4UfUpm}TuiW=9NP zsdw<$hRJp{&B$bCj_W3_LA`JCnd@)%y9_z~JL&JP&d-?v*G~)^%E=<+!)TRFEf~+< z3rL%1WY?7az+LmOMw=GIHos062AAGs*b$sf`Q^rBVjT9!u2a$=imRRlHBO`f&-Ac` zEXv3<$s|OCmL(2&yed%yo7$ElUCo(=Sv#bLVLMznIYXuJXDnOtXXpNO*Z055nLF#J zhB0;^VW6hjsA5yiLdZz~x364<gJybSLBk2!wM3)84Q6?h<7g;r8!50^<`?*{P1q)} z)z@hpdh}{(*XdsC#zO|R4%v8fcFq_kG!&S&uSR)#)WDlgbDR#6r`I~<vMs<<0j66w z@8D#oaYl~ZCsLC`XFM$x)H(W3GR1tww8l;5eYS@fYys1J{^m}<8AE+TzcFd%5s+rO z!qshThPmx_I_SbRn@H48u?&)x#6eM)p;4J)f+&Md(2fLkJ;iW^3m>aWmOAXCMXXs^ z7*LE2*GH0@Y~UZ7lPzZG^Xf@UY|&KZ$bJOJxpI&DA-+SB=W1i5&F~HfCyiEtgrn^{ z3v-!BtTHIfLc5o&_PNosx%Fa;5;ew{&XpkH(M<N>YI^i6h_49J($LdscS}y2K|0<! zh0jJ$Q!k3wkiae%+1Va{t|<BlBSKBdSXbnGxScYdeOF{8`T)C3>`6tIPSp6C_^9<u z-Z-lJcE?u>=ufSX_X6u-xCOv8B+}%134?J(Vzsnw(@puavCVi7hv&+$L<KTuAY{A` zY76awhp{CE0IA3XvG6TN2c7Eo%^urOyGea;G*@@Qn1;PGD{e67KFds7wq8wP7MjLQ zF#D9Iq2>`v+e=N68D0>M+>lksE=D`NsYE*x*-t2Xne^mOnxzZryU)1?Y0{hi=r6!` z`~on&I)^M>8H{Bho?(63L-$PVLVTWUzJ-LGafxlhgq>*<r7#c6yu!w1Y{YVXkW$~o zrqGvu)HRT#-fg_ir=30p10GKC>`cHkjlSM`xc%kE&i2pKnrRruaqmWl*G93hm28Ua zYYCTF8h?tL(N<Dt94I0JKz1c6&$;R|Xj#|*nNXT=v(ZL;gvo->AElWZ2_27oEm=<L zMxC>uj6ZR+Nw@N-qQ_q*t2|3d02lfXu5P(XSe{7FRk}=i3S6wfCL7Xby0!s@U$IP% ziL90eCLB96Jx^Wq!UiLuu1y2Kr?$|nAnd4_;{G)F3@O$n))H&rH6zPR5s1&|L<od` zz%`iMY<B7Su(&aE3v715EVbd!R17BQYCF=0-#{9Rh9)=E?F6&Kw()w&)Yr{Y5~eUs zT5_Tc^d5PX6;B2_DpUo+2pr`d#z9>l8BP#`%odepH&`>~GqU5%)f>z+&SG!J!1)R6 zC~Fcc!LRwTPDaj|>N;dr*;0`a%Fi}PB`ZoOSMAh%({k<))~C=nv8n~9NQS>xF$&($ zsCinJx!(*}Vkk-$6N}?)8c-NE6^LL{EQx7z%VU)qG;8`&+KsoFdWC7LC6Tj7j;|?9 zGpAC$l8>eJAv5tiLJj>$JFzECmYUN}r&H0|`M4Q<&Gcb<V`gi7=!r2b0#Dj<bMe_u zPd~MS&zWWB9}G7@%A=f|6TrG{wLJYF27KBeO%J231`|{jP_?*Dp^f2soJ@<XexRD1 z3UZw|I&P`POgP-E7<YcCXL0gd2MlVa4+>{gd(B-fx>6M$UB)#VHMIdXFCc2bb@dxC z*fh}C3|9Ix(T6X9T^qJcnUN=`(mj={xx|jQJ((HmWsTqCqa_FV*+}q{5T-*;OOAsL zf58|ZRg0BsMHVz^1(uqgj6rh+VJ<C+=#CUuHPv}(sdRE#BzPrn&l`!Nd*O%-EQI2W zQ*G2;Rkw;;rh*GQNl%(I?hGTgBAJP)LWpCH5~ikxSzSUsA7Kx%Hd-73&a{rav$|<< zHk=t@45<4CAFyHkYkA7ddGDoILfdxYF#6-r8vF4Cl^;Xv!}pc@A6NOp$8iE{m2SQ7 zd-6nY@r&_Qy7S8Lr_h7zxFlt_p{HMVRJeke18~sv&(GqbZ`T@|2%&7r&^V@>G)XGU zD#=6&D|a_uL6dMP4M^#D$>DFy(2Z{v>8!kMX(7q7S+vG4B{mG^%mha#&X_Wzzmpz# z+laZ$erPLKk`c4H`DJH{k*0k{?TqvM;3$Djo_UJNBx^1BBzIb2fw31<`Vo_!Nu#Nc z6ko<GCd1c_NZ=Vyd?@|44QA=ply)jH8|nE{osa$CA*Tl);|jeRPV!~8A@OL?-yAtS z>C&coSn1hq`6W*HWl&EZ_Dte76eFJ6%)=nhr`QT^bGB`B>4Adh!!(cS!uOj&H()ML zn7bB3Q<vul9`@$VgV!Z~E4K(fo16~0P``jW^=<QY2D^>+dU{FH>>Hb&H_2p@*3y$l z&q~d0iwn#mfZE8C;d|sMxlmvn#x5|x2UmWH6$?ylW^T&2COp_O?QAA9=QL+F4(zGt z^<-Q3!hF-nSaWj1a-o}UEYp8x7@*m|ShIA<tTAO5&Rv+!LQ`Xoa2T+E@yyJ-1AJe@ zWF0;(vluGg)Xu5hy8QE(E&F}x;!w&5Y$|QcabMlqZ{OWHez4fWQH;&8-G%hzyz8Fi zc8lED@mmpgF6v>wBgi8w%bXVDI{0}Lgde}FVl1No&6mk2*RMrv<-u#T6>qY)FcIcz z*Yb<u*=mh%#^%jVUK{qw?36cqOjgtBG#zDoX0msN4H?`-^mi`j!zLqU{vr&|lhK8X zke4i&%vr=X&Cs?}UOf7OpSa_;>)-r4H`GikKmDe=Qf_uOwY;bDF@G)HipWwIG%8`s zrW<czijZCX&UZZT1oN90e5U>GU)kb6d%<fq4*XqxW4(UO-B-VG$F?^e`qjt2^O<K{ zeZ>=hJ$l1uUOu_C`N(A-I_1T$dcl8s_Mu<h`^2k1^PQ1R*KQd|lMRO(g}rjG8}Sc5 zI8saR+S1uwJZ~|5UY6JEw|@4Kl}|nXoqxFY?o)4k_om%%zc%w_KRMwq-p#pyU;Wm1 z>RUE0e)GaR9<2N87soc=evhL@0$h9jroY|1_d#kY!vD#Byt=<9x%v9VKf0H525(yQ zPo3HOv1aS5wV%GXyX64qF@EXZ?q3{e;eQ%`%k_(w&X6A4TzcW&2kW<fbjL?8{P5@N zyB8O4`}D4Rn%571?W3Ri=j*R}%B^4ixmP~^q09Fjcx*BM+|z$;*TM(Ca{u#M|Mate z>bE5MEB>N$xyu)~W|u$qk6*oc@@*G=@padqmt67d7kul|e|)%}T=j}eet6T1M_+j1 z<ZW;Hoq-#;*GPVN<gQx&*3L$3A_H72o5cDejBOaxxVJH_6K=pJ>}&k)J8yhmeesrL z`NkVxb8ZKl3}X}e$u-~pl@}j+-3z|;tjF(u(Uzb8kB|Sw!b$(_Wt(1h!J*fD`h{m+ zY@hwtS04J~x4inFrnlbM*^;HnK%6xyiwLsGhVFw0MryT%fzEF8L2Q!de)lPt9J=+P z|9sWAKYI5mizmOexarz#K{oMB6+gQ5kFLJ;p{Kmy!7bO<Zk`+4eZft&>o1y{d{Fl& z0d8q6{KcHv-3yb)!`dU+N)|smXO}M6(z@~GbN160Z28B<6Xu@&+4`0*)waw{9$(+` zRlj8}|IPZA)*}8=edK!R`BHPsTW<a0-0^>PF=tDD=H{ngP=EJlKm4O_di7tv{w>?? zKH;Zc{I%Eb`|Ovo$*y1Db^FW4J0HC6{Z~)dwp?KI4V}yPb{4n3|KVSL&-|9xpY^o2 zaOULA5B~k-!{5C0=We;+s;6z*J~uo!)!#S8X<M|PCs@}yhyKqkOCe9IfR6^u0|T&_ zQgASOxPkxR3JX=|PG32mRp18?K5E{=1}s6s+T87|`~>C>9{lPf0ee!;Yoi{luMaAQ zb5EX&qHHk_aN^$&Z*Q<5TKjsA1<a7XCrm}nj&&a6VQJ^)hPV~in(heGS{}W^rpNKx zkk;FuzGG^9Wo4+Ae2vX#bHm&B0W580ZEIL{%Ocm(SE^~n?cXoTb_zJv|BccnT5GKJ z=+9lP;bYq*>K{$8)+YB!!viZknr6*xpKS6C7MZVH6ZURuz4Z3y!(N!V%MX69y?bRr zdtS7OR=4ZiMEr3k+2~N&WZV0Pw_nLYiQ9WAD9B;(1GmXT#%N7<gpciKW%i@>{=WJ? z>b5?1x;e<st-k)_o){)P%o^y*CXv6iNoXhaH8vS$PXG(Y*$dl$i8$FPK|6cyn&KR* zpFBi;0L`)+9jq#2rL@UPBk9K!Q~e(}_%(D{iHo~s6OO-14jw#sPP9pNUeoHD>mc_C zr)QHLX63Zgun9JnO&<GJWF3C_${gn)>Nu|wdPqg!D&0emihO_lgf;irgyvns$JkG^ z@~Do_oZJ50@6Nqv%Z_38IB%cBCPY*=ftlXLuFbxXkev=nzWrlmKRIZc!yFT~<C}e@ zO&GVLP1f}PW7;H+UCna%>Di>}I4cd)&yxB$c0J1ZrOG;tU9|H0!Gr22-<4V#Eak1q zGg8*O(I(ofsGU43S}Sifc2zdn_8~LZU@yeK-q?jrV(pfSPKVu(`wt%6*WbrZ;`eMZ zeNguAW9(u$s>UucS7TR9h{0AkAE-BWu?O!%OqRDc;$FMW+IwfU7tf4zY&h$%I5DQ= zZ3EEYt%K+#f3<&6=O)hBY;1Gfw+LeqQ|ZY;Xb8mfG{P|5J1fM*y@E{XqTGtR@_Ty_ zo1-r!zs=L^V<b*zB)*Ni+jGhPV#Cv%k{>_0vx>v-z%x_%mCVD#y*yU=CA&&@%ySqk zIrwY&XD$t4_A`b$)xO49m)fUjGxjO=sa77&E~O6gEIpU-lrhV^SJLz4hcl+#A$-OC zt*b`w8rgb<X`O}cslrtG)~k$vB?UdVaDKEbQ@DSD9?w9G{K|y84wF{<5?A*v4#Z^a zZ;VJh$MMom$cN`+I+G9+v!5}>m;7aBt8%M-j3Hg)s$Y8Z#giFlB9?m+FJoV0l(Y4h zt2oYIWY6T^q{T1sY!JT`$usy!ufi&ebib<NKigj{{@0!D*PX*yoyS<6<5>Be%LxBh zd*?r1@u%9C_|IFf_|w)^ItQ{>m#*{rXJCU%xt$qhA7eaQGP~tcqjMU~NTA<^zYxRp zDlUq{2bn*_F@y^beAUnNzjF1OS(T;qzY+XAus%PZ+cUIccEo*)>^xdtDHvV9eNclJ zXSJH`JJ?&rZn~9k$&A}q_Rj5l;9&QmCsw|z({GOi?eF3H0Bi#vzr>iAyoB)%rr77j z-MCVEbH;HGj^EispCl6V&>o@xm!Pam&8ODt9%om_&U1}TRJpQ7Wsea4?kk^ntm|U1 zNy4Ti679%Mut}rbOKSErSy);5dTEo~%vCmFW^BwEoS|ugO&)*z%I6<VJMDwx`v4rP zePyu8!F{ik9vZ&`C~mz?2Jf%po~$49cwmiPpKQt}$+yNPYb}4QD|985D=Q69{p9)I zXFutDC(6)g6Bxj-$p=>ZiTNz2^$l;M{u@)<uiXADcGMnx;HfLuY+w09m^qF(pYxmt zsD5(PFNcJEzFha`%p9*{1&8$$;^-&kH(CP2=Jy8g`*7$dw5Oo{_mS%RU|nMqvI%Wm zsnt&e$CAXiMk<>`o+sN+k|S(FCgs>gW)GD%X<jtNRESH)4)Xx_DD)ldv{LpHdeO0L z63=1OY~@O9!c4N7GM5D*Gy2I|n`A$8tTG;xUY+%LOoso(h;=qul@&*_W7}kv^GK|B zaXd2W`=W3i{a1kW?0<z!{y)#c{-88)KPW-pLr(sbZ-0;2e@{{FNfxH+QsVdVsk&WF z8t*O@cKj;dDlGq4;6j8CZo~r`1(8$if^z-H@r0E-Ydrb6ke3f`q;huyJ%X?pa$u|a zr6Ju+Wa{zsf`=oBa6Q_Q(tR)PUqGG&W7-qpglTb+{v$+$bBQVtwi@cqENvBE`IZ|- z{_QyTN`Q58L0OexHiO0$Dk283a#v+5NaBj(3Pl4pxW>5p3vpsVsazE>3fUNe8wwNr z9;rn7f?i7JLYhdf$|EU#X6;QB6utio5M%pDSMjgaJ#z6PO7Ei5$l=PAYrU#WYiU0y zywdbYdHyic;o-xg%b+ZFG~hjHMdr=d4&C`2_E;diTn{`;73Y)i*XqB%o|3iZ{T`Cm zrLq;HZr6dJ$~um6GoKC7Ps(BGD~~QJ)`+xv;nkRu!&RJz`5|MRQk&j8*ba5+;Z1_O znn<cEmyms|Z`??!MkDpI2t{(HF)rfbSsh6fYQ_lMC@o4}<*A~3Hm(Rq_(w}4O)FR% z?<!*SldMvHJ^g!x)H-4dN!Ik;V<!-=<xdN70{HNfv|H7e4@4_jHlV=?rS_}gvfgcv zQpVY;vU?G0k<_jY1-<)T1BtxWnbwA$lxn4mQs@_;SN9rC*3cF3{GKWVP;58&eO99$ z;||Z~;vLhq;0bZ6E&asX;unBGj3ZRUr6*<Bh75dU3-0(D{BTqz*2+jVzFv*=kjNcd zxrm&lA-9Z|=Zvxg16d1Nm{tnxz5h|-DsAMA`L0a|?qfJ)67UfhDWx0B8ESaTt&&he z9MxP<5y!=T)3b!jp=i%xF9)UTl{yFt&LAglC@L<R%3N6a=0XAaww%&<oB*t6$l%rZ z#2(6IRK$=rMu!^k72c~O6(F{wiZ@asV_ex*M59P!L0}A|7?v7U;?ieR1CJs3Rz9Ei zAF=Qv!WaupC$Ek2Hh<#Ou$YM@agd6_#^%T6Qj8?#FH|}aM*-#DtIUQNLIyE)W_-33 zifcx<QB||*v@8YWkAY$fYD5U~sab_3%rdBt$p}&*sNq9Jdl)>Vc}CX6jAXOO_M~w6 zQj$S&CWAw>5pp&I6E`8uSqsjNSvb|qScX%;m_(Z)tezF+l|*V(KUsnW7CozKs-rmM z|4)LHUkB1lV71Ur;ApF!HCdD><*_j(v8F9LKLEwOnTOejpy~N#u=1j!RVb+t>8?`n zA4d&SZ)r^>;LL^mobkja{LC~3$Ad&X|5UMpy>6iZOy12PYucyYBu?4}7=R{l#vzg{ z@C5XnfTT-fmS72?puCf?3OhL|zm5=~?QmL%$1A}*Oz5k^dmj_TNL%u(_0}X^OAZY! z5Kvv9Y=urKKq4#O*)k`m5HJ>0;nPQ@O6o5GN*jXPNcf&^rIa!STyW(K{Z|~vj}9oX za?)f<CJ9ic4BqNYE*wwOE7D}iE6)W*gLY-oNVOqO8K^MgbGSzD1p+v^tAz@*;Ls_0 z86D06zq;4DbVrj>N^`+i&Sma_5JLGpuLLaG*_fMa#x?;5rE^wpa#qlJ2`Q6giDED( zmzgADG!a`CiWD#%8{+ob5U;@rus(Q3?X2%^n@bik<)ourXDr6R9(c13YQ$9Vu7dW) zD}PDGtH9>YPO2opWFVGV2V9Otm@C&~3Qv)tW$FpOl{_2@EJq>zL=z^ce3M4(F!3Ql z*t2GXpdiOaAt61E4bp5>J7JiVF{$aXrrHV2#d9L7n`Z?hKW!`5+Cc`jdXDORqCY|n zZY#8`Y6oihl_0r-;M;)W_zJ7uPC9L&)1TRN69db%)soN)P)~pAoKr?a8o1_2YSsZp zWW@kbLnh1SUZd22Gf6@`9)Fb3s!Clk9VE@N*=Im;wqg2k&HMr41unS82H(ekvB3{= zWnN5Rxj4ZTEkJChoE(Vy34Q`vL7v!E0`*wY1HXEvQo0@$B(QK?plC8>(>APW&4yNM z$)2B<kK28(K@ks+#h;WD+Faq-Z&l=;T6dx9ML!bMa7txtPhm(hmTe=q4dU4{aO-5p z<6GinW=_=X+Jdh_1ds$YLxL{HvleVT4z^`{A}t)Lo%+eaV5iA^(dL&~&XU}dkD2_k zu;}tKI7v;OE}!hcH^}JNq1#_;JL(^__k55w)QOpgNsyjjRjebVAE08B+YG9NzGY4) ztpi^LaXeXYVhmqrZ~-lg4UuKFDoi9di5!6zw=spOyu;NWSIVSnvq1#O1g`uE@4<T2 zR3l{(LnrOUo-iL`cm?T7r1YlAvC52Q83hm*Qli~jNT}cGK&aUI6?Q!yCwh#N8}4B# zaHKF(i0Z7FK7^REh5}x9W%^2HP_8OQ<tx&b9F<=N%LZD8O14O(DH68y2NnQZ1lTTZ zlkUR!3xus+?D`$o%6)j@vvK7uUP?T}Ggt{=Vyl8j_a&W6hNKDP2H`Q`rXMY7NVlL? z_jcj#F4vGJZn9O9N%jSKFyvSX2>%GT@&3?@K!U&xB39;3(is%bi-i6*h#(Gxuu=(w zWt=fW{bf@0KnxKIg<lNC450MD5<4!Q{~!L1)xcET|B+bqnlhGQW#c;{?iika<LQw9 zw*U=JDUX+Fqx@!q&OQ!L;FkHu)~(gJ;Cl4H_HWLK<9l?gf%0EfNj*c-U7!BS(dB<O zf7Q9==lBWoPS%<3fTV1{HbW0*!hyV_@DC3B#^qtZG5#^K#iwEa3*rwPxBAB_U%oE$ z?+dEFvEiNO<Nv-&_P=XsW_vxN@128htV%K28U2CwlS=Ntwy!CNKJ-#smY?aCISh4q z+sVI~kl^M>&#VFrM!WL_g!tNWjz91xHK*{v*V6NZz%N&KW~l=)56TflzU=taDTEx4 z%eSW$SPL~b6w*ij)eA*!8m{<|Pd@OfcIxD_%}IX3v@H1Kb@ut<xw&>O1b8c1Ic(@^ z%ka$=J%tS@6xP(bHoZ=VD*fTU9yqR%pM7m+Mg-HU$=7$VX9CAPfr?W;B&xc)NgYJK zlO5cilfJFRM3RSTH$)QOgbqmMSBx>78DsxAkP_Fj=YpkQd9O8O+;@M=TEdBo@!Gj4 z&{MMr@O8jG)e8K^#&`~qISk&*?X&>?b@1NF7(BH{&H7qV)=bnP&8sv~M&jNZbXt`a z)25xsSAFlAO0-dEkmJPP2$i`iPx0ws8TRPn6+ShO{%Kb6edk|icXIhjpAqv_9nC{u ztpm@c4?+);QvV(yUW3*6rFnHtHvV3d5^lqn2430Rg;3k1%N1vggB;>kb8^P{NRzwD zCC&)0^m{o52_=z4KA>`)Sy)#FN2tTMwIWBcb=+lvw{$`%J_Qh^W4bZIg_@I!P6RJ! zja)_e@<*Eks~~F6DV!An#z6C{1Yv0)Ms9-3UNnB0S;k$JN#~2Os<!K;s^aALI?^g} zHA$p7c~;JPa0De&@8lw+;E^*yNWU}oQY>E4DjqGM7&E+{Fvf`%Qrj}22qv*AQ3TMV z0QJ!KczB#dq!U9r6O#1B8_d;msesC1wGD`S6`Vo83LJ=j>tHoL3zF7F5(uR}N(?3F zTgQtEE)t*zsd~h=2C6b=r4ljB(u5vQ#1;tqK&xWpSGXcnVo|CV7lLwZjOm3d#0p_O zdMU*#*n`kWQa$7F`Yun8ULk%aVlH`MY{5=p-K3NMYKB%+g`K{WS5`rwt#yduZI;Xx zA!J@Lq+S?%t}8-iy>(o@NRR^|ur_)LiVf6N$Ayj;vs{(E8ZXS2KjDd!tCAcBYcg6| zlS{C6X>7f>1DYWpWyw>bGkH_TGl`Ho<_{15yh)8o*JPt7RpmHQ#o@2XnE3HB9Tpq8 z+8Y~^<8o;ZS14yDdMRS0I3%vRWphTU%DNx|MR{1aT4GCV2`>0fMJd7cQdSiCdY&U# zs??9cqq+8I#ERyPuh6oXy<mpYYxFQqF+OS?a7B_n;2}oEO#GPT8*W4eK+VjBp5l2C zWf)6)Q6<MRzEh1SA{2-K0^T!(Q#!!w*&8qs3h$!o`Qsu5UY<db2lE;wFK_YAS6uj# zLzc`_Z}Tw&Q6q%jVZqB9t*9|Q+KN7IT8HZmRV2$Vg0B!WnnYpV_DDi132GJqWfsNr z8{oGNhzOsj_LlEV5>q8j?JB;SfY@RNK`EbJ5qA-~lwS2}=Bp>EN}sx=Ea%!LTwFD0 zGCXLdx)*qY5xRIbqQMPyvw%`rx@s$8bZt^ey!7RzdMKDNC;Y^9p$|D(7A!Nu8j=#i z&guhEE@{y=NVybi0TlH_Q3@CY%^-+ZL+Hb&40t=FJi`-aM|500A_TsmB^S#|D@26# z4MdlE8eZJ%-H8%9T%3M+0mKvd)`Uy6qT4cDR7e$XBZmuNG|x*xn!nHZSrqngG;kMG zGWaZ7WJ$c>&5UwbIpOIbHw|e?sIl2fqJv)<Ksy?U%v%5&hrYw0KH$W5VUpG5M>zfj z(l6w7b0UIfQtMt5#MR&IXg9w9HN{q^I<dUXN4YF<?I2~d+e{7Z98^8U&RLj2a#7V` zm4<{jFy)NV-34EZoYv6Pqzn_ph%Z5A;Uzh9sWZl#ASqFKK?7uR<*>FR5J<)60LZZd z3q3@oC8hESmUj1L3_)9>!Shm8;KP6FnKX$6-|2~s7mAP(1eCY175c#i^io$+0xibK z71-b&#gU8<8B`DN6^)sP7#5J2ZRcX+d_dnB^PV@PuGp*hiGVc|lZ;G0=B`@dg($NZ zRLnfK7I=QnC1Hh)hcjv%)}5%Al`C&r;vDpoq-N0*@~AkUfXo>092n&yt=Qx{JpIHe zHA3GeR|8{&ST7<eK8!FiV%2r@<8_dgq0ryg#rQHLAFWebecPgfW%ZBp+(j$EEYz32 z|L(m@+wG9|n=3UT!qFS>1Ae5FQXpwT2BuL)t4OrTjDE*Uk(NSy>g4ZyD4{Evlw`84 zk5;+Dr!H8olRK@;^1KH|Q5fjf&Kpn<*`#jS$rl7&XHwHq+`I}^Sf3&$)TmnGi1iEu zVSZB8GM0BRl;F$DpMt)mscHZh+_jDdylA1-zV8FWLScTk<cx$eu-5BJWSO&PJb4a< zvFfSN8tF}G&OoO2J8-}9Pn%DZ>r5kRL!bo8GX+h>iVi+fZpZWd%4)&)jEw?cMNp-A zEk_F|)8--{`1896r)|3CfM+UzSldG0f}=-p96?cQ@xrzb5sA~3nh%c5sIjQ>g9^w3 zd=`Ywm^R8xDAL`oRL0z|+ly2~r*FFqP7zrh;Jq1eZIiX47%Q@ymRrIed>eia<t(@( zq_qp{VSas31GIxQ)1^`Zxag5L<*JA=#>c3bk#O1L99m;PABf~q-ht$a)jdVgD0JmX z#n$3y=V|POM8mU#);h(lfiAcYVJbx6jdAjIH3&79P3!8~gv7!!XU~qEcpH1|(i^jZ zOJ^4rxL(i^Y$DCJl2X@HRxT#zp(`dTiV4058Lrt941}zlNgj*A`9R^zaOjDgjx&7a z6A{TQ`3+4g<%rT)5MV-%>#c5~+#zflstTbl3>siQUQaeUk$XTcN*^IDeN^xP&7!B= zDlE_mmo=m)lFgExgT-}O$grH7anl|Mvqto#!ip~OK8*Q=6k{Y!Z5zawavgYyDp~4+ zPAPR*RTXFjJ|d|lZ|0-AHke82<Ls=>eUv%0eHMWmjc;#sPOoQ|meWZ?k7=9KbdBHR zmvuzRWM-j|qiM}}R9Kj{gzWt)pL3zLxbw_1Jf5k1N*t#jTaUjd_vy7MQS{JuDqGp) z4wE|dAMg2~C;)%MM?p$j!D?B&rEfauX+q{zYX`UB<(CSoRFyxIg|W+4;VdFN7l{yk z8f;16*oL-*OS-qdaK@$Kda{Abt*)ZuW}H@%-{;Ubzn9EuZc@LUkjjS&3+WYcGzbRp zDs75e0=W#B^!6$kCa0r+Wmuj7Sl(|HeT6q0JYaWGd!wdxM*dVqlINl~=AMD(9`vMo zHhYh3fR!l9Bd$t~b62P>dI(<HB6pj*!naczSD4GFqLuO~{6M@VU>z<u#i5+)6SM$c z-ivOg%;o5o7#kv$Xf1?q38-Ix-{22R(aQU@s4AU`*V=r(-KD}$HOA3{F6L{)Z8KA+ zBKD+aCrOnh-#0_+0O}SIJYGpGDWxZ3KA=)jVtuOD5~hBjCo`LWy-2x1iL6_`+$PTR zgQtZn=}$GEa4Z^bzwEp$b0=|8ZZJ9LVP+~h%tkX-QKXC3d+IbSqHu;jMQ+3bQ=NS8 zmekkzcv_Z+6_qYa5^v1Y7+5mr9X#F}=YD$dUc{QVX;X0iB*A)v&JMvPptGxRCZ$|> z$sK}zxHh<J7O6?JU_AO6d4+K4B}tDE$+)52GEE%t+yPqGHuYzO9uOEN%tFo0?&5>? z-KD36JG2cQG|Y4fh!To{2DyZmLHh(%4rFsUcr<q|Qr#LO(gMA4`N=6_b<Nsvxx}NE zya`&Z!+2AUzUra6dvui4NW(18s}4rY*+XN-&8L>UNzc_#GV&tx^ac1!SWd@{!Vcw@ zXiW_>qvt?M6Cn7$Y%ptS0Y_peP!?1;BDy5}OP<<*%&mc?0>1`FwHU$DN7kVk-yH}~ zua>gN9owwMUU~lTbI^FONlERHxpTxGKil8}L$?mR^G+8Ap&>}dzA9*yv3%Vcz)+Bt zhC<hin-5ssbRAc1OEq)1Sc2s6E1GFgr^Bs^?KAU17WYh@mht4;&B<Q#Pv%b6k_4M2 zy~-vW8*$JMTUq1m{OAiOjbAS7fbTu~(8SRY!>5}h)>Jb$p%#%Xva$*o%ilUUT6xzo zRDnq$vq>2wnJdwCUOJ;7TnW{|_Z3<6m7mX(4kuzqX2@?-^u=a|zLTMQiy4?TK==qS z>S^E(1r{IRf_sD$S)65*QLR<5NCi0lSPdzG#N@BX5>D|;W`ffJ^gM(sn`gqo!pOq9 z1)?Ts<lZua{xJeWgBYd57}u~3f*Q#}9fJqBp9_QGhF`kj1X#zQqY09sG;PA6AzA-3 zk?%>lEWmtHe1|cmhlP7VDMzELg+^+rfQhLa#)_2BuJiDR@R%B)H)B}H8oTv+UMHI^ zld6vjn74oq@(Y=)Z=)3xzD*r2kUE?SW;u0YDmP;-n!1&Q%@>A|Q>7$(pFV7g`Q=V% zq?1dSG`3fl@3btJ$HJ*IK>_-p&Fy$;4g`5v9Y3PanaI;+33rT=fjzw(Jv3A769*9L zVcs#{3PCvoF?p0kIL0_I{Z=6rDt&w4df0k|q2LJcM^Ji%T(`7l0MRMmcs5%)o$(^^ z2c|t8g6Hz|+nQ^1DWGvnu>>LMKb&%7CmH-BSx_ZBCXA)2Pr2w=`D?gr+Fm$4ow5u$ zSuE;?zau)Z=mX*!wFay%y829Yn=hs6Qr2#BeK6UpUKJ%-u}_+JW$pACJI&tbkcT6$ zaHu#1s-<-p^pHXq9Xi9nn|;a}^*H1bp9TBHOrylZUGICA2_qii4ARjd)ZtO0pnt#6 zm9g(vs-$of;vzQQ=(17x+pSwpM=e)%TAARbJ`29ul5za&k^@w^`Vd;{+}AQYxw%R1 zi{Ec<RdJ+qeBks3h4ZW*_qgAv;<CQXgJ-RV&6kSgiiXeK2=k?n|CwdccQR(ijj=HF zz^d*4zFBUue~YgX_vkHJ6c*aK%S_ahzU1SbG0q4}#(ZGl3K#uYw1BU7Vtk~@IR6r? zuM2VekR%x?K(m2h)j%O4M#WI+c1*Yz<jdLS9k&db_8H9^{@|2avW2U}MHJXy_U@U5 zOY{5v`yU=!d}coDjeX%nagxpfi}2gVzU+-0$`l$jRni|a@vHSw@-Znm5OhiM&*=Nj zt8n|nX6(8XPnzI55C)LGujoJ^!FWnG6H6CP7kr}FO%8hEQ_q{K6S<rHBnM9H>@HC# zo1W=72+R!jx9{3_`qUOpBo559cGCh9Z_s49l`Ujxnk)_a1O2xp2ga_-&d9FwO|y`d zJu+lxl8I17oe*ki%<uvA4vR)Kgw0_Usjgc_9NqgL)V&RST~(Fvz0b)>dU6|*laQ8B zO4+$)m`g<o1V@I!W+#RcP{-Sri@=Bph`fyNydx-D#!<R+N$YJ|A;p%jQ3wo<Q0EcG z0Y6|YL3kZxI<|~5>O7XJKgY+95jq2?DE2=8wf8yq-lRnezVrNk?@G@8SbOcY*WPP? zopbj`8aK}b;$Q^z!b%B|ikXm_jF)NJ$D)6h&S6ns{Hn@SUghZPgPGMm!%5E@4^R5d z$<3y+T5VZ*aZeJ(VK+HaOD}t}5*{803#IQ$`(`(}o|pK$<lJU$)D=@@9TW^5h=)sl zI2>&((|6s>2c4Eal+k)G8ZJvN<JPbe1}3`s&rUAW_sqWMn)1!YJks;zSGIq~s@=9} z$=g-9Dx&rLL9U>b{z6ZV%_s5k7p7-KXL*ftH=Pu|W>$@qbJ}v9!&k~b-MR6-N#$xr zE794M)l<w1xWA+?rzSU(vh~8Hmh^i9k#-g-rFP9CGO;qi+28|ftpo*s-8~&DJK4}q z2;e`HGo@qXPNr;2q;5ER+5Oia)_Fs<c*~AuW3S&cu=$z~biaDl*Z=(1AMXG42cLhT z`s)|&|L}+2^3W|;-T#|^z3PIuFN$;B^jqq|%l1FH?wE65w{Wp)51Y91lQf#FttBJE z2)ut&ZeQnZyC>eiY3kX|J0Du*u}b}~AO7%%-~Q|S-mqlHWs6_i@|xFh9@Zzrs2QDv z>a|BLyYpXv|6k6jerW%We>wLLpMUzAn|J)>H=a0e@7^6dzVQ6x&);y>{*O<s`Q&Z6 zD?ZR(I7c1#rTcf>|DLP9bUbN2yJq6?RTF7D`H#Q)#EzB|9^Uform20~Zu{orPyg!a z{a^aU<Ii8cev%~_K{#daKqrUAo9?({%1&;+#+8k<6JM6fj&O4fr5E)%ZCR@=A(74U z&=f^sqZYhQ8j2w0^Qx`rq|EuT-Y?S0UjSvw%UQw3ZgkQd+53)Kw&VK4k{haTzvgpe zga6ObYb)1$V0iiT`d{DrtAG9Q*S>Y*)6Xp1@t)Iu^TLncJNWr`T($J$QBF>x=X9v6 z_CI;mG5_PRh25^5(07$>co3aDhb|V(%oZt^XF0!lcb1<^e)z*5eERS2dw$veW$kU} z9N$cB{YS48rQY4qeogqA-RJzz{X4!Ee&v*}R-gRIzpZ@uXXozSd;k6oyM9@@^{N-H z`<v3{JKI0-fn4F7!mA&=Wyk%0*?;tx{&Q2)zB48sXG9^_Wcc5%z4hlUC;UtB1ZjWd zwi|Z;Vt;l2wZEADme&dG<X+kdKQJ%6<KCQIf6bIDq6yp5YJE{zMkfx@R+}|thenhZ ze7z}z^K`;zvwC2bQE#uE>pi%ygHmwN(Xn0Zf%gR+)ebpNxYdst9Pi4xvz#5)wsw{? z#@1G&>OMJ=D}`;<TX$7dN5@S!m3C&hmt|L*iX16Ba6+}SLy<H(f%&QZ!b47DbMU%i zKgYQ?Z{t|C!NE0al=GKLpMA^rN5=Mkse0bN>L)Mx3KCY;+O-`Gr&_1cN7@$nUe%w| z#VM(6odW|UaA#x`xCaJ;)8&+ER}2oHovJJ+{*iQ5PWlBWT;vQje~y}?te1!Ls!Lv^ zEUJg5lNRN2&gp?(C(pEJI&o_iIG~!sQ60}cCpszad;K6s@v1SOG-jzfhCmC@$@UkK zqegmgNA;N^2U??fs#enpB)05lQa(al8u0yi$Lq$>NvZi9^^_W{HZ`UG(px@T`pw?z z3)Oe+t9HEek|aPU18b3FenM+~?Q-QRVNH7mJBwqbXV8h0nVRNw^697D$>Fu=hprQ; zvyJc~I&o(eHqss3O)Ky^860#31J8&O?z&5<=bcV&$6GB`J06jCGHYgEKUm$qPrbFq z44pvVA%`VnzXGT}Gq#h{x}8o!;nA`;tE=fT?W+2^u|bZ|yZfB#Q<qe$&padkk+pI@ zWa&E>jD4y4Hy!zX1Mgh4ij&C))>aRqlfhIjU%%C^J%f8voj93C)~<z@s($+Ewv5t6 zXwZqols3ZIrmmGpJQvq<K2)6?T021}p6!7;akXov<JTM*nf6_eR;ykoG>sI{3HkV4 zbkZ~?eHTiB>0F&;UF5#k6$eYD?RRhEP}XX7%^LBKtZkC>noa3~!Ros@^5?(vlI9{^ z%QI^^G%=GcvquKglQ%P+bhhnD`!1LHk+mbD-%@b;E7ghgxs5Qlo$z_kjW3*Ee4~!y zFK2$SHD@wsF*AJXm-CI~orz()=e>d(%FcXNu~Gco$Jx!pf@g+mFe2{B6C04Ts2#X5 zK<FCNNyFsK=~2O_Ha{AAxNy?p<wr#MIs+W@H4Mi~WMGJvxT~?Ei#?CU_|Jvc;xpa( z)X3sxM`kDE$~297UXz~p!e=q@uatOMe9FMlWHx2y&(HRDS7RB4rj`!rImm4BIC(wV znREOJg(lc5&4y84Wq9@We%_(oxyg#NmnA&IXJ<OUZ*s$ug8MzDBHyqH518^Rb!q>G zu2)B`BUdVaCUizGVFDMbi7Tbtu$>(BbX;)FsGZyF<ye^oT>i)gcQ!SBmXn+P^Na`X zOl9@H{>mvrmz^7ZIWkfZi^YF@q*jVdPUe0e_i}hJFvvOBF0YrSc}@AO^k+B|uO8p! z6+YfJ_I%-+7)9Bs@ba|mE>`1mS#jURYI-RFV#_C=zAO)XG<JD^aeo@(+v&VERgX2_ zRaD7?;Hup5(8LVdsBHssTI%84MbR-*8XMzQ1g=)yyxH#Ktku$3NqssSKi+i7SamPy z%pD)+^DlMy^Jot^m$vF=SJk(6=u{^Q9?#-(lZ8H`DStvSPt#}O#qAvf@`^vwCcFkX z;kM)Bp0@ZavHJ%HMg|9ad)F{K;ARbae-FiC(?~^)jGO@nkyC6&QDmN1JZazNMvN@- z96QVN9OR*UUZzfryL&-ZJ4;QX6II<dpw=<id~Ij`Zpp{pcURF#r6M}1qLZDU-kHv# zxuC)8WXubfj!*L>9Z+Na<cO1PQ#%W17^zl^#a+7|Np*7cV_A^QuFqi9DCPxoLY~=| zDl($1Z4({?1DJDk!d$g;aPVinYdT5;&pju1k$4yz+cl!p+L1FPMirgx9Q*%;PA;RY zmHH1P9&Bop(Y8cUlkvTK^3~HzYbgo(lUeRQ-Zyaf3unJ|War&(8c7@>lloK2baGIo z3@{fMS&L@fx!nzCYI7oS|KQlzwYz*D%h#IE_>zPp??X+}PMGdU8e@YU)n743TRS*5 zn1|QcVD-;Dtv@lF`&PV8;JL5bOkMJ@X3Z|%3)ZeZ0}gr41s7W}`A7DQ#M>{~PUe!o zY~RalCq<`|@1PUqbVBo&`7MeOova&spvpKl+t$V#+1=kcn|5+{njb@1K`3o0mGPiD zq3=Q`&CLg#J&*Fa&4d!Fr0pd5^DM6=HMq{}By>7q_BF8m?o!9dV3D$IZss<RIXdYd zbUOLjntc>^N25-f*3x&aJ!7ZDQyph;#(oL-@776d$w|NuPGy7L@}Dk)2iaH1U3C(! zJw4xIuV#1)qOW^;-lC0!r-K`I4t9j!mzxz^t;ckb;WK|`hbD8)wR6J+1nezb>1>rd zYo6b<5#F*TQt$Y|QLFj=mwk(=O`K8rD3tg#lP%tEIWZ?QK__F39o;uCp334M+2#1v z{3$+2?T1o33txZK8lS#=&ttZ;-j_+sZBAyT*5_8c-xViTO5(^hJnU?hTcvu5FtHM^ z;3YOwh0R->-Sp_^Q@^tsjrdXvU4@>)*;_ci2L_KGo6>wr`zew4hZ{eog|2DmgtLR$ zlq}tsc;LQ8=ne|-AsyLMs9iX=_-)-!m#;$RS>a!P>dtW+tBuCn72;fy#t|$0TX>26 zSe?g8{8#ThAfDq>QO8z<AAC}OY7f5{J$M>zMG^sI|D?eV3#4bIEIu_ejz89u$dALi z{f^kbKf@1eDtFwZi)?M}lUTZf1KN<M0jP)kl+b{vIrTisT0rJ-rK$bT?rxr*1z`KQ zjT{VE9%pIXb%?EZkGKq*G{B%ONuf=JhH4qU2e*e=muD}v$&lFAad%R=m|2jQ!vC5P zsTR<gT-i^Gp3;BCl`sQE!#CZO+*_$9V~(vG+&H}el1ONM$N^D|$2-%zGm^~q1feXq zWeFWBmjHi+GK>YJ)p>Adk7gdFOlZW@tehp(`b0sfP)jT^1qM_&PLTX-Oz*-D4L?y~ zYnP5Mt&ucM&;rJYq0CXAXXY<%c{7bLhgsd_AzzPSQWQ~|YEJKvph!|qxxf>*EVB`t zu+UlJ;_)7XtC5j}cnHKAPCd?w1XbhVP>nv^QIi4frI&D78|yjN`3nBU!KU={DW>Nk zq&7?bv+D6;{O!DW2YNk)%dN{DKLR=;$&NbuXmK}GUKivI2%J=&+~H(9Oa{KRCI1J? zmPy@MR<(G}K^zzDV6i>rJ<jrSPe<Cz0<I=PgIqqwfo7U(>PRDOk!-PE2q|5Fu@dTO z>XOfmL->qPIUqivnIPE2%6uy5pT;xIiUf~}oD~>Z7Z{qvje^2Edt@D-Z<Cq=7qX?o z4EZ2(6*L<yxkcC`6_h+u0Im?7?OcJ!Iz>Yve*$9ivvKMR1HpN$r}X|zmtu#m=1FO| z5=motIx%IC_aCu5PJ2tt8ZAHdDpSYLaW|lGriDkD1$J(T_c>K-+}1zhjMT8f0<<$T zBM`4VbHH(!gULMBam7?4R{Pva5DQ;04Y}6^`qHY3W{esq)yTpt7;&PwUuiRugOGf1 zzy#5pF0gG}P7@x&=TGItfp{x1>9?#T7!eXUzc(c|PdI|2h-dLixK&z`5*jC_Au#|n zW~i0!O#=}djEC6Oa;^9}XpKi5V3HQA1zbH%6(J-V9FQo(Y8$a3GlCKDVS*w}k>22t zF~nkHxv1ilK9y0#;H-hExkP#w#j+4dG7UpQMU-gTGl4-TshMWxj;R2!v?IJTeqTz5 z1rDt&W8sJUFkVG+2^>`?>m!iMZMmF5azlZ!pat%nL`VVwyy#)2;L+C<87vbE3GmHg z1}Hzoj^y@cwE+k7&w!;w#2=QJZk3wYA}*AK00|6nC47?<uW1nzzx=PVR1RB26p0|Z zBW>d0x8h1cYEQ<Fkd(2p4($VQhar5*E>J>}XqeP&gjx)dkcXI7US<Rm_-hqLdNhs# zjW9;!QQ$-piwQ*Dn&%e54385KS#eu+Y-j~xC||7-(<i)gBfb@chbbC~7Je4)<DIdZ z3C;~{XL!1a!fvY#{4U*qL0Qe%vO1TaX|>uAodpv^oP3Bwz9!F@W)?UmLv|=Mb~){_ zsLXJ&vjSBYxg5u)c!^>{Lxka$Q8TJte>v>LJ>BaQ8h|PQU-CHVyjCiQ%LJ~XLm{td zMPQi6W!U*LgUAA$sXZ4Y_dcSySg#`faZ;4h&mJGyG1b<^AO)_K7X{1(shxnM$%N1j zHQ&fW;gFD^uQ)DKI#HUgN6~~O($W2{6~;p<t7%8JZncn@@Yr0^Vqi2QYnxFO;%L^i zHWLdh<c8Nyk<sQPFP$vNi6WOPVI1qii0o&KN#{6{YPFGzCM#Q;r5J6T6ar&~6`Yik z$AJi_TYFW)dDTQLyC7GxmfVn%6qqZtSbQ+RoC_AO%ie)#qDqpaZ<YM57s6P3)C?9G za$(qxg@(Nb=Or}GrCjpfX}xtQW=J#{1uCYII%nO&Jr=uA#uY-ER~xQvmx6aw-yr_3 zEYWO>wrYuHX;wB`SXAFL;w>s!NZf{sMcNUUH-0YQFO`~cLdr<SV{iba<!dwEG;2oi z&^SdzDWO|Lsql%=R;)Uh)ag4a@jzl-(Gfx7$jlq?s?p+vt#y`C7p1oL!PaFPoYd3M zV6bXgtXYdeJRSEl4b`5d=g(v)iEos73*ZcFNz$qjLDg_R3BedKgrEj=@_5b6;m9ek zj-k2-s+jH)5<BWw#s)gic(P}sly#X7ll34)my~XvHNi&6HQLdzLPp`27HVK;V{LRC z&lHrl*5oarGIbK>LTdX8^gM1d#lkdLTGtZ=vyoab4pAixTY^4|=E_=0QA+Vx_b&!6 zz|zkOXr*0Ft?&kd8JC7M^;SU`>H!3ns!#*rr~DQ$8CG=~U{f2vK?+jRR!!h@e&KH; ze7&xD2-X2hg{?-)AnwOcl4&tGX_Sd(sUl@hT33npAH*~q^Q?>noGzmQP6SWXq*23> zWw>Svt-84{8H-R<9*kp6c=u53RxeQ~MfUcPFA8B?t7HQt!sm@F5G*qoA8wRwcJVQo zW6(2LtqQO_If}5`VBeCaAW@r*Cr&sow>vN<w1O3m4j5vURZJ|zm*vs8Af?4dx<0H) zz7@FU0gfeA4WPZ-T5pHr74Oig?SA1ER2WK;MEs<tWMDJWw5%%Um9{JlEDMHb(v^?x zr`(}X<8;(OgwsJEX2?ShDLOF#gg2S&9%He}5W=E7Bl)5}sxWWBzxz%l<rODku3b;) z7Nrg^Sz*acw?IWN&rrL^&(%^Dm~oV+luNr`%zns{yw+;6Qi(sSta%H(wY716SQuF9 z9peWJzHP{~jZKg?Wa$|WYc%8WjF}i^?dC<$EmT*tO5ECVB~J*k;xZgaOQ1~O5|Vr` ztB?cKVv8Crg~<$QgfpVCnKJyTB37~;D&J0U8lJh}$|ahMqdWt0EP_pkvm^(|-ai<N z6GN8dMvgPRxSMx5H4sR7)hZ88p|;LGR6{yO5-yYJFqV!Wq#p@wXc;>^XA$|B3x?Fh zjoQ`AAf%noBp-{)Gt<bDUwB!c?HiB6g~Kkt>!l)1#%<Cajcz9Lj7}vaV>6oZnug*q zVn;~`2@QuS)I^HMZ{=B_P7fl-Qwi86gDFo2G&j|gXeyu8trQZGBnTbZKuf84kTT-c z7A$zzS9*LyNok5e=(U7c_gEiYgO?9;ZGL-+nrU-XBuxxzASq6W%Cuon#myIe$r6@S z8F>fL5W4mOy@yn=I?}pC5P&~gGf7A%sLr*Q!N$S|12{WeDwc)eovf=rDxSP_SlRC< z<?>2$9l!Zlm4D01VA1We7BMhKg?7?zoPO0K!Ki9w`MgT54%9_fF`g(RxzwI(tWMH7 z9R^xNw#&=LDrKnN3^p-4YNh9Ev%jZ25T^85aZ&1HpwzGo_@q{GBBKe((~V_P#}$x_ z_}#5Wlm=qE>_A(#{8CTRJ&MXeTPs1r&^w$@ymKK|C%2fE(10Z_rMf)SC}vnDM8uxd zVKEM)K#icVM47ILnyP3qgoZpdepVvC47d=5TKV1*wgqZZ_1JPPvToC(Mzx}^IARxb zZhP{moTbuxt||oOBvJ;-5fc_&1j{PXjhBtWEuNrHaog+LV%0B2kTAq1`A(H=2^j|C zVnT;Rb1b3&np;rZg0iLy6mTnoh}}!!_cC6zz*^%E?2U=uYFN!uG=+Xi*Mr5f^!_wT zXG>P3C}>(#>~xN$0<D087)rA)HY=+J+gcYo&!F%}RWe$`5`lu!aCha7dN{@-jAbLI z2b!$W=r3HtRqBzDd9d_?mCW<fwvQMZIV&il8UR*O?Bt4eKgLB`eXJj{qqba4B`%y! ztt(d$Lnr4_Ra8(&x~w`k?4EXUlWC2uRVa+3gl(4*pSkTSgI7i9ouYC`yq4AZ3<FgA z_;jPtaam0mW%;+ACZ3kYL26+!yuM|KYw4Y2u8I;Du+D^I2AbL#v>Ix`Wt5)QEg3P) zm<$-`Y)0#BV<gp#YEDq*3AcKe%a4+BraY*of(?z_FECAgj<k$C)HCTyInq6L;X>np z6<;o))ccZFBy%LAPDzY=kqiAifD?&~OIgp%=-4*HriI_*e@x9aDz9#9m!30>!e-u~ zrZ-QhxKE}*A_;XO*2vxz7!i>?NQ**NlZRqNb&j?m{tW#P)`%qnb}$a#y!Xpc>}IDc z@oVtIY_?FqMfkC1yTs$cWDaM~NP#4}z|f4YK?PbOVE5)ibM<l=dRwUzhYT`-ag4-< z^$a<ZSp$_$*w66T{sJF&hHybxOoCSOFP?cY?s~I%NWA7iREi-4Muje=jilv(*YRPx z%BG%fb&?FfYQd>(UEQ%=WRrL~o7eBS<{Si6iytK_eLdCK+TfIEbucWymI5uR>BOK} z$9djQr2C&>Zm27h`5DROu#K=8Y%STvrqY$cGpO+<f<4j~l-WB+o5H4Oz(ah^;c~Zt zhT4J8ygNY7bx3WYdNl#%S1H9;AvzC@0s;m@r&1M+D-t`P94x4V!%QJ(r<C59#BMqj zOxoFyTo&A0l8e$uI|)Va290qnQD_oX;G@%ASQN^#N+nZ_LjvAn=<*FScaENMF+%E< zlt4>MXi12&0zfwLmY{T(<#0LjHf-rx!*JTinbg`H2ErgmC}qsqSu>18TH*?Q9$u0a zXXDr67n^?1*_mH#K0N7g5_F*QX?T7Z{6?jYlTGLnAqAT`#1_wPXQd<{<X`X<o)bid z0Gx*e2_F-?8A5bwQ>UWkMHx;!|L|Ru3l&J1HOFeGI3yp?SD_cmZ5~j7hYXfIh9iv6 z6BQjMLleCckxWedV56D<9Z<ukJhNp|*;R9z+V7Fbq-6+H6GS?Tnvd`kHZmeluv^MO zXR;GbHS<`$*N81}5Zl)9x$b&6*_VK3mnowT%OZjke8c0)29%mq;iNAE39Unv3nO1# zwvKd8D`qWzEih0^hYRNzEoW)aC-fzn<(M*}<|qZogO-bvaF~$zZ0T@Ut<feLFE)2Y zOR39q_)XIT9LT?x&|F8-#6CvF@62!Nj@vd)bC@;qS!(OT(@yR_%&H@qqv~(;wXg{2 z3o*yK6-J2PJUyzkwsFvU4}H>Xx5?!y9J;b<%g*jg72|1(QOdlkS1pPAnS%0;MJE&o ziCV7CjDxe2?ws@m#bm2kac8o1p=E4Wv)#%rGW<f;otv2$U6^z(ZkBu`$<nFyTa)-> zwtIrI$uadwfsPNQaJ!II8$xVe2+Bc06`8>=Rh;#}Fytz9AL#^kRmgs+d#PwybZ|;I zG+=5$&T-c9xr>4k&0S16Eo4)<v%;j$oZ6jJ^exK1=Lal|J}uD~t1@+{7;KKi<)-Jj zm=99z6j%+muxF5}Ta&vM0FMTD%^6h6dfHCU{=+@DUmt{p8_`E3fxD*54{ywmj<y^( zyQDi@@`otm^@~m%Sz1iKcS>gxl`kEA<hZ`6aO6+_-%I1%)MWo3d~{<FkL1<Fnu#F( zcI9S109TIelUFCk9Az0~%up`5=K5kk!yvz>7%7S%*KHUvC?zwE%D`ATQ9Rd+0BW+r zC^uXTL(8WF8e*h2cK5dOQQ3DKwfy)NAu%FGpdw7lYS3yX)bym5`l@D4GEppq%@e4o z#eKf9LXJ+Xl}>?V1yNU)1Oor|n)dzQ{Ijbb?{CeWyeLjOKXd<2Uc2IjAFrcMu|F}e z>;C<!7gn$M;2W+8r_Nr`S}gshnt%77U-=goEL*&E855Zrzb#W!_bk{raj@~kx`gH# zm$PqexBdF#5B~adw|-*R7r(f^T%NQxELZ<($1gr{_gBw6<}d&2=F`5pVvsR=>8<Pj z{0$%c=J!sy;h0<Zzxzl3@#EvK?RfV2e|-L{gO7dfgFE(q@x1e%+yC+B*W9(`u)V*$ zIrq&^-*x1Q756V~>rNI=Ub8lwdU(~;sn=A`f8c^6w=SHzZ*}GIPVCnW-}!jwwoUeX z|8m!shq@=G?i;>yOXspz@3`-*ySLu7czyKF)wh3a_bpS`R=e)JVfqt$fBJ^A9^6fH za9}yb3dp;5Ql_1JXJPImj~^F&{g!au$CoeeZEd;!x(W)lKR7FySas)`1?zJQ@BN56 zV%n=f$?q)kN1ZshPTu{7Q?Gi{RUbH0bVA=1Jb3;GS9JGX>2yMoPrm0D=UlaV#oI2u zV&l}=M+C(q_Wa37SDpRAzc_5k;$`S0s`awlrowxJm!Xqw=;V6)vDpW!J8n5)(-&?O zov4X$V%LK^s<)o-=(mn}!&CRa?n%)}(0BCT?ce+14?X`Eo%em?pFVumb6@<;rl0PA z&l5lX<vSj`X2p(wzUzzM{^nDQfBf~0H^qA&y?M&K`mSSE^qjObJo?BZHch^<w0za7 zV;;O^?*%75ao)B~xra{I#Z_B3<<2g}TUKpbIQ7I6L2-BYLi<E1etgxk2WS52f`w0f z`-qNf-d4)3-m>i0Tc0fDzWLB6w)I}H=%_FLMW&OA#Wj(yZ1#QE#?w^p`c;KhXWtqO z-l7-x7TOmx7E*Z6zG=y}Rd=m<+ctD^L+gTr)z_5eTx+^@x^>^aTDxTR&m}t>)|IM( zLDk;4jP`Y}qfM&n!cqq-)qgi|i&@!8$W}R)g{BKb*-j>FSvzMi!cYc)V_w7J-hEmh z)6vw_mh0pQh&;O=23YF-)XrZ${F!RU2%E83&02k1Next0ZlJXLglbWED7BMCsX*1O zpKo*S-(sBD*{OMvgd$x|yLVuLBQLoUa6*b-_GZaW#_ij8vVz&$f<IyUa=uT#rTms@ z$3&L?XDfnTUWMjRVc$Mo>l}kWn5UC<-+gMHP6p6PN2!C|4!;{XIR`sS?D}$R-kqPV zjBwq#XNGsaP|mO8_bdYT#j&ihZetC1sP>3XRH@^Efx8E`e@1lj@F2_Ws~r^OzF(=1 zz50Rg@SaB*-jwNNka~6qIvLPDOMm38y<Ru$1{42F>LhIKAFAZrhTbw=$xDP+suO7^ z9UaVwQ`j#$2}<W=e%akfcF)iJr=IFC9i?4QKb_%Tq3tp{xCfoE7Xcp5FWXC%da5cq z*}k1-!m3@>vAwET8?LHtIaz_f=cb))18S|;$<rNsp7})wy7D@C4omN4g*iN2Yl6cW zXUKlO63=gk6FW1V_-=+J>n_4mmxvYC%Eawqq_s#pkyhhvDA37Mooz!Stxq`yuT&?J zT1SV8#1^Q|@4FC-jSarO5sprT3Z0yOy3qd)K$%hV_YAPNyRMTCw<jn+@ZH(YwaN%Z z*YzVE`Kq<-b3iA1_=StUt8HM+_gzm{MJKY3|7~xR>fB7LJ7Kr@w?ClNB~EU1(tjvA z>2x}&_g$GzI@!s}j-om3q^^s)PNeVJKJu3GNbB|yk$k>RM);I2c(JwHx9I(XYlNXn zS0&JDc&OsOObly2%#SWr(U6Lb&|yp840MaI_&ptEb))z*U{Byd_bq1O^KIzo>?P!V z;3R0GY;Pg{*vIKSNo1rCLq=~yGup>K#3A-E_D132Gj%6FVI=BIeIj#b&Wmz;D;+&D z&avn4N%^hJ_%_&c;$2vgJ(}@KFOL+fD@*FJ$fNkiCNE~+<<-aeDRaNqQy;GSd!t#E z#ZO=&JeVqH`xcjoe?BBejgksy$0|cucwr?#g-TA$aX|dc>G-in&=3$_sO-6(!khJU z8nNQGUGp*Gzfx6BaeENiOZXc03|@-A!2Zc>Zz1tgd5_tA`TJT%mHJ7Tym144==Vct zmMp!Zb>-!5A7XS%Pk)QNTp8J?ScqD?FXU}NKBpva6d9p^<A&6>E`IVxzJ)uyJ--lr ze<gGdfB74;bbP!l{^kEPaivl}T`Y2=0(-Ht**?a%kJk4ys!P@E`Md_J4^SzD^1{hV z|56I`@<%FH7NUHrQwjO)5S$|;Bm0ieInB+t3@xO36Cb+OtM;WaXLsYMR)Yby#aEk~ zTVIG(^<DjHaL(LrZCi1W{@ZuJzdcD8%6_#xd#g)p_F=2)@AKVYOae+BxwU)t3=X#a z?OOUD8M9h{Jf9sq<_6iUR8^-HcG8VLIIypDTF1V9`v%UJ-mA)HtwWb%KJS<3@5su? zMXOeKy0y*pYvid8`LXSs!CLG7>j5v~+Aq3bOn0^_ox^B9RK1C>DC+Pm#_V2~)03P| zo_kKtE~u8!2|arqWdGXB(n)oUU2EH`Yp@%2!k)UdJJ~&_I5mW~`dyry_Gk4}ysLw~ zZdLYOl}`IGb5XUhK_?JYs~>acE-+jEZwGhEM=cfRLI>5!+OewWg!~*LFzu0cqDsD< zu*{Yzq%|e=D$irr_FYBt$#}(-wY}P$bLXzSi_v*bJMnvO4>3*1&QQ5LjiO_X*h<k( zeBGv<<U2e2``bP<0$S$VP4pXc!R-|;G2!VbBm09gN1&Z_bkI&9tX99W{Q+jn|0jS_ zX#h$x)rp$hPI7Wq@%*zT8Y0efR#RPMHg76rb(2o6*mroQli9KA)7LKS;G~6Wd-b_r zJumZoI*GP9?PO$7<~B0lIW#z9Ah(w7p&e`JtB6t43HyQb%I#<rok*UK`SyH{CHCve z{={m>H`!l_WObd8KJBC=I(a8!#D6!;?Yk(VgX&~$uJp2WGGFT0f(as>;uC)5#^3Nw z6hEta{iG;ha%3eu+c$~r{3Zbl7-wQ6xmj_t=TL0o<&3jc&0fi{OOKiQ)9@Cp)cLJB z7uIrDZY7aOD<|xQb~qOQ4L(l9J&nR6I??-E?h7FYvb2)Wh*x5I@cbIm9CG{~Px*!3 zRzYYSeRj{|`gYGD88$}}(DKRLFbMv0rU$#_d%0oI?DZTL`Bd}~H@K5$$K{n6v9e67 z(tVKb{zYL7&chbjn`f<Me`EzZem@cjH)r-1HDZ+(`XCC%v-=zIX$6!oop847Tf_@| zssJtg=I&9<?pl<2cTd@e$sLgzS;DqYiG@s`(&J)RJg?xZp04~g?++^zT7olPe4^6g z;}@3{;OAU5S@cR6H=URI<J2CW(8?v~{ek%#H>VBTn)O$RFZV^xq<m(vn?^JL`og$H z%*rGN0rI>Q<mVBD4SvgKO}r^eoGECr`&5K!cr1^T*J1FaQ0HYGjR8OIVq_HF9(7j5 z@i6f4yfU5=m>h8_=BzkV$Y?TuYU_D^WoGLb;rt@;>O_a^l05l*rBR|;@8E|{=ct<_ z_-Cnf2g$pS@?xfE(HKfgOu;xi%Dg&r$YOX821_1ClSXWOCxN>Tm6l4GR?Jj#dId=t zJWpK)@e4Q{=%)zF!i7qH@u)*RULE(_Tv076O)7AN-V~>IQLr#QkgvxW=)5Zo#SMfh zOI}SJh-Olf%s)ruluRt$;1(_tttO!JPb+0`09}ndJ<6G$_vit2&NN~|BeWGOW(qcQ zAVuBX*WgYGd~ST|ptLee|1^u6VyEeu<waUI2#@4So<^{Zo|@a2yZ3qq4Qy&u^BgJb znpkPDFO3FTe$ArnGK4Tr8|ML(DNQ3@B(pqT!Uj3(M26rLbmo}jPn5@JMKw?2lZK$4 zh6e7*7FjihEeu9UHWf9XY7t=S`N)Wb&`D<(e#hBx@&8_M7oO2z+Zy6U9P^|*C{@<G z1zAdSs6YaSCs3L{?-y@_R)vKG_`qIV;x=MwF<u^M$gM<~BRyZcWYu6TRhw5vsN?}m z`V_?jNZe#@=K>9f34_-}qJ}$h5!pfsR^mjMfs5NBhe|U}M%ITy(Ov-|l<*GuMV19Z zaN`qGPoQ-kuA15yc7@IXnNkJ%I!RC-APb1{BArW2Y$rj@bO;G=`0do;oMFWbS-k=1 zY?d$_h*!Yx`#=H*HMIEnCAh3~ptAxK+;0adY$=dA&}<OgNL|4ZXoVQO-FuKyweAxb z<GisR*~o!x^@7#>yAp6Z@J`}+dMOv;P`!*KfWe9eV<tEj%o8N+STxG8!r^T0XS@Ld zAqR0fI~e6>_Q2v1S~Da8?$P20BNt~hM<Zy_NC@B1__4gT6NY%eO0$#z0pm=Ez!|Y> zNw^O2$4>=#BUc%GG*>YtqKghWA8Pq&9~$G|420H#wj%rp<Camg!PT&UXaC5g3nLN~ z8KjlQdv%7*X3bI$twU;&dIl#BCwdyu8pjW;bouE%T3rdnFGr{e2LVm0(i$?DldeOC zYRJ0n8<r#9!l=??Thy_Zp3oXi6O(P99EneAppc;R*DBIh<!<a^B@MHuAytV~o^-l{ zxG&$A#7R+`$qCYpMw`0~i;jX`ij6vj(qoociYzlchJR`EQm?bhs1?eS2|X>jpvtVO zYC(SO%0hBM-n7p_6s>ZjEE0jUAX#H&(=zHr2TE|RjCx%YmFf#0s!z&6YO@3FElkT} zcsz(`4s2>Sgn{wc5b1y#EpF5=FyVL^q;pcJNCkq<z<Uf{pCNB%p<){z%3Os-Y>mg& zj+-T6NkOYEvMNj5$9&2NyCjKbrc`9LG!h^TrINbEHNI(@UYLd}>06C(aVZB{Xh_YC zyOD*$h#z(w1MXcSi}6k;rbQMW74kZ$rnPg3M}YN8N=|amO2naAXBj{$<Sn%L8&!*y zPK0-}9&KpdQd*L`lm~=0IIvu5LEa%{0hsg=H+{2oGwv^qU*~Kj+s09eVQa3M$c@1g zN(w0zt9tN8hT0|S05}?n@N+G#5Hdh3JL?=DQ>L<b0xy5Ys>Cop^|&d64qcwZpn%F4 zkn$R)ta1`jW21e^fk$R|5?BMg0@~-M$%T8AH?^~RXb>vPutbTK71P2_9FmZrT?WLT zLbkF#V=FWeYiDIfVU9q8HT)e2+G>(<d5PmmpEng+mv~BT)ugz(Sdir~QX%Rf%h`c( zhmoRj(=27h!MahgoX2vRAoW}@;jpsS_A9y-$(u2rI>&w?7oq*xlWZ5EP8th)p5u-1 zF_VTu%0C)Snep)`Kcj-~N{^Pp4_+lbiBI1Osqkc<uejn^zJTD++I4Fx&<cDn%lQxn zr)sSPVu#YzDWhg<*%vl5grsz#R%LM}ahu8GFiI0c$I~{ZVJ4%I2;fRFh7p_$Cb+DX z`LYpYBWS9VlU(y7ne`!tmTL@I@{rl`DFrZ6Zosf4Fe(W-pct$;0x}j$<^+s0RY;B_ zar$2_#jnDmNG3x2Rq^8Ef0de%HVFgc{#>m8!b#uGP}v*|nTlzaWD>uhBWWg(c!8FT zwkE+MET>!8bVJ9#<Upj77G|u`ctalLVmb$8(yk<BFJy4L;5E#It*>KRB3PN<7}$BR zz9ls4pcVdY4w-OwnGbb0bcs{8I2Bbvi@OFo8)s6ChQxTcPEn4#wvwEeBkx3y<OU@< zXUTdxJ>%^pbao}pnTrQT^6xV5Yyu^lUhotn7VV;Nwe#!HPR%H#%Ua#uOB#It&xNc% zj0=|S6vK*CTJN^;o!5lR^9yhMt}T=k^`kh}!|1az9FGoJov-98Gt4^1<M?(;^iFL< ze%loBxDqwimUXPtv*1)k$4p6BZO>|KS$=#RrJ^NYms+C~Qn*_MCE}etEQqBn=p(en zCt!uf;s_)MQaTnF^p+zSvC4)p?AW4tJjP00<b$OS;$DJ=cwPY*rOAp`Gcx054V+`) zUQSiy*<o!kydL0VQHhq~mXI{mxezPBk%Au0Tui5&(;~JIu=ukaaRn)MMXQgfTqT&n zr<B!@92NhbRhrT{s{5n~873>*#5o(8t!hNI>p;V8TQbGoyK&0I4)=wcJrlQAy5jJW zLQeCAS_>}+q3W{AG8gk+SLbRkQCbR;vX2Rj^CEgqx+MIu$@SBJ4S9Lndck;(&_m6a zAwY$uTe|@yI8pVQNL{SDTbW0*vxx>Bc9I+V2RFxnX#+@l2Zc=PdSHzd2Xn^dls9GL zv0}=v(xEo0KyAQDVj^FSk0;ccAeT#Th>zxHDQ|HJ^@s_U2Clyx>b#Lfz8Zp-Hf4;V zuqiO3S-EB-e(zk9X2}w*g%MlXZhD1jrIpU>{Iu$dUZpPGqB^%I)6T*b#S-12*2>hd zq(#qYrIH1GN}(s>0N}Ojilga}f_H-ljAKnpDj@bmV4w;l14fG}nJSQQ*iuw^H5*UR zk6Ho>GT^a30#(gGLQ%Pu9f9hhDOS{FQ8F7^bEbq;e8GtGWrI#**es^hCxd)|<!MT0 zts07T;M)h7Nge^~OpN}_A+{4G!eQ$Kf|tV{`Z%sd6(x{5t=iCSQ7s^b1w-ev%5YZ{ z3jEWH;=Z<?W4%s8aw`YcKsF1R;*J$5z6ewhm`Gk2?W62?Z!^kTAppGeMr4^&GX1*H z;|fuTWUzxR|1c9P7lctCzDXVrGEG!eglWVNyP?_4jxh$0unmcbQDO1@EUlsfl_e<> zlL#bl#qeTyR<Fd&;#-N#3*2oP6Rk87X~KDV6)Hr^!o;@TBOwkZBG(ZdAP`$*jHjMv zUOWS>_%S1=>_jW6bAszUG75~m8!B}c-cVEELTR}@$FrT*J)<tm-H*<)zf{iA;Yin@ zAXFaQc0Wbinga}z?A4T*6;eaz0!HPv*xK;!W1MzsnQ4C;phWXLw!pDgo%K{ugY zv9Uyyl(2c~FsGdA=$CeBkqZ98z&LV)PcR;w!^y*#kjdrR`W%YP1BtG=g(G|o|89)x zBmN}URz`G4{j?DZQIk?ErDT~@Qy0YjT6Xao3L>OK@1}DpP<XtA2&*B-J06#IrYuh@ z4{CGzm8xsR56=oFL#@PgnAFgu03vciS|3E6K#HJk*kLK`THX<teu@q>YUQjXCO^8( zj$385PPszKtE?90r0qd)nfsO7g*2)Wn`%iuYGO$)qtK9fvg2|>Fi=Z2@4VWXzs-pC z?D1+e8K-QeoSLucSI39v!97&{p`n}SGCN6(?_K{(2SXYM(=EPz*q|#ej+$BYBV~m0 zLa7`wQ5%nXRnjk?cBu3Xl|dtEc($Tp#dNuIAjq;7t_E)<S*2!N;p3Rr;%6?HLO+`5 z#XUYQvy>=SyGK<1bA5H5#-*(p+&RQgB55%I<IGoT_<fCYV+XqCpJjYOP<jtZ(`BI+ z<{S*ca}fvkF=Hu*33m+kIz~~*F2*vX8npW;Mfc#-SoRaj%?vBHVyLoP2$^x5Kou5n z^*rdQM4>J;m1k5qaebuvzu|fsq1Aj%7HO?`5_-23a_ts;!T{*^ylqrn%q8JjV6;dg z%j8r10ur6ZmUrQ*#fX=#&~;hP?c{Jtq&UQ~l%-9Rbwsb!X!83Tv*@|WKIR*l51Zxg zI-1CEQllDyddRp(&Kr>jb5Cs4dUh!2wbt;@&ZUj2*K|(El~tAr+JqE?bUxe@^nGO< zyGwh6ZVT%WBg^S^;yjZfmV!>s;z@$e%OW*;X_s^|DLgWvG(3@Yu2`f61g(!;?e1?R zQ`G-OkTsvCIfSw(p<$FNL`hbQ_%gn|5-ebyDMqcFQX1D*lcY{iqkLHo%_}k;d64q4 z!B+N+``<r2pYBNpvyJ%$kxnJB*pgo#1EKroCfHaGDOYG)Te~^1Or5Lj74!o$%<0?C zS65qk1@D(>0qsZ^3sMj*$0V13`O9xUijM2cBf2lvCvUANd)sE)+J$7w@I_^lIwd0E zf8{gtYh(|}9c43eZ1C5l<`RVIG@q8~b)D{127NM%pwN>h^+=>9iqWuE%J6~sh|_Ya zaNT)PI0DytNvq@v1{)cidb*AcVm?zps>;K&)@`eYvcgO1cU3&g)ZX6C5pDEuTYXtt z$w*pWS?Y&wv#;?Ep@xS88f%z-e{ufh)YHJ=?4m@nrIHP)S(kKO4_EVkOIg(T5!3Rh z>#qO)Q`4XQk^O0}-DJyg`P0{Z_KqX@zVo?F8#YYXV4An`ZZ@D8-WkswZ99!mj<GrN zu-whu2gf(HvLZkQSKCctQ=}e+Fb>UZt1@@0*_q%YJr}D}hSKo{x{Ig6i-U^cb%&V| zQwLBEZr*-JIif4On7x&OHENUUYG-gNY%PQ*ck^{FcLjY}G^v`>@??v0F4v`(FW#_H z;*+bBXVq)8YT>e7L5PtAT})d1Yqn~0dqgG3@?=FS{+9*)3v>7K4$7f=%37X<Tes%o zl6=ka@PBW8Htc=ku2(Hs{0Hsmgb9+Rld+@+HLyO+-Af-`Q_3clH0Z>NU=Uh$>#h6u z|MHpVpa1E<Kf9^&k9S?oKF0mu{`<#o`uw%md~Tcl*p?5px4-}F<yW81891k2xo-b| zz2lhEKKzEg@3{Xn%T9X3$2V`7{N84J=EpXb|Em1(<iwr_TRzyfz&yTp^$WlH@N<86 z;WxkZ)Q3Lwf0aHjc@UZ8e#S+2&aSH5adM8asg{==+wZ+)@v@^^xg+uii?}25+Tr)M z=Sr=G7Y0|X=w5O2x4BcWUAIhzUMGQ?I_U%Lxy8pXzvcQT7a!YvT>I7=UzpwSo?p(k zFI|$9C#}6P7f(NP-1)md-j<vGYrD}_4$IwZ<7A7akjOV|UKy~j@m^_f<VV0R+(tfD zA9t7YU~FHsb*lB8jjvs>_@taVT(URWsFPr;3j3tC2))47QK}OJgPown4j#Dm=lgej z{zu>X>EEG~tMB~GgY08G<|DhOKfh_i=RRVup1vrT``kIpR}ay-|MNROy#L7${ZZlU zqjo)Y|M7oZSh3@8%NCv7b@(RxSK%9*gD0<DvZ8JAd5`XGU;UXA{^u9}?(Tp1`Rx96 z8y_SOF2QFvh1>x-Yo@kR`@8F7{EpA;*xudVrk>qYes;mcL%XjXKB+w%ikJTbI$5@S zFFHA=J&ZOl&)Ugm?&8cX?puEAHSbt*?BQ{HSG0fUb?d(Og~dykb~9I3AJ0vxeZi95 zA8*M`yS@vZ__F-nbRvb;2+TB&Qq2wPp*uQrdG-u)sUb5yftOH!bA2l5>AjiDmj*gJ zi$$?jbLRv7%_A_9HNyi%PZ6YgU9`JYN(N<%VQFA(p5dm*KB-S~*I;SqK*?=b^n_<L z$tv=7ETmq<m2joOjuRTwbMERo)~?Ev!kUseYX0DyOLp>+prm#Ry1hfrDX6&hw%`R= zjmy@c5_`!IG^hF>&dsQqqJIdM{ab#ABP;CPPRB!EtLyk5qT$xMHt3|<(K(hM6P-LD zI$=F;6Q%Xy(D7nA;ogxwd&b7b(8>0@)%L+=xf4+~GiEx;c(%O23iH8|tSauv=T+Mv zcWTyj;wnopfU3AbNDW4m;7)WRF*@$fIMi&XlX^K-*U0+yx=!|sP7uO44g7Jsi&p4U zom?QC5^g7=dQ@dvta3BC=W_da#PfelC#=&iHCJ7Ql5_`34~(&PzoVn+0rD(+>RwVO zwYU;L1KH`YYuB1JVps1jRR^DwI}x2u#!{N?-tK<#{qN^$%&H|dtxIi#V{ARi+KJbR z+7qIaU1Nh0_vY-}wMf=}56)flF1(Uzbw4uK+J)CiozCloeT$oY+iz~J&O(nIsZL7b zmt6~T2Vrh||HIpxf7QItJ%aZ-aklb19R>UUJ;;8RQgeMTA3Djc&5`M*PIMyhl6}{~ zbwc}SYFe{~RrTCRO5gRI+==M=u2d&k-_>&Sb0u#4p(INK>ooVAjd4#_rW4<Hu?5@f zM2f2lA8t84h)z()9G$2&vWJK^l|rrW+UyaB9kzCMPTy5pJ1g|kcZp7_>h9w9qAJet zNR0n3o%lU{YS>G~9f)q9p}aYHxNx%j3%QZpSC|ZcK`<lCjj{Md;%r{4<W;-Z6CYs4 zHbu$3jNGZH7t6hoxsmuQ**-?utEgqKB6DA8k)!ABsT*%{3P!{+j7O?Mw>nRs$ijBU zEA}t)N<SiY9LXVQZgEdd=9DKgI;i7S&$)uh($p#cGZp-mV#1s7GqHnyZ#jQjCX)AQ zx$S@hzh`{#Tz{19k3?jf61*ZSF=~{E;iddmS72?_<&}w3npC%Z@^o<dqZ3yy{fEVi zRln@<<Ip~4=LCpe#AZ*Q><z4g+~??h7F)=~R<bA2->G=nhI5Z^3mfi8wC;XJ-n$(g zW!}RcO2^`z>3&6cNSTrL^i8gn_Di_#mb4=EYGmKA<kiS7c@Vnnen!8~QPuKNyN5Bo zBl1G>N}jqeS5|bf_`)<Deo=EeN&VfvOj_YPyQHu{jj(@FlHu1Zzt8as?rR)npW_u~ z`t6E+mB_K$5xi2Pbk1pw?fC#Uax#MaDVgOQ1e$7ll@1bEN6Gz++^EPFF#jj}yy&@_ zvwIuYu2s5Cb-b1N&(8+eu@$SM|DM19dq16^k9c_BK4x9}2I%Xn)xRIx_OL2#-(FIG z)c^3l*W|0s9s3UB=z=V@l(!qXGn}`j+dBH_ktVlSj6BtW#{Ps-C%w0h5tmPYk}p{f zUs1CoH{~Y#%l!|F|AO*J<<*myPrhZkM0C#OP4|f6oWonfUJg`$XPvmcO0_=v#nP60 z=eSXEEYpeXQ3Y2LJaMW6gC%_dBPr+Ho$&h4b0v94fB($wUIz_2Asu$HSGPUP{l5&x zG52M^=BaA4yJ)T>OIz-592jtePKI)}4Ap#29bDTqmM10L&(!9S6zW0p;DdYlswoV% zkIc3)^+G4lIDQwD)ri*#-5TI^LRI-4b+Z2)*G_6@Cb+~JjkI3NINFHL+#OA_&$2#G z%kcB<>HxPZb`<M6VUKF5<5!fgv_JMH4wUlDuPCRUkFFcs?#6hPM;qMX2maxX4%&&k z3tDvIFOTfFB<|lwJ85oy7}@Ibx&5!zrd{)8ShGf^ZRljz*jj(D_WN9+uTxd2=LgYA zI-lwF@5E1azR)ys(>1-H9rEoY8rs>J>n-+<w2ru!vQ#IRz>9pmatORm4kAy=^CfkX z?MHqI8Q7CpD%Eu&`ymH-Q<3{krSDw3wv?w_-%9_!R{Ac{4t*OiM<=fDN_DbbbaGn9 z_n!Lae?Cq6uCYu4xxcYgdNG~QckRldlcw4Pa^3bp(aCdJiWwiJ-shc@#?2Ed`JMe$ z<#gik(a@f}*U27a&)Uf)^V`WbH`58!<Eg#PGgX<b;!TDhvgH)|n6G4!o)@0RkJ5Pu z6B<5P@at;2kI{X0lPGonLgW38u8vRBPeK>W9>cS6@B*G&ncCi;<Rlz?1}u$?Jg((G z)36|CVv)7e`#I6fKpnK)m-;{HZSGC<ygR+!@^>jdOJknhqSN^OwfK&2^vT?i@Q?0m zZvhdwAFZ*O6p9=wx+^y<;iNZ=KWO=6yZqc4wDjkOovl~8c(UO`ATV2EWtlh3dVhVN zq6@~@!fFjZky#df;LE~^=LnolLJltiK+op}CO(9VKeHR~+SAp;ZD1Uoi#MT(1=RNv z>Un<WZ7`0$_EV0e=}~Wof12JI`A^=jHm3MWO84f}-kGK`>}|PE(X&0kE=U=LX?)o) zDS4B77=^w`_#yj6oBxK@Vjwm~f+p|l^(<ES$A15!(2wJ98vPqTb&b70j3;9a-dgu5 z8CM3Ob}nzGNVggxbu-2GVLG)Hw#QtQk%;6q@NP;Q%q))wn>iY6k26l`3Uih)&66kR zqu7XRET-WRQ*6p4^(Tw1bBigsHWgvJa3h#3qiuu;%%<@(Dn62ewGw0{){8-S(13$u zCtbZ%q4jY>pR%eEdp`@KBs>jtq=7RjcTXBR4VW~mwZ4;6$K842j(81Rd^GX;cqX;I zPol#E&^&o@0IVDZfvE+LsU?!UPqA#4KT>mxNgOeuS~Wr0!t0(y5OS@X1gm=S3)P8g z+&RX%CGk_Zq=Y$c#F>5CSt`VK3E>r+Bmr+a=WjKFG*oPfBk{#<QbvVFY>#VlSnmfV z5O`_Q01)nVS)xm%I(RY?Fb>yO52?FIat_|DKuU-~iSj&x8M*kL895Ck-C1(%F+%6T zV9Db_LjkqNq`&9zb`8#87BNj^lyx*36I!TFbS`Juy3@P(@y|ID?q@vLyJ{q8IW#WC zRG!cUNh5GBku~5+Lmk?=>j-c!4u?pVF<^P8&fN1>E7=-8b@+yglp-JCs)43B#8U@R zt#w{Q|K)oH=bd)(0_{9CF8H)#k>NAjH4<qkt}rx_U3|Q$ofV5~RKCD0?MPfKQvfRj zygxfSDO_>zxU)oX)`49=A+;;x?*nA<ia;Y)xP(dz+JX0HK5t7gWih?&*R4rthWC^; z(+v;OOPCBLgq~O`gggS0oGVc69ON|vN71V$RInwJI}57YnPfu_<=9(c>J#deJ|%^s zv-#vid2MlS-vSJ75yDN~DN5<Jyr8kV$GJT-!ScYE)@Sk1VvKUt0gnSWErCWmU*`hr zmV#J*I&lc$up1oBg;U%EtpXb+T$Dlf;mYlf7<C|L@_VkUcN#NhA?aM0?<c+yig|cn z$0fkZr>+`6?t(&>grK$3g2_~e+d1Kv@i3&2gu~i!8?$`sF+6oJ1?Q%_hstYs98Jqk zf?ldEe2hpJ)naJM!e)z}Mx<!)36A}U;-IyS2u^!^G|O^R#1<dSR5VM)@cxNtST0qP zP+|ll#E+m0CWTBHV<l;Zoin+$aoR*&1i(iy5H_$pk8%*bRe@pMNrJdS$uak0O{l1o zPm+;kyfP7=B~7A+j4W;tDvAY}U8jsG82=btBE8sP<mLpNL(UU{a}Wj2$p%S8+?c3l zBQE$kQyB66QjH?3U=5c)$tFWXj{WjkNuIEPb$W(nAXY^h$`t(G1<z~(oKe<=7;lDB zA_7B{j({X5*%5n)(v^?47h611D%hl=B5c)4?-Pp3#6y9rJ`rLDhs3vHX+{{~lh|;W zFLfa*)~KVCyQ}EGH196G;}Q&?-W>0ZgD{{mv57I1hMVtEGuEi!Y-^Ix^sY{dETv4? zqcmi-WJHYw(^SF{O3g&@>5Y(BOTdPLFx5%05<$oeIIyx(MFg7>PeQM_lI&;|Ml0RQ zKkgP$Eaj365{GNcY=|?7`{YBkgON!PR)xb90SN9#gES{O1hju7HJ#=kr{NKh?F(~3 zRKg>cBa?_uJdY8NaCT@0TOTaR0#<34Dqe@_bLHm4#FVs*I1T_Un6v{aP_|A0BS@S+ z4Y&MMs04d>ANrDVLD8DDW<r@ki@E_;mW~9&;v&IFSz*EwdDm_N8{=2JT=cESwkjM| zy*L0P;)%^;#jfWVzj1ge7b6lx8UwfdaNr%Ga7`@Tx4~(XX+d+96b+xcV`QlgxiSz5 zp+G9GbI&u32=4!~kO&74AtHpZ#^-x6En$sdL`)F!q~y+(87wo(oMO|MLM+EN#T#dX zxhyQxLn>vdjMR5UgOEgHsbtc7k#q%OD7$Y~!Zjdwl+Z`DDr*YEg6elACbZfzrhw6t zVuCQ%d{?4f+D#{jBr&m-LM^Ua!3nYk8UkpATMcd$2>|O07?*ZYt%{(NRx=JxK(fam z>KW5($e+gwPC|{7b9@wM3bE6##uuiToWu$#d?60uA&pHLip9vk#v`uShT;mvEn>JQ z-%5ap%jm?BD?%MY#x~kvkX9mF$({*`D8LvXA~~?yB4Zi1B}uEK-rG^R18Zv^$XUWp zp%*r|t#YPCCk);?j>{80W>!micNgP#b2m-U9d}tnQc<~VWwE=Y*;FL82m$D~?KH}^ z({9Eu!q_6BSm7R62Be~t7ow4}6VOb!3gUB$j1Ng;E|4~4a2C*#J=wHv@W4b^YZ+{F z1+M-?$`As1L}F~(sc*X$Oap`lkLHoOKoS6jPY#?Q&M$@r!BYdOnz#cBUu@rSurwJa zAtL*EFFA@VsD6eLv3*kFP`-Zj%Y3&HT)e2$p+?|lTq>i=o&rj^)A2}C2JhZdTCXz> zJ1SBLbTjJ6Hh8XfW$-|Uf@}_m(`N#%2??lfKoLCUPQ|m+>a|WTw9Cn?lofGgjlIib zUm06GX@MAOolr}~H-eSafz(owQ7%P^B#aUpk61+RRI4JqNF>^Joh?60%6?>cP~O^A zWzWl3%+R_^h+u6c!yai6gCq=Izwy~vJTvIQez~l2*3AS2MgBz&o^VKo1>^Q5^-Qx8 zv$mnqTGs(Ahs;7|u0|AtN2%jgmv#>1GNR+~9@-Y?6{tYRQ+K+OW~*CXB39x&{n+i$ zTX!CUCDGgT1OnxBtjjXIa(P_kQDw_BYKGcrwVKI&H?*48`sGkdBdk#0je4|TgBd4* z8P#f9S!2un*4;Tvw`nCWlAc1R1&Se{4TDj+vak>tX0N6%nL%cLmNA5BCn!OrFpClM z*;eEUrK~kEtflbr50@*7m6;(m*AmM;qVsVcC^V*(JreWkswjgB$3s_MCdZNzOazRp zoz5w8dysG`V`qz-L^8LtBRxzZ+W-;BIQzJ=aQw(1QJlmK1eTa~oKj^!BUC}oCNqyN zMpaNzva?X)0*aJBXLLTL)N*M<I;L;~Wz;a6*bKEQR+B4rOA_6|+$yvdIcy85M9ooe zlO)3o>UOJcC+aAfjESiXSOL;9&K3ihQltwDD;^V?vZc&H<^(}r^T-t$1QEXDN>f4b zc`%AupL|x!$4%-o`XVh95cEe{vB00!6VdjJ3VoW;y5d(eW)ipIX#lN6a_N^QjS&a5 zLT=@~ghCMY`?f3CNO1VASi>Q;*PtaJH15<37!b`TCujnwM8eWIpCo4SI^MW-n`Lei z<aE)p9GZx4iVC`4sR9S2^gs%InTW{~%($Y0ok+f}lc>F~>o#?<zA@x?b2!<sa%?!1 ztK?NA5vZ8fNQYj?5%XIh+NOI{b4#;QM#V>dS)CX)>0TNIUN0_I22>+rra&VWSA@98 z=0O@1n}%7b&7$!5#4=Osiq?u(V%m(96@W;X5|<hZh9UriDf_1dz_lbuhYj;+c~gpa zf!+vu<1%%mC_dvlU>p#;hj`v0b_$|6%U|TCK7w>qn2*4LvA{!UXR{DN(A$f2KBI!r zzQ0bm{4rMd7MZA9u*N;HZd7c?d0nnW({azL@?z`4;#LEP>2KtsD}yHa(87y6LkC}I zV+IY*VPU@myhtXLYVKh@Vo&0X<lkDCMcgt%A%x7eRdP5DG0L^bpxb3fK(6W;$D|+9 zSS~ugyky7;VzrcM7+DE*K#P<VPcEc>CA!h#@dYK?Qhlt+W;wqbbzGQ)XJB!_KM*!u zGG0nrL~cq6Xq5<9FHD!kKnKbSl?|GtG#rHePD)o%nytt2VJfEEfKudf`A-AR*2<`s zict}A!QpI&w~UF2TufiA(;oh<Gl|?rgDViloF0XzD;$3m8_=egeL!&(8Zs)%V3(0@ zrUM*hCLvjx6hi=h7E8P|PW&3f7i;FQG88#5<I`Hy8D1>8Z*dWP$T(IJeX=zIRfrsM zi%sHDoTPw2kwb?v)ZlTcv+^I{Ro+eG#7(m$YAATJjy12Xh!*JR2y=xCE5}u0IcP;V zFwEIfya8=m<#-eza_Pa~BE%bq%3&o$qTm?~dga1O@i8;=x-lv=yvPxpbWiuM7Kt<h z>QSY}E0T={Ce)OF?_uGVmClGFmACu8@fo;jl1`EtTJ5E9mWhjILe{K>4h~ucC)qaj zQK;CKVg;SFxQP+(c7y_QVu*>1u#v&lf~qi`<m~%CE~ZnqqIy-Cj{%57+0ZeJl2~L( zNx(3;50RzYZ5$gC6eUmy09*D0jV&@jKy+gGNP-ngEm$o{VpDJD08>Zp5R{9fcAmPC z^SoqSapg)ofig{C9Go=NUhEVlL?T^_oV=o$e`w(=>Bh&24H#z<rpIN_wE0kV&k9Z( z`j1K!6^#*YP7BzdR+lj=(rRNtPJob^FulxiC{((%X8MJ&SzqRqpe{A^_4*$5dq@$t zR78Ty4EJKg1)(gKT0JYK2*tlCh}$aZm?&>4`v?<zqYH7_qoYzJ?^s|3xBxmA0jWa5 zTYS^X2pjRLu35!V^>|!5Vi_^!f@d)ohcB5`X0?kdA{`n)UT~C7!NS-x$YNU@@_2j} zMtL>>bHx^k&M32??C|U<EZ_(?YzRZ+Fy2_W8$Qd?8?OTNq>3VzOj{N72dK@CB7kEZ z-06#>f-a_A+^*{wdrcgVUYDCV)-nYNN2C;WC=<;hS`TNW<>g{#z=`dQT!+Ku%Af+Z zA?WF;bjbv0W4wffXe#lPqzpJsh{K8@z`W0SoMjyf0~~-Bt)!Dd9EqT*ZZl+qO>p1j z40D7A+;4NnlOr?&X$umg&R6P0iwb51>xzcztc~+H!<8EJHbrgRsP*@Tf(g43vZ0CI znTdGZ(CG?(=*-}@ddx*XV5FdNl3L!qlk*)*-OH!YZf?JT9eLGKCd#Os>BXsKtRTIp zvYwfCbL&KTGP1NSNnA6ZddQ|}O@pP713RI{7loa%_L)+7CGpRTwdNoN+#$Vk>!LtJ zplR}%Qjowjod&5VRtjGpF>7Ew7Jm}U)zPU^h}(jvI}DOV%D_Ah6#hoQ`<*DV1g0SB z1erEX)!i;)<9FiYn2bUdP0)QKiWM=*2@r+x9Vu(;NV~VnESdwSx|RutFlwPY7fMAq z1Hi(zH~-$EO5ut(y{=pR)ePE*9}#|U`ID%7(MN?MgTuzUPqoF-AYS~s=^i_BTc0xA z2p#7P%iY6x)pZFwlkyiuHcn15!+Oc6o@8oD0mShZitVWUsBOAj<V+@}mHI}ahjy<D z;@N0s6+Iwd)}*p@M%}XhIG`U)dWm%Y6<RkJm#pmjep|3~y1hHpoJq)qp^^Ot*TE#Y z4ZfClt8#KreD3aue%iuj93vtr%Fgx}@sM*)Q(|&?kM!~45$Nf`!T6txdZI9rKF8x- zAjZdx(n=X?*_Te;b2pe0S^iTa63#)0B}isAbi8_o#w;hx#7&&`qPh?yE*BGJ*gGc? zn{CA!Uo(2#XS0Awsv93B2(?tr>+DG<O_#@aUw!YF|2oj4#iSDUPpjGK&)Wamey6tI zA~iQVQEJJ?OQR))W_ssJ#1zoPt+$4%CmJsdEm0SLKOWJ4wyj+zI7z%YKYavccjSMB zCv#imNQ?T)l3X-B5mz>MJ=Wc8c{2=kdBUo`sj!cpA<<J){gcS^*zu=sXZjIDajv+4 zJL6v)#W#1IbbC-)($*CXwOzg`Ub0-Z_P4T$R6`E*BOZH{<-?(t#>MNepv4(k90Ix^ z2g3ke>%|0EckRk>*)kSjw`@s{iO!9dx5UQ<5%s(QZg_WY|5y5UKLpczLeU8~cG3wc zH-Uq)yPbkSCzL&1KSjUw*__&T+Z_v|>9V?RB3>As%uGH|+j89Pr-p{s(^pTZ1-7#F z8?ioHwSHvDl3Qr&K}XcStfeK8J$Y7i^1y>Du6l0okDuq-r3WAS_4EIE;>O&wyYGBx zOLgzhcl_pO4?Rz&Q=Ke4{=+MFeCUFsm%i;wpIbKhj(<OX;oh6OzOeLzfBNBNzqsTl zfBB2c*4^6v2k&jMJ#XJ}(SJR-;@st5dSUsB9n18amwj>9vRn2(_|=Wq{^p3sw)JkA zxOXai_PX01f1Le|KRA2l@y-*cHr>0GeS(j@Va5KVPg!*2`*XQxk2tQla>qM9bpOEM zuaA0a#r|cdulxAAThIF7io=fn!`7kA*F1Z6yqb1$QWUJ8Xx*AC@4lxnwtMoh$0o~m zz4~rh*~#^cA6v`iKm5T=A)eeC+DUuEws`8EB%V%OJE07ZS(@ux+}_zKI%!$J+(+tr z1KjYCdSTH=XLoO<ot&+PR-qG_lh<@|M0>Q@>jYiGZ{gPNT)g3~yK`H+(aFZvCa05D z6`_;vc-YcTENgu2rmf$|sl^<Y*W-24-qOBA`mRhTd;e+0RiD4%N58CAfARSR5B})f zC)|CF4^3Bpy?@7pcUKzPNn3E$j+;OD)}z}Nf9V@nl`sDB|2VF?<g#~kJbmTRseiuz zCl@^VhwDD^+SmSk>5V-{?s)f;uU|HL{3o7jKkc!u{x^3#@vk@Ef6QmU`mIgB`PgIK zzdt?kxTJC0L%W}2&*|cs$CLZe$p&Ttk9}#yj@IQ{A8O7`U4~A=9q+j6{;OX9^FMj= zs;8D6aREBXow}mC^_1g=Hc$PWzH3!(!)N)>xlwdd{9NJu$G65)lh;t!#>>`5&1JQa ze!XY)oyRNoPg0ggY|DlBM6I0x3t`iGmMUPbucj5b9nr0$u3_do-~@EAb;mhMvTnY= z2=_DaH#gU}Y56s=vUU~dY?C`bpla$AuYmY#P~u**&gN3-Xt|HE<SycMVWk1?KWU<? zufs=<sjgc`Eve>mECprV>PS=PcE!DOV`J7@5Wm`9McbX#k!Snwe_M5I-CIY5--3>T zv7M~c9auLo#+`GiTy?f}7weYkw<(7d#35^8ONTFL8>{9z++HEPpm{IF;flgt+UWKz z!Wxhrj4tac_sLS_gy~mL7eCqdu+V3~wMb42SwbE7>ZxW_;HodGlQgQk6RxHcmP?CH z##HmpOeZ3UD9#I%>142U6uSjQCvKH5o15n71VwnA>|}3MY0Ql^B2|at?xtON7f1ZG z^mlbQov7-V(+Rf#Hsz(nI>ruHZ$_5)H|m6KeRq4EunxRhZF4%2_4B`7CxeuPuY9Cf zf~%BrNp-Y|o$YfZ$mt~2m}sD;6XkZx`69Sm?a7NyYUGj)pr(_OU*q1vp2PwE8q*fK z`8vVx8Q0dvXFBnh6_U}Tt0ndn{%_*m2fni6y7R1ibtRr2GX2!3+im{2q{y_Iu(piA zAzrV$^!(D62_Hw8mWP@3P78ndcsic!#7;<>WU^52@c^|T9YMPNhd6EF*ar7xf|EeT z2@@kQaU4&N8+H;rGaG_4pIIlL%&gNH%y>Rdmbc&Ese7NEn#S>NJfA(%y;XJU)TvW- z>fgPu&aL4dI$5HhsL|M2fhk>C_UHEY(8<#9Twf<Tzi1PvuWgTUs?5c>Jz9Jf!?{f8 zL}x2jkY_T8vs!Yqr;*qlIwhTuaOmW<YMtLcAp_LEvVL;F`pH+mLJdeKkf}u9StqSS z+RHzn6F2vpn5s%ArklQ<V<i&UB#(50&+wbR`lnjs`RLT>%lk<=c5y0`B{7@Cv*_fE zv5T>+pL6o0bD(HM7O`!Pee+A$-VV<V=)@?KXq8UJbgq}_q~xdYCSXm9PTaPOX((=6 zI^RsOoB_nxb@I7Q%8?6yq|^z0;&ko~wOXgfPb@B?lWo_=Yx_D$W7q#jot!at{pN47 z^mu%UEjI_q#m26I^BEbtUZ#^RW0!L+>7?gQZ5AW>8gj6y`v|M0rp_`X$hccRyC@RS z*@5+LeIM})qZ5-&P?oN8!QUb}0J)*Zp3!qAVhcM*U+~JP#FNkGvc_cLUF`$l`Zj;n znT5EYQ~DD6=P+VRh+U)GS=kg;VPp=8cH%Og%yzN1<n3RwC!8lP<L82T*1yTEXm`Df zG?dZk+)ZX1nQy-lJ4N18HV5*M&E)o$)3?~FI-0EbDW9uBD@?wWImuqyCr&m=*Hn6* zWV9W%vS%!s?g?H_yQwB?<;o@b)clI%tAW1L>h^=!3xdvdmf?k*UC54_s?L?2`^l$P ze&(W|yYxeRj7G1v@*B%^6&*j8OFnA8Z90)X<2i0(XJfW~b>T)?tqG59U(gwZu5}}4 z63VS?{;)Aj_Kjx$X!ed~C)u}Wtn3=GDZHF>8Y{fzX}6*1cm$Iddqh3qO+Z6^jOGo_ zX{4-_jfEo5bT=kC&Sy;Y=NBXOiDh^H`9*CM_r6=IJd*uluCVyt5>!XLsw~4da#p0m z*fqWeojb~M3;9hng4t@4??_E$zv)c-MeG<=hYjo;3-%e8=QQTGgowQMMhI@sUB68> zI*b%&4oMcvfOPSR3vHfZ0xDNlWlVB7Y`L84OY|$umD`psJdr52t|W%XtNCdw{`%7l zs*GQ)y_{yfZAuo|ZhUBLucp7}PMv<C8l_o3ePC?t7t(~q>5y3N3?1mXm5+Y(wagQT z7}XA8KQzn;-)QvyGR=9t-my=g!~#+oEHO>U-b;3Rty2ePv5f3tE;Q?Q55M@$Z_572 z?M`P?x}|g66>ny8V9e5dp$_Lgb$6Rvy5xNd8{GlT1v<Y_5<WN8a!2OJTWRhmRZ7Y% zbMoZr(;DDJ_gMYJ6!Y8l@(ZrFGXoJxvYZ8&u`gtjWz@u!(9S>JO7#CiCrpZ(C%4x9 zFy~&2qm1DOIbYAbOLp51p#|xL6NG!uof_NA8FIM20iBF*o3%PR%{}Ral6<b`exx_| z*j(?WmzeYa>F{Za-`ms)AO2A7kO3kQAvkaV8@avUIQkQJ_qN`*zNNXnw>zCpnPV?F zJ1fdcCu3$Awsc$mZt28((e-+wOFF54>f|`KbMtQe<WO0*v+Lx5bkf_@35KoKPX;Sw z(0{Q@{bUgLo&2xRPv*8he)KuePb$CZrV3n-meAi^Ys^d+`GUc=Ir_;MYaLuhX;+;` zIdcDbW2rsSvQj@eFhoBYS3{$n{fke5hL`%uHucqQw;g!@-#<CWxu!e=nPub>l<Fr# zJcp>?%;D~Kr}<T2v!AdyUs{@-b&t8nhg*j@^ZD-)_HIMR9@-g}Wj|40=<B4l()#FF z=g`X7hRSvJe$wlW*X_0DrcO?q9WJJTTdG7X3{GCma|CfnO8D$FC24YANhf>)#0H8j z#5^?i`^o0n+9J8$ma21ehfF6}FQSv@PVJ?iNGIC{bdukh`pFyKFxR`vbizk0KF89g zH+SezFUwdd&JDjHoqXdfHqH+9ZhIf~$tt+iiAFB#Cqvj2(@)R|{iOcwbIy^Can(<f zPOP7__>xG(1{qy$>ZIx?n>wKwt>@fWXKCt`bSpSZKS3u4q?6Nqozx<fvS(~F`DFby zm8;zqco(Zz%?T85c8%bNH}RF7;=S<c!l#1DKC+50?HpMMMM!x4C4RVuH@;Qacobi# zhZJAmhX-64KGWsZ?mPZlJutOroWE#k@@Ja!gq_Y~RNDS|jGrobJyG(yLsXl*i}Mp+ z=Q|GK%e;BBy;YW?w2$1BP3JOZ*~IKVa~qAO$>p+U;#b-;V%M1D{nUB6@+oWug0ElK z$(}LWxVP3?aUn+H3@SUu%`m@vM(i48-v}L*k?4}Mo*daFw(wSVl4hr<DAB75Nbe%? z8~yMmens&=VBz+c9`uBO2fZ&{bK)jm;ruhaGx>C~U)1@FhFiHKoul~g9-Q$Jn*W^e zvUe20P(?R(smNVk+B>>0m+57%D5&zPT<i~1#Dg;`!_Z0SaLv9Eau+{sww(DrUAffN z9X=56p1i~8+Z&}l<2xSo0rb$dpLU))$;U)gL99G4b5(tP2<G$susjN}rOCOknU@eU z+1+!W#=l|V$UWgL(gl{LPU-L|MdY5sH{m8bz(8COn)`y?6Na>eWaFrxt^$5R<ATq* z4xur~xeV3`h0-rBEmv@_FdM`aij`*o3`e-OStJ$mEN})gRN=~fd6K*=sb$(y9;(9y z7jRpV+aQ#JRPt;_HI2U5>``TSlOo^ry0@91QGN>}^~UcTpxU&IRI&NDxlIJ#Lj3e- zu3`kCyifX!WzDh+{-y?Zu*=rfz3ObI)}8n)Czhw0|5^NlkPpc0uBW#te0qDW$Yfk- zWLEPCt{)u+fbI7dles`d;4g8~kEjXqP`b%+s<S{9+*pP!dEei!n$Sn_SOR38+sh&4 z*2kX_tni`okn>u!z$U7U^SIMo!FjF{OdN$MS1DSjigO?B`>OhjhRL}nUxAx^{A?{W zEhV))>T3L}_zkHD^Hnpd!jWI2wm^T_-h#D2#l@FVrKo2MZH+1^N+&ly6*EI_32dd- z{+LY&cwdot(&#q(TuL^T9NojF*HQlh?vgd8$bi@P`<W+{z{}wW^aj70XL#4RRExg6 za15$Ah-JaSdLuL@qO>6?vKZiy@p_?!DC1?C!Z^ZHi4A<|$`MknzaLq~6(A72jL1vu zrsMJI8W_@zMJD6`td;%V8&1?Ir^JzaUz4etiuM3%fRA}2^d28<HuF_kH$#Dq9K2ir zqlPAY<PQkR+0=v1j8l!Pt~KuSmo+V&H*#+7T;c=nyF51_w>z~Q#l4Y73lF}`2G4hD zeYt7vXo`=d%YVcCp61pe1RWLOh09f(73N##)`c|5y&{lC;j+%eL;<PBpenVw7Nrus zt(4LbC8eCrUfPU~IijTvig~%|4hbZM>Va9%C&?0j;}f;>Vohw4cu6D}XxnBXPHd4V zELyi(s_Y^vK&hs=$HhjNw6K=RN~+V81eqI#URA0R=Ydj)A$$3=Vy)4gXd{&mn&aYP zF`skvL)w1;QK9`5tW?4b{t#ktMWlKl=AT!9Zi7uis+DX<2q<`e)WnE)(Q5I7&_t1f zmK=*Y&bR`Z8j|Y_-^1_oak!1VuvLUs1e6&T2JaBQSb)9=cz2MFu)f5L2nFm4l}aHz zuE}LFRz8@<maAB4pp1y%g5EZ&-6>CPpHPa7Z6U>Y+Hs2M<8W-mR;~D0s(C2M$vC6I zH5<|bN0PeCJ5L0VWYFdq=NTcuw7FC>ERV>zAU}4?`b3{wNb8|tlNtT+?QnizhA6r` zHV~T5;^y=55pB_M&PN^?yTh&neaGD)Hsj#IBDrc4xXw3`)URcMM<-XT6{1qKzOY?D zFweE?_vj(wsJ+F$h?97W6*w)sn>e9onanfEv=&+&Dhp#-H5x#_h0bKtDiPAx$^v`# ziM14MclhQx{%Go8Hj_MrjR7tYgE`LKi|vnVOvY@vC`L)hCgbRqht=FX+&T-<l7^UD z>8Kyiei)#^2IvAI6QIi6NBsRfsu+uxG^uT%8tP!dgd@92(2Df<0(U{MdvV=#bOCcG zveM}o4ZViW&1A?PKo>F3HA3CI_{FxoFtspuwP$zG1^ZT=2hrf=KThuaGEPM{V}4!@ z{Q;h2vzmmGO%{7`_#^x-ZlfXA0%kZcnY2XSoAfjauV$vg2kiQkK;h(s$`wNS4?-EH zj|^f}4DS-o+eqacZ2|@=-rAlHtsxKO^X~2Sd^-XTD|N)2#Jj+sAS+!9lo)Z;Ho#3u z%KTkHOyaJ%38#KK4r6eEn*gN=6gD-akkKej;kiY{!P5r<0#%7al9r1b&J*DnC|%Px zJ-yOjv!dj|aq&}xo5n*0X0Ta|P2n%5g(EUI6@1F6Yq}8adCYBKZlZiG4@iikBQ3}s zfZ3cOxhur1ujFx=1Q9g6?g2S-V{?OofVKd~NY->()t5D^vI!Gy1;*6?CTMW5oD7|K zl@V=PV2fEwQ?m`0@SaaqF++s@y`_sPf7w**VU&R)f=D~Q@zY@I6sr?*ca4cjfcSzz zV;wh|Ah5IAmoT&p?v^>M>#A)gEx!Rx9>_Jav#Tt24hlW9-zzfffnI~be7Cs^1;x*_ zzo?2nw>Gp0{fZbvugrJ+r0!n(^D%QKJ6TQ9r(B~WD*aYMd=w4)rQ2k14^8t@Wb#HP zHq(-enRrpEjRAiNic~{ZeG9+cIqqU8h+J91xO{>AT`;u<)!+~>BBjIb!b8(BTL$lm z4MDgCcg<9AVZE((wh1B-kXbNKIr)1@r+xxh1GJq(Obo7w)NUXaQ1lGalw*NVdf;OG zgyEC5#TNU{{b$)ktx+Vn5$}tr^7wr13c{GRTJFq$3}wOP-WkxpHt6kQd!JiYZ;DwF zXVvc}vruzGVOANCHbk$XIC2etn9_pbnehotHbF{0O1_?|ey{(JB+z;dVLbOtwTR}2 zDIRnbpC$q!I|Xq8?`rS8Qyy{`kBk(XAl(EmLwXB%Yy()MfPn?daQP?j(Z!2~>q0%= ziqH|Yzi4r1L@%)ap<87Iqx3b#OH~5oT$wVpkc%{%2zFQ{vK&(nA!J+mu9gSL*^*R? zh&B-dIZ)3*PY!S+s2>zn5+Fv>A?9Z}qQPY```mDh9qf;$T%N5rKGB8Kf^-p}MTEoL zrEeCECQl%Ona&TnkVl_));WJKxRt5;3XRVP4-rd}CzZVZlk^bh^^0O1Q9A{=TJsZb zU6X4nO7x4cb1S#Izo3+n5g=Fts^>E~<B6jnKCHw#vyNxOriqZzI2FSwY3sBNJRP)7 z4$`QCa3^0t^c<KIV7uVFcP@Zr3F5U1j*YkuIjEcrh?7}kh)vQ&IxhVdBJXRoL<R*C z8u++gb9=nX>N;74#m(V37#<<4oM6@t&clD1_E0#OGImiBU>r+4u_#D55&}#-Ey3^7 z*voIw5sI?bi6Yv$2QbBqjVUUF{2(P080AHd<n)>ktS;n{u*&~?OsPeVk`yqE(!A#N zN(V$CP2gcd-d)tmV|=!DC$fc+=+-SRHoPBMY@FvI@Q@iy<xg&i72`vPR+Ave0Vx-W z)LhIBo&dFLkgsR4^omCM?LszN{{-f~7%)cn$%XtTH+%)DJ(>!}vNpbJBn;iM?mXef zLUwJoJq^Xig59<1j?2`yz$!R-hj8fDwV9O^CZ|}qoEp)yLeZ%WDT0Az9jODpb%JEP zAbw0XDoieKDoOHDZxJrjF7tk!h?RQGd43e2&U~FV5#vy2ZDoxS=nnQv(*|5^B2Ldo zR}4+Li!z@@28dl56Qzs(nl|SIT~0P{l;RlGa$lQT578<QF=sM3e`(tS_QJ8&VJKHv zBdoyl=2lJ2WozIY!^AaaROmE9jM7GdXe)R7C`up=m^H2v7_*c>cjtc7k``{AL<E<) zNKog;e!i8!mSOjUli!z*Wiz9n=(OB==CPqDyrYDdnYd(ED222}tvEvcsyDRjB5TY- zNFk)HN4)c{@#nd%sVlq^QyChR4RTzP0*VQ$1A0FVi*eL|1|!lugP`MlF>ii1))?~^ z|B&595nZISG5qqk=6<4J+x5&!G1f)erycWTv3-|^ZpRhF*!d~}>+B+67epT`I*4Ji zQKd9OYu7A#oDNt<;8vZzHS!s#1$AnfMZv&umKaI-M^-^Bv@ClSj=<~k1#3W`vR8rq zFrxrDQolYdx~PRc>}vVMT?m{Q!-%9?z&QF%R3r)VkTX@g1=hEOJhK%dC}+n6R>nmW zS|NPSc;m`j3E)O7N;ps98s~@s5BEZBLYp<>c_S{=x)~!Kk=`u?=lIv`D?N7Q*Mpu( z+2F9hyk$&kZ>;5kw=|^D2#IKuEc0%NZupJRXD~LwBpai65nU~~;i+P~N9HJUx8b^- zB)TVGpiqcR*Ku;HlICnYyh>=45rjpXt?Ig}yTAfTah@Nsm`04Vs9aPP&;uFp0iLQ6 z(&H<vq2NJ;R8+YM7_h=H51Q1#LbF1$hdxGeHwZ3|JZa)szO7M;2f1Lu>y9u%0_C|U zOs~o5yftA>&d?0d5G1|FtRp%TD-Vzb>ImtEGf#j<2T|c=Q%K8V34z%ORZQpu4k4B7 zSE+yq6USHtoclo@Fx3Vyt!i|q#$}vUND=x?^XN2#Tw^+r4e_l9m}Bh;7Je>r333Fe znHt<DA`Kngc}a~pawv+o0Deh;Q^Kf+xIjjg7;k<MTas6i)dUQcqy85ZMH*QU3G#Ut z%|oec3*+YHW-YA@<UV<(XrB_I593tKRv;V#C@#D};2QCZ@*3n>x#+S`fuK{Oe21#b zc;`Ve9D<099wmAOQ{k<C_lOK5PH)(m{60*Uc`_aehPc+zC^86^xvktlbztnqsQ46p zL4fIvo27YAdg;S?AE$%{2$<`}d?F3YDV*+%5FVB;^QOv#RIT!_&Zm}A1nHvY3y2~< z=hj`fz3#lsb%{u8n{`i4C@F*Ayog{JhP=571#wJ#A)*`T&VSTxP%WYotRurFeuD=j z-ojXnZqYa{%A>B>$G^<u6DFDy$TERtj7ZV)2+^=<(v>$xVRi-2x5N?pSdm9G3gjc5 z4y87&MxH+~bW|nW>lRRTaWghS?~B1^L*G_JSoAB4i>q#w*|~ndp0u(B`r!!q|J%ZK zP+k1U#~@rTW}r5}j7fF1%dL#$?pM&VTZhhEaim(*?fH7bc`=Sq&5g);*qF+UV<R** z;a2O#dK8_RH3jp9ph3cF&BAt55xMxoV<7vf%3q=eoG!wSNW~>k=|$l;tG>&*kzL{= z{b>!>6<y^rU~GXkx=c_rsq*Z0_0<AvC*U!fV-?YwbkU1f>PI1Xi~$kZVfC>=^}6^( zd&Rp3_KYi}Y15e2BQIIonY`YIYv6a$-?tZ~ZpPKZJGsNnd*|N02LqfU7Fia%kuTl3 zJKXSOoX+ycW)<(#+gYfQWw_zn*}bvdVok>JZIRw)t=Ed5xps^zE^q6Jhhk=<8Ba|+ z)P9F!jhT3VOmr)f342CmRxn~xjs&<KU*L*d31a2RDo@`9Lq0Vge4X}9_5qHJig`$g z=(^3$l{++^PO<K48}CS5%({u9T3{*%3dG=%A06SbE{k`L(6D3PeTqRyCCxQCIP4zP z;U_b18p%dj9t|V*r>1;yrz_lepv-=KW4Lw^PofXGvG5;9_Kd8%CyUxF-}s56ZRW^> z?}br)4^72}zSr%4=VfmCocdLDKmFEPV>V~B=9uQVcptoC+lBKdw#SbhV+3@Ux%>*! z8pzyy`^xG^Vz#z}MO&lo)*=yn1LYAKK!H}>bR2bES5V`%{GOu0hZvOdqIX|;5f+pC z-5Qku9S6eibQkS%6V1+k7N<d69^mD0MAeT@FT@4ug!NHwIwH29F}^a4xK+B5(v;9W zsIy!{y9$rb@Lh)WjrNW&)z<Uc&V~qem3QnJHBX=slNKz+si5oHSvKBS<&8qtXxFBh zjNRQV7*+Oea9x&2@!pj?$MS~T<5pJPuEwt6_0=a@56lPmx%>XZ>L_1|V*p!WIF7sS z-}%lpzBO{RSeRMbIqcENZ{Ovb_n^)_U%Jfg5BUw#tBo7(C-2(F-g&{7`Rw5Quhx!R z*^hrIK6E)X^3ckC`_b&1tpJGpZ~x?;U&SW57O%~lZh3}p+xS(rtW`dI$N*kjd4LxX zvDRK){RHpf8fdw`p84yt+xM)7D>+Ygb!w_x%X$9Ub&2=RPiCyx_+DAGx{1tI1?||X z1JtG(M#da=wn&yCys4?c0fzYT)D<h+j;^jg(sgV1CM++E-oN_Dlaud$B88(n_Wspx zes%qip4jo9>W|!cc;~%sRXf+YN4e9InFVSY_K0k6vIm2;)%wwWwd)q!@lFid+wHrL z{o(4&?`NYs+8128FJGL$`{>ct+OrQ#-m&buw`b?HKmM@~UGnzD5B$ud|LnxX$Hy<a z_}9Pu#2^0YjbD4>@!xsr{*V6a-~R0*|KaOLKC|yLE8jkT{MKjgc;=bMA6~fpwp)Mv zr<nC_zUxEHBenfAd;YJN{>iOBdg*~TJpSfeK7Z?TKlnfO4qWo(U*2)u6E7V<eo5B8 z!#)4kAN%}2|K-Og|Kg$hU%LE?H@&sgN%q|H|MK&{aQ8<)dian3-uqW?{=vhmk3ND< zUNyS<$S1Myd)4US2Ogna{CxY@PW;x<^S1r+#n;_lKfPnmb>knu?ep(>>udk%KV1Ed zPj_o0ue$r(U%vGRuDs;xHy-=PtKWRqzk4H6MGtiAx33lTNB+g-H*9<<#%?^^X?J4u zM2(zx&rx0(wb4OvzUftu20X*oc|I9AwpyF`aBU=(Xm{+-JAe3Wt@%s}qm#c_z4_G- z{r<hUk8D5uA@y$4N!EQtZ|t*rH(EbAmwrN%s2y9WYwXI#<B^d&huZBS>ExWl+4wKi z-!xLY!QFj~Wzw<3lXu)0yB&8<`@{DidFwel7xsMW#EDNH-*x<sN5A~9_CNTif4cFz zfAZ3iZFhfe?Z~tL^~m>s@uBvg9KYn&4~;zY^mBi7&Fc@`_K#-B>VN%(pLq8XECs&* z|GxE|w=VvZ18;r&AKdc!&(|BDJO2Frf3fS5#b^HJ{^Q$6y6yI#Jpb(P{OZR){L%mR zv43%E{iomjvsMDel0Sa_S3iGb^`k4l_{TqR&CH)&eRy?keYKX4l{y(4z5CHCS4TVd z96s`EpZ}fOc^{ityuJQe`boQY+e`0x)51^oR)6c$M`|Nux7RPe<gGLBxq8ozYdYWD z`OuTkL~7`P?rJ=GUj68!moMDApbWEoxLG()^^Lr$ILa7GKPdv$?7{VcQ5QIKPpQI# zxGG$^{p8=JCv6xWuXeW%ExHStorcO>dhV(vneVXyo<G+yraC)gY&`87UYctSX&*VJ zT~mte^-etIV()wg<^Sb{7yiqCInevp&z<a@I)$AuJ9d}mXowuOC_BZuZ3k|XJtMn# z56t~!uXkJTM-FVm-0r|_!U^VXI|;+NL++~j6kExc-1W9kn4P4uK^q>vYF08xGR&OL zfT_z`E$9rp+i{lB(rhXN)JT$S=kS&1F_x+A92#~NkEOcTcGlgSjM+{5J<tgoZ!3E* z?TOv8cizfFk<ES)ov3)6xU;ylMJL;~=w#k#q?5hqMEiNSInJG<zR*dsBk0L~PC7Z~ zmtJ_`Tc{%G1X?!azmG~L_BPqX4d?{xhoqC|pYPqac|POZZD!Zl+jiA~N+;SU+(T5F z(8CX3HEU&>d;8npjzr)GU}~yWupt?`UT^mjXB#c;?dwD$NEeDbyH56&I^j$q(@A2@ zhH~)KDAEsP1)K7(LRR6*E%C|LK{~+%0Kih<tUAH00Q<!`=|nQR7dY2(nB)Gce0o>) z<}mXu`$>A>(n&shpEH{Wk{>#ClDs*r(R6~zi1<9uQ!6EwPW}>%0Q-h?wk7yVCziy$ z_VLFb{{|F)*?a!^m#}NBiiW0O#r-O56tL>(T`wDl@y9?R!HM2gSU{-Lpc4p_{if0q zSd>nz$#53VZq6{;#z~S@nM5KhMnO7{krW0?C*&MBw6|{q*c;FZZQ*;Mla!P0XIKdJ zBbK(wUQs#`^f25xu|+4RuX2MrNrM+f7<<lagXkcK7`xOUAGWb;Ztk(i;PX7c{r=|{ zUraiAj?qhFSN86*-b$Uk;SHruKK}UQME8FDz#DpJ)5+<p>W7pEI+-<{*x2QkuG-rl zyQtw!og6yNqk(HZ)x)TWcT<OUpE$r7rn7yWplZ{JRDO1yjFmc}-3^RgI{(mPFDSc3 z=F5FmC02HfXND79y`n2x>0>~0^OBZX-kEJ5_KP~(ut|95O{IM!c8wnqz6$f~_7kiR z3-J}6@GZ#k<bZ8qvS&>B$ga`CyhXZ!wM1aNKgI%lm-5aWFY$3bHj|SR*wR^<_zGdS zI9w04jnQuHUASN3?e;Dnv-dH{n9O^+wProk`}nd<u5ycRai1rXR(W0{Wmw7FB%OKU zBV^aFgOuO*?UO4T(3YE6QQaDSqqeW<HuUCh3d2RdoM-Npq@Us{VZ>b7Gh%zU^Mg;x z-f*g_Yp1a67%S>3EO*z<mwxD=E6-=d-jJp6glzako9rD&C$Q1`2MTk>;RQ34U-paV z$i~p@Cr4!iXkqLduikZYaa4AYL%a}Cc!sZv-cs2XR`!gz#-|Lc?g8|F-U5E*t>1M8 z4bD-V)ueuYtM-n@vik^jjuvsFi)t?pJH%b*&`u1=ZKxQ@MOWEMZl24ivS|-Zvhl3& zJfK+F8nf|+U3|~D#J5z2U5`vyU8?SGcJV#e7Vc7=!?;jPU8-{#)eai&PLknb^9g&} z#fZC50#~5<0l-#BV~foR3SSH+*~=>gaNafDmX6C8AnZ!$SGq3_&1oIgpTjD;sXE7K zzZ|WPPH4^tG_x<AXpLR(#>ZW6an{yA$avLNirpp~Dh7+k9-I2L-rIXajBePvC-|!u zUwm=s{J%coq2A{5o;xxAzNOx)T>m=}x4qDyw1?i+!)#IGS_yLp7~a7%Q~~88s)`(N zi*pvqPqvk;AzDl(i_A9eT=5AatLz?ShdI=mB{tRVRGrhk4-F$XVrev^&h^h3xTMu} z29!E^tgi1k4CrL;vAV3YD$se?GRvInJ%>(oeP=jO>BQC~o3w}O7oI>Tece|vZ$D}4 zFsAO4rjtWs*X!Kr-XdqhL4(}0v!X>Od!>^Ty{X61$tj-g`Y-8BCqpW(bfQ9!zfUEu zzDdwCo%DJ?(Sui6Zt-MxuS2bA!9cjP!8gCP_yRha>PaW?mrnStfE<!elHDU~G@9<I z*6ab%h+^tM9Yfb)<Q~)s`ZS%0;tVKddyI7uB{3eNxVZP&0oj&T)Jt=ZNhg?KKDQU0 zaD8Vu@Ir5ib(D+>Hx)~gLkBMG4KEGqB-zZpy{B~;jrXOKZ{h{wXAbSXzW4CMe6M4# z)<AEk8|}3``Oe2)ng(@;P7bNYw==#(6XvgbFZ6~?C&Q+bZ=CqXR-K5Pl!v5~0Z8kv zqz~N(qPBRy`K<%!<j|qNd5h`frS~kVPg@-Y5mvUSEXU_gap)r`<8=u0)!v~O&!Q8h zIt!FK8D|}2t*a!t_$2*8PaP$ole)hCv9xV`FGCX7cLrLN>EukRsRJCNy|iUWGo8JC zPU|qJvo~2kY3;rKG%Ks#fmyAAq?0suxh0+3xNRF_mvjQ{g+IGRC+BlqDK(*fGHyCi z-`1K~e?)L!(%3aHMkSp{TYuZwb->21A?c)*W&0O@6CG{pWOMA|vm%G~suz(VTC8&p z>Y*3UGIlBU<!zuAL1)GJ$+h3+6n-?>FHX$XRzn%jG@2C;mh`jiIUwvls`DFhUuKsG zSHUwWJx2ky39+NQOsC%fSuz#d%Y3VIBJINuyykynJrM6k{?y!Z64%123YRX+mxZs? z_d#4v@Jf~9`gVzpines`t%os_nKfAHl87>t@h%U9fs${9uUwZ0B3VmRe9t4m%j2vW z%)J*!{9LnX{3|=gCz|i#&3;x)ik|pq_Gupj`8F2~-!H+visw^qxG<>f4~I^sFy}7T zd<0E=AUa>!#P0*|zGn7~yvMm$+a~31;Ul|7rFR{RkBZ+1U6~I%Gr$usdrJFVD*k}n zjm|rFdxfhr7hSxRM1lvsIenMq??n}BgPxW1iXpEjk<Kl7k(86|d*wyY@ehb!Lg zl3m5O!aq18S$XT<k0%6Nnw%$xXYjC%t*|obe8#USCO&9x7VhxN1nXb<w6NGScFnEd zbB7Q3YX8cDXSpeM3wWQq^%}o=f@MwZ5+i$ywuMfC$hBd2`4;fWU5>g7B9}BGUrh6& z%W*d>yn$$eIB)k3F={5lC5n_z=|xrN`jY>WYi#=?Qg;Y#!jpvgeh7$M$Oub(Jc1Bd z5C#sx+|+^;PFF0kDbx>?9&yu^AXJ{SxZZG^w3{U0Sn8L2mP@>+pqo%MgHi_fHtu?9 zoQ2?)g(&EqT!O+4<wY)L`o7(e50uvk_#s3oJv6!9MeVEKP!xdYP$pajicNp{Bsq{y zk)9unJg-I@A|nhG!JLdc{o9E;Bg*?p56aKV&tItj7$ITo4^QIA4x~&n@tYqwVXsh( zz-Q(#ZWtnk3^j05U2MXTc|~6G*o>lt2{vOk>tYjkzUP5Sq6Fm1dCsJS?tR?VD9;99 ztu{tE!;1J)6^4{wPh**WehN>OsZ%Rtk47H?dsY-sTNLQGZ_-8=Vj&)zTs`}Knur#` zB~W~-nb0q^F$0;yf@<WdICRaY6)-fM&v<L{l{6|x`YBo2=iCx!N)d?~0OhKDlRFUc zJ@Z-_N^Txk7dUl_@xi#N^okUTH)r4mf^vDqN6|#%GwiZJ(qMcc!Bttjh2>`MjTs0Y z2(OR>WG=X7fGUJC>~R~=>O9gZJ<lev?0jQ;WaF`t8Qu>_rb0{_cDuWxfvE^#UFS!T z7yqIOOe*~Wh+(ez$gL4nh6<3!VwGN`XM*n|Lf`k@dCtOYFati}Z9+Sy8yOIc!gYvC z)f6p<;IvDI{Th>@9VNCPIv=S*wszSlL3yIfI(omLTFLIq*Qgu46TnhJ-(;MJq4R+e zEbZ6~xT<p=amj9)*ywIx0DQoWcaS3zk>A9P$qB}j(Zzsn#>p+cEYl*4Uqkb9JIjps zUesXuXQv4|MpF%hnWtXK$rn7c{RR>TcQ8Ip7&5@SWfvn4Yn5`q1?pm2krmYPs8Sj0 zR9OT9<Jq#Ijm&fRH7k+bEG9+gMxa}+tPH&P5MZwWo}$8P6X7~9pi(&CSmM4oKBkzB za<U_osdx^VQ;glWXJEy@P>c4AG|SLPcexAmKCpdhcq&wOu52DBvdyLXV3$?!CQ{KD zbq{GPNni_~mWx1EM0U~h3Nhp3UXAtO+<)LA^YNP72wgPI>nJww&yz-SZIB8vRCJU> zePk}Iu#X`ocD%OwPb3#jOl|=Ie@dwgqx0O=I-=abJ?wN{w-T&s3LzcgMj7A1od*e> z0g)<s2_m-y+@rjJD7XYHZi5!M)PKgd0z^@W-5R*#jT&Zo<rZB?IGGVS!LasI@-&Fr z{=9i(Mfm}>FTn+ln&<qh?J+tZ!(!Yg6C&-Nsdx1jt?<)bE0JGB{-`9nr2#v*g#`jj zjj2o9LNY{=1m0{ziEX3^>=|%j-32VHr`<kcYnFUI;6;!^1z<y+TUq0mY3<{3NE3g^ z$9Dwn5T@ORwPjUQhy-=COmKG=E%{xNMntNQI;0+k?B()Vnwc7Yp%x|r`EX`Ov8GLn zA*h?j#f)z%Wci|`#h$3S?3%EFi<6ZR{OFz*QcYSIsREfR)X3J95%HNVQQOX^B;`UA z66ZPTp)U24dsv(n#<fOb<UM~aD1cCM)WO9`Z@Y!c;fp(}6x!^d>c#agTUJ3e+98RM z-mBY$On$0KbGyPJL~&>oT}2Sq6ch1*Q)>laQ^;7c$T<tc-9S1p+o?5hfoQ+xYpAji zWsLy~){IjHTSq(<v;x(Pl^YJzG@!SFAe~3*2rA$o7Ap}?G`yP#L_v{hfb_+#%;O4( zU!WbSf#R(oikV$~q!bKC54wbIBBE#&MB+PKLB$iWwh8JbxobMtabe00$2>OOq>nMa zi<ZG7G_jEsJ78@}B-ywnwp(uZW!+%`AVZ*pt{5Xw(A;9gBjgyBlO|{=v1qmp=|;{i z7mbyX487Abp&3mL^a*jLKO4{}IjygN0`1Nx94R&EMyoD7!DYlg&HOb~<BSvU!By7e zIebWs##_p?@VP`*o}yAE55sFFg34HZjfkr$G+TuJUG#fZIiCg*m$u?qZ$n7Pn+Psn z7gn-s9jBUB^(xPPy@LsA;(*2x?vdLfR|x7=28D~!xlgu~!!-OgF8Gv%=JBE!M&?4O z5;oKm6eafDt!<?C9AzR9*L+TqRiRCc6N>F%z37V6!#LfT!9S83ivuPP?|CB1L;(_v zQU++rBZ8QSuAAqL^_VweL9A%99R1*Nd2M#x<GLX#vw?jVr&xGAz6;LYiovOkDFb`x za?VnYDgAkkf^UHfB474)ai#YDS`oV|E{4c+RaohS=r*E@9p`VP>$3qhVERZm<>#(I zB2OY6of1a5WyPfg>$P?e!@|Xg=(Vv(8qLz?5@T?#^bmwcmO#%SIu#mZ+vUCpPrBI! z7nWU4dx+5!?I)?@81nGhI30E=g<6xvXGE(m2mqRdAZO;3-$P_ib1`hVcES5_+M&nr z-aFT&*+eL|Q#xja#SF0k=}7zoj_bn3zsFiw46Z2@T$ROj8o1qdsx)hCFZ?V51oxyT z-gFRUN1ey(u==sdX)JctkUXijPxw&q1%!5hO)*765*@t~1^y6u7c~Y?gr*;cnGl9a z&}qc$;_I}$-f%0-CmUIzB-3OSsY~Qpr1duL1Q#V5Fsb&+U!Z*RZVJqp=;%w7C6E;{ z2{d@9d=!2my5L!}1h!nlDN^)6)rNVOd9h_@WtY-+C#F@qe4oL&;Ml)C@`xTG*<4o) zzmAzbcrjD%2sj_~DRU*xf*b=+>0D>F?#ALmr-L~TEvTM*m&b#7u^!fI@eZolgV^ql zYT0``$y5kI-6ITR|I{Zu0#12%LnOQTDL)yDh_%C1ZK^nmXx~TM5j`b99tt0=i+CDt z?nnK7wOP_$UVEB`6>9guBhoZP-tZIae#j<f*24d@F!?S@dT*HE9cQdvQDcUx#n-s{ zuBq-M%XT2TPDlGJT{d2yaofRNNsgKYfwo)sZk7_^4>LY8gVL)U|1SD5s{JlRL~#*B z1wlM-k2tK|wP()bRkh~tf=SFHUb>lE#}((?P5XL{5;4UMO*L{aBRBGhb+(%--8vTx z=&$kswic4hm63lDVP}I9RTN`vD$ELCrwA}lyhxM*zgpX_h+ELta6{`|E{l#mwd+>T z1(x{*=;-d)pk72rkfw!qxK=zpzO&6Tk%XRM$>xkm&Cj~rxfo(b?tH>SW#ue*5p6GG z62%P>23~s%v8Vw;Vw|8$!z<tc7qE<1(eS<#oxdkCc*R;*Ke_5N9K+shT%mxTy40Bn z(4h@_o4}{K*1hfvm%a^_5h3o*1ulG%c<-hHI!rNPUo8*sb=z-PUS4*~G%L5u7r{-w zZjpioAK=@#!38rFhJlzxJ@%r=UAbZ@6tFxS3i7CjI~i+t1sPRbX$75F&z`2oZLCl^ ze*huT%nIA_b&*sspAmo1P5J1eFkLsqJp{7afMqtD1kH*zwG<;2+6`;LyL#ly7A<xZ z6JN}%FECK@-T}E9#YE)1>-fK`e+=;^9K*j2M)dmF$S3p)h)hhh3we%@u2n30^2=#J zOf@be(`*Vv<kKbkXvsdJ==)(;KG8(ZNIuyN1I~i`^Voq8^GR&7H8>xhgmdHyrAF4M zCSg?AT>NO;Iky}RCc#iZ_UM3nWg6L(kGuCCZ)SfnxaG;%Zo1{sxI$)bG0KfM#&*qb zkil%^dBzetlxOos84`mAx#g4>&CZA{GBCAtun{sS3pe8B7R8jp3^pBZ%+i-JTITaf zI5MCQDuEv@BtlJ6kBA)Fg0@LMH*wq>!CGxQ7~&zkgK)Gfl@?iw!dj3df?Sc1_JPWF z<Npzvp&S7C8ta@663jbGY=d*;L3c$s*^Th7aRbs_d<)m|@MLE;HPf$}BF&j<Cn7%^ z5W!j`TGS+?JWp|;?janbTcIjcqrvXzHg`et<<qr1J169U#A_}a8X?UDc%@-Hl|Ujh zV5!D4_Q;C6C{QQxCWhU;HF|B#_}GH#a#VAkrd5cmR8X~P$hMy4{c$Z{@QAWp<lnEO z^QhJ_Mzi5!0Zx&0uvAI#naB~YGezQMcl_B3l(^gqwhpPm0(l1nNr?yu@d8<Dd>R0_ z0y(`xO(=-K)4Yw5nLd(~l^=CeHWX_WBw3`!HgHvDZ!T%^cvTQyaw$gfM+;iBLq6W~ zrX1-VWt7CxL8?q_vyEcGDIYRy1jw0}V#~X1+U5LgG+waRKkMg4yaAao(O44{FZeeo z3J?GK5X3@+5!0?8CyY6|Vrgje(=$}7HFd%vkI<W7z7QFP3{<*{GoaNeH5|?IzGRBL zFm!U)s_e7mO9{2sAThZF=x}r`Y8Vxm8gOAAsS!7`EX-;tI+N0G&2ao#YRW_iJc(G< zP9-H5Q=%<m<b4eumtVj$m-;>RFNQ7;2!+E?9^_G0s<{g+kcS+1()`v8j99_#$Qf*D z(;_Lx4jR6#5@0IzIlY8Pic^3G;cL8ew<`wMeJyum(ebT|c{M5IE5U}TDuDYcl}3fu z6Ixs(EnfxV7OZl-n|F*`HS%;Wiq6xFrX-o8$_dt{@OcG|i_0db;X}wXNP4+i!v&Xz zVfr)(&*%j^D}i?z)saytK|F#JgaC$U^(yW&#aPqwb+sv@+NQly!}U;-i$<hyfd^3q zD-wp-;EBkJ(DVVxmOCR6EF`(sA}&B4#%6qx&x5K*dO49i+yoKP*+VWT=(eDnAdq}g z9RTmVIr5QB^5;2&MZvRJEmLAFxP;GXrlxi7{{4=_(qbr@wWDrQ_!5k=3T(Qu|27O< z<m&1sAj?IZNd75pWC^Yay&?e%X#OZ6Mm)1&gPt2R=L@&4Fqgq5U8;rlYO6&Bx=RtB zb!uIuQGu9D)YE92IUd00GF24RUL#aAf4UKqv%H1Ku$gETGigP_lXp^5hcJFKDZEFN z39r9XdUV6+gBTsKyge(RRUu0t@{@QYr??4JmdI6Tfe6S6xHxnI0UpGXW1s{j#L6@1 z=G|g2=oGTPU1H0HytM%y_zX+Ic6FV5Y6Km1X;k$0kQd#K+qYh(!a?4Ed^)$0;Y8A_ z3X?KLg`O0!>6T$N;Gb+|Zq=eF0GWtL%ap90Wpd?V!Ll4|9^CP-;|grSiBbRwTQahC zw|kTDR0bUrTGZa)GhGugsx`$YuoY!WrIuXe;(iz{!be#sJ#?P&cN|ysac}fQ+}Y2D zN+(|^J@IFU=(a?u5@+B=Mc`Xx!bqbl4wVz-2=KD7iFAW5E~_e}yo_^;$M|+l<IU@Q zw9ifZKco(e<E+dn$$96Qs;J!tFFEyCKf&9u*lp$$!+v<pO>~Qyf^rr!brvzp!<W#$ z&t*(I%A@ujtN@rkJaX|#k+|??2AzwEr@$^29qzenaVHevzz213_y>;Gu66l!%uN@; zpxt&$c3$JXfrsqdc8xJ+50PgH=vN)JU7L6+uZNijDCLIp_fmVSYis#%;|khHBeplz zVtqsHE861-uisw7>)Jcoi?ye(bV%A}UPQF4@qnv+@*G6qMH7$RUF8yWI~LWFkcUs{ zt<^AI?|_f+(YgzDHL$#2qpbD`D<zN)9KTAWZtFU4Xhib4&PO-#K-q^`#ssGaYVj30 z1u-y&NM0;Tdw~*@49KyS^ZuY4AwRd;QWMT-;p2QeXS`NhcP{W?>sB=>2o&FlD{cz6 z>DCH<Nt`@?B3>}IKa$hs`)p0;+?%ll%<9E@&F!caC)`u2rs?|H)T(%N7>CG~_Ibrv zfjiO}Il?3v{c4W!4b9!1|KoY5vyyd1l;F<oJC!KE>uZ<kxp3^pRo=!CJAFUJjBDNB zJJRWBfjI2wqkDKHC5|rYF6-o<xZN+t+q00nX3ekP9oOj!vADa_SR)?$Mks5jCxOr7 zmBM3#y{@v(ClS0OkqUu@B-rj7Q{`$#v5w7r>|!8>3O*Mp;+N`mxM(db8eY;IT|@eI zHlltq#H&7jRJd$pX3wh{KYjm2Z#{kRqVCa~XP%93TrG}&(!IVB?|%L3e>T5#l<GUN zf26)^{Mcyyo5%dK?PKq+KQio>p1J+l(Iemg>;rCFF>_vitv|Tq_`fgy$@kBG;F_b) zj{V@r5AS*Pj$iqQm;c<;<D-q&fAQM4HnJVBt-tl6k=p3F-A}H%9eYOpqV-fh`Frh8 zt*%WS8Ep(5?#LW6Z|5I7a_dFsJkuUMetg@JyY6bYIYaTAKNO$2jvlvk{P^neJHS~n zxgGh?&id^m+3mmZU%zod{q1}H`A@CZzjn?;_ht{@f8;}JcO5(Wts_T1^x?x#Jo6~{ z?R&DtZtQe(?A<^A@%$VA=2Oo+bN64}oFCpd`oN=iuYU5*+W5Vnx_kAJ4`+>ItLxY~ z9{u^d$RaAQM>{Kz+{e0cy1x3^`{*Y(b~=&PGC8qYpBSl)H}2iG8a^^}^w&Q!(u_w? z?;l>49eZZa{yTQm;}cEq7N32?(U1TAa}NB`pRd-B{ngA6y4HhMEsQ3exZ$Le%*L*f znVIvBzWKf@ZvAhguj%@mW}bDk$HtDI=gw=irITGFi`j)o{{8+D%+c?tuN-~#%#-&X zyQcnIAHM8|)_&n=_sE`!!*slZ^*UqMYmc93T>8Vc$^YZ%zdZ8U4<DX9|JB_~UVrO) z`{zIMV_$sFTQM=eIDhkb=h083lMk=l_0OK7pA7j=uRe0ck;6RX-x)jVC)v>>w~mcm z*LIg2fA#UZZf{4Oq4?_f%p&F3bHS10t3!9St)5g@NABBs-q7vt_K$z_rIGXYJ@oLO zuGar>c>S5hPaR+V*jne<v2PveyztE7+c%EI)o<?H(|-DnNN=QBzx9_c`7d96@R_IY z{-c}gqhFZ$%&*@aKiREiN0Uz6(bX<G8M$X0joR{h6uaEDtbJ?(7o7~>*x86vF}^P8 zB+GWJt~_=0p{G80&v|-*Tys~h)|vE1u16>9`{@|JviG%jk6u0eZ~o@)yLWu1cHLLg z*%{V<RSW^=pbP-pe3#r5+o*X`=ES~p&#eyR+T5?I&To4@l}wgq+W)!RT}*f5pFX#Z zCmLvMdy6)Nk6YU7-H=6#r1a>CCfW8ao!Isk?QCavFvvCV$p&Zt-)JZAQg3)Rg_StL zB@6B<?RVb<JHLb=`)J49u=ezp(6>h%z*TX$<2wHYSRB$O+<}PFJ3Ms#%aLbdRFn#+ zj}s^6=1!b21odNERqZCsDfjRJ)}VWdXSe;ylbbrJM7DEK&_fc2V?Z#6J2b34yyKkM zM>U=jAI>3>BYP}ax((=ry}OcO_nhfOwLd(JT^{Gzy$U~O1RS^sc8)WOhIBw6c8U($ zIfHTH&1Pxai7h%|&@X>EkxovZUOYX#H|3ww>3&J`^i|sLz6m<NL?PST%s%jbOi%WR zf>+SVsg#ag!P~a!yr?ta#TTw8^WlfjKyL~5zA+FS&<R4kOeY)e+b_OcCq0KvVJT<N z`UwZEpbYKR)+sQD9v;S$FzICOlyU<r_BuidonYsuz9C=(wEVr@y^DwD=7z*WIuQ+d zu>D&);hZEa)6lRENHU$I^B%{^bnkPR0-%#iZdrOa^DU>tz!jyWefRtS<}|Q)`b8`T zEx*lM2Rp<qIyvpM7oU_TIR*0J%@#j5CwoLe{XlZJ-k;;_CSkA%VCVi>bwc{@tdl{N zs9&UhqCT$rvFz=peD=D}|8Mk`jjjDeIzfL1I_nIe{i{APm!;OG9+uTW8oM4douCiu zO8eOXo$1)uNgBJBcAqqzh>n2|ornx^VCT%5@w9Ye$+-=s^B!gUuy<VM8P(WT>V(0o z8oM|XjIry*myKQS=dsuAGZf>~?8dhYPChr*8yLH=5<O}7SJKH)O1Joe8s*FPyEAs3 zR^v-N&VHv5n=qgglD$kPvuEgppSOeA8zwu!?bz!5L}HfCX}pnh7e^<)Z{It*6Ca(N zsC`A}GeWO(9KquzyTsBCv1T@WS);!1CiwV*$IV4PSipIV72S_^Cw{x$s((f2Fe+b{ z_&LS%lvrR_`8lQ2`HuBg?Tb9IehztxRwbXErRcU-_Kc;yBhN|`|E|JqR)*O(zAP=z zj7`s?piH}yGB<1FDZJ7S@M#bvy`9h4cT3w(@=T!g_*8cb!pwJfHIe;E*)Qr1S&Q=- z{W)&uHEvze#rzN-Kq@x&QTAp=d>L-`@v~YDV^?VQh%Rrq_SH&=J))h{D0{<;WSfWm z;cJU?cV0a^qE~YJ(z%PAO$c7+IaYR%xIZI1$G)8+=Qv`YI3YT7b#7yA=T6RRG`mI4 zWxN2qQ#^7^G(Mnn9Y=H71QxY?-@)11!5Lnim$riJ^GjzQZrU8i3krJ#8hx_DNqzym zW&79?o}k%AiniuPa_?NYrLvX875*T$nMzmjA$=p|9c7D&45iCCjVaHP9=!OYz#5-? z<1L1(UGy*6ZV=Ktv77m<+_kc2#E$WYi_vLZPPx~6BTI*^XUhL(&T$kSeULw<tUmNR zogs%9Z|0VUhLf#Uk3ne243dY2Sy=G@aQeQ(_|k>g(J^<+W++)&C!QMIv=n=BXs*Zn z!YPa-*X5pL2c>NCbx_&((B9V6!?yg|90TP;n75SUjE@qrRnEVyQ{}pgMIB$BAnmrl z(qJo^O}JWp%#AUK%U#sEV5!x*&4RsNi*RpP#$LOb<`_Pa)94tp&OiS&^KOFHp+k&` zy%u(idu`0BWGv%fc=3frymN~Ob~E-eFJqu3*)@AYf9SE+Z}6-BuW>%(T&qlC4)e#- zTi#Ngo3|O03ZCjOVJn?bSb%yYholo|dr2q4jBBo5diXIJKh2HzE_`mU=5DjC!=;Wa zJ)dBp2GGe1OWOu@(%bgjDKvx@(FrHW?LBnpVVhQ}@+QIHQ|W|O2k7j?<T5V-`m{jO z$&TM4C&LM!U^Y3WPV^;#9w%p3Iyt29!`phhckhPc6nXIvXl{T0`EREHVRUjzb&Jg$ zc`qJFZN*6KhE5I;FP)HvrKIxXk7W_^^bSpp|Hk}@@n4G*r6ExRv#!JXdZH0V2{ zC<9Sx(nKd^UmE98LanLlC&SiH$m>F$0kp=IU9j8|Qp@8oa+)E$IEAt5CwBU~`U!uW zxY(Lv6`<x=R*aQO{z@kdIY6s?c4+bJpm5nYSovS_J1_4ivq>qvZPY2XsdWLZ__p3- zyaGAUYmE^eR-fHX>sCq0PIT~QpNm%bCA1W&ep2!)3Cq}_;h{ym+pfQUH_kvmv31GP zp}CdW&eTec^BE5@zia|a))Q}e%gc3=q&S;S5>7o@8jwz)?IoRT_LJeqbXcStKXKu> z`U&lpQwEbxj6pi@k)o@g4C-XtIQ>MF=tQSr>fl!`zg|%%8ACArq+Am*nizlSLF+K) z_#Xt3gchMeszQYN5e2b;q|<Ne<dAgo@U}Vn2|7K+7|HimL<jFb{$tFYOJI~cg;)Iq zhA;0Y=;Q!tr4#rJ_7lmobjVH3b{=chIG=HRs^V!bj}T`YyK2#5yL=}K55-Q+kvEDd z{7#EzMPhWtC%-#7UK4*;{6@a^x6!?`9+0Y^e_uY(K;bI+uo3>t%D+!h17)d)tz~&- zx|FVpH#XnHTgA7My{hX<-YPx~a5=xzE-UvNU2++Wf`wn_ZCbi9(G9g;nNH-cr29_% z2I+>v-^TBC-m^lg_-@L#8#Hj^>q?isMDMKpv@AitEW5pxx=;JcbSYgGZ=vtut>RnB zUe$FaZxzpLYI4=p2gX{!b8T=LOnY+_6P*3NV&QbN{tmWjaiR!BMAkd(k4ir7yg=k` z%~ws>K)7I+Qgz_qyuy50-35FbMzyf4JcIoiLN~7~;WzNI0Nwcr=|z{TTZHK9La*y4 ze4c9uMouUS)dwF*0zFsyu^Z2K04wZ!A*jmp9cYc|miRoH1YSsP_Fu@KbF(t3M_W4m z5)kZ9m;~Np3a7ZC;+%f0SX8j-FH(A6#c04uyoG6SHRS=C#y9z^`?7g*VsxeEBNy<6 z*N-#a`0>++AuU2Tz0($RZG;-u7Jc&d+&y$YSeQ^Vh{iZ;7&NJa)qv3a&IcHUJQZkG z4^=>mj{$fu{#+Ng&6pv>rF$Z7nj^{*_}va)nb)vN6$m3VH1ZAJQ`nH-={CUqtYpft z<|2P7TQ&~8oGvC5KN1i0A{P@n28#`Cq!Tw`+d&+YAIEs+!UamCKWO=X)rav^bzb1F zAZ<e_@`NjO($@hDB9t2y^`P4)8btQ}-#NBV_Hs|~UdUXxpj&x2uid<2xf#gy{gC#@ zOeqvUVX-Qeak9{$U+tUNMp<Iwn+mT5e8EM#%g>94kX+pZf4WmTJo3+5c#(U8P_`<b z@eO86SFJnr{p#>B|CuuE9ri4wo2ufo%ClKcFb$v3AvxR`;8emvu%ToSvDM$_ycNAQ zR1uxYI~SQRujI20NqP@pRTi84YQ9j#Kv<|&OOXnf$5vd347ffg#x@a&o69|^W5l<z z!WaWZPFDPT4bD(TPaK8i4n+EqIuddGb_7CrV$=R0Dw0)S135itmx*^{L|!<J+5AvI z!WID1dbnj#ocP69a&EsJsuo|0rvo{D4mRGcKnhemw&vV5P#64&^Ft)nwk^~`_8v-w zYi<Tz;uw^U$(S&43qIjYisUtsGZkha4dF9D;xNx`x4pYAk}uhkeN;-)0-isDR3>`> z*n{bC8Bro~YBEdXP{hB1Uvj%bb7x)e&JAp9S>rk@-nl_v=7&*m1=6tHwbp<OT!Ze# zaIu(p1eI7iVYR2Y^5&MQ6Umg;cy5C<M%T`<ag0Po*XC~3DVK2Wx()JDx*coo%Sqzs zvf-F9($CX|=wXwAJ=ah`*qO*C5Dz*}Y9d0guD!4yHInzP5OC&goR_Zxk`|l(zE`L! z5UTh=mvnvLog2Tz(-kd+x~X|8^}7i$2AL{a;#$@Dl1GM(u)yADtazm!jzX}XJmc&G zOmax#7N&#)_%wJhkIS;rMQX}h1BNfQt}D3$%b@T$MYVNIsSiG|!(5vP4V$lO|94Gt zuCj|lSPUp!WaAGS2@$%(gksJLZNAR7!Vo&a)Db#vfp4n)fpf+yAenu*9Sg8X6Cp%8 zja5;8imHTbgkt7hKHdg5MMQ^3^}ue4<~vw2(2;#+<*+?#p7_SJRPUVUlCQe(;wMB+ zW?op!QXc1)lf%k`*qlvQY?g>@Hi1o%vyF_=YQ|wrr6j8&csZo1HJ(HwPR#`q0v_6r zP7FoUE^CcpgbEfsYN-Z#)Yhm_gvrP|)~0rguz4jTMeHlB0Vc3-J3ts*C?ZyO{9J&a z{^z1bwH9nBL{^N~z;a5Q%Y)l4Q)c>BNCkk0M;65d<>M~?RVr9K`CK1-pyW<iu&$ZT zhAIo{p$Pl6IO$%Km9pp1l46D+Dh3`f3?7{oHUL%!7S>|#tdP*bIS)6_UzCUeA!p)t zY@9W|+E$zGT@!E7xX?<oWqiey1$Cnml&%l3bL20!0-qs$&70rIm@gtL{wV!Z7>dk^ z?iR16j*SJSp{u%_OC%+P%99=juv$YCsR?byWMNpRwI#@@eSCon#&DIM057f)q+#hN zzhn7`FIWQ;%jgt@i?n8dUQMWIA!W6lbaHiBl-(*Jl3Uh=r8(P;BuZr|5n>+GRTF## zBrUjc817)QryX5TG2Trehl=V6Lqrcs68c1H*iIg@gZbA|UBVabk;fGKvxtt-rf?BX zt{a~)wZX(ub6tjQNeshC3XfO%3RY_#oGYSfJyjS|gOcoB1`GL1LWwk@<US))II?Mt z*nk{Ce`DUQ6q==$)$JKZBSLLA2Csel0!B))13nLlrD~uEl8iIR7y)ZhsdAXGk1usV z6ikSz)F*kiyHSrpBiSpw!rde@R~X5xOEP)x$*-^=g}fum*WwBupysBzZy+<+V*Pbq zL*hd*7QFsLZ;`SFsQhr@qNiqE4mlq6cq=zvK-dHxM~x(?lT)jv=)j1zhjbm6N42*h z8$6g_L>*@ee#4P?><H8sj1vE{3^0MlQA-H3;)kLpw6U(zX+-2kjQYtMV_rd?9jAH} zWEy|GTZ5G0o_mNW#Jdq3g5!G67>%#wn!?AfUlxj@EjBFUj=1N(4P6`TeL1%T44&#I zMTCczj#g+KJZg?Mv?8^}>q$eOd7FiboTwH#-nkGnAFL^(+Tds#nnhKYSsZeWWklg; zO<9@*gmN{py%V1(1ltkZ#?SoA)X0omk9Em~+sJ&JLFtW^jf@(QH6u-{=6)qYkfHIf z(OPR`@dF#wgJzeyT$Q9Y+G)6<<-=k`x)?d)1uxYRomZWxvb_5Ql^j)Q1zG1ZPvxtA z3c_`XDha7Zk;qfHF4qV?B4Qp3D}JZwI``^rWTS3~5G2I)>Vd=n3V9Q(FkuWA)F^NF z;!1u^60MLcpRw>r0SIF?Y?(MWqoAZfQi0FP*c41?557znMe9Mg=miA8dk-Sgwhu=L zn~Ymobkk0Rn2%&cYTPKH@|0tQIyQAakrj&9yh|liG+<gngP-RfW^LtMKobnf4V3(W zwnqW8konimXs|?|v)~1iu34L={Q#tOR+2!59`13NTFi20gnT8`Jggu|bx~VJHa|9{ zbl{3eQHi;jR7N9dm7;`zt4rXSKs^rq2WI?rVYSW_6+J^cOgzi+DMrhgm8`Z-0u>pi zXM>=)fhyUgsS*S&e)v?6#RT|_`o(NE`>A}fkxez8=CHV{L?JGLJ0(Y?(h<T<dL;IV zU3zDuo(cr$^60T!38U_u%b=h&a&L@9>SCu0MOatWK*!QEA0Ars=U`%6#EE56Ll<p> zy7>cV@wjZUvrx>|czyv#eV-GuKwX6xr+iLjjW#@sA~J38OLZ%&Wqu^I7rTo`TkUYS zb3fdkaM6W4j5ac6vEhwuzt!9JCvJe_8pT5*sW5Y~$pgJ-%WWf{%^K$}vKgF??k0BS z@5*M~#8Aefd{Ik`ys<KZCNSOJ!9z>7z^EC9z^`~j)Rj|0FV#~Sx`Zn)Va$({`j-&S zuS2KDAo+Ex`5*FQZY*B3!a<D!W<`gzm(4Wx1V|ct?sSV_hWIk0YKB_~wM;&)5(2I_ zwb-rfC(;y;T{*)b=ozE01^k5g7dyj+(89$MJ4Epd_zT^kNuZCZ7*K&^BpYgAUN-=K zeaciOLm%bAk_w9sQ*g^hq=|6XFNwl*pu_3(9@vBMD=rVX6i|1gC8d{?8qQr##zc7{ znHM!-{P%=XXz9bwr%8uF>cb{h5b0pE+z8EI!`)O$6udm4Pf{`qP|-5Lt20v3q$wKH z_~I63ih>|A`jmJZq(~<K3B4e(lM^f~pJEdf8d&i9Mhx!i)LiiujWsH~a8xI{V_%Mn z_?p*5qs5!&++xW0u^%?tSIcNb%9S1t^S1ZI`!(k_iabDm0o^Ks669)MqP1o$%=Zq` zYGK@|El^IzHMNUkMxz2jEsLwnBw|bfrsV_yr|hE?L|$Q>H%cuPjw)#VBr0|b_!MG_ zwXf9>%1KvJi5)2tjqZl0pA0}WY)X(M%Fr)=ua2JjQa_2qT83rTKP}~J?rh8&?QCKM zxzCVz#YGYW(28S$^^Ad>HJ51xCOVhRN5dO2oe!D6*Wd>k?m(QV^HeDgMzBc*NEil* z@HevQ7$tdh>)b1-D7_&RUjZd%P@7gA3eDwlypP-siqef~GB=`%fiL6ePO69sjW=2h zJiS_!=kA_xq8ZXyTr?Q=*6*anm!q;wRbO+hSE7aw9lfE8_=^}_)Cg&d5Yh5pXmgBj zOHG205EYeabV7`bp%Ga(fA4|5;mKtqH4ELXpZuR^&1q(425}CideH{(8IgrPtuf&S z9cZ(d*GIiex{6FCN>I`T#<nm(*C(iinmMS9W(M*NC5O^Ugp!1`ft(M{_(dMCfIc%L zop`S~Mjy;OgS39wyl317*N}nSMQ2-WQo?GAIwRHJHCPs<WK-tLw%#&R@RVp^8l9M! z0EZ!vQfRxgf`Y9DchJ=oIjy)sI)w+|75I^ME4%{T7NXfIlq=_niN<<;D<2Ex|43xM zq=hum)?G|(OPB%hn||Y2KM`$+b!X6RlKtI%-dLnNUdz`hU%^1WQXb7F1mRiLl%<W% z5MJYT8naEynw6kuI6+s8C5@Y`z)ECPEQU(DU`?MxSd~CT3qdZ;px+XVGSOv?mPJEh zk_6ZpcrORau(6Ei-@1tn*1wB8r}@An;Zj@?7e5*yHl8j_OZ@y@c^F<?ig}2EfhdqM zUrVU*EIA835OR&<p-KB2UOW+Fo(D<=Eq`OB0HXU|0TZmvd11pNpZ!=<EM69Og;jFM zgO;=jQYj=d7vK5|hUP9r6{)wq2?Ap`9C>>LNwtI}Q4y2sKqkGAoHxANZJi8K+$;$W z6{tsS@eF$Y3@&*1i9#S(suLJO-yz9qR>AGgW^$PgKJ*WomwLrPr*WS~@tQ|%S<mG2 zw!ouJo(JS~wFekqb0|=N?oeV2jNlEv&X{im=$v~$4da2OycrbDZA>EATEu-%!CNKj z?Uu^*UaRZ%CB~d0WXcz?N~9qAKX~xqwOKg$!3*9KLcu(-F|!y*_yMaMHEq5GB1+NK zZaKJd_2sM|Pn@{==MIKtjBsg#U8_TApfb8KKY#G#n=c9*@PqZWE$T(Ty#VN>5%~;J z;^)G_`Kx~{<vTxv3t^%wigiC5`MlR`r@8TSd2qddeCuEQ=;a4q{QRxWTYri(f16Cl zW2}YIOXI{E+a70UXFvP4X7gs(e6o4jPhWQMe|WJM^JXWE<)I1L*jR0B>;d`-ePuRp zK6wKy!uxMMI0Ieh$+zXuy)8gxq^hIuDa@{^pG=142K_kv?94?6XQsb>TlX#D8#iRG z8TdStAlfq{xBdI~Qx*F^c<JTA=828{%hXMng$9janY)ILsqXzt6W113?hkK5cbuVd z<<Cuo#bWx$f-{|{u9H^acWon^xb{t|Yv!G>|JpCXuVw7us3&en-TwU(*YbVLdGQk+ zCy*-NzD}rS=w@d(nom;C&EAf;JUg-L;ctKbrRJ?yLzk<L`6+sZ??L{LpPluHsD9FX zOWw@GyMOlG`!D|MUZ>gIU_5G~KgKTpe%*A}_-wQJ<j+CZeD|%1?#X5|@Vcn^D(P-) zEIn<150!#Gq?7RwHr_(F`00tu_wV2FVsGWvaQr6egbxbwnu8ju;0w;NpO}mL7ygaz z3YXZ5$hpseN$>^y6J=-<Tg83`&u6%C@&CJ}zrt2jeH!8`5UxzQNp^;>T7V3q&p%m( zlv<Fu{H}3Jn63A%bSc-Z6#sog{i-}88@7Od@#|mz`k#IAi@*QXubg`6Pn`QA;M{-h zef{fS#rKu3eC5>dL-hYE-Jks#@_q5EUp)1VP5J)v>tB2p<f&6hK6+Cy8NUnK@0RY2 zs`@(zzuSCm!prn#lq|FA91_k!xji@z?Hx~e;+YsT-j1d4$X}K8v;D9p&OV=!+1lM< zAe_!oA%1(}v$IUs&-c+f<jin8YHfWhXkw`3|7h(_@gaRATJGv#xRS&DB0GmK-zO%S zyBA7>JeYGweI7R(nYBDJeqt8>Z0<fHUXDLpzsB&5IwRX=e6hX6SNt01!It>(eaUU? zgBRgv&SOMH_C}p|^MsEzllx4wsfYNHN9%8cFmq3&_~I@;9JNBqNI^)zIV9qzb5Lq6 z9?|2!B0l0qqmygVLtjcR=b~(e2l(iG6fb@ieRYnC_=6{2d<WupdqNyvIIeutIV?Cj zf5n$B&dbA<94sbxezR+|c{y_Fy_V8s;lUZpv-Y5cUw(GVCO#2thLzqmAM_P|pTeAD zB7P2j6<%oPKFt!6vVEm%bsD3&d^_aRR#Z2d&OhO&yP4&PTpM@r<CE&*LBEcRoPHVC zc+1Uo8vqYx5LXPuMPCMz%iSm|Y#AvhN*Eu^$IaZpT_utmT!^Yi-)EVy)B~}J#ym#t zHpEf6Noxrr$bdI+{>hIE<pZ&?ELX6&748cb6GcG^-m#c3_Bo|+YA3Wz%g0FiHKb5X zsQz84w3aR*;2NV?A}XhnrwThaB5&9Xo9~RYIZGYkQz-_?E8XNQfGU7$dJ9M5!g5hX z%N?o$9#28VhYC@-UfOVZl`2&D<a+X;9+%xR&n_u0BncGq4hVLaPY^EnqvX-uCvRqX zWEL<Kx6Ef?wV4Jy;Z0!VO#xpz{|{^L183J!-Feo%ef#P5Q%n82-D=CS{!~92(-I!1 zP1^>H2URx@sd1d-`A^&6VWT#~WQiwXAUL#1h+XgbNj$ZUX*-g^2BgMgg3x3U%s8_Q z&X|Uf#9@_K&Jb93qmcQtpUo#^K&)gK9Pr!kuj;<;miUu=KD(#;-l{rv>eQ)o>fgPu z>XwZu<DHaB0i5rqMOfG_WlX<D2?fGk;3BpDyDA95Kw=dk2vLi;ix|j7!Y+BNN0H7D zC;a&oMR^K*jEkwfC_NEQX%x}}s}MefGJG|z2)^sVU9Dehv1<rcz5J;2*%(R-ByI~1 z4F244o%~&?onay!!mGDz)m!+^$8<v%xYR0Py4Q~|S%YwE{1<qozGza5@BGS9kSZcV z*ZQk<z!}?(M3SzA#z`5gG4hRZ@kGtN?zk1P3Ij3UsSt8PL|)Fzuh*x#^S#!tUtw%# zd4o`mX}Q~g4YzF$r|M0DLrfaoNC`^QXQvQ9_Y`^KuYwSyaO(C5D_BNg#Q=-Ckv`mi z>MfAl3rNH}Kp%ImDvomOLZQP@g<V7<Y37aV;YGgQ<0d*r0V%44VPGNR;$LihY@<_8 zT9+8rW5OOq#1~K8wI<Zq1Y$>QeF-Agm|63dHk!z%LW;hiFkXQ0N>=H`bB1gJfQ=<^ z1nXoeR}d*y!}XYFjAWgMD||IlsFdAHeYgB;LE(wOc_uI7c<CbE6CoeTeoL}8Mc1F_ zY1a!^i{FO3TtQH*Hy*E*-=?l?l_br}buIhzfpXk};zf=IL^=(t)QMTPHrAIqLd9RW z)Hi~&;VcECwKz#qBQ2t$wuJhnUwT$#BE1SC%n*ureXT}@dsN+F)5<*E!<fnVwJNdj zfU#G;iI&I+;Oro@&QzVW)=w4kcs$uPv&8f&yI4j=Lz9^PjLMRQfU)tN9X~;;b{O+i zlDLD;C$ccru_7BvD=G0Se26QPFKhZVl=0(?4>Y|_uPG75SkoXgGi8ic$N?_ZJ-qU# zwmA|S&0PgUaD$LL(q*Zy69+}F6N<!%(+&Cf78WsPkX8;Y-)z&0>o0jw&P-)|ID5EN zhf>R@z)7Me#3qHJ*k#}BGZvMpu4ln+4YEjVj$n4&K$kdjMpOrdV9wD{aN`O$S*l(X z#eww1ykRk!o-v7){XR*Uv|}hF_9ih5N*?%BMwdu$l+(0#&cnR!!OV%oHO(|+<|azO zcjZ#btRP0XotrbfTalY`3!t_3>OZ}8sq@z({E!$_-ZBRcDl(8BQX1Vf-;r?KC>-{5 z<JiHWf+314>!i*F@lXb8iGU_Y>)!FT3^T1Q0HO=;jBL^z@~u*s*yRxLmp$R%f^Uj) zO?wS?xf$YQ5x#e4RLGm0flAj@D3Yp^E^?4LfQp(ZUCek|3t?6mdryv=c~4J4WF${| zUMi+jrq4SL!?8qI3`{yGVFy9rs*Z_FQGo;Js12@I;bD3EN)6-N{@^JRsv+A(KB~hZ zFa>JM;K7@G8f_IX=t>G9bY7t(FZn3A>HV^pibi4piEhAVlR6^!o`zZ|e;yg=4H7$Q za!*01YxENqJ{f(sC1+1El|m(^pk20Yo&p2cguAyUjFm8@w=l+re<cjPAI!>?d@h8I zyOr-Lk5(S_G7rBGwCPOjFTHfIT*}NYUj3njf?qO3nPMXDlG9hF4bc(uISNQ9)gXf# z>VO=VG5YzoSvD)ke!KwEcOm#DLO14=)P};SyD+ZkDP5#ZSUHa`jHtK;3s9MIWiH?5 zMw-Be9wrhvFVQn!d>)v0_1_U0*BY?iWZuCj)Fh{*@XcRU5T@osnX(o~shMR(Nmf(X zX_>WY=BdL8KnW>A6$Z*zDNNS%a)37B%o|5+%BZAnQQ+{TKAjf1GhWps#e9we699=U zT<YgSkB<nJK0IqGCO}(cmdeH@2EM5~uH|;jbAua4^C;4@>{DbmA6GRQBhGTAiDm61 zmAm9a=>wJw$8Fjk=Zm^NayE==PzzkR7dH<tgp`~bH1ZN0b8FL_2$rX$1XU&=EsI2X zwsmU~HT*wA1(p#mlVen8!t0K*Pg|a_XWS-Zj}k)fFxu~XB;k}v*q>(`p&D3klU|=d z9>AAetIfL0Wwc?&h4Q*uC_x@VGpUm&f~-V@XJ3pyd}Eb?1oh68tN2J}5nXm&XW%Gf z9`Gs+FSorZk4b!IoDZ5~##Av&MXC6}Xy)^|vR1cekU?eW*Qm<8jK@dDWFssn$NvpO zP<d+ElFW|I_zQ$J0ust`gqIU9y`&eyhm~h3ejmaQ)Nuf%ZiJyOXUsO9GZ4UJu0N|~ z7-ULZDN)~4lL0ZI=rJE1IY6Gv5J#TeeW1h+myrgj^_!1s=u~gUy)rs%Hyfsem<W!e z{Tx@+&sY&Ylu5jWGr2kbjASt8eK<kM5)w#__e3e=6?UYdWHL!gMDJL3sxP2>mZ@WY zm^R?d%;v;u?M$nee6!s2Fznv~l|)Jtt6$XQ3%8m|TNw+pB5M`!R8@<#%8-A^oI;uu z_+XkD{OV5UP^0?cC7R4Zi}iUJKp2-1kC>*Uv%;3>yXU~9<HCZsF1X>Q>{K-RG43VU z_HvC;I`2K-X7)|*8wk)WFFl+z(M*~;2;0oTry&4idOsv7y{V!Xb@aAD9vKm8UytBQ zPk1pvmAOG1?Z(lBm6k@l8*oXTmkiE}u*`%)W4~G<jMF7%?((AXsxc0qY?d$jMfF$s zb*EwbIl0XyGac1U^L`?Zp^(1Emk_XN+C(G97n`Ok3+K)0{IB7U4xJf93%v+WcFF;) z@l)QKIXt9++j5%0#S&#xwi2Yo<#5cXb7`;8mxbl5$(YSD3`ioffZnx4W3Z~_+xd8` zHRf@{4IFv#%Z)rf{GJakQ~t7bn$wQw?2^?yI7^dY*NhfSozjxk0GP|m0}nQg*`OqX zzrsg7k@-AmQtM>Rgi?4Ba#gwY+3J$cX30F!)Pa&OJ)pU5{907WxQ6kN($$1-HwDp* zCYwI<>bqM8;6vM~X;#%JU<`dQzfWx@O<D8s!s7-4oz*owHDz{qMm0NaQ=T<^i*hoI z9@}G|IRI(pfvaxF7zt<+`DlI+P9}pNd8N~7g=Lb>bVmFiq9kTIc}MElRaIWJaIDzP z*3pyw@21CVL5OuEO<c>He8?}tgPm~rlXODM=rKpTk~lwQ?p`q8yq=2Z)9XtvH5;7q z8t%*@wsT1>Kc)QoJ$JICMpYWJ51gPe)dhCm(O?->0KV&t?G4@RcuI$jJ}*;{q2sT; ziUA|5RDcV2Psilw)GC=b-oe+qhBK$A)@*8O)>>JhbBzkrZC@EXHAaicvthH|Es2M3 z<oiu^CP?S3F??(~Wreq)yh~;j3hOe{%FXtr@}iJxU&|S|35lZZmZ@K+vNWxo>M{3W zN>}+ZTO2BzhglNkdBI>eH!0H6VmgTah8u9eX!K(h?TZnp!ebe;jKX>5v0lgqHI+?r ziE}90*;sb6gk^+8PFe(v4W5)RzsNXmoSW%S>CY_Z8(`T0>S$q%FcwB3?;<@7E-`za zgkM@;XI|0ohNfLcWz+zlFee_*Sf#JwD&y#-tOXZ0L{fSq@kv`jmQ37vdZ9oJp9%oP zrj8M#PNpqC9+6Z=mG_wQqBW(6#pf&L@(FAxY>?0LB|OAHL1v7yXN(Vcnbh5)G!{10 zAw=nowZ@nh_8CgY*{Ml%=0LYCUa6S2uEuAn=Tm4VWZO##0w6Dgjlhx*K2pjTnr%p_ zxQx~RONf?WNx+SATSQRk1E{C<4U>6<tePIjV>I|Cb(s;12MU`!fWi<TaW*dXhOILc zPu&H*c9`Fjqxg-HJCj2mJPgHj(=$Vsh?oz|nU^tNm&-;M#DWKeGoIG9WU>j9Zy*v7 z?*PqEQDqKNQ}e{tekACa<Uzr%AoasY2;><nM&+yU75K}kQISmJ1xz*}OIu{b?+SN% zTdGHk8c%I<#&{Al-ty7@p!AGdKn$d5UWrvP&zy0rx1@bp62Q0sJRXfGa|lR8X5cc$ zBO(SfGeyL-qWDjpx*P1CGdbm(4A*B2u!M=s%=ArxhCmt>Xw$?@z?fQ%?L?JSgIFm8 ztI5VPj6`aub`A`>U}<?LybNF+oU(BmHeI{+MJA;n9yUa6!z5+WoLN}bDyGIWB`MQC z&;kQIy|FJAAMm-_m>_jAEWLYYta1Idd`4yH()SxD@d?vK5CJ8kkdhR0#w{ZXXM#Cf zH;9j)-ml^=cP<^uZH;6l&FQi+PimsZjI@&G{f15Z!#jy0U$6XXZ(%P-s3cR6InoGw z9ym#{UfnoR=_o3<rb;<1wN=F-o|vd83;^eb)WIaX;tjjNOr<Z>S5UkPf|tq#I2Fc< zj~%mfAQCI&1U(i439q|ZVnPTbOZ6lK*l8jvNygB~S%4@gAE&DrjcoIAyKKg+=1Nw? zRr?X2STjz+sI*gk){F{G7#PMKL)O$d7v%CF$t54`XPTc@t+mrr6l8qniE^K{RU&&3 zk&2a1J6Z$t<c(xwNrk!0>vJncK%$#tcpXKZm=t02RHm}N(l$xI*_pE+goO0;H*pe! zV}7)hUPjKA{HyE?oH9%hY*vMfh1ai&pZBDB3!^E4l<PuRRGx5j-3UC+wz{D;Zqy|A z=phE-Or-Q(x=;wdei_19Y&zYzkdW;<#(3j1<GYE(W-a&-i1$^z<8a<Af&Z2#Lp5EE z!N@e|7!FRJ(%Q&|M#-I9c$1@WS`s3JJ>ddhE;W5>P#SabG<Rt9*b+BB;7)s?3}syO z$LpnbP%Qd-0z))l<*1jKrf8ys!3CXo059sTd|DnZIoy5lD?p~1P!~eRzO_MY*~Pce zIYSsCXg;+Hc|idmq@b1|XMk3Vw|}+>1cyNyNk}ZG<?|LiiwHRt$;5!G>K)#+j8QN> z24!fa4obib5IHQb-8AwPFupSzH%8~q1>RW~k8&7v6BXBjOUxgV1=DKZCZA-KfZiH3 z5`WOxWu2SSW)RhxD#RPP-k{7zpZ6^0G^`j=!_P>@-y-uO;^{~dxlPK%4#5L%*fbba z=m*kdSzD7LPzth){^Cx~Yhh_Mz&zOu`w-5na>!UEpJJA9uGf_Oa$rTrz7)R10rPSw zpr_N3#61XPlBGUsL>4qcw0gFzl`%s!$tEU-re3dmY?WH`rc0=)d>G=&Va9_@NzHWX z3~ojJJas92Rl)dcFE_VLr};Hkj+e*od_`rr2f0!T{_0os507E1OE>5&+mX`NG526P zcm**VUdQ`9R@AA=z*{`CF+%<Lyw_A9t2pWdqsn_(uGbjNGru+WStH4}v4H($i<Op! zcFs@Px;e`ZBn=g)QfV)mDU+XS`Q+50Lyxr5>=69S;!r#FFk}#ERW5zwTfI8o;mIx6 z=&RZX)@{Cv7_5_;m)DW0Mg1X&@|>*GPHde%*rjdHPa%sG+;-cuMFXC<r5<(qU<y@M zvwbTGIY$TO*{P|-8~v61blW$RS;w@dUU$&(?g(N}XNnFIe5t=rcN51JsvdQerM>V% zm*eaFaCvyxQqwBg(jKzrWF++CA+a!k9T~z1wb@28$j(eXd{o>Gw+`2bYmQz39RS$_ zLo?U2wlqui>0gsHm$y>VI^y>{VftZd9!8ir3vTNeO19`VnyN<0{_-DR^I-1A$4kDp zu*9<nF9RO#^~QhEH}7oFo%b}G<wj<3w>*|Kjel(6i0>Pt%U)>0hL*w6t1X}BPb{Qk zWRfi`WUoX4E&qvj*_xLw(duTBSGg%2+=W&uH>9ltCf!dlJ~U%S9gnYJdP3*OgDkIh zD0Pb>s_*bi=nj!Mg@`k5%K4!KN!GYH%dbHzgUQJDt@WYGjfeha`P}>LZD07$-Xpay z9s1jaC;n64>~kB2%k{gj&tHu!=Z3~zW4(VOxA%Q=XLeuOx-VJho8_!pLIWRYJ<*^3 z;jx`Nw!2g5t#7>hefJNSN3OAN`fz_`<FQ{Hzj9Mw`OpEM`1R)c>J7V&jrUcC`e{nZ zp|=^%7#V!%H(pzQ@146|HGl6tNA9}k-SvwHM$#6xP5<fB+kf!(YbRd4V_?g5B{Q<_ z$hkNF*%iNj59gF8-^}_yx4nPhVWulN^EG4cziw=M_SpL`X)LJ7>-KN_+Pfbt-?DS( zBR_cY$j2L>Ja%+p`(yhLG#Y>Q(MRt2!=HKXp${H8Qr7t?M~`gRIUbFtk3aqCH~s!| zZ+Pdz!dJf1e(t%apMLsx9(?d4*o1RX+C%$~f9kqderV(VkAArGts~>5kAHH<YmVHr z>F#@{jt*>n_sDqPbN~I3?;d#hpP#sV^G6>0mCDX~<7jHW^6z}xAN=qYUpY8F@tyZQ zw(xr=E2mBk=hY*>gPvQ@_$B|)0e`4mK6D?=VA%9mDKkraw{N}S6_qz_`eOOqZ9Dfq z_l01S#=67*rTvu;j()BF{_@89J8Dyv{n%ufy0u=fNP9olx4(%^*kOC|#?0ROl6vy~ zLsvbR9!UCjY%yojo9gd5dIXzXb@!WgY`v@WsoOsL>O%|VLnS)zP3HD0^XeOA6Rh8O z@>l#-_w@}f-uoX%?wX%|<2}E1&-T0Sx##X%){Sr=8NYk(etP?x@3~}RWXHhP>qfB2 z(f{_|2XFdk_wqfpi@v#O<L5SR>3?KHR@mg=@MYW6ty>58-{+rL?Al~{=lJ#?y!XMS z4}S9Cx<}A`tI>Gj=#hKw`^2fm4=g;k{e9~ie#c|rIY;HMjvP6%<5P?7|5{i$^@xAy z)FVe8`JDr;kAC#y3+a;=wVSOYM@C+`u)THYXy@-1jt{@|i8sGy%ZpRjcD``r16%Ie zy8T0yKTQuDc=@d-{>lB1eB|#+J8NIqn$NshALIMT#h1V1ug2eTNBM#F{@Q0x4G!nF z`2_7`s_m_LsO49_9n05uA*Tz_jne{FzVE9ruqNEg2Z19p-1SB77$1>W2WQe;+8e{V zbIJhUcnz+(nlHS@a|&XNk`LalSab5E?#k===a-f~{pph|=j3b5J<Dg~rZ9fsed^4a zL34WB{m&jB5b4AZuIPXLw`<>`&pmkdtj;u8BU<?0Hzybr-^b+~4;~e&)d9YL%YhXG z`{v^}ihCzw*}LJMTA>TGmmF5VmE0S?Ma;%3Nf@Axw)lki<X%&)PWI|6*L;F{V3rJs zj{4*&!gJb)$iapW9Gl~4;5c78o;An$Of}y;p5)Y%_?>9ONg`c&876jzY{GYYf3i*Z zs59ShUM)C<lTB97bua^0iw_^~4mOc+vn1i8)bh%`no_LTWI$)5%>Fo=oH-+#Z~)0b zv`IV*<f*6l7Wf*QOm=o-6MbKJ<NNvkwQRywK(NV)6Wua$jz_IN`?8nGCelc`Ym?pa z9EWbWXFi5I;hdD>pch!4;9JBvx`ItQ9i6v=1t#}SR_7;A3=I6iBeDtFRecFI=}F9$ z=h;Le2AkkH&nAH@wP&qO;y1@9d-Of;pJEg0S8vbkN@WZ-;lu3RawBfA2?v{8%3cV* z#?0TqEH#YJx0~5sj_$xF@r)IuFtQ0BR3E(aR)y3~Vtu*#>Q3kLpRdgan><S;!jOS} zVBfyMNwt&s9rVG|yLWSH&<Te1Ef?Bkejd4~iYv06***UPUqV05r>{?Tj(5t{Gh%6; z-yO@|4L>^{!+ZDYoRn3Y91q`?mQtflW(RcM%Iv`L&fd;EHkqCL1AY^rnul++pP9J* z_Uo=Yd*kz0Qr}nG32Gqg0WP%0eWjfQt{2)Q*fpNm^OJ3YZO^j_oO(meEcypzDr|CG zHX%A(YW0Op&RpFYD9%_RmR=3}{y3YQI3b(pY@BDY319mTb#q{#UO(R^SL`;&WfQag z>{->}mFi+@wU~L=CY>|=^T$uj&mZrc;UFFQuIib<^ZAM5T%aQSY%PYd33X((?+W`j z`3yaIg>={?^j(JYROacsVo!KREeD!269Z=k&X^nZHgSzjP(!o{p7U(7+IQX2j^~T$ zJQHI^r*YrNxhCNplX9!PhqFG~WzO;tT?iNPItN8`HNH7!c@1KVb4t4BqP(>@|73+< zabC(DA)lA*iD!eDtQ*f$;tYIrj><9S$$NPBusP4nic34#EE5XPBU!*BZwQNy_+5$< zj#VU1w77MJ?`VZHU4Fh+oKM2}CO1ju?l~v_fUC1sB-@D67p`7NWQ5;XxHEE-c77B2 zRVW*0yX4cH;lf!j`4@Sd;inyw-ENjl@5D>98#(Jm?kzm#*q3D^cY;@XV^1+Xsbulo z5>qSAJxO&A3LlCa5q|4?FMH%}=WplZHEB1j_#Ii_NO5Mzo^JS#R{QR8p4`PJL#f^K zPrC6dVa`+8%y}x}EBbEy9TO(MoY#w$FXeCBd{_3!JB{DWAt57OmzR^lCJIwI16~DL zi8twgm0z#zy6`r0pb}`l)P5Ct?Rghxtti|*-{c>3jdT&uO+mIjoWZgsx`AIflSO3^ zUam2o{CF_o3>RZw9(1-YU!Nj}@~yqg%<1gWt?zP+Uk|QXe3Uu+_PbhhW+pRZZ{=JR zxwJBkM{&9(kH!)@6tDw5HDY6L++nt~ZIX#a4^aVdb)LW~+`QuLS?xXotCff~o}PNl zSE$J4I`z|<xFFBu$-G}932zM;Kjeao{YCHY-KM7>RS6rXRG<0G<Bu~J{-Wt@;E{H4 ztx!rhc)TN_)%V5TrB+Z3TpQ<CvjgQSqt#%&n1Agp=Abu=e(z*CoCh)6^DG_GrRs|- z7m35AmvS_i`1jMj$~FG*qaAb6$wbEpNP(f7Lx0sr-^mZYuW8w4eM$Iol`~4<@N-Sq zdS`3Cy>DsvG70n<0d-)^5aL)_9FxGIWzi-&UFO*}HW|2ja+{`dvI+AjMr#Vo*(Kr? zL1(c65cO~_O2l#3SzG#Bfk<|?9t)%iP&~ck<aHwHs1L>_>#@k`)1Ur)PtT>7o*w-4 z=ds+giXR-@wr!wiVBNnC^Ine10iJp)*yR79{=j^&hfz6XqT|_=B-%taj5g5;GlI^w zaejqO=4S`0llzKsWp8m_-OVt-Cj08UnU%;U%q8J*@-M%ka~{h3unBhVV3RlxxK}pW zcajqxCI?QUSe<~PI^E%@@OCX7wfsUa5%<X^%ntgeWRuxyZ+)^~@<f}!G0vqq<wEDk zbb?JL2N<qolX>xtf1Rs4+n7ON6Jp4dbL0jtunFnm08z9_wDkb9-|pWe6EM!1gKl#6 z?7qS#%<egP2wb$u3rjjT=bAtHdo(?WP0pUhCb(UjJoS`p()r%M<}4o!PRao&zxO@b z$zKi(Xv~&PioAoaW@QuX5n3C(b*@dA)0#8a#`#rek|&daZ*}$+^RK=5M0c~Mwb&$_ zWiv2%nrVh?()r78=!}~3KBfuC(AmwweDF8s#an;r2eJuM?SYdgkq>=Id(}rLroJ_p zj(%?HOmDEs{A6e8?C+fIpZd$u`Y7EE=UyuP@i0Y{cA{?LIVXzY#6N6;I0HTNWL4PY z%+)8=cXebFQt`yW=`-i|U7@_<Q1o3v&H;5B0yQ$(Bm_A1WM9-9%><hW8T+o@eX*VV zj~_fWNOqkUI%WDU^*e*7Mb$acPv7-}bIc)0rIYLi%x69$n{1psefoz#lubmp3SFCs zM<>WwD{Nw}EuP6H_tAHqJY6rIQ}&*W?dRq*RCD^SeG_CQo18o@n@m<NQcJt^(r6Qd zP1Ig^yAy4aP-{CT+9c}Fyt8?-`kL0~rk>~Z5q;PEq*>~@wdd!WvdLg=w%jwJ^wB1r zPVU95Wb+1&_A3T=`1hhavbv8j#t#awSF8E^T%-@_`CXkbFO~mO<YyjQ%P-JJy7<9> zr1H@+<mCGu?g3)DV&9@+xyynJ@t6E|J_z0KUBaQQyy$(d;QwfWzp^W@G5^y?nS7BZ z`@O)Bo8EZ=hxaJ6B)cf)nZ>=6Nj6c0KOS9EDO^*yVIO3Yj7*fTS9)Fz;;1Yu?o>bF z$_4n$mTz|nl+Tr2F8W7f`fPO1$j|XqU#d*sv_Y<o@!2PN3n@BN>~~aJAnY$}^R6-O zThzWme$O}NzGA;%5%$eLd&mgSKE>Qd`j9@E4q?-HCZ_Yv;I8oVK9GfUr1yUL6XOP4 z&oc#HuS0>JlQFD)k`^!d=W{;y3+#WCd+;Q59(n()z<+r)-Imc-NZjQS2*I$dCh#&} z{)81l&kfN{`-||&=w7(bF~p<PH11pUEAf6ceU11oeue&lPb^um+Ml=wH~qU$j9ZWU z^DXl$gv%e=Z{I3>`xE1PJnOxFB~y`1`arSYanA5|TL>E*`IgPH{1Go7U@f<Rad`Yn zY=7#p&=NSy749oP{RV_?(<{F7J#HoF%yhOAFv5qj;>v#^1UW#uB0?@z?c)D6gu5wY zq{q=E@Zo;`&4r*ZkiMV^VH>4bj%6d%m1;GIRi9#i8gyljG=7CN;KPr7QN1e>c+O7~ zW1JpiC~d|i2sC&>0`bm^Wb14|bTJ{|B5Kud<vl+aCsY9rc2mTkKNdJ*i+s*YD|`XA zs12#v{-<5sTKD`c3ofdGiA^Y%TT6?8bRyjO^)Ol@#3m+?xe(}LaKW!FQWC;0+yhl{ z6EC1!j<voRvvL<nAS-AsVaK(Sw#y^BAxhB#1W$P~3o$N+g$}a&LRP|E4vOnSGc~^J zUv(quN9nbC=X?k{J0BH7o?r0Z9DH4!xF17xJ}UnBc0NuacY)-Y3rIy3@S~Da+8@!q zSyR*>mqN?}{>MdL*aTO)9}(L%{aO@BncLu%FO}aK9}vN=gf9T-f-<#S!?^Pz3nfbg zYm${HaTcuFU^TA0^rs*wNPM{+5Zzlu+tp<7@79;3(E3!DxNsvi_aaqS3MGA*Yb9LJ z6AqMX6zGbm;DkWIYen&Sx8GSyx#ABh#{g6M$(Hpu6WlD<plNVt9AH^J0vhWS3}8d2 zs)U(WW-K0vYa95H9g$&^L6Wfuj)Ex!jbKIU#Q5>t_<;P8G72k6tUU3~q7Z#Yx(M6I z$ptDF{`ytMW~&QSx`o_q5p27{v&NCZ3R?>6NZ~?$@>TE$9$t4}tV`-j#c%z@0yY#? z;+h0PmDVe;LTH<o0IP=zj66W-7;!xbEN&j|R{X-q9N1+ZF){;VK$6~pln9m#mg{3! z==BZ#6yRKtrQ&GHGc50r==_N8hLozoWw|O03W+Bh=~iV6KJ7#!1JY|5Bsn-PEf59f z!ad&L?5M&%hC*%i87~}pyGM4tqzf;U$TuvmJH*^wQhOH?>`*#_D-c=(sS~$~4`o2e znJ`gSS-RlyxKRD%BTFT6<UkUoV)+D4!sUugw8Ya9ncbpzZ72z4l$TruQ{nDh-PQHG zyT~02=L2~NijzVp(WMU03J3fuSYk2S!I0q{tR#yc24*5j4vYdUpeLtL1Luq}V`C;E zz_7yd1l!K*y>`^pjI*S#%$YYByNIRcQsw8c5WFdo4u^mTe`px+;VzHm=2Fy<dR8Oo zy{;Q_ZuBOK;=H%nqVaEZX|ILCRlJu-Q<Ww5CRWTPW=n=Bz1!g72}>cQ*F6h&Zj#$q z(!mh6x>Mp%RJ26`Pi!MKl75<I=}prihG$hxTz5E45vmlxI#aTKO6g6)N1u>3d5dHs zgE{88X3@IqH#cgP!NJXg<lg%h2({8DdqZW&u}TpfshxQ|uP@aoHe0Mi%k$8s<n3?) z<Pcb0_#JMQOWk(P`{?mdptl9Vr3yT1-vY}#<*h7GVS{DcY*GZTsM|z%2(#o6TJ~dX zyMd=T2NTM&()|UHMrqKEQ%uT#FH7c(rFJgRzP9AOssq`eI8`MfL9JJXQUXzozh*Iw zOr4SnXDvi=!88gVbxAUy(%c~_2~bvn>+^a+5cq-tAH*%m>!tX-osc3l-aw=-!^A9E zZG$=pg?HF29~;9TYXY7*Y_i#GGOf_-8Hc(`>XwuA;Vek76J^U6fXW4Xhu=wq_yw#m zq_hs7E`vmp%5nd1Nj($RYXwkh%<GHzARYb+F#wVWb+LL?K_GE_v@BNTB1(>pRFNbm zH9R58uHqrt$R!Qkq<Bg_Xil2(&QnW;>b(N2v_Y4$0>Tuq##?AGMg%LRA(nj9XyD@s z3q=kwL;T)`wPMJsQw%nQ_=zH(lv!piR6^i-JSu0tB;iY};!0aI7_GghCforMYbZ)r zV8y!;Su?PgD<Q}I0pmTtl?3scUKV6wIN*b-9mzdC6;==|YCUGof+gx&5JE2hX32+a zlv|O9LA^w!4DO;-KPHm0N4`~%-?8E;q_(jxDA}~xrNo}X=DugaU!UgHEN>cGahxom zMZd&b)?b?ucY`-T=3y7Xi_a3p4FfJ~uQ(eD9P+7<K@0^GuE2s#(vrobGsZPp{F8Yj z4o#_<_LB2K;<j1#tX_j`S(Kv?h=e~!FPpH;&1Fka1(>Ffb%8xB0M5VBsFIG4rG!wc zUoPyTSgK-h=mXTpP&`qQg~g;-nc>VcpIRj3RDa;(5lRajX-y22KuA<`R9dT`fdUBB z@|a;&TiQvYvRK9`8|pX6Vx_#$k`Ykelo?Qvft=44Bs3Mwb)SMDeYVPa6A7U#+R6I+ z!;Gl1M1}=Ttax5wkIa_87*io(7Z6?EjI87$9)J3%FNJlP|6<e#*<;JhLXq8&wKAW5 ziFiWJd6;VBCw`J!zM8QZR+A3T=tGQ|di&7h_bykm%1|XG8|6eR=fnj(470Nmp;F%J zaj56qC3D-@ipRHI)fMpVG45$u$T19?c}G}4`8+9qA42Vcu@<wwyzdE4co5~kr6|@Q zryZ*v2>lwuwvm;~l(kfvIf&ASDjO?0F{Dw(&Xh3#(SU#4n<|ymP>H-@TnE=4rR6r4 zMpeDd@1&vfgST8Jec~O_s-pw~BIt-f1RG;x+{54+WSP@^=#va`S&c(dRx(4oZpz1D zFA;^;Hjj^+>tReM3N_NQ)iGZprUz70(wk#tlSod`O$(z^J6~9WaEW#^UR8w6Eh{X| zGH(kVQlovaroH5F@i9I}ps%<5w3?=S=*0h;mO~cA=sss$K?FNC6Z_3qOB_CZkg}oF zvXLxI2s4i?6r=5#*!-IEVSj6|9wkfh`4YEa1q%YfdI-}AdYmpec^rQp*K5vG@+KX_ z&SU#0%461S;RL(%O6jW7`X_y6e{OfBY3i^@+CL7v`$F9#I?1>dwM{I6U_>gyP6Y^M zWPk@vW}$fy29eSw2fVot7E38cuVx(o2y=)9X}y#m<6UqOZh~rBD+L-e&W$Q$7SKHL zxt$2<DoNk;@M3#fJUr3F!~xZn?NSwGP|GP&Wtc4MiWcB^a$8n)2mEqcZ>H=r5s!ZJ zOA9ISl66_B{fxKRYK{aR@<UT)FNs{Lc7|n2JPg@N>Jy(#HRhNkve%OIq)gFPetlBe zz}x|$y=G@!@bXZgCaZU8v06iXy_)=}DNQF^(v(rP{IQ4m4h;B&!NjlvHOYHjg3wz~ zE$K&o1=X~expaXHOh)liPb|Pabp{wiP_<oz{31b%RTeXk&y(Y@sy%vZI^z)7nf4*y z-|(O+WXlMcp%u*3S8435Bts3;mp_AP>1q#{(8Jfw(lotCX^wmu_u=Zzk~NEdf^A*p zR=U;fX^v5Wj(fAfCoE_JB#$EUJsA`(RgsL$8FJxi*hpo{OgM$3tO2aCY!6XYb76c! zEQnxlTtft@ZdKMdP|bQ{q6Ca*y&3T=ywt-TVgABNY}Au3h%N#{9-e8V2{4d*b(pA2 zvsd!d_d)CyDGhDjvLWf2c$G1q?o%8yQwh5H7Yb%8Je7}D*!Ks~An{zpS{x}W0Abh% zfQudxeP$^N8PP49>4vG9x}A7M54NjBN(OK>@=D*ZW5l9DjFXW^50`uP(B(?T9>>&$ zOloj-lxx~CKe*3Z1aNs1BpC~EsP8KDRBWRG&jiLKi}ai~Sxp5U1E4kh)2g9FB*`aK z(^jU)T!JN=M!i!+Hlm=qCQ$(W@tar)>1%nIy@mwDB#P$yn?Aa_UkFY)vI~Mt#zyS$ zjB+UTm_8@1dwP0fZnK&9GJNJOr7I=l_yv7GFEQ6bY9`c)u;V8V_F^G$v12PV98k<A z0z_W@)C^kWvhg-(n>_(pc15BtfQFGIHavfzN5+E@J*=qp$e_+^=&toW{%Hiy+5H?u zx}0{8ytF8YO6E(u*7XyX7+U9}7?PrU?KKiaZeU=KHPh_!tyy{yjxFPuS*0cQQn@37 zbm+a5_a(RjDc_GO9*VbQPMM@cN<6KNQP|JnTGN*bC(Iz9GC{{Oq7$YI9$F2T8PxDd zr=O^ilILE#hu1q*WD^UElwn@tTuF15IrQ4jH$jh@|G6};Y1j%B*5WZoveN@ZsAkIB zi82tUbTRVS93(MPk-}-qc!JeFazU7E=*DbfW&_Hw?h@4xm@+*_m(x`8ahK*x%0`eK ztYl4g2}`><+YIhDmt>g=I9BqNm)bct()H2|p$q~TdY2#*2*8qA0PmJmUNGEB?29*6 zfy7D4Xv({}W{UAaCz@P)VgtS004~g-lRi7Xf$Vw`FIA=R=*Hrvq4P3S!hk2XfE9&J z7~>KOK;>g57mAfSXQqz*a!;wEJ*!jXPuc&3PNj{TA>lzW*+FYhR7h?SIFOSNK}6<O zSSU(8N{OIyg;tzuU~b3rpH=EnItQy{ihl-aJ%Q91b0w-X1`!cVe1<2kEUAhoF7%&a z?-)WlYOo-+#=OLsM!k_~oV(jxhvu@-c?8utcqGVy>-jUwgO}swzW|R*Jy9DL!wNL9 zX%L9uDtW8x7n6#oPh}dZ?$s+I4HC=e;m<|U0<35w26eBu7pkiv`7&7yu*w{8xQkxC zHNcdr-VI9Ijlm&^!;8Xg|E?R+b^RI-tTn+3IY{K7lNjHtO-q6lkjo*{av9lTfu{5v zJwuXyW`p$Z{TovsUY}?P4w)|@yy<T<-9Qx#$MvMo9HSQ#*l-Vzp}|)-EnIKh{ty%c zAWp?Vdtab~MzWR}0ukiOvqWFaQ(ceHl7&s`qx9m5#%*B7qrK9HBe6xCiH5fd6AVlh zUbSb0d`wZ?eUiewcFTBuMZrqA7KSJb4*<z9$HYNY53c8BGHB@RR^x{`GOkV;2wQ4l zKl_3Z<9r|-=9<X93f^<Tu%A+i)MFQFU|(XJ%A<XZfs`T4OJ5ghWht7_sRx2a?)`XT z_M>(m+CyJHlBK*|4bj!aw8F5EAm_4cha}3}TA1@T+^%5aZxAsI(sSuSNyXzr?94Rv z%6W0HG`+c0V^fWVi1#L`anN|vOZ@8-#@;O0!7TQ%-If^4M7i{ApEJQ${mDwhIS;V5 zT)&vNJJ{q&N+cHic~lC^%rma&V?1>tBDf$39h1!{Qf@A0%QDlyJip&3$u0(i>opba zA5UGiZCa!mt+(y(?lkLqVV8-=;3t?M1fN2JTpRJh43^6pzmQ&7gOe|F7L;Qkr+ZA9 z_b3ukHun#+MaX2EEy?Jaut_C3Y?gx#Hk+}>DdKvc^i;6r20z?qUTd?9Ouq4vLw<eF zelvW%^QfY+(d=T@IDJRYr;(W>d{XCZ=1S%X7F^Sxt-tP}1smR6P>n}=m{j>V>ELA` z(gLy`;IB94rjON3|7Jd(k&GuvxqKCId>h>>I`_NGQqy8AnMA`%8mc<wE*gHGdbPiv zei&lr>}SoGOBj6_czv*y*ckIg&y5FQ)8+#)(nA43ov<p?xbhMsL}kk`pVFa<uIJTx zgI4h%HIK)F^i*l-rg7h>U18c%?@<5!yF%jL+fd|6|0A}jR3Dq5rcrH>4Wootyu%AA zi>3@6KR|0q7N|?!o9zdN7O<;dNVg27l+(YZ-TI<;%LBJpE0^^p_QPA3KHb;K{AWLv zHZ%t|5Bklf23<a0YPHmNeU8nQ<9V`vamPe0$@8hVve7>4V`O*Ck&QDGi+y}MqN#^K zMog&^z{!WFjX7n?R*znOIA?n#^6kHK;g+v{?3Pp8w(r?~#q7?$!~e4Ur0@Ifhsu80 zjAzIC_P>1L+}B2Hje%h`2h;b^`tq3%+FSkB(jy}@jqLEQg(n~3Sh$Nf_Fpkvt_-pt z@~*G#yzR!r<?@pU%tf9uqgbE(ZR=2K4jkNg<-)>uZyCSw#weCy;Y!A=FP$n+jFlHg z@`FcTd;4n#Yrp^5^+%7cPyWqw$$j7WtNV8T_UZBU@A~e8$G*CAsqyRg96a{B@9{f! zJpF+yN_t_t<F;O!Yj;c#-}dk|8_T>6AAD^4HL0m=;=M<($&(A^uWV{RcVkKIBuTTw z?Bh#(BRQgdjO=H8aQn57m4^HBC;xWg$v<!W#qu|P@z*Lp^WoR*{QT2TKe_Gb;e$^- zT{>KT|3|lNIP&zXF56exdGzVuKk$L~?ceZ`+h6#|*u^{F{|oD%I{NC{UiYi(zjN0@ zep`RvJ$JqPEtlW(i<Rd$KK)qV#_?~wc=*A0H2RbM)R@}HODgq>FAv{3?oJJUdDjC? z-|)<$)l3HueYtt=)=%zvXvdD<*#0wn$9HZ*$0L2Eht~VAQ2Bg*_|E3JKi>JZQ~e_k zm(!BT4}G(F*Pgs~V|k=G!rAmb%gcv`^Pz`&`nQjaY+S5t`sTv9+xjkE%&^H~-@1nk zR#9F2uZv3y`AEC(#`eN@jk$59p}bDRQ2M&pm9M(0oR{*07k}mHYj)rM#G~8qzkkP# zpK8>P{^HRO)^}}q&%mDl=fTIndilYl-|igw{)?ad{<;tT{QXzlW?za4Tu+II;#5z) z5$?~f+Gt9d*>Pn1M&>Kb1fxy<VxfF$X#5LxZ1M;;>3xWHvW_aV9h>aGui+oO_O&CW zp*;Hw_Hh1r<7<EM><e#y?KMBQZQ}D!Kl$(P|3Itp`KLei`Fx-~{PG81eC^iTcJ@Db z^yvd1_~8Brj=cSakEEqz|7Q37FLWk;@tgm0{qyG*@>?(OOaI%Sy{&Z975U$lfA7e9 z-u})LPk;FS_nM7UH&+%y-&H^4+rzh-#pfE=AKTho)2DRTpt6Q^;AS&XUkUdzl&JUb zt{mR0Z|KdggjrS`6`*)wd$htk__`^=y3K&&cC{8~vbZ(w$?9T*`x)JjZ#;pWyff>M zzk=X^_a`?BzjWqw(thgn>ATo_H9v38g1^NeiN9~4^9}z#59xcA{aTpc8|F{!dHYP@ z{iAuhlWXZGuZZh}v#i+9Sd?I5!gP9{XL&R$p|v)Z1-5K-tknklCs@Zj$u1?8seW@< z<;$AcpIv`^ux`wwZ}S(wtut`(y`2-R+V5nUYfUV>#p<KgN6R0N`D(rFDzHlp#2Q?Y zKY7gFJTS4ZYlGQd_PedI$>iRB=i9`*t6(2(GWieL<c!t{Yu$aZpL9i}PS9It$BAzn zUSpW`omUbyFyHCy5D7N<;mM9{GO)Kg-#Lr^Z!?qC<ECfZ>^^=9XOw&mxbw<bs|^q_ z`H!}VxgxF~Ubjx`h07~Cn7B6Bgl$^S$|fv&W>cxD%@6M0-K+KN^?j<CZ{8=%u-1OI zh7>HI|0Qqk^#h%IJDn301e^Tj=-Ga^C=tWVOvO46qD|JI*4m`AdqtjLlg{AEK9tVh zee>tFlkWE`lpdu__QZW6U7H4XO*U7v`Sd5)<SCI+0Bpiw&bn=OH*C;e2H{V1WRq>% zu*tL7<mLo?PtUGh1D(^e_x5(s{a_Qh;Jpg$XZ)VD^s<*d6?p$>JvhH!oV+5okMDg? z?Pb*_SMR>`QrZbE;91s@&knG=GT4Nzl+SC+X4fX2f#b)Kpd*{yFnQ)0N<(?r_{wwR z?qHKMJ?Hw?wv$y^<@-rCVPC?)&1ZIB*mtei<mBG+c{bS>m!OC2VN2gdl@Sy+{t=sm zy%pN0dA>eK5%hNaTe1mr!t(JK_!b$@<|n@;cCtyEeGav~9d?PDn@#8B4>aTJq0IYw zwdrRQR2SG}?+gDA$bYm=I#<NPoI9uXqHZMq?cU9PjI#r$O{YgTVJE;zY%)K8x_{z% zYS`?)ut(thzUzjQXZ}R(q!Zf7MU#`Atm9f{;vBC{JBEf6;QV$SZPMwmpKylB{&aW0 zqFm~!_7&om_te<0Nb-?xyp8)7O*hP>{wA^S(ocnS?B&DN{>O;V#V57AHD!<AXUnzn zA3^sal1(dZ0hKsAXO=1mdlK_KcicbJF1MbUo{H`sV}_Ulv+uD8-(hkmdD-iLP2-+N zC6A!}jJ%5~_Bj@1F~$DGp@^p!iu)C{Z}E-D$TQ34H!0m)*^>$H5$(Aw*R*#t#1GXf z(Ix(F<qdv1rpwTo$xKg9-v{4fx?PmjO!e@Sd$v3+SMkD^TlqBKT+yoBq3g|((0T$? z{OW$iXBv02-*8y_7dPK^*(2I-cq#iAyZagMXlt)tpXr7fkOO~($Fs{GiF^5~tNa4K zx<~n-xb&Uy&+?HGRw}(w`AUcgp66Czq7?YuVM^@%tB$<$nbJ&_!_Spww)R&?z|XK3 za9DVS-`R!^dHH$mMPqngSOa$$PqxTf;ES^I-pOx~EEk5m`y1JR82G%i)qd5=-pYQ% z9?tIm#^`E~W)J78-d&0N9PgNDPmq0$*U_k0-{I0eMjkXMt0tHgv%Dqa=b3j?;UuQ; zDqA5(dKZr-Gwf$%ua!C*c7+|kv@^TA&Q^8WEsUR4`;7aU6rP+terep&RSWS0?9<nN zqB9+@Xh!XA%wg)gC!Rn4GN=cRUphHEv2WYS`Lf1z`ob<0{Xuf;t=C=9*RVxdM6o@0 zc4%xb_6>E@26r9&R)IMHeW{@Qb@JI3{pa7~{-ISIVo#d+f%z~41pVet9NYIz6nlZ{ z6VHo!VE)+}`+jEGL&&^|9Zg~H&t$!`S7Ul-a@#hkZeV~N<3aM1C*vM|rDdE6IQccE ztld2*oc5N_cJ?W%bGHB4iO)^dM{9k*&X~x^7;Hj!cWLL+$${Pb7&CVZKmV-8v9&ho z$R^}7AL6lzwsNu4+*d`9O^DmasMo<J+GW;(+qTYpn3FJP=t5zW7iE)V_SP;M7wJ|* zlJ&>iWZ>RaDkTbg;)Jr;WcS)Q)eVJD@Vx{mSH}M!_*$EsiDP?rkLk_(c6V)pwg)=T zvP+-p!Nx-Io7~sgtNnkS+5X*{&&*EF&qqC-{Ndyub@QL?>?SUP$}(BhoV7ScLKM~M zO!S=Wi8j&iQ+o0!9<eTEPwVW2+6m9Zw3D9jv5}Q>P^XBOOtlcNDSFs<SL8eyKA}>d znCLa3ofx%~`ZiN7t2Xky(}nKHIs0SUNuY_AIk{2KB_HtJWUH?Kz^b2T^_6yFe#w|C zf^2K!qkqM2AsE_8ZJ=rfw0DwrG7z6jy89V#u2TwNpxP7KNly)((@x_4-pRTN?ZnVd z^eh(IiO4l=QNt-<O%2mV+SztDBD&hPI=hd`!baBR{$<;IXY1z{i*^!hGHH%qI!im5 z*f(HKhjPvLXb!%%Zq3rS$tLsBCY`+}!}i*ap4_NC9W~^A6U^AvP7FI_2X@!DO;$rY z;d!SEg-tp?#wIHj3)++4(tK+zrpw@b{ZfC68o2EkHo2lp72R$-IWAqTtzQG3wKhR+ z(bsp!K8$wKwaL7;s<W?C?S%d)wiB}#HNdZbch~_v$#YP!#g|ULdetUt+X?OT!givr zq%(_h_+$=0$n-~>#C9U)g19em<jUL=VDDeyuI_UTcz!S8?Hhai{zIhaRbKpQ`NH!X zZA{Ow7U6I~|4?_IA|JO`KD^pdegzeK50wu7---Jd&8A`>V|Slq;KRPju)mS70e+^S zW3n@|@3tZBc{I<JBD><8#L{=lF6@Q0<vkAO3PZp-j)i+TC5#xsC8pS)m|c1OG%_mu zI>}mjH10QKKcw*5-`I6yd`PF?+X}vwvU;NP_E9Qb_VH;ad}*{uzrT=o{~pldD@X7U zZ)%NiaxSCs#``ZvEc*%ro_&sSe#Jh<J)--Y6@30S(JQ`98egPi|DpWd^BY(AKlqRt zheZ1rB|-Y=WyXr`AnAd`kq%hd$H>0QInO>u?W-iF;ODi!Quu`jO?3ICanndE`0qdI z#lo1mR&aWr`Gj>|X+L2t7=>|+vC&Oj!)gK>UC9vf>Cb=M&XH?4H&Ie?P9tHXeT#B+ zPNQ7ykDOCHVeV_)@ep3KkJ5qYxnaLmg-rh@?q5uV1hnr`kUkKc;0-?2+MfU};4|HM z9MEbImJ%4V+|SR}{ouf7{EDM^U^(3X!J8wAh^8-~49JLca=dPU!!j=Xb;Hg@d}Z?! zijEN7ZV1_|@G%_kX%I0cjxnpTHj<OVas{y5mxGU+Ej)$miy%(nwE>g5;6(qb_ow+R zk-8GDe+;;Sh|(0G-6Vo|$Fmw0@IU6ZLg9+Ykah*THihj5LhMSYz{ao*B?x}Ed4Caw zBaE)%?wq_amvEm`m<I&wZ18Xk9^Hb+;W6P>+!gL(<mzwLRUDA4+*ec*FhxZ4uiojp z3rKNw0H*6AqFclZtLrC$8mY;243f!gK@<j!?#LnbKMl^0_q1ZqU-P^qE`<DfxEP2( zjjl#0Z2<b7%hsatr#|+#g*A!-2BJb(7XrHZ6(64IwSnk&U6fKf6AMuwLB()E)6M8S zWH<efbA5sIaE_t`Ef?5-j1ww@e%Cr5{&8&hRWBxUMQWEJ$kh3)ikU%|gdZ2#W7LHU z^3{)pQNtr1lCC~PEkPCLvg&NZTna2<Rtty%cf~q|C!o8xke4NS7XhCDLae<4a_udo z>??9n)!_6K#V@WU$_s7|p(L&gJYAOr5h3ph<>R}`zKb{bRs%|ycsS|pAO6gHz9x&; zq*k|t)yrbvm4)M6y553KY8pg)U6vaVq+g{9t8PPUm{4qPiPD?x%|TuY#?l2E=@Pae zWu;BL+AIDI`m%6QGo)aC#nWB2$H^B2ljo;ENJkN43U>jeEeZjzsv}3jsZ-t*dYmw! z6ahHSste>9G^2zfD8!Su2-x7|=8LNv#!V9INCvffx$x=<7hj;EX9%t+5(aeR!f*V- zg*3)djtq5)wbFO%UpJj7LBkCi#~;8=uiFYBBq;L9rg)mwdJOivx=55vB}tt{U1Z^% z2N&|;m>w0h!S5%3Zd~vuPAwub%2+_4_;KDaX*v#gg^O$!1I9Qnyt2u>)^5}+%O~K^ z$y_2#_Or$%=*0DV;p4h*V&Fqzh(s1BSc{{wC37+CoslFashL<W5=SIquza*zY9feB znpLo2r8X$eKLN|K2j4x>MWn{GT;>zTLUfsuGcIfzggnQ5H2Ffa<#9y|eBhK=_*=&T z>e$smNR})F^zx-sEOsWGI?vX6@g`5jz|I)k2&Hm_Ji%t-U4?6jR_65D*L<u+Ay7Hr zR8DM#4xgnvwADsw&s@r+dQg*%%0#l6#7;GXyED@k&l+&@d)*>9Oj(&Tep*;(76}81 zqoC|nL@G>~)WR8?CUcyNLxj)MsVd|%=79C1&LbTf3uiz{a#c|Y2)GnIaF}3&M5*x> ztLO!KCG1BC+g035*rozf{Ru5hf(t0j`A{0i67*zN=-iAqi|U`ckmvAbC3X3skgAe= z452(FO3WM>$z>Ij9wT>T%XlkF(CUD-#Nu;_85L%Ui=u~naSI|@0=Fm&^*pI#z^ykc zY0b|ip6)jr$`i|e#*8CBZZU8(u578#;}oO(qPL-|%RE(sNZrT)0Ts~lKm}B&@F=A? zlX9&(1~#ieZ3{^w(vpxxt}Gx@YZoxlA#Ztf18lgj-uQJwfnHVT0#qvUB^mdaPMvYU zsDMbAmAb^Zj3N%KGs^@l*IICE1M++IAgZ4+;G!qoBhuox!6M?RcIaXiz>zlquII8L z5N^_gXuVnQvW5s#u2O0Q6(T(=nfP%9efTL*9t?ksC+kcPfggo-h>m!))C@i!WYD7U z6>##Y9?A7la`_$G)w1g2THYRpT()m|X)1vfjD<vGvXn3UIBUS-_ofQPka}4sT2VXQ zJ)TvtQ1Ge+5KD5P4JxFiv7YB+(m1dJ&%X`Hn|MPwEcNu&ln|Ur!D<~vbt_HbvqS|5 zcn@|?!M3+#(F_J5=)8~td{!BUUseR^daY+o?vly+Hq+{?nSRDoeLV5VYaxvbu1)#d zFfW4_GUPtvqcGqoi|*RN6s4D2h-$$jB>x2>kWeDFEjDzNsS~a9<fr*W?#G}-cj1Ac zN_El32>#l=DbgzUsK?Bg9aS+~0LkuB_5k~k3-=%c83yp&m2(zC)|fGQylzf6VqAy~ z`i1P2X$k8hP4w!Xd2U#eG0Fws%1tS=)ll%dU~?2IIif6-H9*qMI0pSi^qa~v@kO-8 zdHv>RDDW^tRfPfTmZ>b(0LC4D&I-NZ;9Ze}L2m(iU2v#ZK6oTaamk5bgVW@$i~1$$ zT<AIbO=1<|7c}m5vn21v4a!(QLrKVCXUyYZy~>A05D_RT3=|~{GmyD}feIy5xlb@_ z&8wKg6M!KDmczANW>KQB3rd+Ay5k_#G##$Sb)60E<RGS!$eM>t=407ZyS&mxAs6)^ zN*<EQhOUuNVvD+$DId~#KP^GLQ^<GQiB%|;#AlwD^rqURm3zww8t|T8tJ(IxWEQH| zn^Z$0o0OXA_!2Y`Hr;_N`uEF9(l*TrUql5bYRCq^)18jo>u*U4^#+|sSs&MM!`xP3 zzu99YMuA<A^CP(SIB82Ez2T6jQPLh#{>6+t^YZ8ku21<eoCA@0uQu1TX2NqKW0+Hb zCc!dy&ck6m^pT4LVKZ(KY!4bpmP>^4xx~r_aC8`x#P%3yE4ev*GG)<}@2jLkJ>Ilx z6EljjG?vhQnS+IrC3CnFnYp2)R*uy?s~#-HG<^h#!{p6&s!BkS>=#X79-=D7t}_c6 zJ!qSkYQxS?+tM|AQbzufv2m8IX0}BM*feC!OgnWdZ~6dC^2=NcoJWH(4{^h1jl;7{ zJwQTK*c2XmLG_O4cEcG#uN#03eg1l4W~NQE<k3Ie`>A*1SJf=7)+WPVbXng}JuS1| z8o%#rnk7rh2u;e&Rpli(LS`l<MUU(X1&EM`D6vYob0a1ZDge6oQ2D;g5^o$DiD;YX zfO86wq|n-kG*f<=F~RwFRGo3@vRU>o@h+zuqHbV9qjk{}&{{4pH@%6a>~->U&fd5< zZJc+)tKXALKcMY102^s4j)-NZSaa*YVBV7K`B*JkW@kK;MfAT!UZ&rCWUj~cE+um< zlQp+5W@ZD+IKFFMv+xlwE(~oNA&S{$+k>)P05vG)=w&7~6;Ts_!f{hrmv8FM<9-td zr4gFQsRJ%C3ncUF9c{;EW?%_g^$PmPNQHWxY2MQgJebo@EM`fi7m-qv_btII3wg27 z6The4!8_(IPrnEqG+mfhWG`kC_~ODTOR8zpBg!;f9^EJ28@sGI0FT*y3s;489*XW} zp$1umf-67Sd8X`=j5WyVl2NJS43LHcGUY0#yJoUuGpYLUwi!hk^c}Ww3F}U0s+G#I z&DDuYpIOW^#329nL(qLpx=o)l8N(YIGdybwEK5~OIz=?2d31q_5o#k@ncRjJl{x|> zQDOlq4rQhHF&p1uHjkmMv_fs`&#p?-X?5%A6jj7twOvPV*(&sD>P+bXo3JzUP_&3K zbDOO-W{TbCd#1DL_*CFwE!9jlC#JBpk2(`FYR(q}jKbt&74H=AaQ5;Da##Bp;;Pjl z_Cq$c&YNY5;8lqHDoSIC(X|SEcST2Et%BwzX7ncGJ<9f`jcRJfJNl%M5_W==p_Gwx zvl}$a&m=aPGxza8WP08BTWK7F*_alDpy<VM85W_u4yhcUo*-57KN3BawnLFqq9IMK zT%BSmS@94;WAaD9WZ=dKK!HC%N=1>UK9p;gXr~8*$z(R_JDov)ooSRM=*$~t!W)fB zEu<ut{Yj1BClgNss7jf6l@?Ob*(fGt!xjj&djNbFygaMIQ8%1d*f~XXL0v$dfQ<-; zQ)B9b{SHqd_{>r{xx|Obt8qxXKI9@=dB}7nY^G}JlR$W<Y&b$2eOahNNktfhW6o(! zV$Gh)OQy1qU1m&kJ!P9?GBTIst&G}gk;X%P89g~^`Zn%yrZr99HD{(!<>1>wDNKOC zGI|q4I_BI;BSJc=KRf~r=AG%%K}a`Dd_Mfi(JJkMoe^fSLCXj0DSI+HXa^Tf>2ego zQ96!<y>{HBR|P9YHr(@>&0uNy!@ZmzTcCTQRb9y5^cGm-x*psW|Fp@L5T?n9ES%az z04EuuFUGXG>`^E(u!V1PpZP<4tGoiadNm*o0dC&RNiEob*(>DgUn+xX35@tBd|*K- zvhfM#G}JCtFj>)^Dh=03SM^WOmOaB|@37B&`p<cD@ujOOsZ=_SlqUa=&N}8}o?O)X z^tr5NU{DMqxIrM?RGNZw;l}ontF!EaMU%Wz@^lgbwM61{M$#+kkk4!RINhE{T=rpS zi3OQDGnUv<&A@rJM41xHo37>-V(XTy?S#o@$150?z&PfdGth9Y0Q}ubKpyXT`*1!= z=*luzo)M8LC~(Ds#SmB|<uX?`Es1|JkU8mmeTBlyhjcV8ydfk^tPIMFzA1}%<S$@3 zA>Msyr7S9vD+-o<KN3N)LLbb)AmbtA#Q>{l$PpX(z=1u6h|jm5l+Y*RCd*<Wiu4*Z zO^c4U)vCr)5(ejl=bGa3x)xilTr-LIUW6<HkZ*sQnZ;8L1uN$D+`YVA@OVfs=O=)6 zBvUgkfDGj{!oaKuT0Z~mdo;oi<;>Cn6+s>@IRSj(cAeHvN?ZhpV|$>7IFy>;TIdg> zKl>NA){S|i_A#E~WeU^g(a;@xioBFCaGUM*E7|90mWFxf3+Y8)7^a)R!#{v_y)tAT zh(Ghnj`_6dwHPX6|5jp3LRDl}yXO5m!2*JE^{s}SMx(#AcoIbes`gl7^8N<1O+7_d zgc2t8ZnOF-Lq^F<@)oi3(~=zs(eb|){MrEil>JnRw<}m5d^}b>**i*Xi*OTzP%wHy zKkUj+Qk+w!y2V~J{^=RSYVoZM(m)6F>X*nhkR4k%*BYhndyp#3mL@{qEY*ONti#~> z;VHlNEp(St`BR?9i3W$qxpZerqU|$38^-W2Y?<4fG%Q^Woj|=EW~J372ru~sPR)bQ zS0o+Gc^t@iBvQFc2o7ZIP?L(1!B&tV(F#<am-${#ZoVjOMkuRaWrVx#9W%pxRXaBu z>WNLq+su<Lo8BeuXO%m5jVI|_>*nQmj^`O4Gl9zkeG}QDN&Tk5vii=X=U+VTUHZ~I zz4Fc4*k9>e%-b1lzu9W1wMvt7+*3Y#vKG826f_jEcQQn)XBApqNOU!1Is{ydruPiB zjCrPJ8pr5EtYcTYt@WdUNREaJK%*$Ri)V;-MvS1FYf574v6;>H_ATu4petoj({&6T zaFt&jI%JwI@#Bl*OQGL6Wb95vt(oy(zG!G{q?vE_rqSR5_MkO~b~W<F8^=lfdp`3_ za+o^9AMiBI1<aha)Lmis_36@sW{ROgzjr~y65s`-$lEoMho+Ls7iw=W+Tj(I-J97@ zOpJ}+1e(HW#$K74oAB9iDSyKdr<Z5EeCLE$m2UA%Og8z%lP7N&W$j9TI#qdY)1Ot} zy)k{)zy$4Sa0xt<!-^X>%;wOnf~(;Ds^6G9%jf=R^yY>Y(tB(YV>jKoaPE&kHgc+` z)#@?LhB<u4!YzNibjzv3f4gw*D?3k}I{MnzzW9yLz4$-;oM|=ng%uOi?Jt*yQN)VT z53!f-tE07g!=Gy&J7C-IJ#zdOf9yAJTYvwqC%o2L4bw}NO6KAR?|JucUHkhtF8uv} zUKoGmlgHM7_$xg-zj|=zd+z<KpZ(R}eD5!R@N2Jq_X`If{m*?zKfk{38{a>+aP-^v zAN%*;|0E6R6@JIzB)Q_NW_@dzU1=r%@&`8_PdBC`2TJ$#P@-hu*6%)8etkZ^e(+G) ztV{gB)~6pUkMwP9zjZ9Pf0XZ}4%0Rn;)bb$>r!*?-9Om=ssH=I@lX86bN}Sm{@~cb zbqoHQTaWw7Z~fhqkNvBsKlSS0{O$`|cE5P+Sn0r%+i!W_pFHp%esJXJ#fusreW<K2 z!zJr}_-}vrrhQj`eMje>cYkW+UAtd>sQk&pAL}&-TJ42fp8M35kFvA#;ihj%VL@pP z4}_nmzyRp@$*=aUJ9UT9`UYrlOmg^d%I7xioPH>6wdlJV$)P**a~J1(8p3~dbkB1; zc3u0{-JkmO8}?V5^j+(yyh=_w&f?7QbP<RDX5rkIc7CwYSfrhlOtSRY!jc*PXMcSE z{kvMUuEF(M8jnr(9r=ylxc95i|MJn!(GR}o@4x?@!_R(m$M-*f=FHFR_?vrg+wj@< zyypLW?L9~C{rYFOA8u@V$J1Z`{^6s)ar8}p{~gBnzPBGfeE+8Ufv?@j&dMeom;X1} z#^XF2Y}#LX-}_9%HwH%j%Y*5S<H`C>*o24;n@2uCo85T-TgS@zpZ_mA_2?tvL;YA+ zGXM0&w-5jN{Ki*(`=8$P@?RVI<p(zPXV>i6HC_MNd)~eH_Is}A`-OMkHT3O&b;Yq0 zBNHDgzt+9~=y&@c9@_3#Z1UfqKJu;Cz4Gf{>%4f+JtObh@mq)T<D33<mdYkyx%K$O z*DK}n!{@b=V3UTI3<0|9c)Q`QyNZu%*1O@t^$uG->RosLOgGt&xCK2cp*i%;JQ~iG zV0q?ofHkO{oPIh&XZQCW>Ks0~?<{RDtsZ{``x*yM=tz^A;{69Yv+42Q_NNC<5AZ8= ze*6_DSX8aG<r{wPjM4cgtdPC=&2N@#O!(RtZtsLb`J1j|5$_K=x5u+kO#gKQ{jB%x zKRG$DG|>6$pW(!l-xb~9Y0mQyus;0e9uCKuWgF%I2g()aeXu(AO!g_bK2J&D)jOdz zs=X6~{aROC-xtzyqhRoP#Va;ysG|I2-qAUXa#eB;<RJY|fkJ0LLa~A`&Q$D%3pdY3 zu?e-Ui~kV@1)_)_V6A)SWT&u+&is%~Rv~ZC?w%jKcT;EI*}pFzPph3*YG31tm#G_0 z7qHyG<jpCiIo&zk!6yBkSDtv;zR8<er<=b!7z9@PZjO4HoxJkO$hkM@_<Pu7_V~Hk z+gZLVeED{Bmix@{$=%I?&Z!;PWLEg(Pq%gJU+w0ztf`;m%*g>PK#bxC2C{RTK41Ap z@}0_GzW&z-Pxpp(!@axNCBnMmRhw}3oNO|`(RBWFp!2-M!zSW@GX8(DNpYrPSNDZW zzcbo-lzSJiEPosn@w5~EJCADjfZE5ZO=3%Fw`XUu$ZY4{vwxpfMM16F$hnt*XnXFR zJR59s`t<2!x3(6ZU{~!~blm^fffl+|o9K)h!Sm?Gm~a1!KloPf3(wEquKg!Kd9wVQ z+<)`<WM|*}eB~SD7Ho3m4`Vy|g<t5*-+XrPH1b|Oxm)`it_=KY^XtCXd!q7<4L`i- z8?XO$&RpHCy%f*yKE0dtYi)8Ywp$XKeJTeTbZw&2{C{sJ#hHp--4`yiz*gr`?p?eJ z`{ST%6GPuMX$qTk+lg!w^?=yB?;Y%HI(dU^Lf`em@fYa3IvY;YJqUJpI>9E}PH#(g zZ)_ib;Y8S3!+PP%&#)UtB=wv3?UQTF4@131U!m`+U=xma>R^+=tbejR1JT)&lh6Lv z{Ji}(dEcy5-M(u*hkC`n>kRFr{6e?y(q5F_6CL(vbJimL8{B1+iPIPMUB}dS!If*4 z@~`c?{xLSuzQeWWG1lTQZY%p13BST>KjNBwih;g8)ZX|+K5fH3M`oTyI`-<dB~KT+ z>2Bc-QD(F3M&1tOW7+hLVSl1#17QZr9zTA#$v79X*soZ)(`+|;2R-B-%TMuqVXuh) za+hwJ=bQfxTJ{G%gTLSv_cu;6753mVYbuxE0z4T+H$I^h^ol6(Lvp9<yg|q-z1Cj& zYLgFTZ?IQhGSgqVUanqbcinD0`x==tv;UE@DLs2PKZLUf{2LW6@};ngRh~AoV*g@{ z;$G;S$KeRw{fX?c3+FK+T;RKcH^sS&>`&CWjVpL(X0~&r_8l$lf6NZSEu_==i{kt? zev*^hrGJ<4kN&^xy$_sa#Z~WHd-v|n?lT?w^w3NO0+Z@vOAaF@O&SmgpG<Y98T#__ z^booS!t-XDJRTSGyk^Kl2Q@-<(~O4!W0MSoV1za|F~b-I{Un-5UeYm$n2ZcuF&YgC ziQbq$8b^Vs80Wn2uWIjePWSXcqNw-t-do+fYSpS$t5(&je|w)@we@X6p58T4F2#RX z@mClh>VtiY=Hw5Zy{Wn@?pLht+H?8I1K*ckVdls5y~Zn=#19OI`0OK*??w6dQO75o zcTKTBu#{De-*imbpZNMZ-%m{RIwJq<i3~a>Vee%Bu<qyq@(;drk*%~3Qg`{o?BQhJ zVz#deT{>%jWY|+#_=<;ZSo<Nh|55uTL;8ok+CckTl2;lZzQ1^-`IGafc^Z9fJP1at zp^YdVdTtj%z%C><ywIiBj6nxad18PmF^sd~)4oT2r*Zed!Bbd|@HOS#1AOC)gmH`( zkAOXAVa$#p<Rhp2!kK8*PgFlK)Eau=s;k5o#@fKjOtQ@!isuxRY2~+Chj%gd^L^aI z-{w}=cgID1;KH@E<^#>Jzq><!AdGEg_=aMHE5mF3L3Y2h2fM|LGQbRfcvf5FcMl$< zv=3<C9QD!~*w%B1h1uX)XTiBU?9uCaV7wAU_0SpayOpXLG*%V-vLg3aE)9+in9q;= z+5}zWIUv}CadNFqTBkh0szUQygt+f<u(f+z>lEfbwrB0$#+D=MwZkJ$KGN5=qr#Gd zP1<r~6XkW)Ra>rFWfOLknG24#$?OxY8?ve6zB&FB!*VfGN^S@Gwsi1_9b|#mEt@U) z|Ip3zyf#@L94u_IO=lKR&RJ|CKiY&1p0Wu`gI2T&t<<y*J;3T*`TUb%iZVO7YF2v} zOPlc4Vb8#)WRrv8US7QG0-dDTpBU{@GM&Qy#;$(Cu14)^oMl%d{e%{C%GCP(q-%d_ z5EbRnXbMm+-;_;O_oqMh^pl>S_a$5Dw+0XI)&tzu?BN+dZ;pyL=9Hss68nklQo`DP z(%Gk5a&-ISPw1=ybC|CX7X5@}@DzLK2F(yF5<bK@ZdUb^Db4kK3bE)Xl68R3+z-7> z{e%@l>)9|)zjA5Y%D|`GV!kj%iH;3)k#%h{e#(&gNjstTJ+iNHc4`~<E397*o-(zK z#-V=F<`F_ouj(fUkwahaFh$EB-A~NyHlBj4lBEFKiWx4+=#ti@H?W92iou-xv9+|= zPoC2zPpwVU9UgD0p9Gu4eu5g<gn_&jZPMu{CiIgj^%HYwsOTrp(&$t#LpmFnq7N0V zBkk`QIAmm#Cu-gK{l5>Neb)<Freb0CLW=zVpsay2U&un+7gCs>OTUnC3~8(hyWsRL zJfLB%xteHK2kFl1z-qB;7+iMLJmP$t=R{}Q_`fA`j0$OI>DpKaS&!C<{m!s(t8fg~ z7<Y`yLt)!Vx1Rc4G~0z2iB_lYNOB!?J<PGduY75348H;g*cfzbXJ!L2x&b}5^Bpm* zN$ysK32}e6nzoAJ-!G7Sw^{j^;Lc;DD;r7amu&GyGlY)+jgr{y`|iLCg12q^ol3K7 z7}ti^L?0{YI}t~XLS1ofF3<gO0Bq4!ZL2Kf+Z+)g+!g<5JGLu*q&<gQX6tx}f6A}; zbvTLPHyp|A%1nIUQ8v%Zv?Ni_1+K_gxvMHk@Vr8gCC{!C(M>BC*0uGT4YY3FAZi^x zes>R1tDV4j6t{<|PN|mhmy2|wb#mI+8-g9jA1&T0w!2Q&<`ctZJG6xasJ4453zBWn z#jlmn$$vFwF-|FWbxc?2xfr_DRv|j!avtGV!9Y#g5=)-aj}c`^d+GHT!@(^@wIviH z6?U)&&<hkL%yT-p(fbSGH|@{>;c|Kh&~bj(k0;6?s#VI4+)5E3=H8C%qOPSCS@5HI zC;vjHVEa;wYL#1Ym$}Fv%P6r?l_CRzt4$YjBTbOaPxw=jkveLF%oBsW{AVM%6P+8& zQ_w)=6#;m`%gjZOc*!qg0k$JiF;a<_(`;^f1LNj89~y&(k$4-V3oN)ZmTFcnQb-A5 z-E7Hcfxge%j{vVHYU3nZsL;5#PCX6$W(L30zGSaN*2?8t2Y9I_sF6#roL3<mVnUHf zC6y9dBi$%`mc@6C5N(q{S9H~S*g^`?_@$An0L8b_vVdL0!W<^NPz0mC#!;AUG}abG zXMB(mnq0u-IH&Ic3WnhjR~ym>0f!tLNJ{SmCYSfog3B8kDxF@Ma~4W~gfv7~UFwzE z;u4YrATYq3kT(hCX{@{ebGTfRU>v?nZ~?g+u6AuHqDcz@%&Q<JZ3Jau(-0rBhhC@w z21Hjn#jRkx`jU=>7?UhQHK~(`0BSc`!1{0_xh#`$Awmu$y$u@UL(YWfEin#6|H29= zZMk09L+pwtp)J{hf7HAXl{>mRR;B8e5_DM;TPC;AT^Sb{RYmj?#o()fQr45MDfm<s zx{eJ|inR1yOr0VYyYbp_a~70DiF|<zZghBUn_v{#MLrhV)(nJnKuIZmV}rQpilKy2 zTP6t<LNTm1Bj6K*Tml>1(mCB1_M?O<WGv{DWwdoDV%uf1CEZLH+|8Ik^aZM{9}XTp z=ArT|DK*+ai%vCQMIM_kf^~$LMA4zTk>%jFAsn2JbcnT%B8n{131tW;RTv~0=E};l zmcdPdAjP6d0ADDekCcW1IsT$mu!%+dMb2d=$VW0xjB<Ewu)-M39DflC-zp?LY~-U~ zI&@Q5V9jWe3Nxz!xzf83BRAs0rlU4#r#XbOOEs9nOaWV|sU!=xVZ=-(Ktryu0K?`? zM!|+@43Un0;$U?e7j+z_>6wzQfND)JC(N3W7z%ETB5i=+#kU_r1P(J4V1uho*N|#4 zVAM4ebB4;}$Xl$%$f<r3K~=F1IA}<+tD}5WgF^<4w^U#Zs$P_lAi4;(AsAia1y(PG zN8la8#DZ4htk3xa3LOn+pq%uJQ~CYH%k8$#ONfZ8=JoJ_Mk}sRj7AU<`-9hjoLfAv zsgIBESz&aM$&^<`1Aj_HYHcD3TeJFJUP&9fD6d<en$r+fRW6P=N5FD*17vjrvy}@* z$`ZOEME&CoR};(1d!*2fA9@4bT6SnK8Q6T9Hfk-CrNArI2+1~5dy=<y=nIJhtD2Jj z`YEARGOIr|D|~)uPC&Z2W166&P%WPvm)J{iC4%2bH2=qj)y<QMr(A$23xV2Gfx95p zK`1rvPTgjc;vE)H5(FrGV!_%CRMg~0<#0U@v{|I;L>F^AqKg*M3GF?0QF@oU4JfWi z73TO5R~CMC-339)v7H_Skp&c3sJ)U_w1hH<4LjzNIg6kzJ0~=~H(d5?OOOl5_mehY z(X$aZP!Xc$saPv=Od$*?1eY0k8(NaM!ITEiGP5*UDS-xdOK+ly=W%#B{@lpuW6QPp zy3Je#wxe3W!gfXJp@>Kc{81YbYAShMy#{DnxdIhHdRSZEN`AR7R3Zv~l$Hdghb2bE zK%mG8Ty%Aj>yeY{RLFAu|L{Ls4U8F|pi^RT#u(a+8}bo%%$Qg49&Ui4{AV3+SPs8a zErRnUZS?gWA%(dajJ~!>Df}++<CkY^-d51Z3V&ntkuwilGF<Y7Gdd0DY?=z`b&TW! zZHIF$!&#ihJXWL|D(LSj{J)KKo$(p?hw{B4|3W|A49<QzoZ-o<(c2|C$v&(*3E-wn zj}K>i{$UI<zqkR#r$L2||IW$;9F(c>k1yhF;h(W4T++XkD}q|CTqHj$(zVY7HOEP; z86F92)a4{>5N?;BB-xh=eUBF(CAsOg;G4PI_-e56et!(6+HRZEeIF^pw?^OOw}tsK zc{uQ!d7Z5Zr1uv7tub9OJ8~Wd{K$n*KEre5;|xw?mC)X1zCu2P{TvyFc;)-2x0yN7 zFT;ne=XIn9bcSar!PkoP_=1I-iF<G{$mn;ALHC}6UQx*8Y|m!yZ+SQbaXw(Dgf>55 z<(cNot;%Zl6UI-<Gd?+EQ_(v6Gx(2upl&>QmEl`S2c!e1hO(4rgqq^a&hku9V@{QH z>avhC&>IG`g`0aremw^lL!J6h|FYOj^7}UUg`*L)0Gm3nj4ObqmQd2*%Lx>n<GE~H zAj*xHf?m!Vum#ye*Cp^0<b?%+O<~UmD-L5urjRJ0)!r{fQWiZ^`hnLM#NgQ?Q2GR2 zK`J~Od_BtvSjfc(njF_rzvL+6R!T&^F9IGe7s8@wo{oPOzl0*EG8p|%5ju2MN4!zk zNyx}YWYS?Ufk3#!(;aDIkxG)K!IyaHuba@7VoR=$U*!XetY|XZS>VJ-_p)sruA{NB zkRWDXmfx<MIceK#r&5liB&&%wM!D!0m6tF*1PHtZ&WypIixFLJNePvjm7y+%h<3-s zt&CkgXAO7ThK-^<co=jY4X#6~`VL-TW%cT=wGFE)piMSBq9x!Rt$?emEwBgh6C!jv za~LoJ#m8E9t6NBjDjir%QU=H+pt^Ys&@O8klScYlw~Opn$E^xxsMaMCPKBo0DSR7S z2k8_`+yBZM=%UhmVzRFCM1otz6=`x^*QM};oDRfNxi-NDEG@GMA&<*8sel)bmYmg} z>!x($E8T%^TrzAop}_q*w5shUD$qsfGDa6y$xt>hg{}4}27@?45p0R9_H*Cs+mwYi zhKM9foTk2sKy)-xkT7B6dl<T*9U@(z2X#uFx;cf%l6Z#47-G}B69%NlF@m^&l6gu_ z-uiYYSW<*E84U<eV0c1zN}-H}79N{Lxr96|Q4d_Ci1&m#8hH!ND5dZALx|g>;Cs{9 ztlJpa@~V|_^a#GGV?|xK^HEd;TOw5=WB$%M9*#u1TMmAZ6)+oID%l|uSZM345SzT5 z{5U$8EByqjEAi{3SK7Zf(?e*W^Hi+L(*Qj;$e?04c|lubL?U5T1tB0ZD4a|oJA=I! zauf$Of{PxD7GoKs7DF&^c(Q><MYH??F?6Ej8KY%k_5(!$lK7B73_&G$U6Pwg=}~Cw zLMr_*<UC0e(+i=jsSxLsw2>v2M21^O=d8}&tR~5b@v*4OL`;}=|4}l>CD#T&;y&U* zph;p{DtjV@D}^@=Y*tF^F<*EF7gO@9c1`l%te`E_BO5P`tMTENqDolcX_F_Q%f-q9 z6C`?^%mF9@Re{Bip~=+kO-4=XL~wd`<TDv&B7BoPO?>gAU$}AilDpB-61<nbDawqJ zQZQle#ZA*j!{JX?m#aU*^RU07Rx5MwO&!^$&>ILy&B&C(JPx%(X_d@)3|yx~K0dce zpa~p`%EhmlMVl;JKS8EunKCe8F4O{xPpL->5231e)sZ=DMZltD3X-Tl2O!`{UT(VZ zBF-rfpLzxSh?!5=scl0S=rd-r5uck7hl*+~5xgm7QennT&Xb|HL_1ZY>_k<j;)M_{ zrIwx(vK-*KqCGwFl4oiT8U@egJL5JkTTUMu&1ucl3^aW&UK+T7;{ZwZeH>1Nq-1d@ z3nzK-+ktwO0HF!T8u*ZB6T+2LGvC`fun;<mQ+DuF9l602G+L710cWPDNY^G5I_q(X zR6!To3)RKxAcWN-X%uxIj`V;qTtz;;&>5!d1<)*ipOS@90nZDM6~SW|Q2Irx3PKRc zi6TS(oy26WSC!|4a=8Kr4G_u{^;4LqK#3JX0@`cH%9DXJ0m3{x7xAb7DFi+YOc7lP zH5bzc3b78phs`8+@CZfGoGIFa^qGaC?i?XUW8sRB`F&%4dC@Fw-CIl3evkg|C1#4B zgdP1-1=;e4shSKVO(p^KdVt18q_ic)Jc)jjs>;)RU*sb5J3_bc!5mPi2kla+h9hI} zFRCeI@`=<nmz{;d`6=W2(go-R*eH@v`82GEKnDk!WzJ=zhHQOYXhB4ESHY+4!2w<1 zgNu`z#fbVvaa#C%QKdW?)Py=f7)0leAt4kgCWo$vh1?W}!U*etHU8GRr>49e;);<H z!?E68>Ah6WlxJr4rYSvKMB<tBqVIX$9y)g;Sy^n1R%H?OlmUeGE(BSdzwXVl?@g96 z{~$Ar4UN&lW2Q}t=lD}dSC(<TgWO`@^EqKc+>6nY0lrQi8Tln)g(7F3mhLliYwEHk zevX)`g@vdVwW!5ygEUx#Cv!T@kide+G1+S|Yrt|VPO<4SHFEP%I5x~!A6U|rq{>Ml zfJUwi-(drX8*clTr<HyVg*58IM<@{&wH9PLvjS$hizhNjtl(=1M=$(E&NDDbix=K1 zj9gVIK^k85c2CHuddk;OEF)H6S)7WML@U$uK>ae}G?Wq(5?;WV$&9VOkEczK_{_WB z(Iw*>CX+N)Gdi9wf-)3LJ1ecGbQ(5wx&p~@;l`?ne0B!vYi$y!Qz5<=(~u{}6*x^X z&@%I+SwxaH{%6KR8Uv3&&+k)hVKsipp9f1UzhCz`EE*srR1tcS(*tQhF=QBGODFZ{ z5Zk3E($lRCE|TYr9YMNP4gq9wAFxLiFDN`;-pK@3s~?f!^rr5qHE&IN02(u$erS@$ z@Y7mWz*5np$vLFcFDQgIAtQ^38dEI|jOjKVQ2Pl9hUtBqVIy%5@=ab_NEZ>rXlU-_ z*8@<MmUzpll-ATF)zTk=1Zi!pV#T;*(q%<g>B^oCk2JI@q^HA`_d+?-80SqmYdiIG zBy#9V=8^%Rrq8{11iivC2t?S_&B=tBPC+Edc`~p1<$O+xBM8U11@tWN3@IdeA3;V; z6Z?*iuZaLv<sj@ZkmrvW_?L}(UNDGC5x_!MoFD;HCe)(TOjpR#R91+k74EeaUnjj! z`2oX2p=v!TQ`#J%6|%GashP*0H!NoFvib6o$^9PlUjO#7o-Z@cFW0Yx|Gi<MxZ!`D z*yt9NQlp5ssROebP2E*yWkvGZpd4P6IwO+<K4;&jR8$M9k=SMGf-F83p;_>SMbc;a zaj6}yRV(?xoD!LL3@n)6prXAYSJXCrj1NmBAvRP2hf0KyisAz1Gb%YV@8u{p)o93` z#4Bjx7`tjo!7m90vZR*anK$Rm+`J82MWc-tVe~9OoauvYI$cPd83o&H+%g_oSZQLV zkK+&U%i)*0s`pt_;S=4^qt%T|Z>=`x;jd13-Twf}I_<3`eX>w)CityfEyD40X_isN z>2GghI2sLuTcQ*67nEpuN@*9-Wr*s$G5m@)VK!E3hN3Z2QwU$9U}k~lYE#rfy?#gU z9Ho|6TTqaydl5Lfn$&sYwIsmI8<%m7&t7Hxm+3*-XyVPVOCMzvAge{>*QSP9@S#yZ zqG2%zNDVcj&Opv`wY640+L)U}%bW&71Z14UPS{v+&6Ah9Khgj(NAPblXruo%fNCYI zC^`)-DDfJ0f^FnWki71Pj4^Dis3h~5lF}LXAhFNX2Ar8xnv7vYKNI`Wlo6v;pgOI% zDf&Bxu?BFu19X01sJ2-fe9b<`xH9~`D<&JQraAd<+!|Ey(q+3&h)PYCDJ91CxD!GH zSZfr)y0@y%(W4SUpfU8#X{m-!0OU*#I2t%{Gu`NydmxzlsM6O>b9x|~5*p^MY9lan zhsoaKP$kIMN(J4ODuA=b6WeL`D{=I6cFK$p1q25*+cnM^b=Hhzi6Vix<Ge7MY?%eB z${V4&5Pa$qe?HQpOC{4@gv;SkfTi{j;ZtJRXI8gKZN=m(wq~w?Y-Ncw)C9)K{RoM8 zFA-Pev0MO!J3Zi6P;n_E@QEvvb(cGK)7Q+jO?Dw@ONBEEsZVWW<DZ!o0g?eNNf^Qs z$`HfVO<HO3QN2(qJ!cNeUZdF5xHQ|`hXPrHS`BgR$rg)oWZposmd91@V7dSFL8it9 zZxTx{q^IacG*&FBV~(%^%8Bszrq+)n%Vh372}_VNwta&{fJSBJjCUmi^IKvjTrg(a za2dlid=aJ)H^~)~r0s+;T#ya^oMoIhzF-(Iet>fgtT7Losik^u!N@>wZn=zEfP)${ z&kHQdIpH|7s%5N7%%q*pG<{JQj#K`8&Ql0(eT}6VsRDu5nWUd5e2)?tbo6N;Q#K8Q zv2p{&#`s`|$ikQspi!4sqnN6_O2UvdG(De@9CPHG``=#|o;NLA8TQJm%V7DG?y(F- zv?d{J>_B0Ys2CK_rRA`aY2k<z06&03y!z|aUTru{6%x2)F8Co@HgX||W%NiXRlssh z;wgV%OpeePq<sl%tD#up=9R{)$6E<V9$nU;JL;=*kn+SDx0&eCbjzH^js+^+Ho^eF z6swxCD=a0lVQW6*#uy$9L0f;4&vDq(^XeiEX)!U21~~cuPSYt&$xy$9c|)N^r~FPj z&It{GiQ&ppCS+@QTtF^Tj-@E6R0LNYw%_keHnq#FSWJqg>XK}EKdHZwj*OW(Js_(i zNGYo+t!0YHD-b*i!6epPO`Mehjd7-g&<ir-L!5}7D|I-|IYtwzbcDDLI0k#Ew;u4J z$ciIkxQtXv9)GNbRRW1r*f9i{oAZ<SdPbcUJ<Y>a{X9p}p>EPN7R{PaSA!?W<-_H@ zSMy1kiZ14S1{Ie23uVoOjcKeq<pZ?Wy=2wQHZNVCj5O~h3h;8c(~5`!`NPYVj5$B` zIwp_F4B?_Ts~U=~KCKyRVJnj1Gua7HfrJfBkuR(lY*AK+j~MtU#a&Y-n|}`<mQ;e& z<ID?_7H%T-%M8+<kV8(+vOy4CVR^WDu|fl6G#s4({@lRPvU$1)kEcOpELxT#zweT~ zTEb#d5uZnoFsu_xiF3#87ij<0;*Mje&foMVqyC4ye3Rh!$|pf@MwzL2V_E+27?(cg zRhuR?V>DCAN>*6VA|q=s=9rp016qV(PCjfT=CU%pDCG6Y`@H;o9&4+uA<G(b&P+0F z@y*0($POkT5MV*B4XCHn%zJN8!l>tlwEBFx#VoIb2IXc=lrSVd5oe-RIl1{HiBf3^ zz`Rda<VWy$fh@#iUr%SSv5VP2SS(aRK&csnczP4(oT8lH$AS5oAu|te@U%=0ommNz zKqso#ni&S3$IQ|q%Pq^N0)C7h;@t)Az`{)3%w`!j&Zx}FD{^ysBcDqA#xwllyO}a> z9D00zZ#KNrQ=QCSY|OQzwH@~AO^@;w&k5^Xqvp~^-uU5VXR24|*pvR>t$4mKWOnuE zqi&Z*qy)JERO5VGieM<pnZu*|C^=IoW=_os)D~4|dR%S!Ls`lwzTBvBN0mCNC9Ta< z*lOQ9P_d6rbpmU=z6bXqKHvYo+$>LpA-g}D={K);Cvs}&mPuc=!^|)9ERbnUea0JV z$c<3j&g4C5v)@91I*PM`H79;aEFR8%Rco@EVgn3eMQ;@u0fogE1~ZDponFN?j02@r zV{@~&xs1vno+~ti;HOk_K%m2xR2M4CNit<_9yqCDhK%_?{gRpWo9bI{y*N)-9!>8Y zYZ^nfs<}7K%@=!<F-4Qohn#3-l2);mYb$%JG|4OMdFM4gwrJjW>Sbf(pP7@kWH!5! zb<y#kNk%@+akGo2=M8sP>Sz0}qDasMdtib`B`84iz+L+2OtyD^@1`mpp6_~S49oUz z-so65)c2o!x~W&qX~&tZTOU4o;G_*Sx~#_}A8Cy012y@b^*5cwqQf+TwCcrI_NTjy zuV%M$tOWDEX`bZwW{buwbkzl{Zo$}4t;}sHw^+%Fh|YzwdhmX?T+vzMlvzP@`#1JJ z{#naWzcH9_J|wrAKB?|CmN6mBCy(|wcHC6Shxf3hV&C4qAIi+_^YmaOc#0kSNssgh z{UqAtgg>u5Jv%b<*e&n*!bt-!8A*To=_8lz{PL0O-tt%fbl{C&{oD=jIrF#QSATHN z=HX9#d_(htpL@fD$@|`M?t?eI`w#rmjqm>K2XDIRLyz9L^xxn9tAp2G|M6Gtc=Btz zpE>WyfBxt9yT88vr{1>px)=Y<=YRW+o6h?$KZ8xSY~1imkKEG#_}}-x`e(jy<g^=Z z`+CrYvL(0g?N4rdtldvO{_&6BU3q=~&);>^+qVoKciT%gR`%YJB||TI_Pmcjeg35v zzwK8)cKxYaci#KzH{S8vuld4je(q)W?7QPP@94=+`1tj&*|crr{&Vj>@~yk-m!7u& z>55sNHH|;K@|F$P&fHtwaqt&6e)Ekl`@tvPecs)7y?D<p`QClQ6`p!&>{35@@UEHB z%8kd}d~?msr6Z3f-@igX`Q+T>Cx0naq50YV#}9AKFL;Goyyr3}e0}e=51zLDlSR<< zEK;`%bXZy%Hc2x2$)kDWCAU{9`>=_>9h=<Izh?<kFfMpg+9b^uSJ~wD1C^)Wb=pIZ z-Te8xE47!@({DU+WNfFu<7=(Y|I?>;eC_E=-*e{PMKhBRop9c3w*1NIpL@ga{?bkF z_~_!#z54?1Z#?($4}SlP_pQ8kZ1TA8zw2#Be(g1%{p!^>9DdESKivBE8=n5wkzJpi zdE3kzfBX6$J?m$FhQTX8@Up*o<etku^7Q9^=x4t0Q(Is2k-zQ<t0-??c2Bb94fj6! zxvc0nA3O5#I|eq{vk(0I-CL?0<@!DO-i6_$`l8RA_Z#0H?m6d29{AYX&O7g9^U5Ep zzv9O}{hD9A{Fe9J`Sy49n0I}A=E^NE*^&3({Z~h}9QXSDzxs4u`Ot%$@OJHn4cG3t zdFj$Wcy;>mmu~s2f6saQ?mq60o6N#KYVT)NLw;pt#7*p7xxcdEj%NSM-}|P8{>S!z z@5-`YnOJ#f0-Ff>`k%gktG#q9eY$|+e3UZC>ZqrKuY-wyU6@@>E#&&!A8L&sT;Y%# z&cqz#T$S<K6Y@Ew$c&A(4x4a>BZtnk2G2kLd^5#qF5|s`j(N%W4$1c&Zr<a~Tkd&( z>$jE<4_?DlCNr2#@m-Xj1B2VbTSS|Gx@j7mdU8YSiz`bs!S|0Fb8a|ej4_i@ld1_v z*o0Fd#|L*BYsVUle}bzH29Gm;IGSiS*>NGk+1azsN^2lvwZYy{7lTumjMr*rs@k|@ z{0tqivIg!6$HW|1`A73@Vm@8z8Jb#Eha6)=`Bb8-4svvtaB8DdkF$f&27O2BQ8wAR zN?wPtz>aN`)wU|+F5iAGHL?<HQW-Qg*#v(o+60-=Cc9A?n~d)soNXN}Y|{FHA25Rt zaAskvb<aJQw|=^Ec<}9iNs3^TvGLzN)M`x~=C|Ju(2lUf4XxJ7(jjA>%O)IJxpRD+ z86jA+v`K6Ba!xKpquC2-DXO&>?PiKNuQvEhw8`#E#?!wWyzuy)*yNd`ZL$>3t~#*N z&(Wg6CQnSEM+Yzl7HAbV;pE7pY|;uAYo|EcCQr5F)<ceIlQp^)a{cX87x${^-w)om zbMT4Q8L#HVtHB3U1FbPyYinCP94Xr5U{f|32UIgZ@B@vVHFJ=<f6H6$X#Ex^HNO4r zy#s@-sVVcs8E42Qv)H79zV}6&n0u%TodNg1zh9Zo4$ZFWCr@!qS8Jy+*6dtmlOsDW zKYZlK?2Z;T$+FounAYE8lh(G~gU=w_nBBW~wqEr2gBN~r=g!uvTMyhPS~uJi>ty90 zTe8WDIrPMC^yt8#?9n=aUi6b42Y>&&w@D-D(UG_Y`)+M=DQ7wz3^wWI2)AGp`LjD^ zlLziMg-znv)p|f<S80<hYwY|D^Ub#ybI)6Tr1jI!wp!O*!vNS~gk$x604dV#WfwII zn|#sSv+`Uv>ETEyY{J;JbJf_D?3f+ICbLI&T!_Tk+1_XVj<IX@tlfjXp)RJT8rY?^ z`}2*4d39x|cTJ7(ASNiX(%;h9g-wQbuNrIO*j3nMcKqzMHo1_4fLFS#Se~)T5{p^g zP~3QC=R{-kv@s)-6FiCG=FJsnb7taaUfm9#K9R%CW=x0wsv>=mQ_7uK9ERVR|71VO zYLXSG9U-}V&d1b>`;xq&a`c-O3)>G)+A~J01JzUUv+1mQ>O^z%B>C$sPshDF`UHP_ z4CmX8dFdZV8DBE!dJa6$OsMlKZqfOqI@^;w1b}$fX<<n(Kk%#9Tw(HE1h-o~bs`?U zj@S9hDm!(MQT98L@jFxDtWL_@(AQ2vdcN#vCKHoi2A`qME{k;%ZY46a$U0YxrH0P< zM9$*o>ui=kq&ikU)J;=i@}nLp<C-%zp@n(BYT}ul)Rw|5%OhQ9bJlq#tus06S#7({ zokaQc>f+o=Lpu1SOpsHa-FeNf`RmXuoQt`cFCub|rip$t@S8DD67CGm!)H!s=G1FB z=kj&IUpiU-b-VWHTuchKXQT4FhV<b~PyEc(SQ=*kow5WO)v=(vmWvW;u6cO=IzE<2 z+P)a(tWA)qtH<_4{zB(#n)74Obxx>s=U!|NDjIX0*`u>Hr>@zx=Q6TRuZijPBVfgH zi-C|_I>p?=-nI~ye5ErE?RI6JFlT)BPgR}tOF7%KdCul*&6Mi&^eo+eg$d7}(KEu( z&Ic+zyR{}*1I&1$?7nLJs@CNfUZ_LN6&~7l;H8?fo)3n$=~UhhU+eqFTTea35reaw zQg`s+ADDyVhgZqq2x0!hLBcI_I36gB|Mok+y>ft~0ml#Zv?>Qk!NJ!s4l?n#nnA!z z-dD<bL+dFs-nyy`cKKm!F^#43J}u%8w?0VOn#~>Na>n>UhL-)mqS7{Ya{3+Tu<zWd z^D_qrPdP<%9sl7Rzrj|m7EgAbojvd?jDo%6v)^yVR-WnYedf6F#<Q0U9-6u^uH(v_ z$(944<H)A9Uu#N=L}kRIBDceFBo_IBoe%6hv^&<f!X;37XvvwK*u-EH!U#2nGpS{h zj@&ZA8vhASuf`^=G>zv8wziBPeCk`h&(2<jN&cX9B-*5-hmNFQYZKY#nmfLI=zwg5 zO~MNGMbA9L5t)NGv<B~E=|F{5_mx?{0dWUgSFNL4B<;Ls+ad8@Qrd)5qGglwu?Yva zwi>d98QV6n?ZOM6dWw@7wMGS6<2r+sy4Zc|=M|p0G}z?6^JlMXZ9DW-&ojN*c%yMi z>(Fk^M_oW@|B<rlSKDM^0=`u?>7*N~3{`rjI%nE;Vj{Nts^+_&X<TptO`h`8PX;*4 zlLI5y@~sUI4ZukYY}4r{0|SGHuexenllZIR8Is*L2?yWGG7!~I6epVuth5f$UVC~d z6xZPRGsrxSelp$+_a4V%n+R_(96M^(>n8=n%Ge56>w`x9<S;*6boCQ6d->Ql^%G9! zX0c8`3HK2ooOK&I{9*gbc0W;%rJvNe$Lf8CezL_JxWx2K>Hek*C_$$Jf=zHj-!Mnp zq*E6UREBmQTGu9$#(Bfo#9$LG+m+iB1GC?eO`aIw>`rV__7g)t8R)M(bCuRruQExr zi6j=l-J^`qZ4=q%?RN|uY8^Oy_#ie(Ym8pmS+dChA02o(^Tvx9v3cAS;SG2P&3gSL z#<#Ye^}ArL|5n(9FF{bIV(bbw(KjAqKUrC!yrCVlMhr)En!~$pSY?y@#%Fi8wqZy5 z$%p8DhkJHk80skIrksqqG)y)iaqMc_B=7|J(MAgA9*4bkg@0T0vk&tK+prJu*W2O9 zcU!TK@WTcD1X2rb&*%B1akA+QrHk_T5ms{dI>N{+eXscJ!zV8A;1&owbJ4euXLBFu zw}<$o@7Ig{j317^_E$2qCfONE3lw^1H&GY=sQrvdlKt$ZJ^TvE-c0RdB>d~qAO7|2 z<dY=T$10AL>|;ERFt+?N`H89C6zNRduMK$|UzrTPP2~e^U-9H!hAS621hl8-xVS-P z3K@9!aX8e?i1_$P#7YmA5Fak`|MTq%@*Sk@S~Vou&}|{CeS(5=U!wLKN_6_c=o8M3 zH-3SAix$2RuLR-)*oT-;F@!99nsAeJx8CNR5A-&_g|AH4bI|y!2@9-;|K=zs9Uz^E zChlWQ_ZRya$!E^P_nF&_<fcE^&R5J@(B;E7uy4`lzS&$1dm+vKxQ}t~2itxqQ_sN< z*pMbaHE!9Mj{c1$o&AnaQg-c2oWo0Roew@M%8sx2cK8F<imxe};MC}u#0F$ChSRGH zzFV_UM>ifMUvJEf(~Gfg2hdvyJ|ibU+sq+5YEpkr)EJ{Di^5Ac^�RCw0VINV*D$ zsk=zFq?8;T|5ng7u>@_0fn{%~*DgvYc1J;7Ex~pex>5x+mNd9t1Sh8iS0ZbCA0nLX z(koIrd^Fxppo7Ki2-}io3r63LDr461$2zngP+hA*b+ZN>VO>Cc*)rlK&AJ#ymMdum zN1O5Je2S=~v{f4Je7e#(;Z<k&dEjen$%-L?3KR9^jgx?s`GnC^scfl{Bd4{nic}`C z3<64r{CH!!HdQ<9+L&G_S9%xRGRt=K(S&wXRKcOVi)C3||7D1`Hr9Y%!zKl(js>YA z0@wN+M~Mt|=t_gcL<HA@h2XZF)<y0}a$Qv0g@Yy*M^#&Z#}6thtiU?J&2vX~8NXB_ z9pAN4v4=c58I^IiM7pDne#xQaI?8|E?&Xe35(&1T;}==m^;<;ASnvn0TiKG{t~N73 zOZ5eLX+Tq{pslHz2*0fcV&TM@*mjWuUbO}3e#PWVJi2;*@anT&3bxZYW7noGlpu-8 z%yKk%hpE6EvCjeerI57U<*^Es1Qi!3-YOExkMU{|0Wur?l+4Q%f#Pv(TjO@+1s*Go zRoo&(^%s~>g)eK=(VPYWKu7C^IIiB`f;Wov1a%S0f~%ikEQAG7!>hp&0SB>mRmL8% z0v}v7(%aXS5Fks!q=@<0fXfNe15!A}TX)MLh!TY~GMXg7)1a7S#Rhp%$u&Y8<s@a> z?rfd1*^ny21*v9&u{aTzK()d?G}ezUgd;7uDv#?D&P#K>4kcu-22b<I#Z~1)jGz?C zcL<*-a!@ECh5i~L_F}R&8Ts*{Yo1#QCRkpEWiv4nVK)4v+%~Y;l80I&{n{zlOPMvM zhJPNUHq8YtLo{!$IZq0tJEWI7k$c1wqi0pA3-aM3XY0Io#&|+0mw?~BN#Fy%#*v() zn&kPkA}o*4=FFWuY0HOPC?>9;>Z*={!kth90eGGn5&Y>nWt~kLGmSb9x*EHO9YtvW zp5EiZ$x0;jn#Z#q!Qdegj7!c;K;q2}N(I8%kjj$R8KGrnzKXy(D#ceZG*Lc_S5<Ej z2$HHzv&ov-ob>V2v&~$7Qs^61q<N_>Hd2k?H5*x#=Z%}cf_l#MC6l~69By|M>-ay( zEiZXjInD7HGR^$Ow#lJwDJdO)$%Jt(o#-`Y6v|Dk=O-yy>bS{u&YRcg8>f?LvpA71 z5w>t!XC^8Bf}M82f}1y%gv*pipcfPcy-+PLLI9~nVRCwJmMUmc$I{&f(^|E(Ic;7| z%!x#qjA&XSeyCnA8cAuLRd0&)RH@Fk8dbjeVu*J^a!!uCMP){(l*;Bdq@<qsbH?#z zYqYahbYfXKJITQHPFw}#G*Bl_attJ2L~Pb$Sy#m<v~yG6cqJv1)%U|0c5+MwMhyZ* zlI(PoY5}326mmzRN`hn9Eg=S8inp6pbkiRci$+sp<kdS0O<0qwI$@Ug`ov*m(!r10 z<X3w^7)ykZjD{<0J)k<3bI2DjbWwb3`=IBhPK)z|o1%db2vY@P14CqZbCMY4pnM9D zz-q9&b&=%koUsvYyG~fpE0{DPKfo976bg^vOGLgl9Z|D_1{eK;R5zM*+3=oykdxO2 zyrPY{Am>$>e9@bxQ*|uNnQT${l{GT}9+L$7_xxm#oT-F{t~H<xfS_XVc|T7YP*6{} z5hF5*p?@3WXa*&-X#H8J5*5oKvWRj7i^+n_p}W8ZH7Fy6LQ4<kh2|7vY;aBH25i1U zYs;n`d?a0PK1P{rL5PjN-2|GIrgh^j)MZN#;3BE#ygl+rh*Y@GO97iRCJIuR730-E zJ=N_=k{a=V5luFPX|@?b0O>9urg>52A}|*OLbJ$NhPAo~Rda^-DwQ{OD=nUQUYxR( zbVaS+GX#;z5G~q=%vK<po_90E63v_gu-R&%saT()Jp2L3jl_-*nn6sKDyBjmuGB)C zr|0(srXjyz==UB@Q*(i|V8{W7w#`@238X6;Bmn179W@+(Rs@gw(Njq3dH!4qK5wgj z$Ytuf6o@*j5(6yr%pi8H)H=mP$U<u2KKv##GHjKP{v)?l8v}ehi9(c!lvO{58^J{{ z3xuQ~wmm1xbPTEmRj15EIS9;%oH#FUqsEGmT9t<y>~9qC3p{i$G>*7^Y%MI{#6M@= z2Yd{BI~61_AUJ4xqjfl$aGrz?2|+AUsxFyl3&Nu$azq7)dB(!K1oEDD^oA%NRuvp( zMF_=rasa6zEMC#IbQmxT)1(+tWo2lJRs$FG%r-2O6kW+CwwJUJ3;8r6i2y>gvNQ(X ztgK<+_Zl_Gl$d$ugYs885Ek&>%rO$P5(@EV!Lr9sJOZyj?*m2?TJSU_0&1-bScJ9= zvB@}2w@kxBNTvxGNofmt^u?6?*%(Zb3|k4^R>rq9v|Z$|4R3Ezt+afS?Zu84L{(BW z58I0tXzX;pp7~mCDFpl5{W67P#~wpF4S0D;X+bRB00`_pGYc-rLFxj6ZIc>sF7^^{ zbG2wn5r8s!;%W-m@+#s`!Z`g$ODl_1`zzVo(KoLt{z({sB`zx^cuJNsaWWwA3Z?+P zG;yL;{1cC^o6ImSyfM?}sY!`uR`H{1KVCFL3|)~eVc_110+3QCH{^{0OUTmQ?E<f% z28mJG<<v}ivuy05tO`?j-JX=zXZiBjh<V?@$o{!JJ-}VXs|IRyW9BMZY_+V55eYO^ zt)}u(4CtxVKIJqrYO24)2<M5%?8|y3m^bsW^gI?!_byHn@!piV$(zY6?OQPEh<OLO zd$Y%Sv*F2fVH%EG7D(<X0fK2;p?%@|zBILq#M8VMkO_sq5>$)@X0#9{0>lSAwII18 zGMx^a@|qZm98y1-ntl*XtO1nP8{<?Ie-SlntfO;Z4Q9RbRctw9U++!t3VhRMB|$E! zt39Nn0h1J{G9sXh_svQ2Yk1R>spg+_`FmwA7O0NZq$d{=q;o!>+^=>Spl2dAlWf5u zKT2Y=<&1TbKXbae-TRX+dPjw~G=25rrAcm*l9{IWBzi4^(uKUfWD-AZ<FoU(kkR(+ zxa#~ym$Bd<IL$1gLT0cLikXu)no(A&NjeHGbEeS?IrnLw^S{GlZ931;lj+wCE$CK& zOtZc6Mm)nz+G9rHrq~IjYPE^%t<+R1j^(hfnG+fS_2I&$dWcGEJ}@pXCBvL3)XRid znHoV#ni}Ug62qHH=)(Mbkhm;WLQ+UZ@Z}NaKSG=CVmK?Jytsg{+zc2kdy<<2;XeFD zs*hes2Hn#}vP>+)o70JOfT4kc)>PcgGGCa1JL(#QhuvhqaoiK~#>jB!)z*+K(TE$2 zooB<bLKbt(BXr|E=EeoKd0Ct06QM*YEAsG@KtK6CsMLOujM#m_h=3IJRHw~$CLd#D zX4`G7$==#18c}g%?wsx2l$ypAv!?0e^^8}U#i2>vMu~;`n<DQOP-ARxk9K_A%b_v` z77vTsgR>-FxnEt-8Dv=VBzDqt&<#nF_!v%tmt@qv$6$V*uqRf&Fgd{uVL3I*Z9`S~ z*nGqTO@H#^g{-DXX~RuAmAIOuHI&dalEFj#VjXogk_KU-nS5U|2g61#V-`gLv7U>{ zN%2*V^fHrQO)3XUG$W2zOmYT=PLh#)gqu&3Z%)Q6RKVs7+_Ey1IOP>8!jn|z<amT^ z95B{pnLUY2F0-|*lWf{>(<nA~jWda{yM~>ce%)lhc2{C!4Sgkq4W?xPKTX-*q2lpB zI&2j)DQEG)B`vf@g&Q)ZRE};fdj&{Q4NXkSB}iA~Ca?icbR3i+SwKtyWuTU2W-b*G z%A}bD1qs=N6sba7h?q+p8|EjfS+#0a9o|bJJ8IdWnO65%pJ5^DW`ZhY88M|HEsPn_ zP{<JsC2|W(3Qc}4vm#jPIirrxTu4&~*>5C2-awm58PSyA6wD@@hji!45-YA?jwZAu zIg?V5hDmE7gJ)xC(2Lc4k(n!7rq`;UCYPZk-SxGcf;d(kMP&6ddN~O?VEe(-BFqfJ z-40h`ZEq6zq@Ws;Gp1oQ38ZE~Y6nQ84lz*Tj%wssAJ;`KaitcX7ICEqw>8FxAe9m- ztc)`ug$qGj61gFBA52eGT-qn1x~S!1&X(gQ7D75_yhVNM%raWFGf&AYTQ*n~2xU3p z+o1*BpJog2c@k%*skG?Y?}8tl#2QN^0oibjR%QdcIgK-`)n{BXkmXl0L4MDRmlti! zA-7mA(IN<=a(CnBG=^Gh=A|Bfpc5sqa-5vD$7o8+u++K_WE>%Smk(f(5)-k;FttE> zfgF{m(Uz5(q>ZnG_zrCte0~N)EYRXo(XkgaT|Qn;{<2RPHPdu~sA8~DqxX8l5J*oV z!^DP^RA45W8i$H)iSDRo%x`e#5Ex?|iFCir07UB$zo!-W3GaQHEqaE*ecmk2=glSd zDXuJ`oQK8R=Av(Aeo1XeI(5n`5v!okFt31klgtb+Gqu-I%3%b-0s(&SP8Pfv3hjj) z8)!>J`r^VX@)spSHeoV4B*iGgWv#?usc-DLf#!#+G<|BBP(!UFpRqJrlQR4Aq9jW% zYPK<ILf071RF>5;c{Y?u6FY2%ka5a0O4FD(4H9y~R#FXNWQ6^UhW(5iVn<9o=>pii z|Ac+V%{wU}85&VAfiRX6CUyY-NrlybscRc-7G`Cp4Uu&xHDRoa5u#8O!?vl2$}O_< zpng6%tx|ikx^?zMZ$=b1^`D^fSEChIEEFdyR~r;-V$Fi|d4<(#<|5H2a1tT#1%}Uj zwP0qZXvaQ;(xlMH<9l(EF2pUd12<EGi&ofTdj`YJ{BWb*Xw0b2`q)x9$^c?b=FpzY z^<tpcQx{VfA<}hm5wkJ9WV_VFY&LK9O={_6Uc$Dey;`KOm6~8aax+aBXw+{U_MVg` z`2~DrC9K)VBz<ybw4R@KC$mQX$P6n84!>ia>QR)3Z#}u0dhHB*u<Zp5<eRs?b<Ay_ znQ{5BJ8KrRtyJralROztHoH-SP0CIB%2756=fuPS`fg-%9t!~`pvn$!H!!VmZ@6ME z7+y)MSKnluBhRfi`^E-G5ot>33CyF4mRFQ1!2ELXRK`FPS3+NgDe#3bEYx`fTUqvI zyEDZ5`4C$~!43!1;AX;rnWf?BmEniaX(o){+%?qhN-g)6NiQ{YGFq97Dh`*1%e&px zrKvM`l{iUmGK^h%x(d*sXEIi-o_+$G8GKc;G!e%AH2brJ-zi*DVJD)NVtZ|FzJ8ji z(C!C#w9GjOY)93?tdUmtB-2a#y)*S}=(v+lJx)V>+Gou>&oK}GdS>g5UDf*5E!poh zIH}+xX6tX7N`0??ljW&E-^l*4SN!siZO=FEJ-obE8kL{}3^GrB6@)CyR0n?HxL2?Y zuQ%z=6)H2i(oXh0Je66q$bot;EMxv#pFX`}{GyVUHVM^9oy|XKsKq|*S0pZ-e^NB> z_sq-1c91>YLN*~g`pK{Cefsfa$74kL`T0Hb^RcT0PI!?KVPD!@TIA+;=1-4If9Y-i z{FCpw@s=Hroz{5l`n&qxHuH*0E`H^a)9XKccJ|_xuix^im3--wA70q|_}^`Q^zmox z!qYd-|LpC_o;`b5sL)T|x;LL0wZpgU{m_n_-$TqxH*ENYZ)_NO=SY2W7@G`y^!IQ1 zg$;>+^H0`qyyus0PQH0>KKKjE%LDzjk8ap^W~Dh(-}{ZHuYL20pLpW>+rE196*Kjh zoUr9RXWu<gZ4TV{YahJ!&mOq*;no+QzWTavedMpd@r8XyuK(6YzJBEMN4{16!dF|* zeEnArfB7Fi{y)C{?2)g$<qKcEe(%%I?5%IgPg6Yzs(EGjMU-4N@m$C4+0?ht*XQ?c zdCf_0zx3Yy8){GQOn&^aPyWUK*zwTUul=jPHV;0S?qAtjyZsv*{(g^n$>Y@PBFWg2 zNX^a9M_<*tOgITP!3qohOT=TK8)Xe);wP=fC}nGI|8nK&hfdqRBD$YnxRDlp6XamQ zkU(@|ZeQ5DZx8<Gzwr1Q|K$3AJh$01f9=KJ*SPp6?mFqZh2t)L?aR-;>vcbT=JfFF zMSK6Kkt{Y&$Sc?G*!->we*C@u+7ptSGHlW(oAjUk6P3zLHge_9d)b8EwfV}I-SwGM zuK9;Y-*D;Oe|EyI4Ilm7?RVdD@?$@8*-yUf?gQ`H^*29x@k@VU`GfDttNVL~f3vcG zdwt=nk3YTjy1)78|F*RM=D&TszUiG??taad<2E(t_v|_Sci#ExfzLeiU*7hL>wjwO zzh3{wxBcl)z4hEbIkMyWBl&Bt`@|o;>1zL{=e**+ufOfbj=bfKmz}-$@R#<Q?QN6L z3o53su!-s*>)TXe4{)%_D?Ypb-VN8DdEeHNk9_UQ|KnQ^efseqeSgn`Qw#S#`fIh` zZ*2JUzT^bGc)C95eaSWNOs>yZP)q)p<~dmv`EH(it*p3x2M&xMRCt?S+XTKj)Z#_y zhaV=$CZhOv@L+gvIJ`j|xne`uxwA|q>Wls;%+qf*y(LeWwmTt3Q{Ew70qz|O@5Hvo z&mSBdt{2&~#v6k*?nr8c^-lB{ZyopM|Ji)c;M92K0@FJ?-Y{p44>6sfR`rX$$yu{w zOmwqD+0<ao?wUQTo$nfC*RGAJNIi|l*z6%*P8}RPpRx8ZZ$E##Ps=^MDE}#TWwPTl z=&~k#J6Kjo@vd>3ek`Qqm;ar>yT?8?Hulfy0c`>Rcu9C!{?Ip{y&Rk5&u0_y@|Jg3 zUN52chi3EItoEhGwl;((o9OLaLA1$iYrJ<`Yy1F;$|leY-N$zhvRAHmH-e$gp7n|Y z<M(a7q49qW?jGOy<6}L%Gk?}um^1KKtM`4+S+i%&4qiCe^Yql<?pNMGBRdA{dNxM% z>}+hCJ;d&a!-MCO#bGk}_MGl<Ws~v$c$=jAwwbZf*V0c`+vJolFTcNKjy&@GHemxr zut{l_O&^^dADX?XKHJ+G<gIa)bBL>V78~&THTx9U)fkE6&urV)I?y_joc*JNTRQz@ z=g!^O<UVYo_ta<4+Sa=7zHQq+&)$ZeJAb_O)cDSw*yKL?CVyZ2;(dR!trcu?=<j#$ z-d*E0?qit5?0S}U2JjRen?Ydk$dg;HYaQA0CA!JCIa)a}txvHdnD+G_+)wtUJ?F11 zCG?Xxc739#+Ap6XD;+s<Y@29Dsz5fOeyE@b`Dd_+?jpiyH6E%T2b&Nto3Ph35?h1M zjL}gBlOwD9NuyC??7EM!OEzh3J7u=FcVOVw`=+KUl?x6%GdsSsb=LR;?Xl|*#u#y1 zyJw$x(e7HUw)?rpu1$NS<5P{DW7uRodD)ihOro(1oAkNtXq#-F6hF^B!@k7A-*jcz zYnNt(Uu841Nqg=pQ(+ID_%?};WX!D*%6*Ghn&FQqo<*xCM(Nx9Wx5dGqqU+lGmVYS zq&l)OX;wGWnp{|{knrLj7NvG-`;1{frOh_YnBkj8M>FyrW-Temom|qX5rX$NCvMr; z)V{?ldaCE}&~5t_x1{$I#@BwvPjA1nvH7jWe2;YE39!koV2|YpkG-x~%<k_Wu56z& zn=gaD@k*PFO%GImkiC|ClCEmld&!cV+p5C1c4Vw8Op>q<5kG?VfKtY?ZZfF-TDxnX zBZ2G+v+*NIwX&Uj<37g4;X6~8`-%9h1s1P;g6!Fg`w|O(`!$Q&YnSc2jy-p$vtKjp zxf@8s{>2cE>C&r>4|%uuEiN{%Q#|z7BX&yiO8#y?R3nQ;PV1V65Br9_d`9~m^*rQS z^W=M`<9^1{|NIXUriQ{f1mcSj_Bn!X7^v>*n;!ni_oeJhJZJNVvOCzvxa;JP)i!BA z<H;X8d($;dm1%fb`w_n{>mR=6LH0S4H@fdS`Px(Oi2D@#(`y#z$E52Oao?iBXMpJ+ zmQVE#U$NL6tMimThK=H7<2JyQORfmw3lY0Ae_gSk5&f>?cf~uZ5B53jV>HfRws}B@ zBl(jl^QiXwd`D2sgU8}!JRI!Vy{$ofIhY)Sb@que;*m#KZyh@HMQsD>rKTCbTAH1E zdcw@BEmRCPmn<WaQJ6haI(5M?n|8tb;fYiF%j#X^bx}qy#<f{wu%r`c1lP>LbbtQ% zf!Xx<xJT}#e}T;L!6BA__?NIu>b<Y$sllDQZaC{1W+nCr&9d{e=P9?#{SZ^vQRUs% z*cQgmjuNccfU9QNoCX{|Jb3vxTgsI{+`t`W-0;qK#yRqZvdQ@Dq21edlFLy#N{<qH zdS?Ep#2@*^XcL8>#U}s!?eyEKl?yM7`y}OJ6V7%JFiA6ccWe^(<K6x#MrN}E2}6HV z$~~5^8UHAooW0b)<6hG`c>aMMk9;xAJ?5o<p_~Sv;6w$oy@Zy~Vqa`4*o3JVn>+!- zC71lr4ZCj80%C1`+jeeyW;Lx9Z1UzE2d@(S@Zrl3f3tM}o3PgE5A_vfv|eDF&{zk1 zrpA=_Q97>HuhUO*W&(~X*m-!U)f)W~jb^UsC!G{0%=Rqz_TKkO8f+nXbw8OM3`<`Q z2^i$@OBc|8)&XpyrrO<4mil8qnVqfdR3<zT_zQNKs-LJ&(NAhM^%IWg`=Q?7rLT>@ z{N<cYQudQ#+1;HN$GEKNCp|~?lh`}MI})m|SZ7{R)Gl6_e!^DF*+WxfJKORleqHFY z3G<0;qS^k>exy3VkKSP{x$r`bT4;u9v$H*idwUtX*w2a!y|hW}Cp*p`rk_v@GxU{J z*{x@jS*^*`uDfj#Yv<tkJ7g0HiZ(Iy6Kryrexem}PtW0C6O4vUng_<qe$u}A>EzDw z6l?m)p<t7v`$?Gm(I?uZ<>QFJixU#ISoq9LAq*+{<xKj6fzHd5lcH+|i}7Kfq3L_F zj4%CeJ}YSpfq$`#hxCF%W_JG9Qug+sdq>BLi#nI_FKEW!g|IUJqx384w2U7zb4X2G z^c7H8x4cf?Tw-zYzt_&mzvAVE-{BLz$CdG=-_555NR*#uWjv%87zVE?WoJ?MD@q+- zZk_VFqKtomGyaanmH8j7-<)Ku(a(z3hLtup*+zYv)<JAQeTTr5uqveI2EekM0&?R^ zwBrY^PH+uIgKvXvV{4IW|GjHTW+hOfdsJi~ICvDlf*JK^3K!%BfYh%m#0F}|wI<?5 zKEDky3>9VzjL+j#+woBjGLC{qyKpfo45iTIH#iBitJtoc7-|8oMB4r_Y!b-KNJX@( z`~x?Gy7`?VGaHq#qA0^D-n!@uH2t{r9fN&N&W_U0$+kMOQ-#nSe*q{*1gD>Z0=U9o z>-jYVw<^3kR7S0$rsNwZo{+mEybg3zOO@7PTaU!gVS${PEnjXIY(2&{?HF9X&0tJ7 z-bMbd(X@KBBr8h@q8qV*(^llW%&4y%6N>5#@wUTNw6rctC(ft`DW;6IL4HY7AmSj7 z>-mA_5^Zp8EcTBs1y6c4&$?_8Usx8eq$Ejf)=5V~z{*||eYsuZ#&_93)`%wPjwW?e zY#E>&QGrOImRuQyABn~X5|5mMZ;gvcLFd=x@MwAr{>i|>OIVDasYL0W*L18%>4|k| z2&5KVL$|<Nxxo=a%GF?32Rk9(LBsM&39V?oe;i&ynle9%5>mtwKNTc9&ay{y3$3og zr{V_#Y$t19D-{ayiuH2V2OASw50h^v5U-b0z_R>y!?EGnT$`j+7ljZ%7VCy!Ex7fF zVv4N8JOp4qFc~hA^^3VOS3?SoX+(r1^TERztvVw^ir;c2AaS>3*rRxiK|P3qyM)Y@ zSmFD2*ddUCizku%DVLYF$js|~1Aw3S10=??q~xwdZ&AdTGhzfG2j;1;!0NR4@W}vf zQ*sem=%`=QvydqI=twY&85G$R429^(9oQmeLLOC?*w_ahagoW*5km=v`6v`rfTxEE zHRVGfu8*v-v66FP#!Qo7V^}}&u@@uOnht_XPV~7YfKKWJt}}4%C6Qj+0FXf6u!Jdx zhmctLyxhQB3Px;pltX_@JcGu|9g2vtV5H6o(F=RwPDCLf9^6d)N*(C=56JKyh1%_M z!LV~>2$D&B)oh}&Pe`i^Vh<0s;md}3;8|65jM!@8m0Y?y2v`x6|D&LvYz29#kIiEF z(Zwo7D81fSuu4vO1AjJ3*rwGQS~)(-!Y31Wvyyxg6yehy=tT`H-<V!m2x4Xt$L&N~ zN;(ZC=xD{@j1-xV6cIwloD5-?`IuD2FE|?FDbx$CM#03%MHbQmCOjl{b^_Jih;be! z@6EEO;tC!bMxmSop@Px7@@3EoF3{jw+^VCzp25Y+5(19Jvo02tC@Yc;83r_9kjh!M z42w-fdXSf(C@jQ!MMa$hhSQ7)RVEG!rdnt0KuzA}=xz66eO`q|hcL?+g6xnkx*<gf zcR8x!Ig^qsLa1{3UKaJl`j(n7SzgW%#;c_RKDfbYOP&tFQdWmyEoN5{2n7v$Q5ak~ zMvZwttze8fAb8V<p?Iz`hWB;URwFo!AxJjcW~AV1+zKkS^|B$Zt#!c}hI{eL!fhyD zUyd}}rneCXHldKj`>J<l!bkNS#!9SN2dG@@yK`B?qsmvc^G;L%MCW_Jc#+xT>7wOW zv9Wi$Pm(O#pF%-L=&vb*fg%N?T%IuXz(_$&)nP`Ijbex`1O|-P@hpfHOMswdtOTJN z{3BRY;9B54$Z>pF5X`^!7c}wU=F)Ixqgg{To8g5KG6bcZpfrs}P)m5AU3A4#mRK4u z@%jg(lZIxq(JOc~*pQL>gP1oqah1}CE6Pwu_56hh=nIJzZ(srgqKksG4u=*R<4mfZ zK|rQ>U#J&HCDjiUE{7|%9~EDsfJq$=I9f|_T_*JinF(k$ronaX3UT6L(5Z&8YbbFp zao;;J!DHDxXIo>G8{G`Xet2(FivH7a99KB<Ao#??n1ZuYJ+sPk2tBJ##Hv2Hsr`mM zd^aGlGxG$kOb<2=Ik=cl5FuF-MM+h8j1#IiWKA2({_dJ$tp1!Zz;j)L05%ZddgIyH zF%SkQ4i!U^B|f|YOYFH=gpgGZ(COfW1cZ@LFpGtu?@rv)A!=x}{PE_J8kpVc14Aw4 zYZP;01+<nZY@O6YbZ2O{Vvscodc7`!Jy)l*TQC|XTi;@=Mqg_~gtdx+ZV_yQf&_DY z*N{P4JAjsnjSZM8%X4o6q?%H^C#FWC3Om%S>2KEQFBbL$M>4sPk=xU$u$rBdps0<{ zeI2yuC!z8QR*a)c=MrRxRz_L`IvWyoRynZ{5L4=dSUz=Vz{o4NY@xAq3Tf7FZm!fB zRU*_FMAVz34h3<I=ZdiESzxI%gf+d>%1gsSb-xX9R?!I?i;-Bj#v>I2R;@QRA1hW$ zI#MhvB{U>KSq;hRpmA%$?O+*EBq)NzeAnSmi$p~X%7vO!P+TKiw3}*}PbgMUzL-x! zl-grVTlU6+-0=$01tBSe4Q15@QxTu&kZ9=|zMRIn)3GBKB9c{Oa7Wc^J!k=4wd3Kk zB^?R+qY{mJh+){6A;gtd>5VF<cg9Tc%MW0eOy7`C6Ek7%N7V*C)x;XblAAI<jy9$) zoMn!bAV591-Ucr?<QVj^L1j2R<fx%$jgTS)Q^=9d@C!G5TRvt7SOzxt_vISJXx22M z=EK?Y{%KZQmTgLw=2UsHTCWOZJ-(1OAas8SH09Q`2q&I=%A9EFAwFc@Mnkk$gp~sz zNDw-u`~`Szoo{4h%lCVeD6ScpGOvR$(q>R8$rBP<8{)-79kWdsQp~Cz6e+U}@x&E= z-I&viMnp4Blh^zCfwABYT)}}My!jCK^JxGUXJG5fS6nXyB*pJYOHtS)9!1T0vBjj0 zOpA(oj;vHO{|;cuL(MdPtXUbRe7aqJsL0h?6qOodgIn+5HuO)clBJ_*8V$5D=YBFb zo2~K?gr;EwDvq)q%GnVcDemZuMEzGn&uKO)B{^eUyi4M|$%YoG0&7wiI!M-O_2T<? z1#eNylBH@-bKs&={-br{EV`l}_9*qEFkZ2cf(3&|HEZ`?H>l>5FoM)guOjFtajJxI zH7nayErS@x?Kt?du-qV&M7DC4l8hG$PWbQAJ+uOJ1h7ZKNYP}-)N(+;0{uA~#J@>n z2|3HLT$)v+8gLnbfTZS1WgKw)$QY2|FbTAw*z8<}We{Bm{odeGy&IXbFw17k*(ZvM zlU7(^Di}Z&l(>lhTe_zll4@+bK}nBs;`?HGHk5hw6A^=sVE;j&+6!&NO98Jo!_v-- zo>p2R=;tU!{M%ZmGo@QuHj?VV0j=MT0ddW=)zs_uK+J;Oxq-Jm1ICo0(Y-nFH40gg z?-+vrmE_I+Dzh#i<Xx|#BUu-;81o<Ow)JxwZ_tm|R6q-3sZ~qg_(uePj%ZzNuq8G| z^HUpy<x26*xS5&ZS#dj;;qvq}fSPH+H>Jqoz_%>4<Qo`(vy}V7`7e8AsC=@g1d^W) z+u`BrGL4Xug?u!?t{r4U#J`gxgH)|<Sws1U4f^q#7gGtORMhwv_za*v!%8f)FcAIg zuK*h`9L-NG>r5Xq0IO4_$Y;$kZPRdA77W=!;8T`Sw0hH;9u+zMmF@|DW|5GD=^e-M z(?piKymz)<GjozHg>&Zk=YW5MrqY$0s@kd|Cq;By&FG{9p{~N%>ee(D*-qM)OKQv9 z9m5by7%7oqtWuP1BX=vtRttWJ<f&{`1*(LSPpH7hbV&@oknV|JtHyPWrMx^bq0RAR zEvp>ZSLMl&Nhcu+j$QA^j)^J%^{zGCq}re#Uk~*>B%wN}`!A!Qi{iCtf`zO4=K5q_ zt8A@r-AYX!I8dttMm?ec*>{ACN#~qR=cngy-Lkb_KX72{dFgrQ<ma6|#_d!9@o{1M z^c?z1dSJ@|__lJUgYxGlF0%EPa$h(<uZ&@nGwl7=r0yK^TJy&G>2Ln(={s8=dsVt? zGd7u2FX8W)S*~fP$K?B$Mrh47V#lnRbep-3?El!CuRi_2fpacTzjY2ePf9nf)w{<3 z>H3_z+SZ*TPYjaR&1vzelyn#ytC};aV`Gz(?#lZ0?uMBk+rfM?JNy%qKXGk#=5=o! z0J3Z#gGIdXO<qejZstcn3*R3ZN5TOJi;?felKH~IiC-8a?*zv=ccyu*`?2AZcg&o8 zU+ZIUoqX$+2{vIC8$d;tI_tx~wN36vzcZxYq#HG<yMEutcFb&^ojvovOg?*Uf{~bJ zdBV1MO#Rl^dM&kk>AX7b-g}LS*S!TO3^7nw^S&^lm;UOyay|uB*Ym=$`_J<xeSsNx zL-nDreB~>D`OrhZ_s5SMI`Rc$9(rhP7RkBio*VdnPkayk@wdMC&A=zlZ~e`;zVKOy zj~sgF(C@|9T_5_&cfhwckAHo*_me@#zx>Of<3oqKb^OXh((%xtM}m(3`n>*S68@$7 z<(~W!9@$0Udm|uxZ=~?wS@`cN{6EZZaf*K|e&3|;ZzG+#Bc$VdCG$!@JcKJK<ng@U z^N=vfjxYS4m_GYdOvm?9d?L9#zhml2vQO%;IX1<=JD;z3zHRdE{6=O+g+u=O1?7Kv z>2~Fin47k921LSlPKxh|{B896&WOG#>Aw*8Ien<&cnoj)zEp(mq?4HJ!xASTUU?m# z%BKuvxN;E$=tX!W`ei&Pb+`e=|7{WfJt^$KkkauJO2~(J<qsdntsJ!?f)c?So>an+ z6P$~F`bZJxdnAJ7;TV>0;Y;{Fie*uC<HMx#Aj$yWO!D27Cce@OCL=w)vj|^Q@Znpc zlj%qg-&-N<`7X*;_&uMy&1^UPa`}(=<R|~C!dE(PO+NVGBA8$?eE+29_wfn!9E^VY z={4a$U6iigG+%C|YtM8{pAPiaNoE$P&<GzuI@qV@Z3s^i7JT&sHXwW_MZxruf<9M- zKl1@Q1-<8WMYv2q_kp_g@DJQ%iKd>5_<LoT=LKmTK{};Eoty}Sov48>(i{XU1h9p> z(iLyaN}xu^Md6;1mm~1XXB}`vMY4^aTo?XhT2Fb_6lkU7fVCT3cL3bC!``*gF2s@1 zx)DKs7o$&Y40X5*LL{%|FPQihjurb01ge29WnHvFPn2$tok_dqT-hu@N~pGDp=2d> zJwJ`aHV?l9T;IjVqXm8eDF_8`SM*v`cXP$#(XM=Ln{6&&;?i|2aITDLBZ1IXGDfS6 zMLEL{QIY!HyOOb5blMJwC1e8zTU>Y%R2eZ<r&_wDbf|HpG_FW^Y_H@f{eqJp_LHgg zQu#>i&S@Ps2d&Lg)K?d!D}^=2cLfVHP^+m^u-j^Zpc1!*ufao|L2H9=W5+=3G3X`v z`Qkh3x64^3l^>G30+Z&ebq|ELW7}A;b5U~oLlLnw%oh+>LzyXliLPc?6N)Nyh-(x1 z809(~U6?I#4ZqfvA^Z%3bceg5Jh#@iD_qpcD#}p-*OfxHmYVV-=rAz>zglwIf20Ms zsIjt8{QTcZ<h%F+>Vn3(cT!!kT>9?=jhSgNR?ak`$jUO1(%jYv5N}R79j7c*7`vht zX&~c(*ns=sO3?qq+WWxCQCtW9uX}q|y`zz~XEl-+@kh1eg{)*^FDxP8U{t#vWSJxO zNY)C>+182>9pvs3usKWiou!&#Bo8YY7A(Y{%fX;Pkk<r}9QI-G!GihuN4WhQ;$r;o z_!nQ2yEqA18)L^dXzu%}XS6G^!cH!4ck0ipSFc{xtE#T<uGi)GEBlx_)`y&T)oIm& zf(RMKaB+0%9}A>&|7|Uc4HKyFD(*n)Nnp};(*ix8hVt<dr*6$t6G#aZ1w^UWa;^I6 zw3?I^se+G%j;AxJti#}3r*;CUarFgF#_6lBh!<Xb%Gd8WATI(a)@SoO_^ieN>)n=< zBsC~PE5l?gsYnzPSn*R*1)@TUNz&#C*_hNQnu^4+#|Sq54dO{Z2FZyf{<;8}73<3S z9ItE>{Yo?&AN-C8mnaY7T7>0!hm!cp(K@M5x(bkj6W0pamftpcFHi8CysV{`xDaN@ z!n5zhaWF^lxRPFwa?{<86TXuuR&iM21Ur#N|7aSgn6$hjrKWAH35E;`&KY(2DImDM znB=gE9y_eLlCVu_oGMrOsvkI+;O2&KO?+KV);A4Fq31?P96=1JN~XnfU%;wU8jPbb zh^vYO9fGux3x?Mg-CB+DacmpP`aU|94Qvrw=@H@6Adp`md~VjV5AqOSV%FUGaBm`Y z4y@?~oA3p~d?^vW4D4J~wPo!oS~>!T7D0jrc>*a0`NTOPgDpGC3%n4-AxX~-aRxb( z5iJ4&8zP2HMTG_#<<=@X51h4*h?KKV#;he|Bm|X24(;VFnb;wW=BzOrNY(8U(J-Di zhl20FY4EtNOH;JXMJgqntc*xRQEZvg-6@_MYC=?mbK2xY0wOq_9t*h_TX6&GAen`N zA)&y1f>qxrF?j)AavJM~2{|yG{Pa1s-{iyEkvoeN=%4WMT;BG%6lM7=9wFVHlT?g| zt;N~C&{X3H&V6H2QL+iEUqR<1d8Dhr+?-m_$`-Kz1U>?(kbc;MWA<`<1(>=MHsS)h zAYV2oZ>Nq3c2YsXyH_}KNKysNE_$M92azzX93cgbv}gQu##5~2@6C|P;PEa^Ek`yD z;2O`@rK(6PKxIrn7@$mLLcbzTliIwDPsMSq05$U=XT`K|P9|H4cqJo7V^-iO5n<z{ zO?2u>rnL4g=#>tku(#!<q&(|Pc*vl$mR1{SNi_6-SdA%$hR26z34B3Q2T6~kaYeSk zwgcWbDmE7kl|(G3q%47Jta_*>bWTRClLsng8H~f4rG);vsc2!y=<dE&U#nTPu})-) z=NAk|Yn7XgWCG5X%qmkA-p2vg#;4l~$eSXNLcxTgqYFmw^(?52(~e^hL~7z?uKODA z_xbb-;yhnwPU9IpR8Dfb)nEF>`IpS>>7|WI%D78=+XhEz{#RU8wK8aAmEi;X_8cSG zDW<=07>gr{a{6p0Vsx>+R5~jNB@*(Tb@1$|RdxibA)722f|yniH~!{cUtBv^Luge4 zF-H>W;pc?o3tUyB0m%wZ8s7C*A-aCbAp_K!S^b_c%JtMHDy|sS5g`4~CThaK(;ebj z&nMiI^wjDMpp#H*OF9!VM23Sp>h%4Fay+<}-}ba91?_@{Un&@ZbaK$Zu+CX6fb3Md zhNc?2nna2Z@avpD=0n7OL#(2s3Sq5Ce{Y$nuM$4gq?6HF{LsfboFz`5v>*wkJYk_^ zcusIM4_x?Mo-C0*KPJL|>5&s7skq>b3_~^Sc1Ak1qF`98Q*KXq3T>Q{fm(sN3)c?> zt8wp$(;(2=`a#|>un6*XJr9g)I{SJGl3{8H5H2bO9AU-`S71giusLyxoCR&VvLqYi z9O>|7fCQC-Q^N;~P*JQcp>=W;ZSf7iV}ah)Lea|%$|P!x?luhxu&c}Ige|7-#Ot}L z)G$53C2NeKqc6nJYDi_(qJU1)F2+$VJ&n8$$N!wVbiw^HbpSHm)+^F5`WqPcy<F;h z8Z3JmR7$F{W$EJ~c{HQ<<hM;-uU7Q|ee_1Bm-?zYGS{Veor&2cIOQ0M#z<A7F|yF< zT_2?d&_FM;3TD*RHGE7(Kt_I!!QKK6AM&B=1TIY2MHoZxRu$_FDORbkD>B;jNDmj1 z%T=9me7DsV8Nx(~adu3VQi@^L`b(=mT=iw`_nf`pt<VwAX*C@`75j)zBO8W+#%<A6 zI%)k2!U?*K9(@C~)W8^OR29pjjHZ%mpYD(3pN54cdDBWNTOaagAhBesQnkp0;ja#4 zCDH4NBe#>m-|2A0YEWg1yBjI3)0tqub<yLEo?_4b#I2FN6iSIy-i`Ma$+P0a@kkw? zMPgmI6}U60x~i$4Hbu*p?xgRiRRzvU##pef#?dsAG>Q_PM%f&_p%Qp)6PN{>v~K9m ziW#F0#fX3jgPWv8lLyWPQMDe^L&LIjrOT_0nu!bJJy|9euK)e8jMjdG2J6so;0uj; zUF1v@+Wj;Ks^m{B33I>~H7DK*I>e(cQdjzje9%=9s85E~S4eZJrdQUW!oZ?L^>vA) zRnr_!gBQ{zG+^Smdb%MX){Rt@sZx$80*D##EpVM~ZC(a16lZKCa=$6UV;qVO&J?$@ z-sxD#iS1uBxb|dnqVvLuPRoR(ht{qJjHn4#%q-s!sP9Z>L)4l-6cR=FwRED35F-gK zQgli&oZ`dh$^cgUYju5;`u4(<MRl|rEVo2lD<bIX#fpQ~pFj?2m~<r(22?p}U3I$9 z3dG(GBNq(*AtZayYP@W%Zv1y+GOR7Oyl=RoccTCT`6g?*9(R;O!2nwy#8Q_3SA_H0 z6z2%~zmRa?YoIy6LE8eG4k}_nPEksbf?q4wRD^zzOw@5i`)H5Vwvos}7KtT@;lfyw z=bD{^*qb3)M`EiMYm=LZs9t0wE?;4}j~Ay1>c+H%8yl+?ws10rfc{cEEvoz#hYvDN zOcXn(;TFtRMG=WHmqw6!Y8DkVKH<=?UFrP?Sloa&;RR{db&0GB!a}sr#>vacni56Y zn3JaBkM?nOkxomYbO`OYo}-JktoA}X<#8N0n6jEe-R1xam<8v9E>*O@+YV9`rKSN9 ze4|BsAOfWHw1@@}hf|P3zC6!7qp2;IggA2MBxT?%RLaAq?Z`F5#j!uP2P9LI4jCZF zyH8J;mPc<<<6VzwmFdIOfqyN)_iy+k6Tc%sX&y|=XA>zaI{Jy`(?qu2MoxJpm#j7V zlpv@`{QJpZTrzeWS6ZFo?1f1`ljRym9~um0t-YMrcx+0515Fy8EjdHUHD#v)ptD~F zbetfq&qb!T4_B)R%DM$C9a^bv%uzlwOqwOd`h1pEK#=L^qJShh9chi!$J9;R6L!Oa zajL*TzY_Ug8R^t=L+QYS#tssr(YdAueJr2IDZ%ehSyo$gSxSQF$ZCm)VqlQDk$U7+ z=z&5*A)NeZ*1k)4EfWA}d!&XP^a2nKen4osG(kqOws(p{pSVY@54eJrvz!+W)0?qy zjQNlaLCLQ%)0qeO1mTP{vbGQ<F}JxCJUn2dC}5J1wK;z<g&IA)HPDR^$sn_}N@*z? z2-N{byi6L3tWl2?i)-5ij);QRcMN1(rzuu*4=@M~Q`co<YDtuWUop@uNP4<0$Bz3m zqa0)F@}>)+L;keEI6<qIip7>4f6ff(UM@*y^mJCkAFDxzEPX1S=Q^BbAuBkt2d%dD z9>hWw$=-!PJ~GEmF={+>Icz%vjpLMz`mkb&eMZPL0y8)z^=MEw_v(=m)}A4j%JtCK z`emhSmB&Mbj6b&_HhQL)0{d7Ze<t2yPBD55NN;nvt}`u1Ejc|oa?gSnuN_tKX2Gf4 zZDJls9Sf+3gD4_aS(=g#+M+lKS>1BR#(1`9`D`k`BTO9O7DQ5`d6~_fkj2ILXx3B& z*v29>EzTiO!)5Bug$?KS;8*APb&esdHbhvy0>%o>ts#Z9CJwa5S`bwcC3`!ucC~y$ zu*SEMNqeuz<JU?y;`t<F)fB}_aswg)mQ7Z)i9tF3jM_Mya3eb6`5)n0C6xljMHue? z0#M-w!VF@-FO~F|94S7GYT#YF4iP~K^dDcdaVez6VA?=~qLm|HMc1ZL8n;GUcSB(4 zfS^2`Q%->IZVqmi$_$GE#W0``I$iD%TlirTb%qX%FCE6-4?HbsuE`3sJ%~%L79#~7 za^O)}1?OEH(+)HUw3s@A3R$gP5NWoqCoMgT_5~)S&%|88FN*t&AZZ)~!#LMIz@SM% zr>+Btv`*G>1dVmo4kV@)uv1Zv2Wi?jHu&_g<}XB!fzRj<5ZO7_cNM?bs6rC$W%_l} z555Dx1xMCh8Iw)8;|<7}!c8grt|ZKcorIlRo{fv}8H|WUXQ2~)v^$Y=F22GI#to`g zVZ~Cr-sz#Q+!e1iLFK@(=ox`7s7phVTQ6D5HGWr^E`c~nj!{T6N}raM2?Jv^+8J=w zMWG$yh$0itUJacix8BMg#F{8MMWnJiP4e*MJLIgad|gMs3ZN`&xPg7RAlZw)a_DAr z&O>oe?SL7)=xJM)hobmngUNX|80nw7?!2fhrN_tO%bw`_^I#7rZHVd2J)5_g!SZ!+ zCVVQM7`V182z8#j*7KgvY0X{Z#$<UYaG*tkm@0+@u7$v(38<lyF0Ec#4?O3r^i4%+ zy}7H*YS1ZZ3Opnd;?ad?NrulsKYh+=W&@RVGLprdMW&d$0<jnHwcW@>Ec%1JdnD(E z2ShB~GaWg{)7;+hZWlRiJ<b!nWMFeckmOlz3e5yNI}y#rW@S9EL8`_|*A;pwHXjJS zB8fUo5D(<SYNz2K6E)x!BSEc`A;d!}0NGMu4N6ml!Z{OBokughtwwXyl~xMm<kDro zpWIanu3&Y1<3L}2oXyyIy3g2PV{zS{bH&M1=FH&4Xz&EHZ@;cSde@h;)MB8Tb!Y3n z)`AJk1MeQXU7+Kr;0v42$uhZ6+1)?7COfbpbD%X&Dxs_CIN^@fIZn{1bkrif1C?uQ zdl$;CO7Pp@87E#RLEqH-Qsuc|>8iyOJ4IjMv|!(|tn91?i!MDoyI@(TdGXONzkB=g z(+cj%k1x*k#JShTv!Co3Sa<Qh>%Y9`^#3sNmF4&BopzsdqpS8_Kb5ak7j)zftzEVA zJNN&6<ICgmO_fD|+Vkkg&iUy-tmUcqhl+9b?tO8xG`_KOJZ^lU>%eQZYGZ2W?!SI; zrrM}fZusj%ckgagb|2hRt?p{b;x8=Cn!S;6!B=<P{!p~Iu#<V3I<b_a;fyr;+ae!+ z<gC{>^?&PxYtwP!u3Nh7`mnR3<Bh{Ve*TnZ^MyBl?flpO<MuCorTOs}U;OtUKItD` z{||>B{mS;wT-p5FA3wHZ$A)V@`Zqs*c>AAS{ezQV{OIyk>-*k$|4X-h@}=`Xd|US| zYyNxv^XK32g%cK@-Lpt;X_xmVKh=qhqZ3&_aG*oyE)F8{en-$cA4TUb@KqNq+`D&S zP^-wPsZKKDoQ{)D)k#R_UHZ<&h0jV&bpi(5zGd8yIM@BLvrkc-eE%zdc>c$7r-kFu z4;M%4Pw)Q`I=LWN_rCAu_CEPLzx%+sy?5=M4sUSJt*l)?wXSk)rehB}x%Re4{&MfW zafz-AUI_no+xg9(Uc%`@oBuEyKDd{0@{atP@wI&`fAz|L*Uvb~bpPwK#uz7k-B*_T z7n)$<I~G-|okdx^@~$j%m$AWDPr2i((c-;2cWIq!$X+#Er&L~rHy+#b=<-vZ`S7(- zRHa{57VHeK4<!?9I=ubSfB$!HJp0DWhrjy9yZ`Q|lYjENKO4R3nuoUh#^D_=erDUJ zHvQ=T{QNyDK61{c*ABn3<?z4#>l0u6<l@sy1Mj@!fBu*4H=Ob9>u&hc$NzhF-^07V zkXi6>Pc}KePEs-WhOB-^-$VOjw;yW7+n!I)7P*0bEAZdWp8e)<cX#@&YwEvsl(gqY zC7hmqW;%FgTF?GC$aiL^mECXDx*O%%2PbmX@;&;gSIn8b9DI#?v?xVRQqqeM-{Aih z{T}X#<XG}UzDk=)lFxT&fGSK6@p)Q&V05%p8eGk{{$Vx5H-qt7Krhno$pUcqFg@Lp z(#OL6llb9&oEeX3IZE6i-S0{=Gx9T1KAOu;4AfamJ~K2KIk<HUT|Bfy<Rj(Hmvnb? zM$viQ+3sTQyi$jL%lqW+*Iyl6&6x!a*!)s3tCO~Fx{uLG`Yql~#i4(cPWTRSs_T;c zgbxODB1RZb1Hm&xLkH*RB<z!HxfXR@Kdj=;>O^D3Nz~zik6Hi9Q1|NQi8R_G<d|0{ zl;O*4&aabZ(<J)+Vue&R?HB1+%v0=wd-Y}8dUP)O16UUVqeB_;b$6?F(aBcT$*M`o zqZ3`21(bU6(9juYe5AZE-+YXt=P%6-%oMYiZuL6J%i)=Fx!I&&{gN=capQT+H~hDC z(wtu>TbsW?C%(R|I@7w(6zSO>7$?o<hK(9loow0CY(9TvoP>SZ%s2W}C+Rp*?WNhg zPG}<q4lzk}0{^A|qOFr5#!32Jc}8Wojz-Qv;oJ0Ex9T{7lOHE32Y58YV5Zgo+uu2- zlWb|Hi(LGZ-2LienBzOc%n#R<HFb2T)9d8or7}ayeqzV!>_l<@&>8jV22~0Iz7@T7 zXsF0IIXS;+MY)_E%6(>LYj$YsGkoKn@2k(uY}&+nF@1(GTikI&v*Se1%u$#*Mkjo? z9msB-{zvI#^)tnGC--yL=x7WVoxAvCfAfVSI{A&-!SXlybna4hQiYaIIQ2y5u9i-w zr$=?}YMzL`R(#_qooHV|8#;HXPIT@{b)r-{cd7I#@PwnSlU7~PNqfjZvi^tn({7BG z_Pgm~+3Q5Vo<B6C+-Cq0uaoNF;P^9*NgXI#x2AK~rt-H`2FVS{Yu#IOL#1aZhPmst z8IECT9&8kgZ><-;X2*=^VNl(YKL_O~KQ!t2CweYQ&*ka)C_Tfq-jQr6enQVl36j{g z&neM!QJ9O5TrYXdbgS|WB=kOX-XBiSBoXx)oFl{a*m5&9!i{c}L{V`>2JxkMnGBxA zL-Es6pGPa@-jO)Ua!dWTKN{AvQh>`OIV)cXPiy6Qlg~LPBalVIbv-XdMoJQCotN?B z0Wr_PYW~5DBkp0i9A8C1^C(ak9%2E?ClIr&gbYM`B=xz4>RqqttOdP1Q8^~PPZ0?} zEtfizPkKWiZ`EB1<^wW$KAWZDb&^Qs9Hndf?ekGIj&T005%m+x`6+FqZ0Mo8RP*V% zC<9T%y_xILxD2{W)Y~jh<zr?}<M`;Ji*j5q=y02z>XD8j4@(X$kGS^+kDr!z(t`!g zGD*wdT<?q*$l0{#iPt2Oo`s@mN^^}&ope!phRU1#wVX48%$M>EH{2$>v<=a#L+z}+ ztV?<y)aFWITT<7y2(OS`T89#J%QZ#Y0&mUL>{!7MH+x@$?gaW3&lx%yE!zJ&)zfoQ zl3vbT*>=^gOM0*ATC**2%LjNEb9Gv0Pcxr0IeE&QnRGsi<KS9Tj7~E{d~+XA3{9mg zqq&e?pDw-hTraQ8uyT>r%rl%j0o-%X=RYqyZkuGr$%`HX=htm4o2G}RySuw*^b7{( zRnC6n3QAcrI5asVt7)g?Gv%u^1G7?ddYTg&G<E(z&Li*=b$4I7h2sQjKEmvuO5B#* zW7X;IzGqXnO!+>VB4=7@%_irfFn11do#wo$nPT^rE#5d|rhE11N1ulu!ZdT|(iLUV zuOiHAn(^hYP5zrEG7_I^vP|)v^DWMD_~+0`)9WOy;N*!q<IjaHeyw}YJwsl;(bpCt z%@D_mq&m6uo}tfctJ5%fy*>(8LXI5qI^irAbkf8Gc2*}t!U1yf2nBT4Ato&Qe_5Sy z{+riHsuYAio=!d}n}$Z;tdp6+X0u%8#5m51_{poUzdkclY!-_h>}kq1yWb_b>E<By zN_BFE#M@qy!#~oN`15!Fu()H#^hM}|L8Us;%e-El=7)b4o%mju7STMhc^sYm7T0r{ zp{e6U{ZuE*?>U>r&lc6m$>;<P=+%=;E`PH+(eqsV{Y-OcYBdEtf<Bht)oRpNf3Z%| zb<58hm(J)ok-nu%xgxi+t1v3rEPU{TjLOmPKRk<h-S?}R2mb!CO`Fh(-dV}v*Jp-` zn~EVi`PoO&M0XCXs-!7@9Pl7NZZGO&>8kI&fKGIr%+X0}?9LW)B=k?$iLN%&zZQ32 zv(XbLkI_kc?iwm<p>3Va&Rs)8Z|EIsv-@P`E_9-&^rSkOox5grA~Qn_4q8PzBIYhQ zzWPh(B%LTSn^K+hiPy<-=dKSTLU;4c<HSq<YPX(#m(E>7%v~F0D|b_j?M*s&H6@$5 zfLmI0vgn9TF3V5;Rcr40-t63Ue4S*6saB9k+mH2PrSZp8KebQf`sFkpnU?=Q7nV7k z8roJoOzEPw-J`c#guZ3pC_&49@tzj_UFwI}BA#$9PvEfu)SU@jBOdqPBMao_6K;z0 zfh6AN5$`=|e#)!T)q?2rk-YEL_vKZF=*EkC@Ohu%{b1RbxOhV&H-G3u9{+4BzO&_X zarZC3Zf^O{E>2&f?rhmVKJMc|^x4#x$Fo-XMdld)<}@xhr)?+Crt!`nWG^H`5PhkI zuY}sh?Hf-YOr16Qx{Y^Bb5K5bFB_y-H^{xbja9^diPLyR@eVu1R{Ta!9p;ETf=}Ih zz^acOV@bW3bn>_D9_4A`6exe4=2N>yLlnD3T(of?z7rc_zc|J_BE^q^4{YiacVjS! z;jezSp60LaxiKDd5Du^wF4TA*NuK{!^R!)T6drT2+x=7x0?OEvX=q;XT9&tS^!V#) z&LUf2@j(Rk4=DrsuA2ATajAoMnx3{zxCdY9TE1L&bi89ajdwhCuTjD<m&SPmr&00D zeW2~s*aZdM%69z!!4Hqg>xgUn=Z?Y88;#~--Q390VLK;k2ovYuH?DdNslsAV)`ySp z95>w^KhNBhIr*(2LF+jOeB;lF|1$@VYOMKrCo*_Ug5{M9w-~<=>@TD^mVg{BzNuhu z;$S<UBhRtu09+f|;f^*!=j1noc{6GjV+rc74R%g+K4xvYcG*5orhgh7#btgLW)|Jj z;9H3`OHA_~(fFK>=H^n&6Si&Kkw6=Pj~!CioalVPa6aTe1J1U1n71CMvu!gQ`e!Kp zxGat|v34S4`zL|@<#HX}v?k32GE@HL^8Y_m*%k-K`}dMoceeJ&<vpkC9hYYQgjUX0 zt>d(H9iApQ|Esn_cs^u2u?c*%l~IgoiD``U3CB8NU{dC3g61|9XI&akU85e4KD2ON zgQdG9ij)DOT~aER5=!U0y$9d4R2TxzBO&)s4Gai=?UdO1RCSJoOky-XKbRI8e!M)^ zNNCyB<OAN70bPi&YbK_6qou{Taa<<{|BkISDP7BN#oBVFX>W2FSP@A5sT=4*!-sKv zntyF<EeS1vFJc5GA2t4ATght9lGQDjk!{i8TUbOwqFtx^L?S>F*%Zk-Hzo5PR5l<t z3r6OA-u6dqP0>Gq%n~5ex<fL2L5lDKEV(g=9Kw>G%4Tg8bXYQY3CKh#70pnBKygt= z1CGN93;|!9`abGWfz|=kF#q_}Dd6!GAqub+%bt`{;(Xx{fwE+X0&8*DP^YL!GwHi{ z5vT2!Cs847Ugbl$6(OdX+A&RPL)2pp{IQ#KLV#U<eg{NJ_wcXRU2D6nv8bLs@g7WN z%(oS#2AYB0nFU!E3gJ+{6YpqLOSDFsSbqIjnfaj6crb#FYL=d*iNlm<$w%h35ewNd z#z`V$mrFSm+eed{uCPumDKeJ4;c?QsASs&U3YK}BvAK$^p*UB8f7t{yS7Oy-%hwYc z*ivX9EU^<ux4;fmRqi1vp)>{w+E)R@sXT^&UkMl~IJyqFu$Zs`(RsyClaaj*Xeh%` z*k0;f3g!Lg){5gUsxN5-<;>+|s>5o6wv)glV*vrD^&&^Bqrrki;8f*&97ribUmKpQ zN6ZZf7_>6bew3nhb;iQMBCV2Q0c)|#(x#<Ak?TO(w9Y+|Q6yz*#US@+w%PgT-rO#x zkT`9V^wtxGI;9PVG;qYE0Ikzf5=Xe9#@Ydk1*Ov8;#Fn6P4PFO%AZ_l+u%A)2GXfY zOENr1Mz=Q^MbmofwL!~C5s_8_FkV<TJTiP~1LE4NLSJ^m2;wEZr<1Xz6Kq}x`@<_~ zGWrXm;%8i%>?@X#)0d~URPaR^A9Nzd_kSOo&q*1jQu*|*ih2xBvbJlPHiwVVM@gZm zn5_nRJ}pkHu1!QBXpk0YP)*v%pE9QcJf2TM$sHq1wd3lBn3W8ke{>%e6P}A#Xk(c; z#L%1YP41XRRT|3V|AfCG=*GA=Q`}$Z0J8+d@E0Ll6r6!<eZ<<}97Eeuu#Pt%a(ayj ztx7x(pd#ndsqlz#YL)IspZd}RBCi-`2uuNujA`|fFs}DO%_cLX>tJ7qa&1s63wEzd z8;+o)O-ZBqrwNXl90#aKK$4bT*Np%kh$#~0YmK@<S#1JEs$!Mc1+_4&yLyGuK}IIZ zC@^8?@C0}0o)<&J<sqL6glnIR&V-IxkiM0ZU{b|W5!vzXoJ80^2phF(w;s@oP$dq; zbs09R7z&KCeF3|{JS>A4NIv7m(>e`HM{uLiI0~*x(V@do%W~$K1(BfyM+(P6^dwRL zUJ{6+V2@`u2~~U#p;9?<I#KY%Id14~O3M4)Q0^Y+?M)WcC~sA`co*aJ2GK#wrgSoK zK@sEJ2^|e`x@=+&IBFVD*NB?YU(m0L->QPPh7THWM}sf;2#Q#1ap5%~GU1an(%+&i zmD|)z%aN5RQ&L1QWm5dG_jE$GK{_E&BVxSDLcLDAcgD|MfzFaJjlgI+yvEB~es60Y z$#dcnHMMX;u@X5xZ4l8NeJ-Evln*Del#w(>cAMAXY@t&gHo{X21ya1;XeOugD>NXr zJU|t%K+$C7r(nFBI%%>G>xVN+iGG$Lzb<2kGfN}6fCS3PW4e}L*r@g3qO~~)G8M{? zDkQ7m6G4ry{V$W~1kZbA$~uY1B-EhsZi;-9TlW~Hh_#;qBmAsh9lS#0Y$|VzF-<F^ z!$oDGe}~H%taQe@oUZc_cujO<?e+5m^9p@W;v&|HB*tt71e}@+j?rVn0Yh}Wju~#z zof%geNHalpB~`u(q0R<0Nzh4&lW&}mXAFFONeNTLG$)AcLki^xD~m99#*sxD)F zS%wA$rGjK(r`eom3o>jF(?@FA#sT$<6l<#vKF+x!LdUKFdA;t;0gxCpv&k{8XH9m$ z$;ztiDtDkNMJESLk!$UgX)y9&tK~6ON`Km*gn(B6NFzsF6BlVk{*cX6G>}m14U6Kn z`r-HLlzB=l6|`h3cc^=aI9o=80GY<?4f3ruG;t`)hGk@z6%|_N2XPiT>f=wd%o@}Y ztj&<t{y<-rZ0VBBRiI`BWRN_&CmKlP-Fu3;Y}MS}pRYtOPgM6vJXmMx9~W7+fj4#b zQlE^Rb)-d;l#HE$fgf=iih{Ws7y|mEE`c=MR2<3vaTATmUB3C9I1=@N5l!VFV@jpj z5faAE(JQh&yV&{Z6GSSx+B>7gxiG00d(cu=r>&6mi8GuGl!LcL*BXS--2}2E7=uS7 zfzD*Z%DL)0n1%v%fQTO}g6pK>qsKZ*7K9Z;Jt4K`M#)g4OxHp&wvYaTb{ejv9{-$f z*N9YZM=m<Q?Jq<FC&M`I&#@!BYGA^Gmw_>;ojoJ#469m44ps_rWW6+DxG2%FVo^)R zk)%o#<MdyC5Xxy680)S&Rjlkk=~iUw`2+T@JkhZiNu8VpV}q=JxE66_us6!DgK2W3 zn}8mZ&#+z%Ld$qxXOrTvP2A_weIKWVWj>B<t2M4Ch|@4QMU|1g!7x(6w4tb?bHQmk z`6Xg*qm%!M?CDn-;v^e5xgw(f8fS_NvQzm>I!~9W$h`O7UA}RGiT%!~X2xR~3sk(Y zKW%=`7{_=zbz-@YpYc<9J2mwU%90Xc6$c^dGU?KssNv^9)3pEv=UoXrbtrXRlU-zZ zrXKolN=Vg)p;cyCr>bLd5?WtKlrR!Cq1{&)BLgYFhGT}bWo}VOq#tWp{S?uU&Vw|| zNv2RsFP2<%J%_Fr#F;V1KoZl1Wa<lCKmu`|BZ|>cPek7)@JSlkscaJLr(mAXO;PEj zFOXW23%S-$X=Na=&;a%c9F>7vfF4Jj6Go7Q5l|eC`1C-LZM=Xr%PAxZbQ5`0pewFj zFFD!3@ppW*DOp>GV_ep2iqI1?7OFSHY@tV`Sz=*PQE9W6e2qJ`4jQuFPj{iCh!$}D zO+z79Yu^mGt+jS!2%9He(gRILP1BV)Y@i#BcrJZZh_x%>Dk$be##yhFdm<&(A2(Wb zO0pRaqDqXgEMsMr&VR~9;&0)&#B&>?Kq7ZZ$oGFFs?z#(Sa1&;7_0rA2m~-5Q6Vkt z#1YrlOyz00;JCpUkc6&;hLvkg2fX9zo(zyJ&N-&6yN!9Lm=j!2Z?32-3U^gtCZL|G z{<cXPws?|dCe@*kb|cGur&lBFj{zi@2U=LGNzA(`a53;L7SRc4q1J_7TU*-;&|F3X zb*Fg>6o0y=MJ}%tIt_p?bU1wtYOV8^5fZe|q@ey<8nIKvIlF;{6Si;+dw*FW?fvkv zd`zQi!@TRPC_^n&Rl^|Q?52ZLR2218RS>@PLE<oijroB@gxg*f2%kq(8Kj9HFB$z_ z4iY;E-Jq@qjS{>WV!laYd}h*mMlw%D&c$P9m|8_OYZkf2MUi7e7r#V4;AF4J+c|=l zVeMFD+p;Tmg**@;-57+(Q5XC-gL^YdC|G|-l%XW0pdXi+AU$_8rSVK@fnaz{X##Bx z9V5nEZZ^|XinKgU(=lkkmqThw|H1M94YKu%?KH*&zQmB{8V~|C{V+zqb}4b^h|@f4 zG4}|^I<3uwGGZ*4_lf*=*^IkXlmR7{%u_y7#9**vYoe%60@JT|(VEDD#~|D=Qmd@a zNJGNMQM6e}w+}NRcj++GdlZPFBIZGTx`p2yr;AQUC$N3dK&FqYyyOafgSwoMkv?L6 zyWrGWyWac9CsE7fq#+)zL_traw(^Uqr%{gjP^dq)8hjHN#dt>pvoPiAdP1F(n)A|4 z-~o>Q6gZm5IXA$vj^@@1M1dwMbaiqq#~#u62BKi+(ca;@wS@0z0r6h$nmU+b2VfA< zCjN>=n5mk^lmm4skNZ4_8g*7DaWH`Z6$d|svN|Dom3uhAbe74<*VDyn?_p#~zfPg) z5ue@h6+mu(T3IVX8e^2DhmIBt-!ZvfqAC}OJ>%r@8iP0ds}9de#E)7_>BcGb2gJeG zlAZd35$W?6Z^0ahM;YiaT&q}X)lF!VOtRKSDtk6!A=e@G-I@Y})SGpmuV?o|cwiQj zhEl>no0>Z^@B0LkCeOOpIz=Tl3T3vTZ*#UYnFBU^;^w*AGm(UQiOd3<OeNUs_C|p# zqnjkOn	|=}$Fcc@R}7pWL_2bCiKTpN6w93!aJ<-10(sLsT}FcDdG#t#yv(WT7Ps zmn_R>z2cXy$}TH+$W?`Gv{&<JRkW>D#&Sfwp|y;ernpwed25XH4=_+^vWNr;iY#oU z5{S_l86~v%w!i2Kk*r_0AnG?vS*`+dI8{v26_{ujaW45lPZ&}WW(LcA#W3SuiHjc? zYAwN8PLg6ErxHI3iY$wYzgO9C6jCE)<55VbUvg0}{6bS;k*+<A8WP1)-TC?>JaXzH zGNX7|776{l5<eOAQh_kvr9615_4cWFc^panjcW_FuyM1j&xO(2RgOfL;85`=aP?xe zN^2P>myX!pFuh`r(>1#OSe)!(-F34g%c1yzRgX?w&P>)B5$7aQhDhgo#~0VRfnV3L zlcx&xg+>l8B$I<@m8Pg9e2XT~TZgb_l8*HQQr@@hti`dRwTswXnzAIPu~6b#W8<1& zTP9Y3Fc|(F8RJ3R1*Mo)aT|is<&}}=O}V_lQGV!9{zRu_Yh!~w(G?dObKy;gmNAVd z{SoW`?3q^ui`KiTBn}5lx=0iG>{$gnn1mDBY)8R{L^ja8<|rhQ*d20Gu68<Cb0|8D zC#LAb6Va42{JB2vOzN`1aS;z2`aFe7rx3_O_A*H&J6TA+EkSQm?SGuBQfRJ<ixy?% zxRLAWU$F3`@xelD^QVV}9-d8{!Y(CMNRTzMyb$!S9M3X$4c3FFM1KFW4ber522p(u z@uL&@D2@b`Y<7GlE7;Dmtm)W7CmHX&ywI<$x9}-vxF|TrK(b2W;k)Z}j;wsKjD2_f zU{5`fE;J|f?i2Xx%RokAHdVyt%oEIGi!S<KlFxtci;_G<L4h>*;E!G)jZUX*EOuek zVKzwL{<<t1xHg*1nDaVLlFvOf$mefzT|dag1C>u~RwLH95G^nF{iYd63dzc#XUoe^ zj$W|1W=>BAqLC?X>BGwyrtxl<%`$5<_Z<zBadtn~-uls4k%2=q=;Yd8sn%-MxF^#Q zPdsU4$wcR0>@C)dwfagkqdKXEh27N$(Mcg1cZE)vyuE9|!XUdq?tIte9m(^RbKl6{ ze)n^^j-?CtUzIJ6L|yNg9>0D0veUa?y7P`lH{SQ$v)dP+a`ubwm{^fy$6+JEI|l#9 z@}&#z`|I7~pB|Uje!BA8-+l4*Prvy3jztgbt&e|hr(E~go!7eiE0x`MKD>M78!rxg z`O}Yn^Y{Mu_pkWa4ZHqg!@+gK*g9POwfo;Sp09MR>-f^oc6YtdJh1!ViiMRg9;z%I zAAfLJ{l<LPZbsaF&ju&n_xep=ym+AN#eFZH_q(4y_v+^Fz4Gd!b$|TE7keIkC>Nd- z?EGGK*R{b;X2uW=c2@rK``I7eVm3bamy_c^`sRUeJ=a|Q*!GvsAAO<uz?Q$c_?_4N zVC(Y_{g+Sd_~yU;<mXS?{;}=b{`E^gIREUEPdWEjH}3e+;Y~Ys{HOP9KlJ)Xcl`K_ z-(4JTFDxqG`PlOxc=Xr)#l){3+xCZV&;IzD3)a1UEIvLoQy<u{ztig^%!S#BDN`@p z+WEb`Mfdh<{Z|E@3~ZP@t*{WCbmgO+F5F8m4$jVGXx{~L%f}Aik$m?HUC-xl|0+7U zapA{5o-3}uuj@CSzvK4B%lcI(58g8QTz>oY%f5NZI}Uw}3FSs~(y`EdfB9LP@B6}? z7ykT?ZU0dJ&g++6_Wbrw7JhTlS%s177iEGKUpn#5XYVgRd&`~A-0`W&=RSP+@S`vO zt51%s?7n{2mSw+^ppymXe{1qxhx5C;)~&en{K9ws=HO57cx?9_hYmh_sHKzS<lSy* z`M|U5PW=6Wo8EQtK)n5%%N{*{^{32ZzxlgAId#doFFaN_`_WwC<RJ5Mc2^MW?9eY@ zuqQtF?eB+|zir^+3;x~Yc(m-mw=&HYcO8D|yh~nq?7{Ee-+jt$KmE-4kKXX_|Mh)W zKlU5%eEkCtU%lg{!-vaTKmOBSU;WANzt-Hk{hr_3{^<9YUHJ62?TfRYUQ;aJ@Yvo9 z-`(>sCf;PXbGSGA<Mr=2bl_N>TzRE5uiV#t9{Z@z6FKiZc8OlvY@Ub3+vw;P-Nws) z^(*(C_qAj54NX7ujN-oc$SV)Ak+YkfmVR$)7PF)wDVMJr9K4GCr<+91oKd<>n{<CL zd)Zr1DwVd5%V;y$xMg}mrfG|rnMV#rKi}G%R=&DVz8k+OV?(lKiyHZC-MXW>qN)4r zQx+$0oSrV~MNR5kr9<SkQDo41x6-i%noKF#0X{T1n8~m))*~h->$f!@xoz~eeV(=h zms%d@4}KjTLzI&%y=40OJcDMuu!!CLrVEzZpZyF><Ek0TnAsuSE0Ct1Rmn3aK9b*} zo6;vI^IK$Q65r>Y>rksWelz|`<l1-T%-7Hf?T$_+CojeC9-5v+CzEV*J)Ta|3cm8{ z_g<gD>}hDw*H5z9beBDbOf8+HbelFk_SjsVTyzoK(aB+S(nXUfJi=~a3iLWb#i>pP zhi3NeyW!^1q1Q%tG#_KTt!r6S1)oMI2Yp6u>Y3+8*{^?;PTIA_%BndyxGXbzINi%V zIl1+=*B|=u=xw*fn)4Ux<keSIC#y?Mb_+~SegYw*1?c2$oBq|Ppp!%zGw7uF!`0IL z!Q{}MJ=yN(r!o3?<P~ousXA%S9GFKZ&CxB>^XX)tA17WXI!?Yend)R_dU|qFTTOe= zzAL{*+R-pHowjvx>U)C?psf>`Mkh1f^!k~r*kZmZMy;CjP2+^#KiMr_C(<?KuYanO z9nEH{6Lz+fttnGm(aGYCO>d(J$4Te;F?W4!dU`85X-bB^Iy$pqBb&eH)ybw!0n%pB z$<Mp71nr)j{NGnL9~u4a+qS4=ug<{YEyqcDlWxaez4fn7%s)OkS#HW>x$a_i_3BN` zBS_TT)R@75R%TWco0*CBgdMsM|3rCZixx0B`O218UYk!RwD9~o@#DnLT{=#_hN*|n zT{F`|qv!-vO~%RmI=MmHPQF(!K6DVE^QLVz&D=G!sXQ~7>LfeaoPX{T<}U0(b?%ah z=`N}?^GJ8}$c~mybn=9KQzo{eJ?xgcw_+`dmE)1QYx(=oiJ!YF+hv)zz#jFsmMxvl zox1`)36#k+n?Hx8>ZDh7GMehd_oiQ@6P>%Z{%t<cPa&yP9mOo;q_otkOa~iG2~<Ew zIhGpCU0x?TcR{Q=$<I4?jZV%#cWtf}SPy2uez~Y0M(z0KTF*n-Quga<JpTG{6tQOG zD}>ktQcxtjS*Qa6wtFUupXTi}`N2zaj^f+?<=6lk>>-C!8$OnXg>>y#j&4;d)1mZJ z8cekO_sbdYUmNil`$KU#Nd8x3KGeG{Nd8=gu^FVCC%jE!N$my0UgKpjlF~x6TkRE} zUZeJgChF@kABwH!EtS0uWz@1m)V25^<#9KsWr~#a?XS0Q<N*ZumYw7pY%i}fkwJEC z8)@e!9+#0El&9Aa*E7A;csobf#+HbfB<aPAjHlPOIU2N$HT`HT_J>c^xAjKLmR((| ze~R0|!qrbzuty}0e^O5U`ZkvC%Xsk3O)xfn*aULBP?EA+ukkAeck%c)41cSpc8uVq zLK};0_I{UatL<8TdZadhPUYj~Bei=BWY;BBB-vaK2K2qnW`8ePC~T8XZ^wu&V1D45 zXz%8z-pieaB!jM3%So>4ycE+@+A#R}<|oxIQ9sk_66J;6;H9VMPTPm9DUVe9g8kuI zG8aDK?H{$Qit410+8uVuHS+RF^n=qbmkIUzuSxyW@IOL>owiNtpBjkb(zZdhpN!YQ z-|LdP6Q{2APW1q_cT}~eZMC`XbX*ni^Xl_E3b4c624W7ryW0~m;PBOoFdg$g?rqi7 z8Y%rF{ov#$J|Xhb%;=^`{fI*gRyQ-BI7oTTL1t5yU(>9$7c9`kSaV|^_O5reKP(`t z*&P`E+SAj1{V_f0zuhp?=H#DR)Y`XIt;_gqqHN9OS94|3ztML!H!-jEe#a*>cg!L4 z!699NN#4(`iqQE*ee@<x?v3OX#bLVdDMr--tOaT42Uz%QZ9X?O{mc;di<#FZM<0H8 zX=W+&*VL<Oi@kb>jQ-`Rnx)y~ow%%kf4(`nUyH$xi7VenA$s5erPu*7Ej^vX71xH* zQC62K$q{%foy@U|(pDg2s*}}+nwy$$p%a}Ge)1D7BKBX);HukfUf|=gtX99Rljbox zsSfifsZYAnW}inVt6x~H?Ks*Te6HBs!J2yU-zmSN>(s)qHWzteRwuNZLdQ^d5uJ!! zL@xdFt)UiFJ{czs<w<EaKbm{trJ-iJHW?hu^H?99kfW`W6-)AEzJHLtc)=#9mo&xe zWQEts3oV@l+5j(Mn?}3LqmzwXCxgHDQlt{Rl}=`DI=%2PoSfvtd8{}`H?g2N0*sA8 z>~%$Tv6{~dsDJc0S<O(YJD*{7Zkp#8GCNMd&iE9Z>Tp$RGNDmqe6vnUxqR+KZAeBy z(T|g!C)#<B^;_dahlK|gU9<I8ojl^v`mG7Tj}z-LEuH*eMxthOYD&7(aq`8BS<k9Y z82eabu2{YLF^Lyxj^z0eeN*o`<D?PMUAwyvGESb86|3ceHy+b*@{-!x0nL9<cP{)B z#>wnj<cLlJ#>wcsI+>ns>m(f~_1STPPS8^<NT`tNM8^q{BRU~m<tjO$y;ql}$3kiK z3po;4-V9Eq<0NcNbn|?Zp<C}aUzpWNo0+mzoh(trTaA+vI?3fmHw|qnmj?&=DjOQf zqY~{e41v`v&`Edr$j^otC!<k!bLJlyCyf%Kujqbk#kzIRiOyY&55~z3{(O0J>#7K> zIFFvYVznO(u+bagnuMHLtA2X+Am<^r>=BuRbpH*ufqu(az^jnQVHOljc1nF72s`yV zP3&^`QTx2cmK|d!=Pvs6KTq?^&iGo5!`J!pZcEdZzv2rw#}zFPzX}oZwd@;{R=yUU zE>%jWuEB+-BlS6_F^!uwnpKwl`N%MS(0NxY{bt3JfE}aHcf{^7jdz}5Jzm>F)A7l# z^l7~FOKBU)ZK0-%+ApScNY7>LyeFlL{<uYdMk~G~dm$OHZw%GvoBLK`jfX8cj`W?= zWQ=6B&AUSV>+T(}j=<CR4(NLx_cV5k_`1VD)3Gy*twx`&7-bmlsSJn{=ZqqW*KdqV zju~6+74gHr#aBA;8t>p7Ml1M#id|xh?;1_#+(j)X+=CAUq^qRiZ8yq*`ryY}zES!X zom?mJil5g=0WPfhGO$mC0vdg)j1(PfGpVfODP70=Q#xL4dfD_GM}656?n%?bD<aKD z{9fY&f)B@zKlN?ms`Yz~m+fyWK3(k`*Er~Znx4xTk}g1aU(0Wu<4BttP3V}ecwa^$ z-xk6P0;dvR$nT#6lvfHGicKpr>&?N0v)DNy&%w13?SN?`)VFgYhVUFlG+)s0$#alC zj$_+_c9wZ)W=ZCT#^y#eJX_D%6z5Ce6`4&-nwm|T=VrqvTJO5KlFiN33a3=_Bxq-e zj+>fhNIO(3b8=K{u!WuLC9R0XZ-?im&mXp8S_wxLkm4eq;C^>*>)RIXG0lHWv_&+p zH@{^62nO?V{RK$-3(|l2WL@LW!rX8RdsHhPrD5C7M=p0nL#~Zg-_DK94IjnTcBajB z6f&iDb2A+iw#USM)U}be9hk?Tr=WSL-U>Cp)@O5=*`S8fXj)5L^UDjMc|wPCG`ORq zK4y=>&BpC)@Cc1L64D~vkytA*k@-d{wmB+go(^(U`Z1A|+#bKWbNRKxmW?&-S@9Tl zbEC)S*`|WRw8L-ZJD$$y6B>nvcSW0oHZf@omr(0!aAdk|b%7QQ?|?}mUy*#rz^ZvB zX~Swk$&{Vp1Sj>$txN~_V1ap43+M&xl+Th3ux9|U&^YzIwBBh;oJMr33^ao{6k@#R ztI`g($XW?$4TWZF^*HLJ5NnOz?t|nWP%(9rvLQl(GIY9;!H3kH<?6)*Got9Uu4!o2 zr3l}Uejc(;?69Z9T`QIcOzGl44F;f8(QIG|63GxF?DG>e7Wy%MdRN8Hmvr~7^~@6` z)69ULexyjBpf+q8WT!_-6N$lD0^^fyn#uV+AS`Ex)AE!6v6K*4^aC7tJCCwaS<8ut zc}^O!NCvUxVHoygN^3KqQ?L{o1n-3!5^b+RevcC#PSbG_3JzQ^Z5rkprkNzFRb+Tw zV5Y=D#V!pkEQO$paN4A*MBeq|icEP|JiSu{qKV&<g#RYs7DI7?!_j@U1~(*ZRK?b` zx(f&o{kRnB0`)@c8<5@JrV_b`zV2@9vYMkcm+9WKMh(2j7%!0))MFj@d%DA`5CkRx ze<Q~$N-|(=tc^AiPs&a40k+o%aZeZ=(872c?Rug-H!le5ALB0hp{muTBO^#Ep=G}| z&DVp?2Q9@zS>H3q`1HKbWUP9{@bWP}^Fk=BL9Ae4kEAq|#ssd!0k-W(Fh&U%vOz-{ z0ncFBXfo1BjMI&DQBe)8lEgu3s=-KOOl;Q#F^3IRmX3XZtYgyfof-a0mx94-Emd1j zrdtVZ&+ow1J{<G4dYvXRVdOi6^Ax(F!6F~~j1ZfU3J=&>xiy;Z3=0uRV=WpD_B-iR zKSd>eDwZ+MR7xU8HbY8Y+b&E>14MKnM71E1Y9f1gX?Y%^XtS(`#bsz9GAXWCPkK;j zm1by5)smkbETU+uD#Acv7{n8{HyR-B3)O@gzf=J!BOmpS^==j3fq}i#GSGroGD%S! zGks_*?@NgKAqcX}E^)k+O}&QZ1e}N=TMLZw?Wb6{%>gqfJSXUDpyK)Vvv~9X#PFS< zRWXuNUbI>{&8uC9VgX+%Z2BDc<Ib?7u^5^xK}`dUI&JB+lX)<7YnO)Ud#1w|6hv7D zs882+^F_iv$8ELqJ-?_}oF#wk3suTgF7IicBfuy9NwiMH4MI$s!RRpN&q)V6gA_uG z1GJjJhueZX&|&1Xfpvhc`b6AmB_H*egq=!Ly?n;oeO<Tx(egGa;T5_}=%{(0be={h zHp<qI(sp;D6a+cCG>t1b@3sOi&QUhmoeI_xE)7Py5m=Lm>W=@KgTh}fL#rXWjAdI? zKW~LNSHd@l3)R-OELD{iP{%piIW$i9h^i8Fr<D>^8-`N#b%a-q!L57Q#x+~q)gWgB zdO&+Ogo6@jEMkAx7t>6E<Ld1c$pahSih<>f5#H8-W5i3pVeej!heXEca^TiT8cDQR zIUoQ;&Itxpnw>UKaQu{`QwtHG$B^WDT;Y(T@Hlr<iR2(piZ)A4cE0RvRN!0ym$hl` zh}_J=6S_B2Y9<O9pGLz@9XyKD!r^liq~#w$QPV(82vdk?*Rog|nYoLZL<r9UQ@<Zi zFOw(oj3d4DG5@4xc-4@m|D_|@#;8CFYRcsG*CJ%fAvD6<9VdZyXg<WMbyjKgr%Qp7 zUoMne92C3aR|Hb89*sgejH7Zm%>_qVjsPb63UnHdEyGv@SvAU)z~g;PLvv?*qVTq@ zT9-7L-JelOH^{GzI`h~uLLWg>N|sehr3i~kOIa8)GHm?JMVfOkSN%95N6HZ~P=cQq z3|Nk9Aydp%nHF=co}$$LI%Bl!kwO|Cbgqg8f$Tb3zXOe;l94@WXh!2AN6AcL{2+CV zq$-2U^CCrf5tOCRHi}iLGjgD9bdU|ZNG@AQ9~T|wlU!dKz9x!Ob4ul7SYH#QM)_}p zR&f037(~2OR>qBt8{!g?Z2HFQ?C-9dhJYHFq)0ZcN_+fi<#aZK=@IawL<I&-g=3gC zIEk^oe;{n^XRy|bE-dnto@D2YTI*%Cf@Yizm&g*!fiOGCO6W}Ffglt&fZ%h00({&T z+v*#$$xf>g?-UNSqv}rfQ)g`hb&{%dhH=qHi?lA{Q%M!n>huHNU-eQ=vcugljo7Lg z53|+qLE4+?$x&D6Js_4r0|VG!x-2qP;WepB=Z_gsPruMg%J|DnbxbtTMO`wm9~sCU zK0xafd&k-RThS=>h|i;Ra#y(iQ1ftqTtzxZY5*d@2dbgTp-Bvqc!bT|wU}ODOj1}R zo!Nmu3`3^lYJ<7U*O&fDoe;~ZAV}_rCijGQS?a1v$%kT@ezBa5<B6yGrKivnV2;*c z1ZL!xYji<K8_1YQ#j#;F(dIE+PhgWuX7Ml3U=^IlYDx=SJ0L6|G!r9}yZ8Qrm8F$Z zr6(|&vo%j!%u^Wk9HKg=L!7=F$`sOxc7z-_aeD)o)Dl;W$F)^5ekP)=*wj2FJ4tui zM#w28)+Ma@nL#4UJwdr>x^85ZYX>I!^TyE9i=@yQt(B>m!{EaaCX4-FU|a@4J<s}? zNlj1P)pe+3{t9vhmW;TpOT|49bHH0XCdQiBn2GBs%kh_yLb5>gqcB{KjH<qedN@m( zlM<ODYSaefMC&H>a|}%XfM@F=L9X$IverKSwVttliE6B3ofIp@e$8rY2^w_K$X!X+ z-WV?L;Mw$NmCODh)Rv_)V|)tBBgOcM1-#ReS^!-#!qS=Ey_hof3egFUOCrCjqmCNk zdeI3>{>B!i2#;0SJTXpz(|?MBIs1rKEwFWpEwNCvG`eX~la&ep&IL{)FPJ529gcEh zwVw1C4%o`~QAMztV4^@JcQOLAE?H2EPoV8W$UU6MeuT)VoKYL4_;#(Wws#9O9lC48 ztQn9}Sm#DuC;GLD@3G6Q<Rn^^v~zkzlA?v}b<!Y+Dc+&F^c*-V%ux}42ZvGC+Z$1K z1D%9LWB-|BDoRwBb0o@-bH-%8IEWH*Jw3drqHG&FD+X37Nz6S5I4&7ul>v{N>sY9H zw6DTZKLs5!0Q@u$jo%rTxwoayq&RVeB6SqB9wz-@0Ctvv5h>efj4k)PIw47!1IAZS z$cil<jxPaxU`$}F7KMbdqN5F~=u?Ic+Q}8e3!%i-q{i179Q`#DA&KsSms4)Aq`)Mh zJ3!f?g@EE;!Fg95U859{!SPudu2rr?yrc;R+Ft83gh%pe0^qc6X=jdxjml>*aCAB; z#+^|ix?T_V*5v{@qXOd||8ZU8M7q*LJR3_N!&6HMsz}(HbCh>Fa%`AXP2JxFD-zJ< zjnYR~Kr_X4iFiQIfQg5;AJI>OB8kJGOO}!qxI<EpSX~C(6yugIL5t9*mz2l)g?S?f zM`fzEeS&ym4H0q}!^Bc<F-kp{6^DHyd^tKV!=$}mE&yG18C*L6-fzOHiB%p7Cr*%{ z1<=>^{4Rpl$3&d^tr|=Pa^a~_U0Ze7#%R<S=kJ~&a)SaB(H-G{@vb@)#=jKlE+>Rt zWf_~WM44|hcodUQ4q-gTJ&vxv#3-%fvK2`FoQe{}6<LrgO7uXU7g!^TnFSMxL6!<r z3A5P%3HC@Lj7TDxX_^mSvh*}YYnxJpjv(puj6_Zf1}BDz&xOPo;WDMis`cv~Ez$f) zP|{4{+DyFGaP=Ihq!(kKkH*$k@6tt)G7G23#KoXQzfb~}4n))PZHQd&WQ;eWaEjer zia*eA=l}|FAOYT@EgCk|%@{&na2+UtvBcK%6RRO6L`s^X#K_fH0;|=u76n<d)^oU! zyB6iB5Nf4hgOnl@+(er&w9X2HMo|VU79K(9v6$rVAA?`N6kMI`wrBA1DUAQ@SYSTF zvk6w>EYW%$jhJRF($27e5<cAQHFU%{srl+FUfeiyyaVT)OPCaNeJFW%-EgS-s6j9V zmADDgry%5H@lX*2)UnJZ8cIuv<adm7<`V3})3Xau2leARP|Ak3N2yo|cOZG(IT8-6 zZLk)IV5h?R@9y(pLKi65d_}QC&kD(urCCJwy0TOfBleT~2Nd5DBYWR?YPZf9*cc6j z^f|umfn1PG@rzIw9<Y`y5%{2&s&#PFQZI~y4z3O37bESm%+YB*JUNbvqAg}|wRLI4 zAn2kmXfPO_a(=3OUMGSL@&(Hpm^=No5!QhcoHGoKmy&BSgmI=O;|*PAVMWFzQvoz3 zA{7N6nVK|#g47AT<gP-H!{4Aoctz0#nbBEUX%iu`HbwfxjXYWt2k(GIJa|I2L1w4I z6uo{x<B`Z%SDxLAm6#F#c;FNXI594-Rdb9Z<s-(IP@_{xPZy4hhNVV&m9YVlUJbNo zGuR;np>imkc&%g%M7_a6X~fY6qs*enK;H)&V*ER&q*8NB0)MUy4-o?|-<p;FUeW|B z5BNAlrIfm&84Bt+b*yg)rbhHh-&ItqC1tN+EsSxciw3H7t^@;kN{Y}>W)d?7zk;z~ zTi{aPak&XQGi|K&6S7Lz`Syh}(cs`YIV}Xo+AUHd7L10=OUHTPnLWS0F1{)N+Y(8W zgAtXQ`w>nfjn>gS=rF~?rBlQCci)$s(|N+qwJc?pW#ci{PGgp<AEk4NbTZ+}k(4QY zO4(=&k5P;mSys>*bcB3y$}&7EF4wapGclDsF;NJg+9|tU%WnSmZ%)MQeNcMZqi|5< zZaP^KEIs=q6ycOzNu`9Fk5($FUszU~$oH4m{eSiwN!WM#iOa*cpG7_~{YI`@Bju?o zhKn(M9QjoFWZtW7!M2FP=YmuF<thg1QWwQ1+yFZ2`u(X#=|4g5Cmm0`id^60wZ+Sz z|L+sEsU$ZMEjj1it2(p0&*>joK2YFE&J)q%CtyAW3Bb~z78`3s>Jx+g>kDf<Hg&SJ zQ!*S+Fk4{jc2jhHQdlU#<f+^}>h?F~fx_Qgu-q+N9qr#88mg`Ro&U(0%EWl!_)Zec zstnpo`m6C>r=E1{)CD6qJh?WCAMD7D*=x%3@_3d0lY}m=qr;%Gc)|Yap6g{`H+yNM z+*lc#Wy|Sf@eP4Y2G}%CbjnS8E0v&g^z$`2Cys{^qMTgFXu0u}UoriTXGhvxK-XuV zUevQkc$$@c|MwQNTw}+LUJYC#mH})d1ChfhU%scuE7IW0Z!Jy_^5KF^y>KDwEC08V z=f}J9<prn4VM)aDQxVZO=7*6+t{6eZuD;S4{uXov4Y@VTM|t}CrSwEj>~#rdg>ihk z`5}#DUCv-<N<sNtr;Xy#Bv@X!eDAfLz3k3&rWE%itbo%=Q3p~N7oc}Wx5oq8$|>~M z$CHhXL&JOa-Fw6M|G4|QTW+}i%gYw#mwxfy&Rq`UzOvL`j^ZUtn)`mduld|hfAqg@ zs5X-NMBY&VSLVuPH=~nEWx<(?dq4JPrxo%a?f>TeukCpLJ3nZ?^4RMiSn;1;|6uO& zO*<~R`Y(2mUw*;Bvr8tj<9ngsdFL%Z-gW0ocOTgOcqtrMch}17flr<i_XI2Nyrppe z3B6r+{^#p!`@U7#dE;lFyYtJpym9NFKKtKp-TP<Xe&)tIU%PWh^Nk1VQFXxrZxJfl z<xemA^7Tpo3IA!uj>D(CbHn&btPIwaiZ>;)*}CBIep9J9=cYP?pPX|2pNyXR?6b{p z|M>NHf98!HFOC2D_Kkg`hyG^E>o0!h;%z(ray+}GbD+EtwQ08r3dq_?GwuBT(}f$> z-TOyZ&dgNqdhXz&6Tb4;?TeRwZqa}Ji$|Y);*{V!&6|IJ*~hk@`<}B8f8^6!KGOKi z;qQLqN2|MU{I9DY{rNjj+4LXJUA=MpS8v($@O%Dh@%2Amv+AZpsuR8r6W8|a87!@o z((R9)fB0XX_r4>`;j_YQQa0sv@xI^cj{ofLmH+vM>(5@cP%2;iSLlQ@3kPG>N!YPt z*~gdd_|T`W`GXs>#UAGvCkQT`EuHM_+_-#k|F;%o)*b%Q;Z<L1zW$wOcO1U+^$(o< zM{m4q&%fEY{gN?s@)zslXM3<5jXElo${nBm;lBG`JbhsI<2A;~ft3r5>Lebx;ce$n zc8Bqu-@JeEzHfZ7^6V|&y7P_?z31Cct$X5*!uoH&6zqHLKQ^1c_tigLuxmjliz9|q zW(PXCKN;xxoa$s%_y+^BCtTygLx~%6?yjk*!QAC!s#6XxyZ)P>KmE|NFa5*e7tegh z-)&kk{_BU6c*8n$GWn?;hracf<BQi6vgI{xod`PFMI|S`|NXsxl>ejqzA$rD{R=mL z_F(r{Zn!<0-?^yy%A-GOt~lW%&4=$ledDU$um0`Np5L<lvRiY1zwgmk)}Qy#=!)~V zzq5POW9Od#$?ac#tn(`;f30@?_cq4=<JpC#!8qY&b5GWcykq_P+aEpa(eIxB0Va^6 zp<e2qZZv8&uoIfX`7i07RKE|CotXCsGuhA-dw3@`UAJ_q$8O_M@2_rrTzzTYvRY3c z%;|5m$jLlx5M?8&Pn+Xl<%C#{a`b$n(b2En(>=tF@i;SdDYCr{tFcT`xeZO3>WpOX zQM)|;W_C13*?RmONrN*fyG2```@DuGCr7Rf`_%q2#p6;2l~GAk1H9(D(j@R5va~t6 zYTq=;SZ<-&OrE7OXAsQ?<j<|<P`g)=ssk~)apfK|!Fh<QU)){fB)OYQx{bf%BV}x= zI9sjcdA;^pEEhS+96XpDBJovaUo38=&h(QOaQ4pC?eJV5oiKg&^?_rZFpo~8S#8#a za>vt2bM<RpCzH(`nDcdW(%tlQj$PIY^XbHweUwg4*+OeZ=!B&IqdHMLRCa?OtrIM? z*b6;ZCvO|vaJF(A9sRFYCi0($MwLMdv^|wMqLb0lwoVrP5Q3IYM1K9(yGJuvDbQxL z^*rEpl3VR{^6IYvsuLrl8Fp<CHMqhzRVNhmT2o3NDNoBZ$|><00UTVN6KOP-{Mj)& zp)J#Hr8M(FG2cyJQJpkfI@yQ~Fn?MU(0xyHxY;*^EZ&w)u_^wU=@&G8hU1FVXM^?X z^o&mQB6_Nn>SSh6(~brf&n=xybZy-DwR^lyg11dy37L+QgI*_t9S;wy<moukI_l|; zIuuL;2k5zC!`TyK+KXPt+H&a3(TyOdHN{KV<t6pwWVCg5=>Deagu?~>I8mMKkoPpt zK>p+F<b@Y<t6zJKgRze2<l!tj`I&ArfAYy@R@>DdQA6LDeo~u4b&?$N?R^m^ujW>~ zgiZ2mDpqTppp(?@p?O%bZw7QSR4(h>)tu1OH_cso*WHKB-*I%pnPZ-5j&U-GwW%K0 z+M2uO)yXJgH{v=rg*tbsPW;>zq7&vW9VZ9<-1Tbicsgm%U0r?8eO`4kI@+N+Is0Z+ zSPI%S6SF!|i$hH)f?tw9an9T|B=3388E23?t%MTI1^Qn;|H2E*an0A*B0r-unBGuk z#Ovg#r@9+-l$-zmA-X~bqkW13@})qUhZ5Dv^hMHqJ$KUcIzuYkR40}YR*!(58^%gc zgC5>5i60jwIpf2IZ6U)oe~u#dj6DyTDEsx{df_4NQgt!N(^!e#UsF2MWBhmgLK)^< z#w_PErnZCR$IcP%kf!#Irgg@l(otX!UmXp#oXg9~UzU~9?*T3&>7i62eSjB6SDO3@ zyk(BwZ_emin@cWKpASS{c%?bxT;d<#9`VB|{o8I-J41aAve}=1s4{TYBTpoeF$`@O zU7nU9dX6Hptx0V&xwEM2`HOvYHgD&+W;nIA)E*wHtkhY3!4^~J<z>_X+s^pwrPN-L z^w@&u-Zr(9WWDa=r9|x+O%H9dp7fp;-w|vv*Mg_6dh;YSDi7`#NO43SI7@xSDUe7T zsPDARIUjMa+M<=NE@8)bP3OME*0(ACw@Akp@T6W_xK884QC;)7tL-k%I>f$EI%yWo zzsuV>UXi5sj+^V1LFmE+`$zT9;ZQQ@)?hce?Hy4UXB2w7$7M2D*+#nBMK0Id-K%T6 zdIzFtZz5C6qk#N8$-R)f#BH_uxu;_nNztvfWA4-?gY`?`ndH~HOQ=r|WocTtxrSZg zwrDS9oip&D^5zAW+EhMSxkS??@v^C%qt;~^ZQyzO2GewJmx!&W<|p5_a(&zBxo}PE zJjhUOIKfkgUCOWUf7?43IJ=7aj{oo3yUX2W$=(f{u;CFVYm6ZxtS;aKBqwo+L9i^y zg5V<yBA{4B3I<<f_OQk!L0nLjRIn>G3bFN7v9wqjO{HkJBGzYH5UqV#i?N8b2yB1< zne)2m-n;YP>;_XmncaJ4=0D&0&zzZa=A1kCKj&2Y+u&Khg7-0^&aAHz@ET0WgDaiB zb?4D$+w8otZHC8;vCo;=4QwBtnwZ#*5I?Jc9j{M;o8~bE*TD<<+`n+!E=){Jc1(5* zPYvU}X~QR3#&T|KFs*kz(bDpnNv!i*P5mLAcHhR;UDF4B7#G3~XKy+lE1ow#pXa$A z`_06J&Bo&j@iQfy>*zSaUIPZEH3X2~PCIw*+PMpt%c<dA^kck@amNnSm8NjrdV;>r zU&&m~B_G9AfAzrh?YFx)_T+)pt6Nv+?!V%M?ykYdyZI%LkKoO-7~v+j^ALo?abUO= zcaM0#8dfOgMz5}6+?~L+wCup{!|^qg|GI39H=Wa@DSYVEQw<^&+=KEP42jGjuV)0w z*Th4dPK8JRn4yjizW;LW=9{OcI_{yV!7p@uXz&XZw?Fy>m5KYrg192r^vRBG8187> zg(x8D6L&FB6vy?v@6ku@L~wdwn)?KAA-8?9X;a_uG*<c_fBXXvY_#qF*l*&DcE9TT z#9kAjXZrSO+b7dgSSY${n)_tC?US7YyQpOveeyuuC&8_*PtM|NyLHp(6SQ-7T}cvX z5O-G_l0MnBVf)!Q74T}{)x)i;H?7_@INg86Ft=jj@DfN4o_+R?C#)#mSM-UMa|wKC zRX-bs26gW2qDLW_Zn=;9#Fl?Nk`J+YvB3|1Lwy_h{>!-+-aLRl*>NHIW82^<)3_Qs zhx3Hm+xceOohQ>z+4BR}r|dkzdlR?eHf7mR>rg9>TJ(u)IjbSA=Z3Et^a<B!diD67 zQ&Xp%#^;H>OG2NZ1s~Y>d|d6WeKNksnC==HY;>NaeR9t9_MKfjyQX&z;}3nZ-S)}O z>77_E>H5U>F|Lg#rF{~xPSnBnNv@8zqIQF&v72x+fLB@$bpP`4b2mV^%k~MLu&{9u z=LvG97h4=)w(Y0SzN>JaIC<-$VZ#YSyZAiO<DFf@kCOT3^npJz<rZ!i>f;{fLg27I zwUs4&|K;30ubUd^c;OE82lq+Mc`^|9i33aZ=Lt>YNejCu=@WkEBg%_i+$Td{8}EPQ zk<UzGKhP(}xIWp0JJ$^xz7N`sT~pIfK84cYoQCG-37tc>PssKO#;)yl?Akev7gtZa zv1_%fLGL9=pR~jyvKzZ{b&RXZ#ctTJk^2M#M0a=Vxh*(br|sC)wZV;D`CQ4^HQiF^ zleaj9=o7RB+$5hTwoj6=3u*@j#$BHr(lT&1PDnd;;n^m9|K;54UN?X~p@G3uYR9f5 z-CpQRWtGGezoHR>JoaJX=;9q~*tb*J2;`j`QerM%51Hmy9U<5fj7+}5e)*0=zFpxT z>E6TdVT9iuzkjhi&Y!&J@pJLI$G^Z6+ikv>tCs1s{a7XPrf#jR8;u@r-`7~Z-o`O> ztbJJsrsuiq>}^f?cKB5YO6xdhsqOmMzk{Rf7VrjoU7his#n8sP+1I?jk@b~$eWR7f z?`LfLT*oOP=F6%#Iyv6cxQ@AGJtW--+PaW`*MolN*SGx&6oX6uwJ~1stQ_h1g|Fhc zPb#}(_L#4Q?eKy1kJf#|d5PY1ji4H}`Gd~Ul_R=B*BD-}SfTN+Vx1#rfv@55dmh;j zuDhP@VgKjX(<J*?&YVri;esCz5x)-<_7kKl_bo{nnc(J8Gf75LzrW3-O_H;w6# z;6}!Hi(5kHx2(5OY(8NFSmUWk<4QjTA1?S?_Nz9|f^_}jwV%X{G&o+j$i-gk`1C!E zaXe`Y{=zWA`Eeg>{U&V>WG2Vf0I`dQi6qLEF1UJ00jP=A=rc7*Nd!n}!-4A$C$DyG zNr24Hv;}b<Vv)*-=Ap1@Qm7iG6=gP#Uo5${#k5)(Gc0kwjm&LRinGJsi;o)oS$_tl zNe+wfpwYx}Qrh<jH%0je609r0J=jbxaOLK9+zI*{X37@~AgqI&By5nvwdU;naTEf3 zz~%O&pgpa8h?;~r1>w8;aGo?3;lwqPC24s-%tsKejZbi@;*Cwf4`oN&NX;f!l>7D4 zr8eK2n%uI8Zi=~vs3{C%S-neYnfUdDKvGjofzOUc7mC}hK&4Tgh8hMMRkPuK;oVJ- z)M==xC#sX2X>rY(D6(n_1*<yUP5^mmL)_)5V*>~roh}|1<|5^S-ZYCKkSoB_nPQg` zrz^r$l%3m_6onk)yAkKu=d{A^YnNKw^8E*?RH_Nt)0$|fC5_vtja6K!S98V?AB~T7 zIToroX2*Ah2sGOsDrIz>sZdqU6sK5E$B~fbNP%B)xSy~&VB>@-GnZM#41As2!$Qu+ z(GcQBT{)POw_;~dQa3ohF2x65y#I<dkU}$|MzHQcYPnPnSqdJp5Vx)Dtca1MJjVpB zAY3vUE^sKy8cMlR$os|iD{0x#hWi}bCmIT4hv6&<;x?1>SXT<GBQ2$tkQ62zc@<O0 zVw(*UYH*<wX$oCUV;Or6(-&xa)hvbGY}yx=@S{EM6_Xl<kd-LZ7A|9cQI|Ap8f4AM z4XRG-gA|*SnG$pS$vM78bIVQIZ7!iE7-AmK3d#X{c1Ji6@&?<<SgYo=(2B4D7T^3p zZizn5jvm0Q@-+mLmoP+%Rfic=>sNJ^7HZ7O;&2Ya5xk?U8iuqM2Cr6GL~BZ<kT`*S zy{~e4N0HY#R<H5l3YJ<!cp;q;D8xd1u)&v~FyNkr%?d2REx?$~L7d$>*CuEeyVnZx z8&C)IW+@CydJUKm)#IA5!xOl5pedLb<ruVv-CT;(tASeQTAEAZ^71aJeN$O>q~#GC zwt3^$N71p<NdP8MoQTUl3Uz7};+kdnz}d<q`>QdxX%o;+{DKX%04!4QkU4HIXvaIY z+N(4|3g_Tt5JD0{sYX&##g<<pQzOR)c6_udtF^2&ZslDS_?~_YSv3Ao4m4Rgo(-NT z&FQn%ZCAD#tFUl~Rb~erfh<Ryw=i5*YORz;do&lp9dR(yVGm_12fG*O<+pCQ>kJ!i zrtM{Ui-ooWRopI4p5GR-<dbkxhQ(yNCk%yo68q(<m`Uw^#Z3KfLrqEBRL4y^eXN~N zCb+mQHPSUpB6yeyw@taoj$L;5G_!ff836IOG0np%XLoDk`K>2cS3*dX!ls$4sVrXj zq%BwtoqTdRP75g1<#Ah0ZU~gp6WIo^<*7KKOse-*n>iNLlxWc!$;^?ElPik1=od9^ z_Y0B`afM32@t)%<dIk*DP+QIB{9&aJ!k}HndzF^z;u>kBuq}gvLmu4$eHEB-mPu=h zddH}3T<bGXa$C?xL1nN72Oafc09Tv?i@De%I_yo*9fzI7Zk=Mt1B@VC7p^2b7}nuO zA^dWIRiPNTxaiH$g+-vCaJI5@TN~s^z!wcGgdJ{qi+1~f7amowD_3ZNZW)Ib7}JtV zG5jJ|E*Jt+o<xZ_V=(Sn8E<2!;7_8x*r&LiIOuVjC`^$sNW*0(R4x^}%PB6E=fH;W z3Gj*HhOk~G&IGwTllVx7!_dpC2W)2$m=ey&qzS8M(jY`QPgq4Z2!rQdW{nk`1t<%9 zja<&fr9vemc5p%<TplNL&T2Ran{I>@8<RT6@+Pj#%CQW~n(dblMSb9^L%hPL3>inu z{AWw<v9-m824Yi=IO_+9zGd$ZIA7+<q_apNqzdu0nmIYH;r7Um*-o2e>*k;cBT9%F zv0!|2gc{xp9U3Wo7s^Kr95(iL5fVfcYXb=}{`f#;r|d`Jyy#;_vpAwa2jdzk?BiHQ zkQcE*fKdD8@bfM`;;crt0SlmkHEUp8<~a5RNBrb^wYJZmgOEEI0TdEzD07U^%yvyD zi1AzLR?Ke&%;Kydtx+3XU)=ejC$$ctRYyn$Qt89F-xrh+5Af&4F6=c@i;fjGbg0Q> zqXb(+1%rDewkwUPVXhBffnBB3JSuGsr1E_+0L6QRZJ5+WI|#KzZ}D=12#1%3Wt)b1 z;4)16Y8+*numq1b?;qhM6smoB2kUBU<Kk4HHml$v-fc85^5JOZFvs#Pj-mN9c39Em z<`T||sEymFuMLX{#`+Lii!9JG#_HOrkISxg+D7dSg+egI`(JRV>GN9<8T(kE+M*U} zdr3BJ$=APtU<p^@_6cxfSOSSBzm*Yz<9w-8XdCPqMrYjF@n5aekfY`}rm)SqgiCyA z;WHffgA_6bp7#pPGLF{a>j?|o^mb`&#a>-mZY|+m9$ivsD2S{JHHBl`Z{yIxdiBC! zEGX+^s0s^xxNC4vjBhFZG&K5c{bLB$685i(l{9EYh4|t3LO*sGN1{d)&h9;rAN)d^ zN?0Bm!#XxvIKjsV3b5}_LybB(2FIPVnKU1Bt@daGV-pm&86x<MqKr{!ycV&xp_0BS z&}|2<U3NyPG=|b{qKPO77GP1$b$HEf4{n0_*%sU%=s?wJm1$RcTsstLYl);#gDau+ zZChG4+r(AO1ht{^!a*lM^|%pj&2cxg;0A7Vtg2qPutjkSZ@9Jkv9v8M2bDw7s3SWo z)ixMZ2<GhA3ykgv^8wY?(St3HZ|?a@r6=I4W0?m*r0cT6nT4C#hj7Q!%Ox+lz$&WU zgf@zANdb0!1ou|Btb`bq2Klu>x)b@<+GEIKSl9vmxL<ND<?3it#_ZN^@LmwoE;@u` zsv8kp)>rUyBDX)tZqp+y-WbR6g1QeK98zf|9f$%Uz!#!2UU*e@O0ZRF$Oh83sueei zq3l@P!q*1=Udm3}Ez&}Mvk|`ghLy^xkl||+TY+HsDWmBUhcTVXoEsvRrsdn0l%wm) znnFU$5f&7+#<dN(*>KCiKJte4V88*k9?K+0sJyNmqMhl0;`M~Ai%S52QyVYh#65s@ zupr@z;7bp5P9VgNj{$T`-DnJHTGM!!ahz$p3$*a3>(TsZ030uTJjJ?DS<S~vuz4u5 z0}54oxaPe6M)SVcj9+>ENSlpI%7f3<XUEezh_(0QaK!?Hh3j!!5`0nFWMHLo+_Fr; zmAU4zL(Zg$C|JkBa4olgM|kT9eC#BbQbF4VEg`a%_^753*#c<pBt`g2%KJHpXz$-3 zzz*Tqg@CDj?JD-*I6LUUUK>M2;(e%ITwX}3?Pbisg%D>toXl>WFbH#^ey|<Om7!6! zJXnZ>!=(XPTdAO{*aZjo@^xa%77PI|z_Xdktr%W0Or_1BEtWP*yNlLtd7o<~HL+9| zq;WNgm(WrfzX4a5^DQTK7cHQ0`0%7s-YLkfQ`qvae~H5*=y5&76>)t~DNRCQB?O8y zr)RK2nyEqi;0fdzXB7%3CdyaK5H4{w7XLjqBT1DICc~Q{-r!MeD|KYMZz$x`P^}>> z4*Y60Y%lk5*Pz0R_&hHG#%ZFUn}d1Bg@pJ+I)(5;_~Vr!ZGvvRtF%(>RBK9DKB9uP zE`2RtUAI0&E!XfFT3%M}Emvu|S_##ydA%H7&l}B~h7Kjo;ClFh>?LI9@k?otxG%NU z<o#k-9YmFqNaDxGk0Y=}D>@M#7XXFUVRY6ZLLEw%R>lo7iZzEQhtmVT`M}W?xj2_U zX&VkwE~f2!_I+utE)c;%Nk}Ff{~+d7^zC@iK~I$*47LXEwnM|fwc%FU2C%pUA|6>? z!BAe~igB9=8$)D(({`}ytI2_gQ>76KDO9*UAr9j@p6oHl*x_)&zN1w&`z)E23)+Ck z<82$-RV&2HDBbO(LYj3Dqn+TK+y?U-yzdw!Hv$~z*VJ>mLKyE@JRM%3vB9|KTov8K zp`>fM^?Q&TBX(ym9o))y1&i*W_--lAgmiM*I#!iRE2|S$-m`{nFw~h)woqw>POfzJ z_2H7IS-Hk1-UCb5ZZsz+AD3jTSJpT_Cp6(6D|4A1)NbXFc5!>Rm+VIdb4b~!-BBCL zZIXt_iLxM`5`pP-tDbi&QA9oE75H9(zlD8oT-E1BuO4{i%9dl$xW$6X?esx=w4`V8 zScfX(Qh^1(rSg%3YdR+QLWnCTIyJx@B3*+;wB@Qso1pQ~Cq0T@zNo5yT<v^Yd5GJy z<#8M#yt8RpqX?Cd15euG3s5&|7xs?pA;n)&@WPH8-i%RXvb`GI@Oi%8E=sO+Js2Nr z8$lx(PNP#ssbv(NCK??LDi5t=aphhNnw2w99PX&_Z&7Mnq&vzQRXFx2?8bQ)yrk!Y zRlJS1V?p^~u4d*&paL<Q4|wcn>3o>D4$aH5Fvc#vWi0Wtgm7%2nn4tmDC$_Xz6Xac zI$@{>UWm0#iuF8~vu5WC)}d)G(_R7idf!1e*uLr6e>8|H-4kPa(Hr{$z4Ch8OKViG zROzdnsY0yf4aUyw3rp0AM~^6UawX^pR#ht1d-^n9ri-p^zr@`!q+P;$s&TO$y}3jm z?>UCnhut^!hit2^J1!W*g(fVmcwVKYifaHgQujD+#3R%#DB)Q+T=$&IM>XX9!P-R} zC8XDMezxyItX}NI&U}0!M!->9F21<)o@u;LM-NiD_mJh!?z?SyfZ4U6tScCAautoZ zP55HSj*pLm!xTBUc>~84TfAVw;{Dojs#H48EUO6`pG2RumZGIsz4@c7dX&2A#LKQa zan;EeAA>$wTq*S&yJgEUxM*W{t>d+U=m~1wpU)GlJMQ8>!PvF<{aer9JbwT6H*UT0 zk`<r%&f7mSQMq+X*O6D3DmyA?EgM?4|1E7F`reA6(Q@Z?UtPGWTH3nwxa;n_yZ4$$ zA3X8b<qaPghW7VWD_bT|^|bC^86~quul>r+TX+2QtS^4+hi`oDUtN0T-S?mK%&l84 zf3Sb(HQ)ZlCqCcxsU^LuZurY*(4y{J7PVBO01q!&)X{MehUi>BXbzWf0P=ZqHO><R zN~7QH==kaPSL)$UKmPE){`|;0H!a)R@rA{eYAg4N)@|>-@n;=9oAp@h^iyx{yWri^ zn^u4M&gBc1F70YN{N`Tt363M@MszfW9OV&c#XCLsOXQhv`y`8|A<dx@_sL6xV3hkL zP!lCR*=Em^rAJ-0bot_yrR4`bb=8R%tvtQlv1R#U3<1Yt?CNPx+sU3MI1pO*ucA-z zuoT-TP~7wWt$+UgBTm2nJzEanwDG8~z2PHYc=gBM*L`H${=KWNd+oBJ?yc7>zWJ;b zL)W)-UKgDSQ^NfZ?dv#gb?^8ue|^-im%jEIyvyvsci>%*7!*qBaW*=NJ^a``qqi(t zb;m&`9&yfj-FIC2PvbZJ@Y2y$myh+nvi*B+IqG=_JhG(s#4msT=!)KU%c4qUGme)C zeX<aJf?(os?L$wO(9#&OT%W*R5PkBiv$Wayz?XjZw*P+Z$_H=k(A*~n**+VM_PcS* zmNE3r)tf$j)|s7e-*nOHFMjEmrErHYy`j?9)|S?DgwQ8=1m}n?uGFAUl6naJ9k8W_ z1?H2FKl%7w<M)}ZeGjb0T9>>9bVEg5UGMAT1p7`iOT>9#%ZWG8@!RP>Lu6o~eBrho zJMf0Jfiq)0JFKt&ed-__R3BiQ(5~q}x)0lJF_3xIR+sHz*?bw>uC8;ZrY^iN25@fS zg(i3t*><|?F6;v0?j1ClpQt(^wX04f1t0Gg<aLm-i_3+ju=~SzDQoFF=`8Wf*V1Hy z?g@W7?vvXtyX~^+sp(x?AMP4H>Zrj%Us+uXtSLJ2`xqVT`lRKF6Hch_lL1(apBW!l zclBF-a$p1A@bUpPDf;9stFfHrMRjGyZL<T5!SK?+;ptuH?#lXP8l8I@_epTB>yy*7 zzDW8e(dg+D^k(a$yqY$4ak*)q?27w@TDzE7bPKryE8*wvr{guNO8P`$AveEj6ffdJ zpHSS+%?rcYJ+vm}`oytmpFB=?vmN!+0G}s#`y-6=9f6lN!nyMVZ++}~BJLA@7k$Ac zePUZ+$GMMB+CF)Mqn^4AeS(+uP4}H^Ey-<ZalL2PC(~Hd?CFzJ?b6F3SMu}k#@)c4 zbn$s|#SgCd0gqkO)!q5<!?jCO8Wlf1T^ze&DjmB9?q-SW6ByAK`egEPewQd#-k@vU zdD8Ljx^j{}v2SHM7ps!;V^;|_?sn|z+pf4jcE3+}GJN&w2kaVKTZiI#GDN4+@coCm z<-OYnR(!gyrXB%)Eo$g6RR_1mtxvS?WyFkKs0dGTv$GPFkkj!hZs$`Hckd`1#8jWc z`bEr3t+kw;P9#$9U5Bjirue2A*XhdIHO@+8?OOU4KPPYn*81_9zr^pe@m5-ac?&-J zptJP)^j(jx0O-b?bVzuf3x9_W$_jpfcf(_?CiXGF`xVP5a~ZPY+X}AfYwW)8KHB#v z##-2`qTWP!KjS#>1Mdr>;H@Rgy4Wudx@RyEuag9~_yVk-ROJBgZ>;vBt(e1<pv}jA z>QH(7Oi9?Me(R?RSs=`UHK7W}Q2F)N58b2s@E*n&@_IvZYxvL#xMRt=i{rI`$cJhX z?~B!Qo}D$uS1I>SLaM$*zY=djrSn>JwYU6}g}s=nS7__E_HXVzwA{X!--UQ2_KVjL zvK|+2Uxzh<tQvaT?tyMwx1{*<#>V?scGBQ^1Ec-D<?rCVi10guo+|3Tvdr&(+&pAi zs{`u~<1bRIFwx<BZQBsvMb5QlW1m)*X^__?!e7UAS;_BfjQ91ee~tBykn{S-LoY9X z=&e}Kh<uCLhdz|!^d)7WJRCSYd6c%1O|t9m_#p$yI>sbJ>bvnAs{jXf;YwLL3vYYn zCqBgMmT{+qFjmCB$lSSc<1X4bed`q%52jlCJ12=Srp0dK#zSyFm3K~WUc%w2sa;ky zwQbkV$q5?TvEBJo+h|~yF=Ke~-8N&U#-ST;e|s2gSNpD`8;qF~R*l_oYFC%i1IJC_ zt%_lpFHBe#iRTM&@-RkC-hb2@ORm{(;5bXpVRgpe;WUGt*ArOt?pAQ)UL_g32(t%p zc(~A|CoSskF7sDpIu)}A1via*2+ouI#mQNpxHX=ITxoRptg}wwK6&b_6Q=mR%t*ju zCtgSI?j;7$HG_l3^zC@;Nvw(M#A||a-L$)Eb@cTel73gR0y~boP=4#KvTiTAPdF{{ z@$l6#M7vy{ux@UuuC9iC(vGCq@k;uxrPXli>r=<UFJX=B@Dy$z>iVQL|IW!IxAklL zWT4XZsb93T;M*6jSp0Meem`Q%eYS0Z_w{9c!nx5WXs_37vYPT6Z=b|#=JI)Lc;@ru zzWaV+*I!P)=#e{d=yeT0pcQx5qpP35d6B&za(Mc#XVt21@_c}r^JLegJ5P2^PHmf> z%AY6G^dt27Lr~duEHyY!a;FKo^CYO?1jiYn?f8UmKDd*0E;(ayPcJ%87GfwaorLq` zfNHe`&mnMU8NLT3=SkJ+9m0qnRtKolPX1I@(I4ZcAn&|=;yF*^v8#BV7`&sLiy0u? zt75e;jeqyH>^xa*(`+?%bc_0=_B`1&$$2LyoS#2Wo}!n<UEXs;qdv)<CiZDLU0tQ} z)YNh46BP^x-Q80IgK?kWP{#}Gxg2qy3<O=zxTve^fcQKa#!~M5d4iSttd4)far@6R zVzlIVdPV$}PM3-TYsW4;Slgx~euC|3f4v=P=d+YUzBZrQ8tKBXEqlfl`k<snY&nU) zX9}&?x}5J+=hIadVqSO_E(m7<JG7+Ktn<h+j96?AeSq7xJ>^0u=1()19jGcrLWEe} zF@ntuxwE_p#gY&og^#@(#Wv(%>cTjb`1y3m+<(ll2Z42opGlHXmcn3dtg(cpGrDlb z)Fz3liM&?K(^+9GN~cU~5em|H7D_~TBXbky*kX>BRGVs&&nphy(ctA|KuLzxQT+Q{ z(bY>_Rf~;K%r~dFs>zL_!bQ)i5zRW{uB-WM6ZwcZ+&ovKhH`3~r-+O7gWTt7zc(l` ze>>#Y)__QrCu!9M?@EG2KVb{4o`{Qh9M?_cnRvE?xQ8!PFDqw$Lu!826}8oziTmAL zOh!B-5b|_FA$x-(sX%bFUZ=`NiLCSnIp<NNi*rGw>$S`Fr#>G`biJ6>H9p87)trLQ z0?d~o#+!nxuZ?EHPRwZ@j9EkMbP}+B5_3Ke+=+xs;t9MsK1f!JhJ-`TXU&@6%J>60 zj&Jfd&Jye57}64#g%Zhb__226tSD?$b_Qml1{a~)l3c4{?P6M6PFJ;1ZH&v!%0^_t zWwr5IJiZXtoGqA(wJtl>>w9meS}>h_VlE)<bY|N`CrSx3X-z2E59=p<4Kce&wnH{w zXe*^s9f}y3OydX?a^#aZTw5n)lie1<bTkO9Jin>DHy=yGIt?LE;#u}oM?M8<VQt(O z(;472I*Zm!yW7tIvs`2w#kP2sX{IA6N6mkb*HzTIlB$h2h-JIwXS3|(i#S{?E>736 zKWDE-QB5SL+RBSvfOJ>6q>71;^F0gZw9GwNIQnak_MG~pcpb|)?qpdAl8}=%ZxnCX zB{^NJZrgf9ov3jRma2pelRy(6`=L}Zt*F<<Rbgf^u5nnG-M1&j;$|H$+|`B@LPgpm z@p&?oQ>${}20`p!dY<S+Q>rh`5OT<^X^LB@#oi0oG*=u^%qFg61Ilh~x(gy6T3Ovj z)k&NnEXtK_Yt|oslqKx>6572Quc@6)wHw%7IzJQ5Q>ei;p0BK~5z;bXD{sR(mgL$+ zS&?i15MdX`)&(HRInGz3x~sjx@mES)x}-P*|CX0J6^Gn0P@^nmIH1y47D`1NsJJs4 z+w^d<>GpUXvB8>6v1@F%)e!R&DeO{y-{+?QtEEs%Uh{r0$j4>~*XRgm069stA5J3- zY4bVCPKsqtaS@3hM^kwc-^0Et=+DKA#|V>7hHYPx8v8Z*k~GdybV!3yQFEtNnifxt zC#AAH)tpf&o;yuARdYg8yK$GOtVFTYoiXDHVSR;dQi5ZghD631b57;L`+|E*3-pub zbF8GW>E|U~;i4WF4!=Qt_(|D1{I1-jSCQ@ifjjh={|A+9SVjAjKDT|+7YHO5QVf=1 z!%TZj=!WC@QD2^ET$`UFtd{w#b5<>^lnBu!5R`D<*mEdQh|T8HUkbfvReVk^0b9KG zCFPd5%$Qs3!fQUr2h2XVx3qxki+w$^xAaGLcElGrc>At#zpdU$u5n3U{I=?`uiWM> z(BMAtU<JINLYPri=lJ_?^Kzfgln{mCBA%?PQcq{u`^u_sfkB7@JSV<I(J682p1GN; zFM5wjKJrt1*WPgdV?>v%<F$8wH;AY0TpIsA*4QMKts7HUp-Zo9+e8zwU;>jbXf!>E z1?u}x=oFh%KuuDay49R?NHUl3yo~lUsnSksD(%=Jbn?k~OyLi|(z^sT5IH_#mKYie zb2MbXd5}sk{>4rA65V?84JTo>>5|93r0JbMlJyB*9NTge6zZJP+8_){GpFdL-m#l8 z**I~-M0KKiwVtTn0Q=Z`&!4E4mCm@`4H>iyet0WW%QIc8l-2I4^5!eGkMo=SxG!qh zFRP^sBr|=;ZNDWg7{Oc8a~jYm=YNmr7h4}k=vn*@uo~B~mcJ@x`!&~ijdDbGPhXoV z>Zy-cH`mLG65hAH$#rN+ZJwn@fCcG^IM;Zw%-z&iRMRM4zmB<%3hN}lU4gJZnkG@t z?10jU@dCuAZY?kR%DLKDE+Gzvq~cKGyKzv*(hiJsNti_;Zv<{}ZhdB0$Frnfnqayn zm21c>e=-+lK^w~A97;Y2S{F8vaAIhQ%y%-D4#p`ByxOFp0*W!O;PB9tf~0x0#Lru; zS{(`$o{h-y8a}LvNh1r5jBJr%5-Lb$Hzn{Kn6NHyy`%<7hdC$BhP@gpjg<Ka3Uir4 zB=INdms}F0a(CE6GqSZ;<pP?NT@VYR=$4|Jv7`i^Rf)>x%8Kg4iQI=nxtYs7JFpp& zmuHHdG=O@0FOotmVcBe5=g_Qj=$Ysl6SIt^EVxHQRUEW~btQx>(Yz@-u2<Y+n$rsP zR4gmFVh88rVan>p<)!Jxz+qo3N@k2VI8fCL8PW@^ZVn;IKf&KT`%Eb+OGE>wm0_uE z@Z6!!ack(HI5p`Ix4WEXd7F}K67vWb$0M|o1nc8bM(}e5Ag1l879vz|<!rp;lmq`6 z8_3v=Ixn}?2=k}8(`gcil8+OzT9%~GCbIEl?>Hs?uxFi4B#8iV9f#SEKOutbsxEbg zTPerQahtWaO518Cm9;&Qkgk7g)50XN#y{AO<5^l3DjZmGu6%kd%6avvbu}q7&YTT! zBzBpZM<Q`t7R%Zulg%ZhZ9NhVS?@bZ9M?%YrAJ35DTb2cEtcmhobIhE=BbY_!L#k> z;&R2tYG5UsR$wO0dZxtPN~^ESjB1Lt<l!f$LUk&{RY65qh$qn+xlW{+AEHP398sC5 zX{h^-MoBsqhG}Sb0==9m#HYCbEZ?(E$AzL~Zi_PF?DK`e#Y6aky_b#C%j}2v#BrC7 zIJ@Ewlr>A8b3E(ITv@vu$px6x6o@3ABeP%}YKxzdR7?o#YT<~uZegy&lVV(z@)av8 zon<_nCEpM)HYWOnD2zWY#1c4uP-lFegdFFXwO-D4Ni`nOnYKD@Al{(hw6U@qKs@au z=d=r>RF#t+z8@mFGgjx)8U<%acU9+!&9ohQZCOYNQ(fu&MIuUkmp$cdK-WamYSxNt zn0zFuMxuFk{s>t<Of<U2Orwbyeo=2ODGdgsZ8%}mgsibrQOCs`)Ha^yo1G^XtliY~ ze0p$z$vqb~X`b4gY0Q(Ha)p2@#Ak>WOK|aQkMZy;{AG^QNAML>#UhS}ahScLzQJ5t zqjWwK*eXaBxJJ?5(;7)LS(pWFD6UH?F3#{2aE_*IgR~h%v(1>EN32!zCUb$#!W=@} z&W$9Ei+G9UA#dO~-G$sR5+sqt*Ga(n;Nh$a&TBs`DIBnnAkpI%Hk=oB`D*sDs7DnA z9M_G6s9<rAn5)-bFKMh!EG-s0*Lbnu`PLWf4?c`QeP<R+&&O3hA_{8})eKTi5}L`I z;CqcYsdwVnRcybb(;+Tl5<kbLr*Te}1`|H<(}X60`R1AwvzLkmgF)$Mv#5JG3$`Ae z-Pu^5KPPFpV~zC}(b>lny@{Wz2ZFQJSDU+Gti}qUmS|jujA@ph$P+)yQ5!0zC-KCu zrJIkiO?ZXnh-y;xC2HmxPxK`IeCtc}qMQ9cR;i5MD?-xx;DMYqSIW7@i#tEx`cnM| z^FuCWPRX!3YIpP1DVnuluJ=X7{KX0s(~I#UFO2X1D<PO+DhoCYHA^qbi}6HRGkKEU z%x|2pczZJYcw@auEoRckebhX?sou6v;=ZePL{8Ym&lGC}n>$rV%Hp~vC6m{P)BC?f zns7xQ>2^mGIpWr-*pEGo_kFmYtVpE09Z&Qo{#^AZdJ;d@mw8JE(>_U<27cTI+U9GJ z?vc-&&t}F(#Q}jY=@Y!Yj;CR><8*i9Nqyq#rQYoI@%1gMPcyyLbz9meNnr___>jkn zG=f>%*+_1q;&9O?(l(_iPvSNan<Vb@f!g{MImio62edLTnrl3-i^KD+&+0GHl43c( zJMj{Q*qOm}hvphj6~%%1)|csDm<4~gLgCsam5}dOV>j1$q9^g^TVJ9#s2*1T4D}@O z>GI=&alVUFsxqD*x#k)#)^EP`IsFR8;pGLzvi8LrwZJ@m^+;9Er0?qZ_md*?bjQ=m z#(~`^KP>17l862zD(4uVZ~aAmN%hsC*}JHUOfGJ}z~tgK`)@Jcus-SSp{*S@+^;H$ z?0&qIswj-IyQ#mazNDOkHdB##y5mVzk~ZD_@}Ws*+U7B&Qe8R?%_X4H%sLHafyMW6 zSfv7OLr-@+Ek173-7i1W6yjhjSHpCUVt3*tD9AL{hIU6^zCN|u^0DgTeC&5Byc?IK zn*A^4uSrCtm_FNhQC}KA?D{mgFR-r#=D7tLJkwyiLK*$U&(HbYaQ*SRu-}Ef31Q~r zY!TPs-U*W;5D<T8j`2ZiWi|NBkAAPd-UzcrwPiltaoc9C**@=P`DnIalKR-=k2OkE z5rT7#_c>!ORx~gAz514{z;0Lrvb4;nJD#*zvd_C;K6k)Aw->cQ-L*!$`+qz?=k<G0 zHTP8|Zh<}dOh1J(_+y{hr>WHF*?t8k5HKwQrYvAu1x%ZO*-yY!1Wdbt=@2mc3z$v; zbAW(ZAYi%#OjW>i3z&rh=0E|{BVZN@n8gC-AOX`WU>ZI<vv7VLEYNd^fH_pa9426v z3YfzMj1n-*1WccRd4_;lE?}N1U{(m2X9<{<0_F$-vr51`TfiJCV4fpjjuJ3O3z&WZ z^IQS*JOMKxV2%+m#|oI^1kCdV%nJm}3kA%J1kCXQ<^%!rVgYlafLSeILIJZzz^oN8 zFA*?<0_G$EvrfRgRKTnkFeeL`mkF3t1k9-d=H&wB_XNyo0%l0SoGxI_5HPP0FlP#w zVF7cNfH_;hyi&lNBVf)IFs~9YuNE+`5iqY6FdGESh=4gyz??5&UMFBK5HPP7Fc%7# ziv-NY0_F_@=8Xd85&`oj0rUF;=FI};Edu6J0rOS?bD4no0|9fnfVo1zyiLGt6fjo` zn70d<cL<nw3Yd2Zn0E`9s|3s@0iy-XsDOzC%+&&BOu)QHz-$&U?-elP0_GY4vqivc z6)@KdnD+^o>jcdE1<Ztixn98BAYeWqU_K~dZWJ&d5-=YYFgFR9Z35;G1<XeT%*_Jk z76J270rN)!W>Ua>Ou*bKVE$OZd|be67cid?FrO4Kw+Wcr1<Vcs^C<!ICj#bA1<a=f z%x475X9djX1kC3J%%2IEF9?`B1k4u&%%2OGI|a;N2$;VVFn0-<FA12x5-?vDFn0?W zBVfKFV7@9~b_$rk7BF8EF!u<UzY#F^3Yf18m~RM}zZEc30_N`o%r^zheFElN0_J`J z^KAk1_X6fS0_M8{=6eF>`vT?%0_KMT<{t#iKMI(C5-<-4m<I*Sj|9v^0_Mj8<|hK? zp9RcM1<bz)n12;8|0ZDmUBLW@fccq#`A-4!a{=>T0_I@>^9up9OThe6!2Gv>c|^ec zO29lSV16xN9uqMCBVhhlz&tKsej{L>5HL>)n5P8Hw4cGdK^wfcv)1;YR1z?OfN2ph zWdYMFVA=%CegdW<VA=&thk)5%z;p_j0|d+h0n;U5ssg54z$_Fn2MU-T0kcTJEEX^a z37B31vqZogEMN{1Foz15!vxGy0du&3Q37U}faw!3&k!)n1<W%A%nAYXECI7pz#Ji9 zRtcDA3z#DX%yR_HQ3B>@0n;yFo-1IUCtwBy%rOGySOIgKfO)=vd4Yg=p@4ajfH_{k zoFHIcEMQI)FslVjC}7qIn6(1tB?4wpz?>vt)(M!G3Yhf*=41i$G68dnfH_sbyj;Ni zo`5+`zzhkP(*?{K0_GJ0=1c)IEMU$OFlP&xR|=SO1kAYt=2Zgb)dJ=<0_L>>W`lqk z5isWonDYh9>jcaN0_ODs=0X8;k$|~az`Q}gyivehB4FMmV18e~yjj4!MZjDtVBRWV zE)y_+AYd*RFjokew+Wby0_I8q^L7FA4gvE{0rM^a^KJoim4MkaGo$$_CncWivFp6B zCf0@bt@lHd>~-#GTkjVM*84@|pYMyvKi?OTf4(mw|9oFW{`tO${PTSg`RDs0^3V50 z<e%@0$Uol~k$=7~BL93}ME?1{i2U<?5&7r)BJ$7oMdY9Fi^xCU7m<IyFCzbZUqt@- zzKHzueG&QR`y%qs_eJEN?~BMk-xra8zAqyGd|yQV`M!w!^L-Kd=lde^&-X>-pYMyv zKi?OTf4(mw|9oFW{`tO${PTSg`RDs0^3V50<e%@0$Uol~k$=7~BL93}ME?1{i2U<? z5&7r)BJ$7oMdY9Fi^xCU7m<IyFCzbZUqt@-zKHzueG&QR`y%qs_eJEN?~BMk-xra8 zzAqyGd|yQV`M!w!^L-Kd=lde^&-X>-pYMyvKi`L^?96<Ru1XY<f4(mw|9oFW{`tO$ z{PTSg`RDs0^3V50<e%@0$Uol~k$=7~BL93}ME?1{i2U<?5&7r)BJ$7oMdY9Fi^xCU z7m<IyFCzbZUqt@-zKHzueG&QR`y%qs_eJEN?~BMk-xra8zAqyGd|yQV`M!w!^L-Kd z=lde^&-X>-pYMyvKi?OTf4(mw|9oFW{`tO${PTSg`RDs0^3V50<e%@0$Uol~k$=7~ zBL93}ME?1{i2U<?5&7r)BJ$7oMdY9Fi^xCU7m<IyFCzbZUqt@-zKHzueG&QR`y%qs z_eJEN?~BMk-xra8zAqyGd|yPK`96Cup#}FFqXH%pFjothF#+=)0kc`ayjQ@C3z%yJ z%oYK&Rlr;;VBRNSt`jit7cdh7=6V5hgMj&ffcc<+xlzD;NWgqpz}zHYwh5R&6fhqV zFgFXBTLjEU1<W4_m`MTiF#&U{fcawq^Kk*QUBG-oz<g4`+$Lad7ce^n%%=p*p9q*g z6)>L`FrN`HpA|5l6EL3_Fn=asz93-k5HMd9Fn=y!?i4V8Az=Pez}zKZz9eA&O2B+s zz}zihjDY!yfcdI`*(qTDTEKiwz}zEX{zkyuD`37ZV7?(>{#L+D37EeVFy9m~_X(J9 z37Go@%(n&1-wT-U2$=5*nC}Ug?+ch82$&xVn12v3|0rPoNx(cHU>+1OKN2tx378)X zn4buke-<!56)^uIVE$FW{F{LJcLDPs0_JA|=063@&jrkX37Cfk%r6AYE&=mP0rTGi z<`Dt&D*^MUfcdq6c}&3kkAV4K0rR+k`Hg^iLclyJV4e~%(=#&#doQ!k-fOQj^2$}{ zX|wk-0(&nbu=g?odoLrf_c8)|FC(z`G6H)qBe3@}0(&nbu=g?odoLrf_c8)|FC(z` zG6H)qBe3@}0(&nbu=g?odoLrf_c8)|FC(z`G6H)qBe3@}0(&nbu=g?odoLrf_c8)| zFC(z`G6H)qBe3@}0(&nbu=g?odoLrf_c8)|FC(z`G6H)qBe3@}0(&nbu=g?odoLrf z_c8)|FC(z`G6H)qBe3@}0(&nbu=g?odoLrf_c8)|FC(z`G6H)qBe3@}0(&nbu=g?o zdoLrf_c8)|FC(z`G6H)qBe3@}0(&nbu=g?odoLrf_c8)|FC(z`G6H)qBe3@}0(&nb zu=g?odoLrf_c8)|FC(z`G6H)qBe3@}0(&nbu=g?odoLrf_c8)|FC(z`G6H)qBe3@} z0(&nbu=g?odoLrf_c8)|FC(z`G6H)qBe3@}0(&nbu=g?odoLrf_c8)|FC(z`G6H)q zBe3@}0(&nbu=g?odoLrf_c8)|FC(z`G6H)qBe3@}0(&nbu=g?odoLrf_c8)|FC(z` zG6H)qBe3@}0(&nbu=g?odoLrf_c8)|FC(z`G6H)qLw<WNLw<WNLw<WNLw<WNLw<WN zLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WN zLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WN zLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WN zLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WN zLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WN zLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WN zLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WN zLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WN zLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WNLw<WN zLw<WNLw<WNLw<WNLw<WNLw<WNLmqoCtoJj5=erxh^W9A;nAx_>menF)$^xcUz_baN z{RB)!z_bgP4gs^jfaw%42MCx20;WsAR0T}8fLSPD4iqpw0%nnbSu9`<5-`02W{H3~ zSil@2U=9^9hY6Ua0_Jc5qXf({0n;a7o*`hC3z%mLm=yx%SpsIIfH^|ItP(KK7BEK& znCA$XqXf*+0;XTUJXgRxPrwWam}3OYu>$5e0rPwT^8x|$LILw40du^7IYGd@Siqbp zU{(v5P{6DaFlz<OO9afIfH_IPtP?OV6)@`s%*g`gWdi0D0duN=dAWf3Jppr?fEf}n zrwf=f1k5W0%$WjaSiqbmV9pjWuM{xn2$*vP%&P><s|Cz!1k7s%%mx87B4ExFFy{-H z*9n*l1kCFN%!LBxA^~%;fO&&}d82^2M8LdB!2G^|d9#3di-5UQz`Rw!Tqa=tK)_rs zV6G4_Zxb*Z1<aKK=IsLJ9RlW^0_I%;=G_A3Dgm=;W`;@+KJ>0D71osTCtgq1kqfu< z^8^u9slSEzS@4$Q^nIVbqYdIdYvumnXY{vxnSF!4YAyBCWb9MvyrK3_Bz+d=IUtGe Y^Y@Ov+}98L`eENOu<sbyn~s710}uGcmH+?% literal 1578004 zcmeFa4|HVLec$(c4-de@5ZWEAfYtJ!d@+J4kX3XbfwtKk#=L-rE0|?mh+5E!YKxFc zD~#>q!@9Jn`t(Q_;4BTUXd0BIgi;)3w5BAANh8^k9k*&-h^AfDhmI&8C&%qERmN4_ z<g`th>W_VVe7ya9?|pA(FpGV=lw+qS%@26@cYlBH@BY8@=FQB73(a6=UzqDyx7K?4 zBU|rwFjppC%*^s1U9kYR6OorY<!vRmgp<soEaj(_k95}0?)a~^esd+?PP&=P^7Y&P zx0URmqC+<EuIGQQ@;{S&NLFMk)(205MYoB?BCdU33a^+$kN8q7ne<l7+om1+2-zN& zm3c{899)cBH6OjADDy<i1~|Y{cuxs1uuo(uzp}f?f07&iV!5@vSC?POu}%Ifek~v9 zS9+ko{=bje4s<I1m&SaA%ajo+{Sm!nQStXGT)DA2wu6fQXe}74!+2aZUAN)D>;~H= zKk*juN`)sY>?@2smpsxCK<16U1-7}c%Y5xeOuE7noxio_*BB}Ot<taM`P@*R<)i*9 zKb3r_j}OWf6mJ{aCQeOPwu&7=tRpyoL(&@7VO(C>jp!wdieJ?!e{1b$%>FHjZ|ij% zjoFRYrSel*b!pi2C-X>o?--+gU3^C-_dZF;*MB~l2WV`96{wI4u+b)er}@J7%tZ?r z{1s3!cYqcQ@s#QMJC$E5d^d=<<a?z2nMr>m=DMO{u6L&-f<)iRvhnVM2#T(4M>Wsr z^dw$$nM~o5CPWuDeA2>*R+LtYl!Jnce!!avQW~=<aW%^$LNjv7D&t1<lb}|X041Qt z$~A(E(v;SUks|Slk%M%NfKw0^40DmuPHgpP1R~s4#tjlOo|@2271yi-ub_QL+`@^> zn7C1U537dUioYH66ywS0QW~*~sTeVa?U)%&+$b@~yU|$vfDLzqfb9mNVQ#=8Q}s<9 z%>dU?j_OwVs_}xz6DGtqAcD5pjk!t#PEdORPAIrYx20353^ap_Dp5HmVVE7{+U^wj z%#^C&RO(#9m8)Db`FAl@9(mizC|HnIsl;EuQ?-tRs(nSAB5C6TXqS}2|6ZyC%v5S6 zmP&-G_?nclt0XCwfn+2zVnmF!VBB@hzbwYXjM=;uXtD?}nLS>~wJks?@T}_cP&+H^ zOw7tAjUDB@tRpK5y#-;W!!XJ!7t4WvB8dvlNU?!-qhU-63RN6Z9r(-%3d%b*lT(OB z@2re8L+zPGH@cBR%FGw2<EiG4xE+mJ)u<Y^LbV@hrAP+xRJ1fgtycSo9_rP?E5%-M zss(E3*O?kSGE$(IQk+VMgXVDTqMR;<v>=Vf#1rjwRWi}yxa6OFtu4Og=aokH`w{mm zN^F6P>A%b(s*=Qu#HquPB?T89R$3_3`el{tNNt3ls47bM`Jh)*WKvH#7qjDu`sirM zQQT1M(Y?WXS&tcAa`h~Pz#J!u64ExDC=J<;cu)b|G7FT2qz5iT*h5Dx8p^OEjZhXe zZ_k29hy;g~ErjjC>aho-w->U4Q*&A3BHH00VyMuU4Tbg47guTl1b~AfH+yxv%*q{E zQHJ71XlvGsI4HOv-YoK9>ORPUrYzu)pi^d~dtma6@<1{QL?~tEbT3FBqO#L#je@!& z1gLw$K~66MKgu~YYSLLc&aa?u?v=J~`-Sy1c`;)Ji?|zcHEZDqc?A0^By+?i`)V{u zK1JMx#~%b(f09QCO1;g%7nVFktP!{w<0*vU>90h-VS#hihInA<RbhaE@AyC9sd-AT z7)UDLXv6nT^loKq(Kl?o%3nL`>vY$)ji|q#%5W?SF&c+=FD-eXPA+nD=mDg1kc-o= z*dCm&6vuXDkFV%QIMt;x5DIl(F4^(&0Vnz%Xmk++d$AVE1tl@EJz*cSvI$%^h?c%e z;3Lu?6Dx>{Nyex}TZ0oQt%!2vqemeO0*P1NJV-mUXw3(g_^-GxNLqmh2jz1m&f`_% zp(`qR@-Z8eKpKlkEFTe!)V~|StAr4_hZ4n5Z!VP}s65p#$quPsg7D(UM43@=F?y%| z2V7BM(G)Y_*K#Qx1-XmSIJI#E(aB!(dgv!fB~kR9%I|W8U8v<Xc4TBNY!>x65F{%0 z*0tAFlzOa9(5EVTC7!VL+OoDzR;k9)uA$~9oMKTKbvfu|(oOQi-c-wF2?wQgA~l+f z%H?yEuDy=x2RV&bTt;&{7MHc$T52<@m@qn08p3d#Xw6_`r&W}Ig2?DI+Y^=_>R&Ef z+s6SQsgv`9Odj1zULp+eo%zV~>;aoWdT}%nUR)#+T{R4LC*B#$-u3b(2>7DK6;HXt zMv~~{qmol{-6Q2}VAEqA@W|8z5tBazE>S955OE-*G8Mb>Ky0!)D;#30706?GhwP)i z7SSM0V8pt$F2h;NJ$x0SOW?WA(-83}-_2{bL_o9=js;Cs{e9jtN%E*d66%T5wIw;; zm7wigPPo#G1*ngaJ2`gB0dpWv-o+x+&ZnfsJn7LV3d@^a^-L^Hx8u}4C(^4PcH(vs zqgW2`afFYeic9>;r6g7Ui73_U7)4UD-YF92QBYVFIGc#_nwB43nYDvTS+XhQwa>ct zLl1TLRrVens=O*2!|Jo#I{Z0y3|HE&%YQH5qwO3i*!t@UJG(>1{QKl2?T@8mF5o)} zfGiKW@GZ2?BRF`{zJ#B4SfMzLl}!oBE#oCnlk*eWO?9$vvDOsC<e&vU+rX}mwdb#I z2fmBMSsn}W(vZhj@4_PhF1&bQ$MpnPzx_oEMZ4(RGqElXixrOm&3!J<oP46RgFc)` zx%zksc%Tf2NAI-+5D}1RUF@eJMeI4Oz*TT5_7K$^lt3mynTr2e@III9!vH_8a%%rk zyGr7G$<!OXa-=pT6DHvp3-l=VPQnOrRHv*5arP}hj!RKuHR30Zbom+D<2SQOl{f0Y zviEWV6k5fS-8hbN^|2j)`$j5T<ww{^K9`~mWmh!ZR2E7DU1dw3%7}Vd;iTu)(qj<q zV_TtLFz+jf`myZ>{%cXhw2g4|dodoiN$lxpF-*eVFmIt+SVmZ*+1*jXMIwegV23y| z2jrnlfC^MRhKgRo;svqQqssGFYowg)E`pP~ugZAy^{OB{g%?It9r8p=T0_l|uB(`M zis0&?pps2Uf+NyE`L*9sE%F3a*J5ZmbnS-Bi*iNeaIfPKR(u+ZqgV=c+%*<YP}?Ue zrLq@a<L)B;ko+h4(7x6a9@^J&xX444VD1MF4g1KXI<gzJ9i^pnxQrB=bY5B6DL)Ri zkBel5i>l(+ZE)Cs-3E1BsSdTj@p9_*Ch=3>)Rl}kh@wDz#}(!EvQ;ZbjS+c8D~?(v z;i11;WmN89M*0Z<wO+ET>l>-bcSe*C%BponZPez8&XV|uGLj&rsgFiEb-pgU_BZ5@ zmPcWUJj7HR4egU<P1k;e9hctTNj(UPcU$3CShSOPIN_>lS&b!4Ix&|LSLbWH8dv;* zydL#KdF?mh@i=O~1N;Y+t-m1*^0jR(8q&!(RgWUdcB<e|K4`nzbR4@8hVqdf+K>6a zXl9LAU!2u>z~#YoHZZPzJE(9?53!3nhzs?g_!iF-(Jn6Mi5L0hK1lv*ELl2#B>kiL zvbCf9$MW`UKbEr>)nYRBl|dOb^KhB9O<i_ndj(~vZ4_$_`>{^)cXaGY|5E<zI7YGj zjMOC+<ZLN-eb*V_wyWr)aUI?`c66-#)cl$r#}~`%@(<fztamt`TF(8hKdZ~Ac{P10 zT<g{N+ts_2ea)}&rTo|YT5pJbmAz6tv^<=v?Q3pLkK-$qU#Z?z6i}COIh%34%jwth z8rSwUz8wEj^4h+}wO)nW1MJ8#q2n21h}#w2h85*XV!len9zYUAlC1Xl+D`cJ9<Q@U zy2lYQ<y7)R{*xWH(RK1SJUdX*?7K<y`u#A(vs_iC>M%;9c|;k>cOA>2GAh@KL3?|` zhs)Dmonoy5jR@rtzT|bAjN-$d%(e>;%iA`W?pzC>sIXo!kJ91;x$aRp-pb1%Bsb~d zC*Jvn5)Am{YCkou^&>`}u#cEz%>Q=eZ;$wq{s89w?x3uiw_V3H=3720+p#jdrPz{u zXzy!%u<Eo;>PN62qmvxwnE%AT*nXgo$pGB_OYd^$`|oo1yLY+Ix_7(nr9W`L6!E(v zmRxj&WjK}3#y*+KA*%SXi+Z)=noj<s{kr@d*6-uDD)$opqy1;miE$o|Bb7D%*WI@J z34Fh6ShiDH$wqZbz7wq&fYCZs@>(z9&kbW8_#Ka7P<P5YKP>M^|HC*Ams7=4ml5&( zUB-9Twfno<%#Xu3KSXQ(bjQo9+ZcPzlPDkf9rq`?9)l`R`lIDm_M`1}NS^!+;z;$l z?kaRP>)5KYFRn`+%iIxlQ0$}fI;J|-RFEBtWpvKh`ZY%XGIcl{U+urb9maZYK~%^& z2waY?%#K3QenfDjzn3A8stxf(d2SQ`#fZ-3Y{p}}oPLy#B;$2D(tbq$Ncl*-N5;cm z=i}>}jCGR7(k@4jmp7m*`*C{Q-xbR%#c}%qJ#aMDALk9|OVnv#dc|c_isSw+VSmN` z$J?wnoU-{hw3m34aW3<1U)tqp?IGz!Yw}Rh1N+MFfFAcZu73%6<wkGr9~s|3Zr8b5 zXTZCJ%^;@nI$T13;IGOI>?{3&eBAyD{SW*N9HmS<FY~aM=WeNdbeYBea`eDFo}t}< ztnF(?O^^G#QaO7K;4T?Y?Z?W=SJq|JyqdmT43{l~qbqAi?bgQqrGqZp=vW&L3@%fL z+TTEbkm+!+&6n|CxSV-n&2-*DUZUSFt9t_D`jz7=^fzAK<^5OX4dVaVpB?xZ@Wx|0 zOkZ&s^hs)yOV+89f7|}6HXj5YGws~-g38&vH*0fhx*WZU{#=&y<v{ux&$YdHf|@?c z->Ck${3!oN#g|GUb**2nebl^~zEliXT1IVO`>pA}DF1ai5%ZChZZ_d9bCG1aUY16g z|Mt=Pa9^&!as5XB#Fq+I`s#knAL7CJ^VT8StB%ooOvYpEW+U<=%DY@04*44v!%c=@ z`9bKkI1xv<9Bt@_z0SF)Tw)}8#6Ef9&Gk$88`mE%Z`}X5yrQdOKy!mS40x4Ja||PO zxU7Eid`W-f_E%VkfxqN_B-KFh{P74}(PrRZjvg@`$qwxkb4ZW-yE6IE^|*bahw^Dx z$2{a6Z8MB%sF`v{>yK*<?Z;ynw;#70mygqj^~=%)b!!~ffp>K-Lm##tp)@V9A3#Nq z`@3R!r8sUspa+gJo}sGha5<at7%!(kD5J`Z*Xa`W<7R{S#_cZ=&$#`+r~mD>z)Jeu zY3>N&<y<S7&dbfPl0MtIjBYWmS;=m^w5-@^knK0f9&eC+utD~74YCh4$UfX4``Zn& zztbRlqCxhN2H8g&WPkV4vMFnjWeu{tK{nAKD;i{z4YH{Q*>r=fY>>?~$XX4us~Tjp z4YF4>$c{D0jyK5K4YIig*@*_(D;s3<4YGv>*~tdks~Tjd8e~^D$gXLSUE3hLu0eKv zgY4A}vhQe+xdz!{gRIjadrgBZG{_p(-KpIMyN4QNy$0FY2HC?6vY&2{?KQ|AX^@?3 zkbR&*_Gp9bXBuRWHOPLpLDsPUO*QO)Qw{syRKxx^)v*6fHSB*=4g23z!~Qqbu>Vap z?0-`Y``=X4{`d0@ZT<HeWFKpg{rv`6zd`o#2HE)r*)LpLHr=qlPdDuE(+&Ikbi@8W z-LSt;H|+1z4g33a!~Q<qu)j|??C;YJ`}=gm{yyEXzfU*p@6!$Y`*g$pKHadtPdDuE z(+&Ikbi@8W-LSt;H|+1z4g33a!~Q<qu)j|??C;YJ`}=gm{yyEXzfU*p@6!$Y`*g$p zKHadtPdDuE(+&Ikbi@8W-LSt;H|+1z4g33a!~Q<qu)j|??C;YJ`}=gm{yyEXzfU*p z@6!$Y`*g$pKHadtPdDuE(+&Ikbi@8W-LSt;H|+1z4g33a!~Q<qu)j|??C;YJ`}=gm z{yyEXzfU*p@6!$Y`*g$pKHadtPdDuE(+&Ikbi@8W-LSt;H|+1z4g33a!~R}2?C)j6 z{$4ih?`6aOUN-FSWyAhnHtg?Z!~R}2?C)j6{$4ih?`6aOUN-FSWyAhnHtg?Z!~R}2 z?C)j6{$4ih?`6aOUN-FSWyAhnHtg?Z!~R}2?C)j6{$4ih?`6aOUN-FSWyAhnHtg?Z z!~R}2?C)j6{$4ih?`6aOUN-FSWyAhnHtg?Z!~R}2?C)j6{$4ih?`6aOUN-FSWyAhn zHtg?Z!~R}2?C)j6{$4ih?`6aOUN-FSWyAhnHtg?Z!~R}2?C)j6{$4ih?`6aOUN-FS zWyAhnHtg?Z!~R}2?C)j6{$4ih?`6aOUN-FSWyAhnHtg?Z!~Q<gum{XE>;W?kd%#S? zT7Ff-IJ>H0oL$v0&aP@0XIC|hv$=+|&0NF2IoHr;a}8}a*U)Bj4g2O?!@fD!(AIMe z`{rE3zB$*hZ_YLBn{y5O=0ZbTFEpGZ7aICup`jlZ8v0?Op&u3+`eC7=9~K(=VWFWP z78?3tp`jlZ8uq`1hP`T`VeeRI*gF;)_Kt;yIla&@rxzOL^g_d&UTB!p3k`e6Lc`v% z(6DzbH0&J<4SUBz!``vbuy-sp>>UdYd&fe<-m%cIcPupQ9SaS6$HJ4BzAwD+i3Zsx z8)TnqkUiBP`}EPWpoe%eM^Zf(@SQ2Y_90mRPQZ$_RmXp~VC(idp5t2c^OoJd=FP5% zo?GKnMYTQ;NAi$pZEtIOPGm@5?C-Gt#d6{~<p0R{hMn*;|8X7jfOn+N@j70<j94$V z$))O~dS0^4uE77G&0^$Ph=?TLJs)g2-{qONz4K3B5&e|qo!z~GevYr9eSC1vb{qU1 z)<2^BG5_a}if<5q_RNphbr|rD@Hy_|3d_iY+T;>-QoW=6i1Mz)|DcU1;ormmH(Q{3 z-Ys*4SM4<+<@Ip*k9`gHVaR<=_fkd=H6DWa99-@bFFh1Tn%9rVX?g%dI+BB=<x{qn zx7LFg`FgUCA4?JKNr3u0SCPkfaxX4W+t=7m97O(ztoBv&FD@gMsi(uEnNK)P7sXW5 zRow<<)%?m}oIfV6tj$`LekK2NpfN{jtIb^Uc!N;<7{Yd94AKGZ2dtQJ6}esEL}xD1 zne&mKxri+NP5}%0K%V$!+f}B<Cw`}v)Uw)F%^&*kkyXoYFjvtLk<@g;wZ9>*^=iCb zXAa@aB{H^A%vOxVzI56xLKJ%MO_%BaAbt~`w*&9^i%f4JezF)XsUS)@<$WMQ?#=#% zcjgPv7ac~q1$p8x_+_MKU-BC!z3PIyEHQHQy1r~#-_zXP1Ha)><Ka{(m&I;+Of@g% zpTPE_J_^1|BBks9Bw~C#>(L-WM_jHT2W%5?X)mJbDdC(kcFD&PTu@)M6(bmaHNT*w zb%37O#)riY3}x&?WT?u3-%AQ`xAi*{mTD?dgyfa1zVcCUF!oh6F8PVFLE`wK5H~L} zugu^iC@3@LvY5Im1=Be35(ljzcu40G&zZd?N@Nb<s!#S{>LWk8FKn{Nwj(X$A#4}b zbSUE2MmX6=IsWe-s>NKHJz$%7)pwz<@a39fMs0s4RzY9y%!lj{%7d3Ug17nDb4c?P z-BOzP@HcCv!&u*&0mz@gQJ!Eart*CzmYzsoaizZ&`N_)oBK{;RC}|YpNYQsfCeU|V z#{52Xh+krQAW3Xubdg1i`Wr`rl&o+1ZX|sZ(Gy<fL>~c0J1S$sSA4=ZKCM3nKGC=1 z0oTEM+$F%Zs>c_m<Bu#rbSh>z%;Y{5!H0JLNo?soDFFN=gJSV26Q4ea8ENsToRU#U zGP#?VMi|J3xtirtCYF3i>LpNVg)$X{IztJGU)9l9f*L1MU!_U_(rNFC#doemNoB>* zQGC%;{2p)k5Nh+N>7ohW$D%&-#&@>l@`utq1PJ9NnM4nah6Qm2_18|;bSJUte7?&g zf=pbFsb~5+KHC{vH-2~}8o^3#t91Ha7}81*OJri9Bwp^)$6lf-TB4Kvk|K@TGIZoL zaGVn*%K;QiRkr?`+KAmyEBV%9GirxI1u+`(wO&WjT?8o<MLQK`c~+^!FD4~l4Z?iC z&Q<bSubtfbid~^l^(>>cl9cs4AE|z&+||IOug{2{pSQJv3U1mC2|cpxt4N%*oNu48 zqK-`*p!{&xyc}I83a?OcL=dZTO4E>wGOQiEbqQj)zDz4l4jtR2Y6H=S!Q)9#W6Bgz z#{|B@;|Q<RL|jEMgkp@gJ%Zb@Fcm_u5cVw`fLVzGqZ;f2h~FtF0*k>OocgbnmHh5; zC<5UoLa+>1!OJ=z3!P};N%=<EJr@Ezsbdf&&VrbE@|W+d1bfPUFUk~I(lfjWcJB@7 z^H-2~xep;L1C-^TgPq;TLR#+cgs`hPkW-KYOVwo_t6<%b8-B^dOrI804WM0Q1qOpe zOXkE)mo&Ia7|Y0w?%fto()7waM8#lXn_PsdvnmRdvlA6-zL%uc@&Vx@qfXd7fx>UA zxEUvDOFEIxBK`J?ZV|IzlAg2*ivaS<IN5o(y&VrTP=e^GV1aanqw>;<_QCa>w+(2% z2-#YNcj*gp!IFoJw4ezBXECjl8+MnYZM}DB;Kx;1Q34^R*Sr=h`4q*AFK;erSD`L> zDtjB-%q>b|FX|O3b{WV?N4|3xw&^EZui5}F+eDWIhf<*ulq+r1$q!$Y9C3LRMqNf# z3rQo!(Oxpz#Hu=MfF1mDTbe+i0T4$>ot<ZS^ieqPZ88c%B~MzGRamG^yQwxn$UP~} zLD6Lh#AHPXJHk^Tht4ebw6nl#$e<e1S&Yx~id$GvYwDLDNNdQ~>E3KJYty)}^|Lli zgBS?W>euB!^Z&i^_1(A>FeT&Oww_{%0r7>)vW*a8b9#n^qJWBZ-Ojej;ew_{ksUNu zHrCl85|mKMOXVxENz;xsAnQ!sz}EJO)uG+0Kr7CEty|$L-cFf2Z^a&5$3>&KghPFR zjWm)9qoe>8ifZflk3oVf0Z5Rx(`Xk&pKwaWSIUkgJIYfzK`a*=Hm+{Vka^i*;AUpa z9S)s*jk=Q(B`?=&L(Yz5?T>5PNv5D`SX2pkcacGCQ=-ileF!c1A*$m%b$2RzCAL8n zIdlcYG;kXTGu5?@HR?yT0Y6%i4DI%!g5qJ_b4ecKH9xRVT%}G)ky0zR2{Xjghd29> zR?(cn<Vs%_h3&tqzm8C_tsouBjM##a6(O@Jr#077^IGO&rJ<=cd6raFF3mv5j4MG3 zlTT@P)M!Mb9-ea+zsn!HS=CQ)m&y%Ru0y)$(=w03AIX<wS)Q#+mmlt8N)dH|?`ie1 zMru%*!y!$txGKcSbo(Y#8;K=<Ho@ia>h#kGF^6PU<B+rEJlqJ-!LXAylZ(PfU|DNn z@)o&;58%>QFFR<AIR02r6@3MrEM)m4BPClZjQW`M^}0)NDz}H=d&2QpguQi*=m@UD zA-0~M@hm7I)6XDy)hK8`AwFLn>ad;)aXv`I^)RW1KRY*9>M`Vt4)&71`8{q&sU7m! zNd{cb*@IW|Xjc%Bve-_Gyyl0gcTjNn^cDiW4-_g&Eeg(0Sw3a9BNmFe%_0hDkR=8+ zs3IoH7*lMc9NIY}TJ{>&I@7>s#I)FGR6??rrAn12`A^_Lk_RqQwAHALF8tHRr-b)X z0Llh&0ZB9NT_|dYKLM>@1PX9(wk477L?J_VKNa9ypEQfdNPaA0lYC~gM4LN=*ntBY zIFP#MZiv3*-<x+1E9R~<`x)r8PHaXy?VY*XN9yfFKesTc+J*8XD7Ul6vq!Bp<6SQ< zL?>>f?Ssus;YV>$S~kZXutXi)a%zPhc}g!FKk?AEDb}n>>IgVoQ0Lrr$#~Y0DVo3| zpboyhBl%e#OrWc^Dp=;|5~Su_oZl)ez{p*p7hi?yEPxKETgS!nV{<~vou*d=HMO~U zJdnfQi*zKa^fK%k;wwRjIKRBJz6Gk~=pVihNSKBZUUvM>3&X>?M9Z&WQLRZdE_Sc< z`CS?GYH%(_AbI6)Ku5puZ=uB`7ab}bDQ}hE*V&5rI}T7hP&Yz9N;IedYVX!*#{iH; z*~zuGkULz~asiD=&6hM_9clEJhWg9m)_Tse=oi%p?vi?k%M&GVQNPBny%=9ujQID- zE-FWZWEjl0Mb2ad2h6t98qrfH0<395eB(&wV}_(EG8dhsagaoQNM>ppRmje=Iz~M| zzn<)v$$&~zZpN5!kofVNDFo5juwvz2{#kxAd(^`Pd}(XZup-T_{qJU2=aXp`<CzTh zri{g%`$^UQ5xh=pGS7&JI9QnkDdm^Pn6;8dFJbtRdzLNO;-;P95o7Su7KedSD@TX< zX}3V+)n=vJ_o}g4A)UlB$B{j9#0$!ff~$y#NmdfAbrf*?B}jY)dIY$<{%M{{*wGM- zaC1mF*}Fsx{i>WUEd{0ufSO?lZq|ul6}dMk<LaeD#Pz=#S=3orhWpB-nDcz4+K(e0 z;AcsK%G<brR94N+%QuSI?pVhwW94o{byDAWj4!DovmI0HgEBcK9|d*HwY|l*3OQdj zcage0=JZp<#0N$zVk8Nx55&*eeIwMJlCX0yWiPuLpq)mU_yXa98Ebu%SA19N#UByn zOrY<{(THMeQLf+~&wdD;04hHkS2diRRE{qW8<xGlo`k84UI^8e3C-%p^a#$pPdb+S zD#j00m@)#gJiewUGxV!i<UoG-i=aA-FM2<6hWe2tiE>MI(Fq3HNf!BOzY{qE0Z}!1 zV)a6GWDQw6bLbspB!Kje1pOEf5XOYig@YHW$sVj7^#~<;494%}NWMb(WcKBMk1GYN zOoWiJm-y&E*=0G@0P;<;VL+w~^rRyjo-9?Shc3WTFLmg$$N^BdDyFo6g)I2kC@f21 zpoKkOg&W(77aK0}Gx@hLSJkCz3s})#jYC$+r3{4ZM8Yv0PXcE_-BAQ*6^`zpS;nze zcTPq*nN%OxBjC|b_6DvYR8fKF)Mqt~9Ji$%kWns>MtfFe$}hyWotTo8lE?Q@zz;c+ zAfwcEU|`~mbnwv;MV5qDB+^Pmzl_*!3Sipanfyu)W*oR^Z$&&<wcGrVU7&!Xh;`kO zyaxCYY77zgmPE%`VL2{=kExOnbFt2YxH$BRpH_q@KQeJgawD_2v?xlJM&+H(*uF9< zOnE27Y?oiHoN-4N4@hM>RZcsr<(`&IFdyHT@oHKimDBoL12MYrmU%B9*OebKtrclI zo4TnbK8-Pi(fp9BT=gDM)y5aqDSC<)E~b}BMwIHdtSeRHF%QE6#srrqH_abXN;LO8 zE(WJ|8rP>myJyZ^q`V2IIJ4<v^QU<}cpY`KYBeb5#6K7q!)u>O&IUD#8Lu<GN<vk= zpgE8<IGiP@4DLQ79XevZ>s7|h4|wi7nuitZRH;d&jv?i%)VV5iNO7og3HDGyInSki zYZSv0?Gg?ZmReN<^dnSQd%v$(HL7W_6Ax@dvv$&_Y2ddVetnm-MJ|#((^q3BkFT&C zrcr+dDgTyp4dB}Uh&HPVshIvWndF<7y={9wg;03ZQXQxVgvw$sPg$0+$l{(d7=h{< zxP%jUp-SJWZ}I0yJePv1vB**I6#;h2f~B7H!Q((A6Ry0O$USN+!hCu8k}#c+_?<HG zU2-|@g1B^!lHJV%ndr(d?UVdfAvv{|{ELp|*b3{achIvL+=9LURO<jgce~gJ-zrYX z&pKzG70DA^EnUBq6MGHvr86dY+uiK~^4ygxUcOXEZ8%fhKSJNB&81((;v6i>I<Z}@ z$T9lA14<D6a=r(5%&NK)YxK)8CE@|UGQvaTw_E_n^FFwvI<)No>?*|}+BE-~PU~jP zPu9$cU8l7a={(X0@K=&No<<3jav~%=l%o&CwO9VsC&Kx_pNsP3m$;(;^}kAAp1P~M z-f{WOKger6o4<?47jy%lH5)c<{as7{WGOr!4u15z-?79!o%K9FcYpK~_pOs1m9dn@ zL)=gH?)v_9%2e6wKXvonFP(cSY<D`#H=q8gbL$=S%Ed5iC%s;u6w8*Mi7{%|!H?^N z@3qa~Pu};$_x9+#cUkMt24zW}@1{C+s2k96u^j5!x;Z?rHmt{G9wd*wik;dd2<Nn6 zr0J7Q`b2F#4#+2}zTovs)%N&>AIE*PtJ-#0Ugd>8K~5jekLY`Z6`kVMd<poo4r3_# zWu16lG-GSC?a#dD2XAg~o~GSe?oRc|$@Te7oXnoNs~eDa-uS`KtDUa7`K|}>v;Lmf zrubqxHg!@yZ|Ib75N10$(|-Omv2)IV{)rpjadYo^zn#0*8_sNYX_Fb+viCYWy{>su zEERbbYx#MP{(Bzy{`ab^_q^x(ALvrIlkf5WOn&M*5o4@F-50b`+#~Zmm6vOr2}Z{) z_TsD0h%2@6MD(M1!o2<SfybPVodWZ|nO1;*vw+{1=ig-?ez_66>@r?v0e{i{$HQ@2 z9RcuP2;leS`TsWh<YkxfGHd!5?f+$l^YYZM|NVzvqm4GgOvujj+V4Jyjl^k^NyA08 zg{LASc|&*giQoR(zrOZ0r+#cR@m{Xa=by2^Y0oe$xbElD4flK^`TGA!`mc_?$yM!7 zZye|~v0gc3jttNwlUxgNVOM`udBI=X{I2%>fACXh-Nv*;z{~Yn{Ehth&3^;0T*APy z<3AViUqaII6q4~8H{z*(-n!#X(T}%uS{(2tPc%(c@yo}Me}MagL3-d?zh@bK2KtFF zGeNR1hUIyf89&pm00!k1S?i7s(Ye_8a|ze>b-Y7t_Kxo>QN<SpCP?&9Ctno5o$kbP z3#U4L&egsz!Z&%vkj1+Z89!m*FdyKlmCF8XB}bSb@5GY77%A#h(>1nyrc#W0C?q^3 z%!>V~WeZaHlv6tKrBAtg14^HU_An}b#F4&1OyE=QG--YxbPx^p!k==Y<tNcKUoru- zU<Nr5^#YlHSwGtjKhmFyKM$6dNw3BO`_Z^Cr>V-RU#@&t6}?y&$5HmlXkqIWy-pcq zN9tO&$tOz}xcRmI$?6VP&r8cf!g+Q3Ec2gCZlf?N*fxlFUpC}7pA8jVW3&6D25iT) z#+gerPd*%!x%XaeTA1_E&_nQdnrGX2NIi59y2uWeP-2xucU`r+1C_2$cUB<ihT!GF z+W|Zn-scD2dcN>pTsRx?%@H!emtE77^BZ0COgDS=EFem9O#*Qs38rPSi=mHw21B>F z%L6=E1aBML=cJjpuljoms=!-ULM|U19-iIsA_{nV=v@+DrNY3JH=;5Bq6qIyf66Ht z0CH6`Dp1^kvQi1~WByV}o&=~~DKIrHg&+%g=W>=gABl<w8qtc;>I58NJErK%pk_uD z#{fvrjC*LLg7oH`s}<D~faAUGT0=B1V79#ncYRkwjx0X5BL}W@<x{jekcS2An*WvF z_mtwQlHewg_PtylQZ|(>Wcu&AHF(nQ@+WS>%86g6*y_%;tYX446G8d{AJg%~=M|^M z0|h_G9nL6{qDNA41)C2b*0g1d9_TNaBcNxK;+ogiyQIsNBv^Z|%BDDrAk02pJ+Y-* zE{7m7D6`^+{ZTQ~3qJp0gzFV!i0=-IE5o3SXKDzwZV?49NVp&0aP=@GgcFiUJG6CQ zKAOiMM5M~rZ3;*Awh%>8HRY;WI4Ipmi9Qmp<uViOCt+;EB&+y``ejs-<aLUgBVTX{ zFLBZG?L}~eG`^G#(vqI)S#Xm!<~hVVjj)PLOeu*mpE8M<6>G2NV4UYwYsBVoNs`{L z7zE#OPMdQ4gQ+6(B1#J+YR*ol;Lbpp-ikBC#h}U}=T2|V`%Up)GWxDEUaIZ@&quvj zq>GKyp;{dr)fJF)K?f(;UJOO3dGhTb>WgeH_Ze3;CX^dMdV{_kMlg`X4171q8!Txw z6=b^NE6S;;5EGSIR&OLkO%J=s+c|dIF_tzfH%rwf`~k>`cae<6XVwal@-~mm@#I=9 z)VpNMR9=u}Z|6*8oAEIyA6bkP6|1N83y)RsX{1zAD9j#!Cx(huYiy_W-=-wh;7G-3 z&+#-BR|44E@POEc#LQne$~HpeQ?~Xyz~%CupwiY3XZP`rj0bOU2@^%GJisGtf#}n_ zXEWwt#SMeULK3KEhoA^K<?V*ES@HaQY5n3<_-qkE-m{(d*^lmoa6J=o&-Q#d&-3f{ z1P?rho`ulcu}}mgfq2VjgGP6cXM=m8-0TJd_h%pHsmW73f*3-X^m@iq{5;-QU?28N zocEq6plpYbCb2HU<Hc^d^Ki)c{9AlLNRsuU=Qp0t$Wfazqoo;Py^yI+A=^1iox>Am z)3@MBT7-{-osx37p0co01fxwj?Fr9@9>|_5F;iP};1*3Zq<D0D&O1+r3VP&WPx&mI z3gzQAVQU9%zi%0mR+tOOtyhNjjt@SpVPar;EuXS|oUqg?1wu@bNSYvZO2%8GKumlR zut@rx_~_1TM<Zx*Ee~h4hON8ak|vJy3O)hiaLiCHXz*eip03RF;Ln;lv+vUgMaE1& z6<mm8R(mg1TC;Ma=+e5#JyvMpwNEw#Z+B&UrmO=S_XM!v+mDlH-0e8W8Oqb}n0PjN z?+cwkbxa6rKJc_R$4%bdcN(7)>mI&tC^9H9GOt45b;SnO)_J-v(7cF=!2Eh-Q>7RY zMetS_`660nMvuffFrQNa3N3=1IugTX9+Y4%oMPP0#objdKPU~qrZmo~jNF{(Nz1T9 zec!_PejA+3KHB5N5K4|8&elDx<1L5HVr)I1`^>4Gcy7HXtYwRkHq|gKJ#sBsk@aky z^(t;)nOVpuRchv^Xb*ht@A};`Yg;dO_z7iT6wHP8jAh8t(>vuD$10a0w_bXiH`mkh zdMs8=Vf4uo`fZ||alAUC;G)=L(c}q)%$a%f-O1>J-y6h<5B=Ek2<#QFqJV-AM$!|+ z7ongK%>)6<VZv4fn-P)tYH28XC@QS`^~lfoq=mW{f?7r8xclX*wO}_P<kha2+$C;I z<C`;y4(7?UQRTCnRNxfLzTFgMs=K5?lvAR@iniJ#0jgM}JWqV;jZ%fxo>HHyiDDHB z+yFx}6aim|rEHRmS6WL?jwbeo1tdYFG`upqF_QW|K(zL@xXoa9mR%>Bl5>rJvVlKY zUL{KaR*X;R#|I|{qM-6>Befu_Km~FCQ!Q}hV*L?iC96cG5Pioy6E@B;IT^-^<Jh-B zZ4#}5<TW4-3<I=iw{VC3qu4~{-Z3Vqm5UVS&GXI=Y1WNlDDhlcKlBI05|!Czfyfar zvr?;#97{hX0Hn)tidBSjtZM-sCY%eC=aLq}q8HtTQXCCdAi(xG(}O%rF-KjB8!HJc zjT-*S&5{p<xre;Nf(!Fv%C<Z9(8eM`!DO<ecz7bq<wcA6L;*c3aERwgo#;+}Ss?`0 zl^mWq{xmlQR4uduO~0ITLuC&RZut+cFEOCLi_3J1J2C5&_*Gos^))O8nQSvXTcyuX zBpwgFB6~_y5$&94^}poR$-r|GNROH4)CbL{ki}fol7T=+fE{du2p%*SDo)`RSJ~Ap z2GBg;Mf&8Dc9JDEa=xd6*q(PXwHJ9j@bRjGIoG2BVimB-920fpbjJShNcm81AAior zDbI4UN7vCSgveUFMRBf^*{6%yaFROlVTG(PM~&K{2u17B5a;f$h21bqaZ?!5#eok2 z(K=IEY?y^V-r*yFz1eJu$N8nO!&yMpLj}k~2z*E&v+ryd>`6c%-9s>=mr-)Yf~x^d zog{*eJ<kB273uhN0X6JMLfo`~S_Oz7tC~d4po<Jf8SRnXnOYOp2EMweZ*mpk2Wg-A z$BTX1IuLm%f>G*Wh-;E|4t4?CT`KxzAD=FK%JrA^M7(33?47-ty7?l$O-^&Va-CY> zsl1m1YJ3f_ZW~$KF{|9Jqp5vP^c%c;7FdHcPlzYaTxRSuGAy#sh6yWzpP8C)A<wl> zv2n0~^LxPA(oGNXF!*ly3YstAf8xeaa-8)u-haGBmCIAtgx$hDYX_FQBGWUglYdwi zDhAMztgqX}G%q3QmLF%B^Z}r}EI9#8*pj-*q3oR}1*ay?Jf|HXBNPicgwvXsn3!1E z=W@2_18nQZjWYY>o-AyS77S0SP+U7Z4~yrSr~{gC_4l7s_O`7v=lbV($C+cq29u4| z+3!L`Q0rsoirwrygT&3+IofB}F+;TtnY}Tp>9vU-5p+<2G?*<)P@IKnw&OG)&C>v$ zvmp`1!K26+4FKjv%mm(uh#8{gaN5`HENu^=hzd@s3^+YySe##6k&@>nEK>aAf<`eX z8h{glF#=rkex(dVp&B%qLM%!mDh8zj1uk8Lc1fsx#obPWi~AA*%b+m8`ZgHeSEsdT z4ks2s$iSf70MYW)tE2wWWTdizp2_)OIukTToCj~7af~rc*Y&f0((`F3TWn`uTGB() zml#gi)sd5zS9ujwo?mACF&-HycR17dHno4t_qyiv;+|RCUi5ke!A%SunW`u?2Lwxe z_!qQog?aD^)r|XFt=Swhw|+RV=e3sJzr#ml&ujGz%%PxfsUpLB_dB_5OoUK)=y2*y zvAqsB<h@VzAubiO8v`Sw&YS@Qd1lMe1^J~NbcqaQr8^y4&m1|2v4_FZxTb@4SJK!f z?=oi19!-<2r{4s9#0SY@?HuErU<#Y!Qu&0^=MY=0%(9S$DZAMd+MeZIJb;G~%$N)G zRAhzNN4qv3Fw@EbC{7MxanRS{R~X|66)wuW>j$s(BQrLM^<5G5C`a4^pEaZATdej{ zG3z^GG1ipkXM8{a!F>@X+ldcu+6H|V?q;AcZOV7I*Sz1)r|`$*(-?CyoH{YzvB1aT zpA<C;#Z-=`%@Gzavqa7Nd}cE)q61k_F36Am0mur9K!&S#j)Oi~n<*IVv|fZR<9<DK zEi4f<tD$_T0s<<(K#y`j*DyDqFSj=sWG%b1Z7Z8FS&p+d<9OB;oAYWF)*?CHW>f`Q zBf4=gQX5$yI}SKjBctv@a0KYB)`J)GXW}?Xc~T%%B;`}8PH<lB3i#5QbKAJ&FE1!U z-~4a~0!}NQea@X~t?8W%^RF-P*1ut0Dy-`s4}NOT4&o-=9=y*e7Xn_dc6|sw#uda7 zP@IJdgNC4y9!0z21)CDW7xOCwF*1$YTwKRRq`ZDo&a-pGwbh;KvilS|<U}=^Sdgzc ziuJ}BX~|}1^RC(Ya<S}gLUw}`bKm9W(mF3rJ!IEBJckpuXM*tD@GRie;Oh){G_Qjo zv~%z+Q*sE@=zy=hCn~0Up50ef38r#<+?DxYDp@ZBP3*#ToV7RGv!!(o2(;wf7qS~^ zghk67^~yF4U{D0T_zq_MoX-UPUbL5F2x-uHF-SP$C|3k-`S=NC6L^H)`vDa*-Unen za^>VVXxzkQ3YIh=GniL64n@Z}5A;YJ=ag2cT>%QE|6cdQi*0+>GH32}sj~uRfn9sA zfEl}YR)-{-(-&SiS}W02)Gag;D(pdkM)`$vHA{E^Pm#-V@5LY~y4Bbc4bbT{b>Lpj zcH6NAd3cV~8i9jcTxw#zoF&d;sl27gmJ4?yYDCuR&AZUKbz<qW-K?CU(%ZC5W^|5y z#|zOHdNnv!yhVgQm4bJtP1E#!1IFT&TLM2~=qp}C{t%T_qCAtIncQ2j%$&MCb2<xk zcjQU+O{b2TVzSK#-z@mW`<=@|TT|5XC)*QkonO7n3JzBxz|>x(o4jRUIjf<S=ee7= znXtbb>}1Vt#`N4p3N5x*!rU7Z(8@Kmlqt?xi#5Trz&gb@MFlZwbok$`x6Nma8=@Tj zEE$r{(?g8IL@OKN40K}IHD9Zl0~Y#2p4__}8pi^j31Hz*`Aeq3jQ=4dTbCG|Q#IZy zf86n^AOx&{L>8dXwH{;$xrGB^U7Njw5cWdoh2DM`1sj2oFI!sBFKSb?@G}m}NARSB zp8<^3+Es$~u9_=Q;Ba6RQMPeQM3tQjk*ek~EkG00jUdU;{p=q_&GBSB6jnfN!cdKo zaS3o2@!KI_8meL+;!6V<R#^z8cwt0;|Bz`Fq+V%P*+3<7O9ojLL0v~oL%RCP(dpq6 zEGS}yhz2<7Ro2M*e320TePl;X>2tNa1tmvC)FQwb1<%25bASFr<?C|F+dU)MjolFX zl$D3y*x&@sa(~_Y^CubR*OjmA=o5$U;?-->Jux+J<&U7R4(-bDSx(Ofk-YjRL?=ik z<~^Od7=tk|B=al~oV<98XfG>v9Gn3J3n?#c=?89pD-W(1`9u3`ZlT!WDbiiI+;R2g z@@bdZyU+DGe4Itk$5x+=0Tsu1O~Nh1_WFs=Z*o4|n=fv85wbO3dcIbP{X1^@tOdtT zay$H#pKr~c@$8U6owaMbt-JI5Mtj|-v*HA|cdJ*e6ml4XNV<ZV&#j(KEdUXMVvmwm zIM2I+1p`M6adY)hrKeXXt-dX9vTn3^zPH%fEjlfoFF4?LS>`v7Er0h-j{6;Zo%60_ z17|9Au|8w-Cw@X5aYvsQ^{0MO53TQe_QH-Go3iCKBspzDaX>Y4FgvH#V?l=*0%dOM zV-#iHzdv`+9OHWqi&&Q+g_sEGKa#>hzIM10m-S<c`$T$Y*(O7)w`8F`xz`KD-S*3i z)S|WIW1Bn{qGP_uf`!MdKhNg{c$3T7v9h>o{*Fm^zI3Ntsr@v!f_gB@ikvil$b8p6 zAHoOs9&UXr&p$o?`cFU7`^S%!6Xi@07@;H-b1}NOCI)-5Jok;em&0kr%HWYAjZeKl z`-jKei7DRs3jC9_THKH#whET^S}zvMZ`u6lul(ApUw7B*KYi}u_q3K5+>H;M+x^Do zQ{k@1{;&7_)#Yn$xcaxg_t*aP)!(~W-ukgG{CMkiZ{PZf>rTG!?jOGERquHEzxk1? zZvEv`51g}atUG_^56>O@m1k~x%K;C?{cDvesEeC=?6EiAwfp=|j6<Q!pUIa`-dMK2 z_R_f<fAcfTSH(V|%aBP2>k38O+lFFcn!<q?QxENb=v;WX*!jTCpFJ1mCm*@$cONL9 zy8Ev@^6Vcz_O1P2{=|o$dgk2MUVQ2Uz1_1P`}tk=iDTDYbHiLd)xP6%zw)j2fBV(n z`u$(~)jzxA;lKatcl^xP{_LhF|M-S~^yJUaKY8x)zw;Z9eYJn^dq4j_{O-9&zw!L1 z_n!&j#nK)QyRC1X%s-vK{?k`|h(1{;cL+k&Q!v7cmV^;Q&ny3pbNK^z+2)zVM<%)Y z<afVy%-QQ72@!Oh*!|FGpWJJ$m*|r-A3gKckNuUq9^O%(*omc2p9#BPJJSz$t53dj z{#951{`daM|MQOa+urikr*3=CnUg>CgFpVUYu-2i{U=X<_4BWO-w#~#h4#&qbY^CA z>RVHf{o(H}zlHtv+8-qdVjT9#$=+iRoOycxD}=_M`s9Jua=yIW`o;t27XR7L-Z(N( z6z#5bVn#^_4{wuX!3t_kF6@5b@%eN1aBt@WEBohcYI5%bpTD>D)ZO3tNRK`-`ebG4 zntQz_#^huB%#-5Se0jsd)KvTQuYC8n+H)sA`o5)G{#AMGKY7RdzT>`cmABsV(mURL zU3lMXHoM(pcb_@)-s|pq;MnW~>o>N$%%05)7f80=_&r}({jRN-wm9L~Kb(w}J33jt z1RF1AxBt)^zvsg0aqjds!`4r}@q6sJ9WURX`=_7&+~;cTw-CpL)$6feVQIY0R<}A2 zazkaZ+x@QZI*t-A{tuYw$ZD9YUTCyV*Bry~k+8Y7Y8`v?*6Lf|x@8lSPe1+YcOR*z z8`Vd^pS+Nm|I0ts8SD7uirv}2_153{>IGYU>4Lp<{1czJV9%{yuwVc6<4;QyFA+~p z=I6~?_k8vxHQknVKeDpBwKsdv?_2*ld(K|6mm(mHfzLko67_q@wzgJR)h7pAtiY^* zT$h4N^od3O?LV{%Y#m*x5szCxiPk+^_k=%cm(M--%2(E+t$+H;&)Mo%w)XnsEYyAS znFk+CeKI?Ha6o^(B<W$e?o~s2&?h$yd1lXT9$)R)1^UE}Z(W%9=F9Grz0Aqq&z@D< zGCH*X>-N&0+J#H>$%WO_Ru|MKw)I(~!)%Kwu(JC?=gTYoe!o@s34NeCjrPe)>XW(E z-`G01XITX{fczc_v3&Wtl0I2L@4@#!`2O%mxi=f_6WM=d>*;Ew9l!9I&zL><nc3Tp zUr@l$0gTBb`sCH@yEW8(a?_zcxp2>M1jpZe{K88Yj<0_GW%tQu)hFkI%4zA%fWF!1 z|1o`X+%9OI*oEg-?E>>8j>+SLKKX$^V#-_y^oQB%YWE|*wAP(_Zld4+lgZR4@+XK@ zv})~oY3rM>{G9s4?pZ_j52MD>$))-v@wc`#&RGF30}JS_-nM#M`F>u#+UVM)KGE8> z%5{N(GdW40OwQiM;(*WYb6xsmb93l^tLlK_t|4#GCqovw)qA8%pV(X9N}s&!wQI9l zyZ-0rS-M!eJ`(%nrLVJg9o;9f56r&#P4!9Zo)DWwbLW@VtZTFV{?nUvpQKTi`edy) zzvuXrTGvj!je-hp#6F>lba_BYbcJjBglP0nAd?*a{2nOsg)94LC%-&MvM#+5h~v4~ zEY*f>^krY^yA!sl@)vb43A;rCDq~!0);`4@i}miN{4Jh<E^8XhUh*CPDZ$5>>awJ} zIKMcE*|F%MQpct`K&Lt=)~aqN>oyT?b<1aJx|S2R%AvUQI;8F9uC`@vG`K}>5=BhC z%+~f)x5agH883BVId1GET+`z?%HMnc!*73GF?0Gq<E;qZ@|`bNrZ%{>;oocFn&rZ} z!t&ovIM<h9RdtW`rzG@wB?Mpiofh6EKeBsWY%5--9RcXYxZi0iFFu18{nqyPtvswY zvr2DX@lD5a@{&!R^1?NW<GuE9RnD;=9u_kdvvsVBMY`|Y+gX|5>vFNZHqy4UB1Po1 zft{{ney>-X*lAw9y`9%#`Fp`hp31t9GSxQVwy;@X$_UyaBHMpFQa-f}@>mxzbyjnD z9(|I=rV=1F1^7d<%UB+&{o!f0EWxeMCET3e{^i?ISkU@1w!a*<U;SLSE;u?|{hW52 zt*tLl9Q0EO?~dhd@)c1Nt9IMo)2q-=cg?@T-p>PauVB4cxNYIK?Do#2ZN2%;d<siu zZ?J1S4^B*QP20l6=G-i2;r}}M8%f)?P|)~S0Eg>Pw~285*WhIJR&AmvtloXMR^H>c zPQ(^}aP>B=mF#|Vzc}~8++1sQ>v)R>b3e(EYRfK6OmO<!TG^VrHb1|*`r6mdeT75W zg~`u+yM6NIFMpXfyX|mYV%%eW@`b}^Fb;RC4_<iif*l<0lb7fdnID+?q|-U@qDp(= zg_Zl2d(Gc`aPrz~-~8rh?G4}57~8sba`MYx);XCWchB5ypuzhm;t5vTw=ERiS;p~Y z;cz{^oj!Sv^8<Zy>#eKL9l!NY|5PPDctL%_?yo+X`^r~ZocHdbJKRsc6i-55|N8OR zC%^cG{QU9blaq5_X>kg9?Q6f?J~30D90lWja#&~e3$&+3i5>0}I_V{89&oY}evX!W zKW_kWMvQ&(5B~25KXdK1&s})-S%mb-g=;_aneK!4+#}tu-}3@}vJl6n=o)m&@BZcV z2|e-BOBbHIs87__^vShfcmcR)^}_LcIE&r%{tL_p&6ML8zP@$a)-Qg6GXUqy>tA>p z>A#6Sx!l@Sw@|{XpG$pmFxDqq^vUrHx3PAqPxffcSG<t=1pNzJ7asZT&S#$HfMe<t z`{o;-X6@=e*lHc0zHQ;_n=jCJ$8=^m4C<5OFJ+$Gy1IJdg8F1^?V?XOdDACzFI<29 zJzBeBpKw-=eX<q%WbRt^$!lMG{R{nXuC7jg=GwbT9qjB1@Q1Zyq4!}<5q9lcD|}aL zV9%RrQSN&7;p7kct@5Avwfs<dQGQYRb5%SwJ}SNskNV+z?v}1yjdl5-S{#4aDbGqS z$U7do`03n1WK;h<;bJ29?6-VY@|AaS7y?H=9B!hLVwW%n%}9gk`m8vcXzUZ;@<sV6 z9(m>JjOw~m;j{T-W?44>P`-FI_~a+_bX6?BgFZK^;^!N)sZR|2-Q?wG@l*Y6R_)S8 zFz;kWdK_O$&yU&jrspAl<91g<uUGNZcr?Bv?af|&_b0>l3bFW4mKJ!Lo(d-Dc@{#R zTkd($6BV}m&TgNE&dakeZU>%hD}%&l+n-F>lD>r@mY@7O=shxbRDA1goQBT-%ih6# zYBSe6*al}l>E*Zd{BP0;Dz}f>#73}fXmdTk9qFMz2S5KY-}M~Pya#*hhOT-3sFKq1 zfVX~wd7cjw*W1HN5fmGuSQtDl04{>qIXQnIjweL#J@3OwJEc(Mc<*@^tRlOn_M=$J zw(hn^h~~kwJu;p=P(**}BQXR5+-YFFzt>m&h&VnkS}c3&^7{n_fRCx9)`isN4`7lB zF>76LkX%aLq)%CQN)C{ED<W1dU5du?n8Jy?XP`YfE`WjHH^h&&;^`U%W?6(#VU?g( zsliv7+rgP5NiKN1#w*{hJg%&`NZ0;z>WGZjLvWlXIH8g{@e(*<$7e-V$f52%W3XmN zrJ9I1TDU{3Ncbw0Xb~Hs_KrkuNd?!adeMm*MSoswn~Z7YL^0$wBiS+_qArpM!d=<c zdXZZv@CPto5veX?H6Cak&QvCmIc$T(tvq=6x=LXb54h-&crNwQ30y_stv_Yd_B=HU zbE674_7Ap_@~#<JiXm$k7W6<WG?G#;Udi!vOnaZ(&OuMfdsx<2BG?e?39SjyC22B2 zq@whx2f)XaBq*o5GI62?Nz0Fs<b1F)$cJOtCL2f4095)*Ik93{^*{|3O;L5pDW|e) zr2-%69XM%gJl3GMa$|X@s+@qpNm$X!3Tod&s`i~YQc;EN=Dc#wo7LRR2G|iIw)k{P z5w*B)VCn55Cw(V992Nz+o8_6O4tR-{>`{P^o;>S?8$Ntq`EdpB56VL<R~o2+a-KUM zLgdGI;#XoPfkV#~`LYu!Z9F-rO#4-0*^(aNfzCUXy@ng$xKb{qzM|?9ofM7)^tr<` z6eW8xU1Ex%x0RGel-Mbqs0vUUNFGzwc9^f)a#+R*7l&I$rHb|j9X!J>wdu0lZn1!a z{jxO)ik?F?G#$Uk0_PUiidpkW{q7<Gw#)wjzbn1KhQeE{Zsy}N0Zg0Cw3pH1u@UBv zBJ+;16bk1x1f^hQ=)mEAP^xHX#S9N*?aq9BDf7YXG>zLPOt0ER+$P_RBX2@p&*KP; z$Sj;u8bPCW02{;*6~wtCRV(*46>BkU_pZd!%asv8xR@bXN!hBiVN_btK6kM?sB`}( zIT1fM#hAba9wg*l=%t}ca?aIq`xk-t=l8<SmxA5-6u0$yR|P(DxVIKc-nc2~A?AA7 z3m(kd^nsL(HGqwl-vx(qzsxq^*i&7eK8q6D`#$hN{(*95kGnVlJ(ebpi%h`Gm$nz& z!JbE@+za86gFX9F4;`na>lBL)P7m}kDaz5Bkj*}dnjSF9di#ca_8gz{Td{ZYC=SYa zIe)<C8UMr5&svYUI}0<|4r?d=(9Q@NM~{RJuM@Ijx;=~T_<Ww(S5R7G^$yQw1zAk3 z2u0b&jO>o>^>$*H@uZfwjNonUtR7^T>12Eo&2uhm&t+W<iv#on^)AC2m1WTRx8&=@ z+x{Z;W|V0J`#I`C)ryU_U&DyGkb2HjlrJKO8?!uCl?N+==(V--#P*J(X2oeA@{ij5 z`8mJ0zMCy)n|^J3J=cD5cE?(FHQMwTs-DLVY-f#{XLF_VJI*rZ-ZBr_&_wP2n!Rm? zRcl=@Pt>JWSjKY(xhl#hWZWKA`%ZLsyZ(gh=H4>DAF^$9`#!Aujj*|9eFMA?7IM4G zdlA9YFd@tR`le_*{yvRHKDr`;T5A?nkS9FP7(T;8yP-TmB)&v5v;CF@@;kPsDXrh{ za`E0RCeEM$Z`*CpChL`r52%9MYsmL(rwB$(Li@h<+bmmL4}P66h|_##9ah%mceWpy zF}8rocHhop11QOIR`amTmw=YLe0GP(Z&WxEDS8Ww_$@Z{M7$nZ$wMBx3{nYMCw*R! z<r7ZXOd0&coI4>C@LLP}%edOXOSMAIGuXoE9Qh+WG*J{jw=RTNTV;Jrv!JI|fT_8R zNU|LF#87aer{*@tM-3<A&K1xhEdKQDYJra_-}1H#8$CW`lCfrbgti803%^PZxLut1 zxV(ap3;a<4IFJ-nDf;Zg<KIf2FcQRb2qG#k%|LpJj?Mik#;f={w2U_(edj&hQaA>D z{4hasL1URJycTwC6CZb9y=>cdZl`DG+`QvO;$&tgzAxLIKhGy5b}X;~+vLv74RMuY z5wJ&SJZhDHAEoevSGhM%M7vyOu8NjOSQz#-SY|aBY{s>7MzoFwZF~FLx+L*&O8UIa zPk6{Yw})GMxC{;oeO@Aa2BMBD7CgT3(>L0!Bli&sgAW_XJ(<|hk*t$^kMOnm&^hI) z(}7Q^sk_u{`jMbOZt9Pdhk$+MSWP|(E0%6rPyym#8PXh~*_l#tLd57xEwcg#50`E0 ztgPo$i<}h)jts#g?$dqVdF6q^CIX9-9M6<DO4o3{hI0b%QW{&q24jr|+pCMH<MZCa zO-r#BRz7TeRA?VP&s)Uptk^9oXFcn|&BI*aO$&xX+fLpLBR(;Df;$HN9U7Lo$9lfw zCh!Jtn;=5l(`KrRqZx~!a_*-Refrka)04e@r+!SIoNKu$n{pPgrdCT+KNIM2wh&eN z*e*$@$UP{t{I?9+8TY%20*;R@qz~FfpssW82*%pZ#c<81BAdwv-Bms(c<ZXJd9llq zl}{)TjUCUIPN=}bob#G3c{kQxKQZ~J927mc0SA1Uq|Gq4JcO#ugK%vu9fy6Xp~OJ5 zCmAi!aQWM@R|H3%M&w~<yf~IH4P0|9T$++SZXg6(qUIS+Ff4uWrloI^yLD{_-2BN| zBv$xG3c9Ox9mQp*N|rBe9|f)uX?~UM#Z%s^o~2vBLtGvT#?tnb-Z1eq#c~(rI|;G9 zypgRNjSYC>A>;61UBi&}Ub5~Y<+GM<(z_n9-|>?{p9Qz<;ok^nItsK)QslF_CnCIx za`X#0y;*3-zd`Z9WYD=lpEBQ(@DR8;o1ZK!#Me?pL6ZTc_DD^GWd;F#(%NvMkvqZ^ zY{#mz(y9VPr36rc-I%L}0JXyn3kw?BuIQ$AmRUPw?YTKRQ-7Q`89>mPQ+y1^$pbWl zoYAhNwy2bkcbv~XPEO=u!hL)@KVZdI_vQIS_RLQISZEcic{bs~=DOwO+jc{qI(vg4 z!+BeyF(1#vGbeVba5&dG$a2T}+n(t2d}**?_JeWl`Yrp7BCMP7m=wOLeZD(oEFdRn z#}n2I8!r0=<^)SE;q7AP7}bGWQCWV@b~nR)=5uC9I52Oo;SAB;+06OK7C<<!*kRRq z)Sj`zs3Vn$^}|t@Jf-E%ACynQ4CQNX3QUP(@bVrq0#UL@aeOjTpQOOWfmUb+sJG`< zLLO|L1*PB0TYas^A@tWD;`rF=U^hXioFw`670$TXwq^Ofa_4Bl-9A$z-g{gw?TgQ9 zMe#($JSlhW89kuw{?FDfj&g@6!b9|bJAagjbND^`X>s!@A_)AJSZ;xnQ?X)&=+fn( z{BFj3*a`e92sPffYgIC^N0nKFtmn#{+p50k1e@ygx-=~VHgl|G?y8(}@shdJR?}|Z zfGpqHm6v;Y^SI~*vOJz>)I`k`ys-!eniWA2nBGNE+|V;5l)hW+dQ@}sFl_eAIZa1J zfFe(2AWZ<5A7|<pyFB)P(-alU^IaA!mC-ssRoKC<JvLR)M=H-`=52{|R~+|n5iHR3 z0tdt1)FA@r@@!jz<;CTa&y>6l7SwJ*)69mv&*uzhmh-1y@180<{KyjDdYf~;>aT`& zcdjV756L9jA+G)@Q-A;5O$T-`gL9U=$<MN4G5F%^!Qv4@vi-$&QIx^fi^bmddDaMK zV5is#{;WT?^h!2Gv&SueaWe1GG#^&G_83$Cq^2wHb{BS4ftOQxC@gGe{!IH=AXu}j z=cr}dHf?gBHrrv^x=E_ecP89{ZpgUX)?xv*+%2g8`1Y4wQbNnlYcx`<^#h9_na-~E z4ODSlWZ5#$NPJMbK%RN)#*FI6fMIiys_`kcf(X>+xSS(!;&UI`qPa7cETG&bao__Q z=7i1L3J+@Y;C5>zH}^c_>seMI%MSLWR<!M!qWuUjwV{BA_U)mw?Vf$Swf4v}e57gJ zw%WI6zWv<o-R`}a7W|psjqLO@=Wg*jtn|w%s-V9`P6jPEHw}~95VptiN+<Mf??u~P zcT6kYL%wPzdx*tDUnnu*)hISIVeb6xi~Vr2u-<&&=wRnH=Pa9dd9f10v$&zgVWRL% z%o}+M-t~%roNmbR@xeBO&H@WhMikV*UNrAGAByOOa>|_Kypx^N<Z5i`t5N^QoNqKx zp?TqHDQ~;}K;p%`!1;+DdI8O;Pm#diF&7HN;#H6ujYBzXUTYM(=jP4s<GfYQ`9h_z zlBc+=h`$91Qs^-b<bg?2^yYlYSG7!Rl-UYLZd#JHf#xmQzt#DnTy}+{QjZ4gHni{s zHst_iev!n&4XjrEHm@Hkv|2Is1I69kGbb$YM`L8x$KL6%zwS65hSE>aReX=l(mGn% zuDLbGtl)RxwS7_Nz`+pj`#rYPZWf;KZ!%lcFjiP>Hf}dQCB$e#*=&hXOIW-3k91Jq ze3AI|DkTJ#J(4zqKRhG*z^i1-gK{`!h@232JJDG@pHZGUn=~)KVaMKNxqpNS`qxm0 zXA~3^Xra6ybuyFVDaKf7KK3Cy?<5iUJ&rl;xo!Bt!X~31gVcx7RtfV1CxzwRuzmyI z*4}nrr`Z@j9(}AA!BGA|&gw&bUgUFs1nIXqm$g{2sI_WJMRD#aVRO+sjEq>6clLSv zTjp2jcZ%mI5uTqcSy7Efa!a5eInWzxMQUOA_c39Z=FXokvL~l<b9eD$g}h2(mS(R} zvrz<aGv6a&!E8B9ZI<t{j9o}0eSM9k${e*S?>74bu>CHs*YmPH#SWEc%pjeU7!k~F z<h+n!3X0>6Dq0cRe8gE(0>0S%R^`kar>Mv6P|z!9ki)+Ix6M1PtI{!94?ss%ra_r~ ziH>19sP$OQiR|i(ie>(QUpK{;hAwt!d+tH#GyC4-fy-?`0`tZ7uFn#Ww`|tj-gSFR z8z^+$@++t-|JxpQ#&XDPt=+i`<N|hlzt#TR=qh=QXTr7}czp#v2Mm>#`*v{Uv03!k z0-RabO>UeI=5KV&!QitF1upJydi`GL#22mjF*`#IazEP+3^Bbv035e);~9lQ_{8)t zbk(+ZZDfAEU}%&%)tO)iRQvFNlwO<JhZGORsYVm@IZd%yJ{jk)`f_4PYk+)j=+$X% zr?tO1ZWP{UY><{MF^RKn%kF&dCQF5xzt1+Zz8Z&fgBaJmNU@aFXIwyH5>t}A%49S< zdn*Q^_anTbey2I-PSN0xxBp-6-Um*uqE7U!bNck;OsC159wuQ(LQ>NiLMJFR3<%dn zre<i85m{|QhOn&5OkA$A?t3mg!9hh*-E=}{k_`hdD1v4NUeqwK1b1<-*KwhN+}-Gn z1{4H##enN)SJ$^1B#{L*{l33C-7}K_tDpC|@AK~7-%OvX`qi&~^{e{TzjIFgPMLS> z+XKmtWHO3K8s_SOJ{pVhf`M-4bu-b&k}C+hVJsqO8_8mMLK2CnLD1^?k?N;>0m+s6 zfC_lfAq!3;<02HwKw9Cpg6h{4n5MynNIFH4$BRlCe%xkydY8zMYUMj%no0^4VR=VH z7)Yt~19E>jPYFKsQcb)^nCeS~Inud$C*G}Pb{W;mI#=zIrY)`rjb0ug=*8g%znqJo zbomHBp?CSf*QK-K{usL|@|Ae&#qmwLX})S9r^jOK;_?zcGr&6AeP$q};~rWYR1F`H z;yzd&2U)6s{gnY~wA8X>{s!=*n!tMeDoT^6V<i}A;aoK<0a-OS!8SZrJTvHNub{2f z{KSo{wSgQK8`H)v3_C8N7sswGo@6y)OV|qjNx@%=@mhy%(n&n@sW`kiPJj7)HMU@e zk1lptm%g|l=2M&>#NiVf>0Exk<QwCUmP0+cJ?2Zi?zq;`#ref_VR7+nJ~9faIX60) zXmAuzTKStof(g%4E2xx(sN2d=;>~aMJBlS$v__IJC<GIzF9wSvzlvN0eBXF#yG@|J zQl~a*p{EjpZ}Cu0;OeGPCq;E<MPe?YK{{zH0V{t}^(tuySMZip%NV13kr)S&y0x~9 zky(Wc)t?4D04%fU3OiKECe>&V@O)&Wt})M6%Nq-+iZ|w^N@L`V;CHK1StYB!+G%`s z+ls`N0G1`Mx{<Y~iCV)i2YXr1xi4)6e&n?po@e@F)|(5CXRC7;US(DEvR1dMCn|i* zqg4f)8SG2tN<a&|jc*ECDTrrBpG<(asWwiu<a>Bk9yveI{*dw+?gPKZTeR-hw0yNd zEqFm%xzI37OS6+n#z8z4F`np^;d41{6H!##=%4#g%Vhg$D$8W8-@&K0e$23ERIZ;M z7<H2_9!ZsNON>e|tCU6;=HJ=fy&$5wOmr^vKX$U&WSLfsmu{!pffQ>&6?NIUcD+2E zW8twA;xMe1WrXWwnR+|pv^_IN&%(5xCt(y(CHwt5UopX&wG)iI$l9KeMD2f4y*b#U z?xh;Ng*%7s1^*KIsgf@H?}nt-6?mt5UW7i@z_mlKDElYUPE<DC@l6$ORLi;z%cZ;} z2V)ZpgA2CKxo<+v8_U``Ug>6(itemmvdhZkSl%8`{S2YWvGuxu?p1~AOP2hN+;Rj~ zd2?CjB-~Qx-m+Wo1;eS}9@HpfMWhmAm1VS4ZO4MSG;s?_wj8yVnB(u}f%{5dSv3$* z|JG967#O&GQPPs9pZ2@dm6xmVpH%KYt8jfswYZ?8vPnH#t9mTs?OIJ4yqr4OQpGJi zhp$+fuTS9NzAAgcb>3(YU9PYGhx2}_k{1T*E~QtcOtISDo@R|e)$`mx2nTFvQ?{b} zEcfbixJq`6MN5&v(IQSIm%O^>rw-w_m82P)N8PB;eE^-d%7CaL2@>P4FWXvwZP%6^ zKl|wyA9$^G%lOZJ`au0xn{OW9a{s2?|FAh6|Cg6q9wmIo4}SV!{k8i>$G`TIO^=*d zO8mov_iuY-e|2>Hk$+tNueaT|WopMaD-S+Z+A{uw|Nh|5|Lc95A07W`W%q5Rn@_#< zo=ua3r7Z`xow{lAHhc5<jz95tKjm#1Kk}1%kGytI`POa!xM|ljr7auh-M{Gp=x^P& ztmS^(Eu;U}rd_vr_U<42<7r=i?Vh>g+uqZ1pQQW2sSh4`EmyvK-cL78K4w$n=byUm ztKXnWF1aq;a@VOX4?O05csn}TWT*Sd+<^I{rNv)z<Vfl_xtsp2?fb9p`-=~~^S}Q5 zk-Ls8>HXYiw!C_zy8Fa`yU!lNwr<tjT<YwHnS<LxbW*nEQt5=P>4mqHZ`pWc{Kz98 zyXhSp4xCqic<IoRkJaD*$S>#J@ch2xmz>`ccC?1o@dvwFc3pl?b<5~W+wOgS;=VgK z{Zq%e>XUblmVbCKr=HtRKiRlz<BwmR96hwX`ph%iZ>??LW;brUV8`pP4!mRNriVv) zG!wRedVG9O{gdnd<oWTXPj9GS_WWy~*>QNoKdk$g*LJjh<;Z~r?|J^ycO0s3xb)Q5 z#>WpYJ#gvR^W)Dv+<Rcb^UsfuN3R~3f8hBg8-KK+zUH;p#_N?wFAW}hYJ9xAeqh~e zuPu3W`;qU?dFrXp+`92&&-!;fwe+R2`m-%h5x;%>ndEIxEnRx()laQ``L#Q4tvvgz z|IAZM$F~#zB=o_$b&02*I-z8L`K)^Ck{#O)Z5Ueh<>!`;cl>%?%O#hL-}Z35zUIr< z?ik-bv=06|?$}uudH5b={iH4Grgh#^mt3^H;`0b<MknnH=qKpp(2>z!-E`!+CpwQj z{x=^w@2ew^+;!7sfBpS$FR8!!oBEf3@|-<1j82ldxo7WW6r2~9Diy2H$!9igyS=5n zapRFc{Mt?Ly7BxQ{^7j(<Viy<8y<b}i+{WD1J6CTXvva!VS8Bp++C@|ueLt_^5^#7 zb@)K;lBZrsee;)3o~R~2R~`N0p;OhJcGUj$Ex9{>{EL;TL*H4U{%L#LMcbFC<Skb| za^zPx{Qj?Qn($LQH>zmCAoeU+-?U*7-J>qevdtJ9Jho0IF*0LUDBH#TRXA;hL?NLT z4Jxc^uz!&>?0>AM3vE5@1t-2oo_(?R+0(^*t(Kq67r{FWQMiLWU}SH!kOG<HU|YJt zgJ7|70t)G-ZI6Tm;(h*^lsZM7GT4K?+u06%5?PB3XUFLh1?Qe5BXsH0`}R4S!9u~g zjmz~?41ltJG@E6!GF#uJbeW9GxGQ5i(LIU8dFQcx{Dlp5Wn@RJq!Fn1)E!@c4$@3Q zn^p&}xZ<4p!J%YAwfTu`Qi^ckfSPPO_}R}=R_wJV2ic20+4h8*9Q?xIBxa8L2H9G> zZ{H+PSD2x3<xe)W?whEJ6pwC|tRz2jroi>K5jL_OymTZr*tTd<9c#bcSyzs>p8E0Y z#AI|NF_M^E1U04#4V_G>dT#+G^-$ql7EMYfp(*xG989bH5c`ym(n+t=iKtWZokAzQ zg`sJkjHDf!7#1N8Vx3H-CQli3I%#t{*@sSgu;FTJ>SRQ8vJahPT5oFTgsr%e_cY{{ z>{1q;s3JP~qc-t5ouCrYOhYHUKW<Z}WM5zhw1EJMM4mW`dvH?RHS4BQ?C?!0cFhkB zji3{d=wu{GixGOK6Dsrzw5WzokOiHvN%$>vg6!yt`k4AF3pb~eo;Ef}w+;4;455fi zN3bqiw1^V->>d%@KVsVMK7dZ@Bl}18*B3$UbRubnL?<J4Xhkb+gNvNv13T0^0rTD= z*M6lbNd467ZM{RHvqADBtfqF?dwNHPUIF9e80kIMCa<BBq53KOVa!-RThi4}8l>6M ziq4Mt>_-B@@__T7n>v}CT(?eWXTgN{ucwAj&#JT(c|$#`GVZxersJ~n+KTj;cc7Ak z>XebHXhTGnt3XpHzj$?Oh!&e<LJo$8h#zW6qm%T=?pP-juI;m*rNCdPOFxlXdE(#K ziEwv)J6gmr12l9(-Jz2#I%&H!%XWOqOg$$@q^;3~+D5-E{h+YFuzwJpP^Z%G8SRE- z>>6?UVEjTS^dD9CqansFHQ8Hi>O>kPF>U#VPFz@JcB`KBNKtg+gemkk$FA04hiHyn zLxZPGp2AqwcJOS$i>af?K*%NBPfryri|!9M$F9LiS!c~av)3?o^<=YBs-Cv@)m7H@ z5Y^Dhtg-8jI>BHXxc5t$rcMrBam9wFP7>MOZPX>hkkbkLvB_@g<li5=Bvm|i&DIHa zgN8?}+-Xg_*EGVjU3$I5tHvE!SD=ewGk#WjzsaXTzf9<eU)I1k-I@I2_?a+yMC6ad zLmPouu34@iiJy_zIC+(Kr7p&4*H=33Oksy8lrcm+Dg=t1Yxpi=(5IqY7~A#Lz%Idt zQf_-z;U{ka`Su)h9QWrsw)K>!aoA=??)H%TldnpApX%h<pIWuP+U~-XWmw7@yMAz0 zv11qO+u$lSmQ@KqD5!`0fu!)!nsmWMN*wjxzL;M>{LdBq)jgZqPYu3Nm~pH5I9$!= z9KM=QsBfs5_)YDd*L|a~{+4Q9+@tY(wtGD-++KXc2tF6=*}ndk*p+bSb$hnQ_}X;3 zI6e;Nf?6R=$a1M>u1;*CermMdP3@AuxU<8v`G}m@b6>H3i*;$P-D@xBG23)sS&uCw zOqIBuf!JCGq1bR@k0^D&3SL*_f*%r=^a3$`(^j%+=SVywOe=h<Hwe`Y`AwZ3H>=m~ zExGVjx9mM@Ah~DfRku9n-0LdO-8e83?8H6KxoT|j#erIWl_EY+-PftH4&3E@T1(RV zSF7r|xqzndzYa9oFa=@^b$`=k%TCV?JbE>SLY+COUe8QD^tFXw>+PK;dkfE%Jn9oj z_sY6QY{P|}=$yHLHPgNVtJXJxdJn4$RslQ;Z#|f1Jmd95T@|YGgkS>W!ChMTv?-?E z!HL=kc7XAG@g}VPgQBA2rUm~kIzb&!)Uj6k%A-pk&Ac$3?kzlP8Fd8Gy@P`X^YRS^ zsY}+rYTpxr|95r5>Zb77r(1uWNI&s<axzKE!d`Sz10-!zC#u%g#)QP&7|&*L+<)|I zf=Q+UZ>f_^RwxREe7>h%EM}&nh0#M|Gx_hskq6`WLP6G|nJL!DXhn2#9$&R!xO<C! zGMKuih~X(ZDU7LF`U$pJOrXH(-o1w>4i@(nesld-Vce#QtYs%^g#tHW@Rv2*|0*!+ z+a7PBlUO6t67zY5PLj#_OXq*(xA&7nJ7t8zj&}rA<TFzmztf3DCDMi7N+(0;gc~x( ztFc<1@hY{u$O?{r@<O#%6P7bNIY>W=b;6@eLlhI0FD}pwr!F!MpE5oV1|7Z<y9}ce z?t?hSJ6F;Q#Oc^{Ih`Klo6v<q?8*KTe8k7$*{<LNp>l9+d=uZW34BcHq0O;hC1W?} zYPfEE5qe*9VHbD9WxtaPH}EZ8aX5IwH*wx^xaB4PR71K2XEefR$F6!Jc7w+nc<dEj zx?5tm<(@`(qrXzp2lwy*RNR+&)h;eO4;;PU;1|oG)bn4`t~zW<Lk|#k@FkvU%ahFM zu#Vdb;hGTOR%g577x<Fag5QiQL7^jK=ZZ58%VS@4IWH6i8sS;4;C<QY=iu1*Cca@4 zc)1sL?1=sTyBqHR7Q5cS46XQ_ogqsrHC!<g_FEgS*e4>ZH_)(iJTb;&hd7|Y`x0+) z2d42Zy+?kqp@PZJ;t^NEsmg#0!(U7IZP39dadE}q@CG%QrWd@Qwt`j4C^f7KSIKuO zd?TTn(KQ9$6w*f3)Xv8ZNK@0{RZ3G3-<W{teqKFmL)Kai4+jul1sQ~@pykRtYHJ3> zQwYt3+N<#w`iCJgs(>Hv1Iill@OU4G97Immfk6$&2%YM$NmddcKp|om#C6bgl`Y^6 zD#ni%e90GvjYnN<I3=P+0@I)^Ksl@cP_QCLiRE8%Q5CrW*2#sZV9D7E$_N?0f&|1b zU_jNH0f%W^g4qGpkJJJKFfo!!{-n+ug~E-ovFjr72K*UZHbME~Dqm>?)%dz45QFea zaIbTgqZJ(6EHn;3gClP@T-a3{`}Agm7Abh!(ZJ1!O+M3f<_)ni=NK(A%AdHgyh7i& zoxq1qu8S#Wt~0#BC1&t5smu)0`QotTBPD7=!3Ds@84H@go4_>VxTGSf_;_tUO>FQ9 zK34HCZWBz?<BDYoY53vBTsuJsl1(A*8Y;HFxVMJ9lI49Nn={RHeb5x_Or}L45H6vH zLOhNXk5z$<xq6MpL&yq+bb$u=ZrcjP4)4+<n+t=1&f%@N_$;5kB%!ukjrvO9a1ELU zLve)05yA<-ldKutkg;LKD6~-U5>O<F<DjHK!s88aELt}y{H7-mk9Hv|*P~K63`iSS zi;L!h?n!2|&gu8G1eENI@kIz;emjt^M9CRc#DigkI0B({PB%#Bkqf-I>Okb8%W<8K zjRS&?V{{{#D?-1cbb?GLkdP$Ou=MG+5IW|dVppyRBz3sx4;{`S8u8*M%8BbJ9UfmZ zFyon(0&llVhsQxS@O>FLq^hRV&h(ikvl%z`1tM?S4LGyX;nh+OSFUCfiPv&zfYxl? zK?7+@2Ce43t5}B30P#u{U6>EDDx<vV^j*4-kU*%GNh<(+HQ<WMZa+&o+EpYs0Bfak zTr6^slYkMI$6+BnwTmyr%$(nmaFI8@Jcy+SiGht&h1(AlM>|Gr4J72vX{Knn+LgG$ zaTYmV+aQ_cz)7<gNy;kHur6|u_{bfsm7wnD;^Q?TG`OVV%Fa?Q$R`OMh-;nCT{>M0 zjl)i4yj2okGeZJj-3)D=@Pcai04E&Upr)#Q70;wAe83pf3HBQ>E<NU{$6#<@V1fa~ zb`&B$XSdo)SEXVz>K0q^RM=OwR@wPvgsVKxOANr*%+@>Ym$kuC(59<uqGE`wj+vyj zRU5GjqM$D3y}Aj;>=?Ocf^ai}ZdBp+T4<}PjL>1arr2G;D{dNSD-PKU;CeWfs`^Hy zFWq{aCHgKb6>TNl%tkbi*XUtQo)XsBts|wkd#ig9(bxf1Q+8iaEoE#HTMS#V7elSm za5Nt3P*H_o0m~A2D#^sE+U5c*s+V^Vti_|NY<Tu;$<iKLp~9RdLxg8knm=h|=<9M- ztYPVC*fBO{gS3T}mH(ugh>`&m!R3-elJO{rGa?c!KW*ULu2RZ)?X+~Oj2+IfQD&@b zB4FcFXxek?KX>T<C<=<klg*|j-#3w&7|R%j>1f!nhcL;Zg9ekX9Fv7nJK30{I%N#c zk!hSyU_C9zVu|Q7EE@VrMt2z*Vz1^$4U)r3i-)l)utsSmz0&njPitzcv#3eMSGglb zQBnjl@C!)ld4F#mwL$_dNV&*QmM<|lYE5ckY*=(i@nv)jT+E4ZWj8zAe9kFRY%1bX zvLz#YgLXnTRa7M!3uc%}u&4kWM+mb45fK)uVdZ<CzKHH*b7mq5gKE&F#h!0i=GFc& zc3!T6o3YwzdGPIf<^#i*kd<f(LcsDWCkBj?Y~RiSG$T8b{pHI8RU%u@YnMBlAnO}d zp<N+-OW+m4AVo?U@lu+CsK6?U;&EZIFh-UWUJGI*J<a_QWq}W+qX<@ticqATkIFLQ zW234R9|{P?6`G1vm$E*2_*RlBQpXuMN4qPmHD5XqHK>*Y46vdccq|t_rpZfi4qPq! zst-9V;<b~97m32cK(Yv}9y2hcye=n3O_3dj*fVnt)J8)Jnu3=TS535#5BnGctA~~4 zdH-gw-)Fyzf3gkKgaSFHD6F+2RzH=%%+~33c0|j;`%nOMA}dvAMS`ke9CSoQaRa21 z#2Qm{UP+WVx(O6$V+fPhH%XQ{zCj!><z@-#$L(lC3L(cr@R>qsgAhmwV&Fc9jfl)y zuvfaQ<5ggLO=962gXB942x=C4JQo*;D`r-7PEtzRfUKd7qz=>Hk`OS>G*02FJNC}H za#~`y70Ep<n>lX|qQzQitWxw``hi+QE7UT4LSlqrNeU)Gs)ue&b!0*3;>^gsN{nsh z-H?E1(6o$JrN`77B=cW{m!^O4Jq3y+8=ly*%X}Is!%TK^Sa9M;k+vw0o&dix`>(?s zV-B@Rkh1V`$a#tk`2`{RP$?PW$kBU|ii&*W?WJ+aKqAs078Y<-1jW3E0mjna>?SEh zKF~@NBoVv^;+qA6lC050>72$PbU=FKkwv|A7zQW{hOh%Ei33ssn&#>}nQ?!Y6ar#M z_)s?4rSZxP?FbOTP*MtB?sg1S?p~qJSZ`PwOQ*6hqtz++XjN%+P)~#umW~N-nx^9B zbT+?Lsau=1L78YvVqcT8n*>Y~)CfrsAn9pZy9^@?2$DaA8AB2;K?*7};H&{C9e;;W z>K!FU5hkhaHqCxN`f_VwGv86vDlpy2M24O^fZ6B(`yXoo#*NDY|Kg5T70nfKECwJb zx<w`J+`N?@UO|6`dg`Yw(YF{@Jomu~-D12dT2!h=2T%!RvHO#eUn|I!I5M>4CL|yu zs%1`URaJ^o#;;iHb$but;|)-bGOAOdN%8}jAb7R3(%LaHgh~AQX^8<EG;x4*GLa*v zcR4r7IC3ur(4=XfHdDfH1u+dCJ}<B|G+u|%adjZ=S&C+SO9O0SyHS)R-UiWd2G%fl zVCW}E-$#HN5y>nsIkl(8_){qph}6uhp21~Yk&FbQE@V{Y0aez%3BF@w(xm<A$yAvd zVi-;5*pzPr|7~;#FTDBQGDmckCX{bi+FhjY>=6;Pe2{9)eGDY$hgbWmAfrw$+!<I- zsnf9JTuK@bl_3Ec$09>hgM+_QMqaN|*0WYiTni*y!@Y3TG6q|$Ep&mhGh>Ph(Gcwu zc9fJh5YfDMv9%KW_#XrgD>0&&KtrLe1g0VIm8CwziL#osaylRN6xy6vN%iaSu3^8n zazg9nw9KmV{mn91K~Fojfk>XSewOgPbenFqjNBwgq}HowOvZF-vQ1zTr2PR7KAH$? z<r?X8I`Z=q9Lqqgp;*+a|G1?TTDHamewZRA8|zIF04>_NeYho>F9AbqzuA+GtFDK6 zK+@ReU8Lu8wug$!!^<>FM~Eh%lNLGOwI3?Gh}HHgQ2AS2jO8lzh8SAfDfuxy5#Gg~ zOqq<dtRsW~mANZ>k_AMt<}$=JHHYOQk^wS%J<boh@L}Khj9CmHaIT_ug<-027BUA` ziV#^{D@afuAC7inbqfKzS_#Xd#i+X?>;ZnN{ci1B6r)JuME5;SJGBZ@ov8ZZ7{;h8 z5xwYjvAhy3F)i`)b-(&-RASR?zOx&ZXW6Z-8MKUgN!@Gp;_YJNtrudQMcY!=n+UNn z%GKx!Yegz>Q8iMX2%e{jhI%rmL)*=%1$3b5)w?Fjlx|dNYeHrH?v=Dw?wJk4;)}A2 ze1+d>(xJY$VidP@nrg^u2F`Y?5;-<7kL@%R#-CG5tKdw^R-(4lHh8?vXNf&ApK3kF zpaR>fcd0HsDXN9#12=~dPQ<zqDFI5M2KYG;$5N40j&x77mkp5kD=P1zt7sWMi5k2} zNYA26lE7-YlMp7{)()sFC~XO6%85b{Ru<FhHRR+Ev`7?t%6W|Rc-3wS6DFXC_8Stz zg%$&4nW+e(G70mSGx*%2g6eu&W>;%q17)!6Wr*qzZT~%YQpap-VCE2ETB4H~Cw2H8 zhJSyw{ob9dyIROss{$!(T<|1bAXHk5Yxonjhf(eff|LW8Knk(;fh{s1QP6>DjWkAS ztFek5p|0SB=!~Y1hh;ef41$lfu=<j7ydW1A4aUMxzz+odmO$j2$ETGg5nO`bCpTfj zLeS00D)@j)G@Y)SRv?5~$`Kfv0g@3UUQQHZAk4b#sdRx*8RuSPgd5Ky<aWzJTxlS! zjKciy?N-WIKd4wrO4kXb#FQuq-D28R0m699_h~l~t4YRTvIx7SiCm1A!Ha7Q$F-cR zGW1Y?!i01>tCf1uiDQ(}EdfP{CGdUfSg8TqzMv(2ycEVS6Pe1k*erJ#4Q@EHbo7@g zW$`nn_<^F`lCum=p2HzB^Bjel4S`D_1h#>0x{Y9^;ZDcL;g(@))p_T=i(FK(RRmW- z(KAl;IL;9u4pL)<sQLoT4arMO3ZMSHSfyk_W6O^!b}IuN&CY5bAPk@gy`(!YN)aHH zX$dhaQu>-0qtr{<;PtV~N;BSN@wpYKrUl|-w3GV=&kOs7N4HFdMJ()AXmaxlircdm z)E#0cJOi|8bh=C9(CNTy(YDcGy`W0isxpfNS(_;p!~?y5oS{K*SRI|gKttLXyuGNd z$}iW%<U|PRnpC^o#W#U<voJKxaD&BkIL1?VjU)p~^?{Rk`87b}#woqA&?2gUao5JB znO=g(Ad|x-G@WE|Yl;Trz_RQrx%%_ag<%xP`$V*y$g__YJicy~y?X5p#yZr}XV6Ow zxH4AQc<eM$Fy`<yJz3hIpMj{Aju@R4t?)FpGY}3Sis`chlG4dng6wMYG`O6xJdg~u zcw|u+G>{6+ok7Xs_@E*^p;j7n*{W8cGHM|-dN{5OS&(#qYCsTath3{<sW_A|iWg6$ z;zl5Bp(sJFLF!yja_r+bAUDK~nAr}v88mbbnl1t94~`_WL&J+wx-O6kR8}H<ximnx z1|obHMb~_Z#`Co3L1lBQs5J?dEFY<ZK4l8tu<Gv8O3ZiFGFl=Hh#LWR=21SYY<HOV zgtdvKgAokSuvMC?CI<C)i-QQZqmM=q=9uoSN?NdrSNM)>SdmelwG&-R_{{?N2ryd; zxR8jO^Q^XNSa3w~1kQ@rU0h#|QsOuKW`Sef1p<%7(asm(I|eK`58N@b97FY6g51YO zRt1*(lR?YrWmdP?hm3EnR@N#E!&FdevS1DB=0oUAF)vS%S};dy#8RbcgTP3WpQLki zBOL=2L3j6q3qm<1D#J&_d>IfN&egl-Uebc#XDgPx1@OzS+b=4Ha9B)qa1kzyI&QIN zB%Uciu+zYT+7d1Nn-GYnq^!=^nxt{c=3=p&rUaBoFn&&A9Kc`d_873Xfq<OJjQ~IA zkMR;MMReIo#Q!^(&Uxv_Vg}5p?q+>Ke_VmbvZcER*G$OV0#FXz6inO_EtZ8=+aLK) znZWveJYH=y#fbi))L2zzRH7R_yYvmQi76A=pmfzNC6WX&*hJGOZpqahea}ir?lWU< z5(4Hjo<-R1rnc)8tK3~L(yi8lvC3Y(LR}~W+G7Up^SC1n15}d2SjG?2ceYG&Of)&M z)G^r^mi}69>g5B}DyS-o7>?O;*iSp~<ssVJ5med)(bCmjhlPmTRAm#57W_1T1A<1W zp_%r%h{lDQa_yLV#=|g_#bUqN%X6P_!3EKWXH(MAxe4y@J0gGNhVMkt+U~#$&gDB8 zN|_1OozBV1k7V8D?(JI{N&X<ZC~f<w8Reg}@@+^NISF<#_p0!Wmh8PUIavzkZ``G* zWGDWFJnEM_RaA^gbqeNI<@_2iYHxn0p>8QXH-WkCTu>cNB*VWgh%zy9X1XCdhCnY5 zy!n9(Xg8t3L{nv0{Pr(C^9wsI^M%S3qf>1~o^Wkdi!DqN7>lu-wI0WTKg~fhY6g~5 z)h%q^Xs)dCp$;ZiR6A^-4Rwqzq;fl?{+cbzO36UVkL-_y7>$hOM$9Y{|HIUoOBt++ za(?$(-QSVdDK)622V&f+TBe(*j9sz@)ggz^U48$1Qf^PNpJ_=g>R6az?ilkcGIRgo zsqK%S@Ni4@tclYeD4bC&o+bI7#4=XdXg+m!MceJQT%?|C2&}F)Py6~(d+}8dRU^4a zqu%*m4XUuH1h}x$+2VzT;IwnkilTYS*pBVK|L(5tVzokdh>};O7c$)#QGylvwv%_y zTQr~ZBM)7b$`$8HKl#zxT+wWK`p}0z`RkG2>@0;Z@llc|mz@6fueUCkv-)#OKDRB^ zo@(2%?U5a+&)n?2W8S9kUAD3~D7*wxPrsujciHJ(t=qSAvuV9>jI$6gn_N*{@XL8C z*PPB7o+)&KHFK)UeP)D>ZpD{Tw`CLk#Fu_z`3YEe<+UsH5`?Y{j(?$V!l(aqbZnCf zHerAA{q0w$c788++4m0qOKR-d6_<T?`-lCpjjAPnlt6;TsU@ie^Ex_KZn){ld7r5N zS=)&%?^MYJpIva#RLA*4xwiCQuG$n{_V#l9(H(z(!XpQsyXk>P{`JcLbj2mT<L95h z_@wY8zJ?Xuu`9at%J==?wVTfT#p{7ZhqR`D{qdod`n#Ln`=hT^50;}Z-m~ptJGQY> zp8WK-CEGV~ul#3U{Qjm(R_s6j&(3|v+9jt&@7{XHuTMLF=)MPE`SY#c+q3faJ3caR z;X4=PimNESD_Gk*&e@tdVP0!$dmdZ9<)V{4YjaUlJ-GeqKV4e?n;%(pqAz)J$<$Xj zr8;gM*p@nfM|uuAS@OuXbmi79Evb3GT$5eX-mD}4x{qxO+fQjtRnQ4jWorAwqq$X+ zE4D58+qAy$?b(3-%)*!<JGFg|=)?xJf2;haPNwVIiRU_%=)~zx+N+4oe-qmOY?NLp zU1iak9l6n~E?cqYvTJ^v>R6Xsa6!k1{U|Z3pPauWm0FNmaQnUW*I)m{jrBj9x8k&S zs>FihTP}L^bLXFwo0tC1U!s$@l{Y-P;}<9V_0XLg9(nLz-}|4gD86HS<=f^g?OeRm zFX!j)iu$i?``XK=ojLhD{jkh%hfbbesW091-j95F>qFVG2lc#R8=V}?UAb^{i5t6q z^Mlu0hTi_q=ik)(@RbYanRWJ#uYYm!_wRe~(m&t&%R=h*)z{5icu_}Ke1<YvDc2z8 zf~++1$!suRpX}c&IDuq7T}ZqltMV99#51Cu*v6J+YC2;s@Xp}*EB(V<)1(ns_SE*M z<Y6p)V#}k!MT?Rgk(2HXwsMjp$=?90V~n#QdPC$4B0kG)CfxAJzGvBss^&F!q`uK@ zkYW?$e2W(4^Zu&Us|zE`KI#w{oyk;t1tw=4iwKeIqdqwC5T}(8e=uK6=2gR-Qs{Sk z&Kj}TRR!U5UQJ+z29Loj#A@##s7ZDePbQPaqR_Xg4CT&BO;abC%=KkHzn07QK_=0v z;4Ajj&p=zxDMa-4?#?bh=bG!+{Gu6iINys-WdD5(sgbRpS8C<&4fdRbP1I24;`crF zvgF2-fg77N;>w=d9yyf=!z~HdMJH34p>*&3&%cm(v;E2Y{s-x#?R!o)Z`6p?tAnOa z)L`=P=(lN57;H(soVTw&L|rAWXgiPNE78f|qoir-WZ$oQi%IJL?CJKj+f$QMY6CPh zPvD$aU#Zu7;nC0u_K8R9q@Ljr%@GXFCaIIDOw`v_3;KDZ-cZV$=mg%yq1_`7KKh9b zoQ=|e!@a#DhYzQQn&BbhmHNnrhEAq#-0-d6pcB%*Z$pztT(Qw;8+_#z6ytQ#(>7V( zJvBA-!VBj-`ojJ<(@DLdli03tHm{@o^|+BAjYR3xfa@po*Znq~tmD8gfysLE@ZAUY z(ZgoxB+;I~;^29li$g;j>7`sWcd?1U#-w-Xa4$N+AA{(m{w6x94_+}z=}9$+ZOdRi znT*dd8mv!YLOFt2rqsz)W=`k+{6|Xfq@M(CTq^k0Y2K2<VEq)vpW;xGez5ZNIbUjI ztCGFxkz{g~PUuJUi9ceWIO$VU*L?K2cg17Z1s`pu5m#(9+GvNWN7@7w7}@U5Or<iJ z<qu}|$L9|Ueg=A)I+;Pex$n2=B>doS)5)Ovs2fWbE$Zz(e%<QTgM+iiu0*D%F?KPO zi!PcvAvkn6k4`|uu-ltJCr)1u(Hy&)I$;1iS|@6%ZE!G)0ke!9nam~K>BRjV*OryM zi2;t)2{wNJ2ag*9q9T4lAFDDJ-u;|Oc;`ih@Y~a2Z0^9X!uIY?;e)N-S2gD}68@^^ zK9D3>0cYD66j(S*0QXFBVK4VOs6RBZ>&{v17dqinXq@BNNGBwc9*F5@=wkXfP9A}| z_glz6@1Gm8BBLvVbD@*6IRGy*^h-S7l@-^8k<I1RkTvMzTt{SD!1<2U4K{a`&KnR1 zo6L@?V^kEo!!EooZ`H4&4sMc`uTc+IqV51UAMlJNxWt{$NS({JI6%9)(5g{J>d5hv zx`E$}oa?cnBiylG*@zeM?p#F*;a_X)<%`q)f*TrV8(xde9ry)N-d--x@vf~%xRtx$ z*cMOn6oB6i!Y9gaV#5SC2>I%{3FzS8iR)+@7ilA;kI#D)SMW3p=Qe1jof$fLlGN0f zQr9WFc)&ecTH1wuptBd8?Z$C2n`>h`Me^i)MsAPdusm@TSt*k$Q5T^*lal+wRmk2c zDX~`s-_db3=Quh}4liY~Qby9*&c)~uS-yWUx*`A1Nxs*avF}Sf`8hhyhZO#t2U%N~ zn}e!U$6liS^?AXgs>V5vJ#O7KJ$=z54<7U`NoJ&Pg2hr8yT6ohcEf8umCbT9&n=Yl z9uv1X6dj++_{WPshNI7&Yhq~zd&J)pPyGy<rx4or!I^jozbUve@J(YtvdU|WX|4Yx z1Eue0GK&_q4f^Y_^}%d=cDlldoE>=K^@D?F{2pf|4!-Ywl2q)~WU<0GB$RECXFmAB zYMpb(_WfSHK4%WEz!=NkCsaR^`WR&mMJm#@^-6-lct)!W2N^%H=7JtropE*51K$lS z0#ejzCL<G$`}ROx{k)CUkQ{!P&GrmF^pH!#1)T`*W)Sz_!MT5!oD!z6j&(8=N6QF0 zl+Esz&`CXKu<l~7L*mKr4rNB~7Jm$HrIR^-C-LaS)l*NKtoM%6iNiOT%yODaoQ0a@ zU2@(B<He8a*dkN*x^*vbI%SX3NopuQzp+Uk&*>LlKmVDYo|KyZOso@PQ<GmfS|=mb zsu=Fq_n?y`Hi#8UP8~U&Y^blSi6%LooE9OxW1ZARt5lIYA(}YKx_T<s2_ezRkmzKp zr;P)P0Xf65t-hai4{NfKo<VB`D}YXfcN}ong9q>b)$Uj)nfej=%E8nSi+6ea2n>yk z#5$?Ji**-gBfj$e14C2aJ|O-W-byFuxHVn9jqgnW=mhsDoj81h$t)*czs*7%<vkCR z!WgmezoC=*tFN}TO-=O--i!O@IvE@koeb4QC(_FJ&7FEU)=A7stlb1Zxv$W(Z(ovv zSLjgG5k_z<s_Jx$`eC^%n%0SELUa<loYd$T)mKlsx{?*;2GR}jo8k;nbOPqrzy3KN z$ADE2C&d#py@U{*&|YVBB4gJ*FYj)SU9nDN?D8a=dObBV;*@jJzT>_nnBvglrKzb^ z>%<?!Tj}JiLoU9J@3H`OKB97rP8>ewG5Z>?-)3WG`)9_kMOTaI;Jus(Dr1-EWU|ik zXcol%gjEq^7iT3hb~SY3WtJSJlcABS(+MY!@7<T2!^<6~6RO(jWMz#Hid=uJP84I8 z>n4m$akl$b^R)~>27nFJdaC|veJaDE%;^M#)5#<{k(<XEoutlCp2w@^)D_0nda4fw z_)<KJb8a!#M(VOSzIl#gswl4~q5H!ae{6h{Zc+36M$U5-`X>Iw_U5^cO*cMrLC$kT z9(7`S9DXZTcnPF5be@8D@|VYRJ|k)GIQ~qR*2%}aDD4Qj`2A9*KsW3SUuw^~@DoR! z&nWhejt+WB>9u^N$*<ww5#xiT-zD+tj@S)OrqYBz-$HkF=QZdbYvk9ocYLW~=Xi_1 z5_(RPY0y8`;QQGxS{4HQe`!L;ZcbH&@Jo7C>`qL_gPnbDqda?`m2N^e<G~(vKBH-b zc}X*qez_fP;+rn9N5#7^bZ_dCnS(D{gPfjW4RL*?HcTF^23OMb2Vkk$v|I%?4i9tn zw^od}*eN=?(!j<Shi$0J*dc<3zCo9c!%e!dKc<Jjfju{Cu{(VZ<Hf;%wmcsVV>?Fw zy)*Q~7QW!G#!c*t^XG+>(y(D<baszo=jej(QGpsZ1@T+CT%`<zMjpZNB&*;TRDZxK z%@~&T+H!U87jXi1mMLva&iL9~3_@vLGs;+eLha)gj3qH)hbbtd_rTeNH3N_z1v8GI zP$iv(GBjKQ{t6aP81UrW;Nq`xwSk2Wh#AH{0?-2selAx5Td?8`%OwT~$Ude+Qo4?A zq~c{qgGL-H7l^HJbr8F9<>@;hKGiK3AZz3*0&3$tqzszA7=fq=;&ZL3nncxNypzCr ziLt6SK(_t1A3nzLGStXTOE)u%bi_Vh05Eh!6oO}}{gSHR5HjM^?q!^}46lR}{6H#w zCXPI3mv_o0)`u^T*kC*j;HS&tkfd{eFmHlOEdkxcG~GC>X6Q}b$jx&|@Rr~zbylpI z_7fBTPh*-Yn?Z1kM~Sua_%%57yI4cBZjgDnvX!V2j~_5{(flZc$<s3Ny0Ex!%m);W zP_x0<MZ2p;mrajgc}qIcaH({5eFx%*0@Ra+j^Xl;!*P>_IOgYK#>OPE9aC1sb<mVJ z4jJSt66rXyyHH%%#ej6;my;5Ug0U{-N;FLqQ>qh|H<Cd+J8mY_#2Q{l8R>ii)?KG% zqduZLB|Xz+lfF@`Mlis2iKbgng9G%^Aj<q)Jg$_~M%Ll+sxf}TMlv`21gyKdOCtx; zS;Eyo`W!d6GIKL#SeMMD^RyG6OW^Dq&|$M72o73U@oqV+fvy;M0E`GZaoj&dB3vv= zj3K~Qj~Gi0`_NOKz~}baQMy`h;<ez3cQkSIF;~aw6ERX~9oUB3$Xqx$5#o3Wh);8k zF+sDFI|QFVZKQWjGZ5jSk_DvGIFK_GV(!hF7UtT;adAnftGkJv$(BS-5#mT06g;iG zMn3eyyyw}h)un<}4zN7JHJ&0IsxF=l!QVHMCl9)uM%bdH6=|%>=vtqG-U_wn301uR zf&?=3ftCFiaI%IiNJY3Av?CH5J{LR85UBBUC<fsGU-P#>@hy39u2vh*r(!=S%TFzg zG;ToV(|GYVK;vp=RS{Xq>tb|oK*enUb>NNp5HH~PxLC{g`5g+P21x;*AyP2fa3Qs3 z7UwYnbrYxoVy&RbOB$Kgt|U1}%k!F<(N0L-0LVC2vsMjssAw-c-JcBD>NU2tpKVUI zs<sAYI`crudd7B%ks*{G&gM|{olF&zPI(opHnTh4hU_F~r=+sA(pF2UzA?d8`cRqL zK=ooaXBdknJS-VWMnZHGT05YudaSAj`gq=C*-Fh8s)1Tq+slkj7y>e@gw<*{;gJ1h zQdK0jCBkG;BcxzU!IS?xUb183)}2H#0@HbMZVP37;8mGQ833p{NL+mEhFV-{cLRoR zS>x{I*_UVGT7rz?sy?o4BQWG);30v6r}j{{HOaw?3e<@!p9*B(2ThR_mYX+m-Hv=! zGCYk!KIoHBkuuI6QYN6VPnecfS(A>0nun7d0!WG^ExDqA{ywGsla(n)dW~0vrqdtr z{31p1l(yz}4u)6{e_P57N8%W*`Ba4=rG`(|@KV(4muHIoCNhYiH|kKcPcQ5BQ(o)Q z8;y~rMVE37N_S<InviW%zVsr@^=K*5cHy8jB>jeL3`OiCLi@^!Br(K?I$X}akuPd? zQn@0L<_|ncuK8J7t`862Hwp(>!&1dsOcwi%vIExd>^H`BGPS;_^vOaJj|R@iC1A#L zyeKU}_C8B>`^Z407{fhD(C=Fs#3bb<M^Bk(6+Dz57A1iL8(42b$xd*<Ks6!q$*iS8 zc%^<HrvZ_5Qh4l+mA0URlReE7-=H;6oh`;Q#&Y7p?Lh!}2fyKzW_~EdHtF=ta3B?# ztRXXJ!3D(%e#(Oir;m$v3$C3>Tsa#Rg;<X$3TkDEDs&9qJf20B;H4}QBXW}<{y79( ztsq?z;XyStBET&)6*^1+wnMT6WR#Al1!D;sehz%@VK~4<3+sUDi&P($w*~_>-2xRA z)lNK>UXhA-V=vuS@{=BbImtj+Mg`XI=8V&@Z@{yK5`<dy7qs#RD%;KaLPlwrGSbtC zGd8=4u0?Jhy{X&V?4*#9AO<o0ZGgqK>_CGX)&cA)x6BKGp-w1%tiO&f9y_dvkh2;j zc7<C%VEYS^fp@_She=wC)Nzzb(g7pvc}xnPT7?tzm>S2LYEN5cLv7dq*l!}s#v(+M zhO1Pqh}~|2Qi$b}Xo5iv7toM6ku6Egy%fddU4-RYCMd~g=0(ABcGKsj%+lGcv5K+Q zVV#CS1Fq-b8hEF1gBMjqU8$gU9Id-m3=fn+lKwIhl<k;7G){8Kk-HCBL=utF4+ijo za6cRYA`O3@s+d-XNAGIxJZWw8U`K+$I)K8MtR(}IYxHdzcYLnROBR4zd~%`_b$Xna zEt5q1>bUS`U*c41jNB3x6<C6lRpnJE?TfP~IjWM!5X@@iN;UX-;u(8_)6lL-2JC0^ zIx6@(8&yFeq?M^!i6$oFvGj|;Ds!_6suU;058p^kiVePKjEiSgztl3+@%-s*C5UK( zRLw+OIl&jHdfJ7Ih+H*d5$k^BBsy&Bk$TlcOJjh&q`<<*;z->xBe+PVEb3=B9HX2j zQU`P45K_$qV%g<ooT^Dp+lj!Y;ij|-ELURqgWRxFy=$)uq)1jPKd&e7u<_N{JlF*c zJ)4xZAOY%`Kfp$++u|C2p5~f1FsD{&wH<-^ayF~Fj#Ia_B+)tYs$$!6RamaH@dy0= zGZ@Cw7b<gRAB>=AF?yKt8g(_?<bkT!ExPw*(5H<Ua^a6mR1ygg$j#D$4Xht@H;h=E zhA0k{=*~(-B9DwR0$Fzr5V0Y@0U<xN<Xyw!02KpYeu2`25P*Qs)y9P2WFkW>;!?`u zGmKrA<Fgrh3<*Rv5-$$Bh=<8e!$2v^%v|Y2_PKnXVIE=_Uf|N6nFcM=3L*^B!@)39 zvpyAc4y7nw`1*VrO8H+<ffEG`c}P~qZA^{}DOdr<E@c_N0=v8`)Z5UwCTBHT4wo4o z0foM?kMFU*rq8?x9X8d*S|;@Wb8PIPQmLg&tMUZPo(bBXrDgl798~4Nvcz9@__y1! zz0oeqWUp;!F2Rm9vB}z;vc_YM^=tHis?p$8*=PN0*)D})UpwWml7&<WZ4@FI4==5% z^1Z6`O%qmJluzvRsGwApb;#K$()uLR%Bo#iq{UAcma9QNCCx*C(QklE@L4O-5o@!u zMDT8jj=_W(^YCDZg^dS~kUf3m7@EhSg4WWeH4*-V%=RhXM~bmY$T$LD2rUi33ntix zYFiCnLsm?7NMO~4n0-(#cLNu~BGn}-s5k-Qi)Q5ScI_qz82LHFZh;zP2WFoHyB-R> zK~HIUfu;gA9CTl!BXuVJG}C+-)aaCCM-;p0j9-v`tmYtlOJFdv2$HHqLaRrOx{Lz( zL6$X@_0@#%J98~XC@ULC&$4i_f+c!F3f2T#sip8bjuotiqf*A>$6rhfZprzSvnX-C z7G3a1O~!_#0UlbYN?Y+U)C<|2kO4;Jjw8tmYt>2`O}DaTSj)!}bieXHAS8fhZVpT) z?BKPwDX0OGF_Kq|+FMfHMm;X$fIM>7f#l=S>v4HODcGcut5#WV?M~JG;V@JaYNzT} z%Ta|@mIf*yJs(QL@wFGVMqSw8b&md3SsDhj`^rgA`(Zf;QyIW1Yrid9pLM^b%U;4~ z>|{Fd$%xjg{PuRQC3RM-G)21*<FL4u_%sh+^KNDgL#137PhuinCQjOtU!X*gBZCKZ zn9&$_C^@E>!_Mg`3A**27E$Or8n>ikkhYE`KqG`xMc`2@b+0nZj;o#Q2Q_6f>MTK6 z^uQPyNJbJUQ)mHmMoUY}&Y&Zxm4XF((tby+>^~OSgz6}$J&M|A-1&gDx~3{JliA8x z_<_JmUMfp+n5nF%V~-q!RZ!M+u{th2=Ji!Ka(%MAhSQ?>0tpBGaLA*+LKTC83T&XI zF>Y6zEJ9cj$<?h=>STT(WGDk_TJES@#%dFEto}arVlW0a@cqS$Sp<eHT|s|zweLQ{ zu`ud3Ug|hS>ybO#O)2A>1X~H(Sws5$%llMDr?3#CA&?<A<)RS2bFq=R-&*Ax<*$(j zs!U3CR#n38^$Sv&k{|M<EBCnl!mNl0aSDt^bKI73bDCB6DRoO`B5O7)^427n2GOg? z!@iZPI76~4AgFj$<NMYlaX{3XX<{0egR10fMP&wY=BRM7Nk%n>Kky{TGU)j^F%_Yf z99U@{K#0c(iEELb$fARiA5<ic+$NOw9TJxbuHiA2)ka-_HVCp-P=VkZqvR&Moj$AS zh=P(f2FF%qN>n(Az_9X2GwE^?ag=6gER!>&?HP`oA4rmpj@W-9Lb?9s>DF8_Qe%}d zhDp!JosHjOT68{?VGKxwSJBqjQ(R?;B!iA025OH*Yb6nqH9eZQIuR9!5ARX!`Xbd~ z(PdQAs!OZt9w%UV6FAZ{22&YEBa>08=JHU07c{%giJ!4d&^dosmr9R86HpWevmD+A zgu~Pd^0lzuQDa)kcrzDI;vS>@K1OwJ;1b3QM3IOXC#;gV<gfR0PvJo%mc~tpY}GiW zY3(Y}M?$M~uJxqFytJuercK~nY(!MJpuLfZPiIBiH&?NWFoEg@OSvo=3b+Qwkjp4) z{BpuEZ8r&I0XP$z%yerP^K%}>)Y8G)Lo}d>^}~w=PrVdWR_Nhqm(ODlUzFr`_jhEu zN96>j8jG2gEdg~WPbDZBYi4dv`cV-doG|NUwFQD{EXvZNL$!9$N8)~|hly2&R>1@Y ziME0I4rlO2)U>N3t`X_bk0gHs_;*sJHM&^f^$UTcaaA@$s_{xq)C3iF%S0*CJJ9XA z+80&dS-4lJflkXt+<uY888I?D!qD$Gx8oXVtHOUksoY*t8(Io?Y$npWAiYZ~OIaZb zy#%Wy2B2136-n%{TwANP0`V{>>uj`E21p0KagKFD8(p2BtsK{)I~Kd5FuhTKG@Jp= zV47CBvrCt*2*oruRO=l(H{@V<nm_&wox%WTanyY^dFKZDJk8zCM(S}m3abINqx(Xo z{bV<~69|U60eD;mZ<CxKQl=m2@0kch53=6%eS>R#<cK=6kZ6k5rPdhDIgt2`iKlL2 zW`V(f!l)_?C{JstBksm56BVxeCt84|5!FSlkY|-{LVnSh8^B~WY~HQHfnlYM_LYH3 z((cWPXEw+J0x=v4sXhN5xCke@zDzk`YbumlC2`>IQ?yIpYt@+BgdX)Ly@E+vX;&F( zWiL;u9Ep*XB&3-Q5%{9=>1__{Xd1QeNkGgb;fN1Ivj;Ga!so&g>64v+k;^>Qy-V?g z7`pqg(h>Syf=_uI7^iWSgase&lEkTmgyo9`j!wt)UXa>scPZ$v<a|aJ8tS4%_&{Wp zCv<UkBo;;u$m~?XLe6KT#k#W{rCz2Z9gT(9DteMqm4+*R{^b|o(3F@CYO0-#l$Fl` zNNaWgV#XEPMsej6eltP{+P6&P{H#@x<-))F)fz67rI1J#G2n4D<Q5A|5z&;ZDvhJy z5k!3kt?zW5O5&Tq9b*UTVc}JD5@~tFrD5MFJ5zFpmGc}qpAi$BKk#Shc?RXu&2PBG z%XyBMH_v%&@};?UTw)aGI>!0T7e3@ic(J3Wym9`qP(fUo1loQ%!=ovq&@}2aCV#`V zXpzw`cZjRXMBC}1gt<+on9}@d8QqzXma<#NN5`JH@U(tAA!w;y>E;x)afYNPsQ954 z;>HqrxtglE+zgR1#2(t|N*V9rGFIX7)Gl4cAL-)~;mPHktR&5Q-<!}zb@Nh1U7pvW znroSRB9f<CIYh*Ux2D2;sJc5%#V_jM26b0K`~Dr)QwP0V@*5LOTQ^%};OcQ{@4}kx zo>bs@iBj!89_Fdi+=sf<DpO6VoqJv3=&u?*V@6o=FL;qhVa8h-{J5%aGmrc7c)(it z@IMU!6<`TPmAR!_Liy(^Kk6{7AJ9hF-7e!*6SR!>EDM-P;+Ln3^D9`6c-f2SQ!Eco z2+X>y-=1at+9GG2_^b+8lE|7#g+^Q}<DLUJ(FST|vA<)GA+uDrd)QYU<%$~3iS3*U z=jN5qCjq*Hv|f8MJYwL%V92Ol6XUx*L09|J3=tw1W1S+E>gPvo2c=4|(Bf}ZUm#y% z+N+CgCnlNMh@032UrPD4^L<@N>DIBrK%gFPwNZCjnJ#?-t%<SR5AM0h>ms@Djj8l} z->cpQw_;Y=yGndc={B_`nZH>VFIJ_|hr%FY(b>_>5xQMn{uo~uitgh@L8Q|ypKay+ z$pnWkPIy+ng(MXg<SLP;OR2L}U`pD$e(Q!)Vx@wW=R9iOFDO+_cbKp`S8)PNpJy0* z3d*dF<GHS-=qxH0273cl5w)v}eLavXZyr<jnv&YS_s;EhE8nYZ^*_zRHVCR1r1JSo zlwp)ANwXB-O6>t9d}}?kkro{gw2DQj=H@B7@UkE$AJHp()1+H`HMd;8oQDy8l9DNh zrcy3KX1YP%7F0clC#uYBDvTfgm(={HcFp<jgI{^%^VbgE|H)ln8Qaxy*6&~N&cAr% zYm4Xo`AL7g;UnWKZrXkNsXslExZ%cAPn|pd?f>5L;CD7pT=~eB6&LOP#iQfrZ#nlp zOMB0+A4y#Mk+xqY?mn_?-lLC}Ht%@-k5~TYrxT-hkKbQ@@F{e%;iuUnSKf2;-BUlA z_x0yn=Z^pEzHI&DD{dZt<WENHuWcS(`p8e_J%~<jz2csGfBf>q+%2d5<7xGucsGw< zx#GdE|72I`?)nqUfBlo39UWco@9Jyl0iCr3v}Y-7=eD`Gefx>-M{dZCE?sg#%Y%=3 z#Z;>MvRrXmC)Mi~lx+}}cBGF~D%&Uid`GbO*Z1q^?!Wo|AJ|(y{_=gFKCt8IXCJ-$ z+5a+k!C!C6bvzr4j$Su^#cg+}(NUfPJ?43%qZ9t-NbX0dKBc~5t51Hfb<MM*`AvLT zB^dqi!-rBUo_+9^&+NbH(+@02mp1Qz{iSU?KD>GNrX8<;@AS%lBUR<D>ey$V{O0Jv z|B`#U_G|yNJzqI_$6-6F%9Oy~;`?aO>+d^N-BI$^ZhZC!Ke)N|_kR8Mz4VjlZ6_^S z^5~8SmUJ#%aMP7n-h9KlS8saunMam<eB`DZKY#br8`i1sKL3L=J~Dp8jo1Ih(x1O) z#~F*yS^oZ)_AmW-{!=&Z7=QZ44eOqLZT#-Tpa0alUvb{!#`-hvT*fay`>A66<KuUI z`EQ0gPJI3Z&VBsUr9ZiL{O&`Ke(JKHytZTG!$*cVXYr1c#||G>Uw-+d9Z!$fFF5$| zrMLZvb0azbas2ut2l`)odHWXo^dRRpq67y#fu0lVBz4jFt%vHLyzI3<*m3vvk##N4 zTv80tNm#tBNvp1V2OTH$mTddK1NHO-{bcctpZxK_hR@vp_t!o7ZyRs;^nuSEdiH0x zulZ2xr~i6Y*fHmh(bS;@n@gpRxub^%S3Gse{4MqwT!tL_iSMarM*SasZ^f!xRLAlb zpAS#nd+5c{i4)2XbRM{=<Fv~vxy3_AF59r-Gnb#fY1@sLoo+ACwc9nHF6cOG-Z$4y zshT-7FZH9(oc;PUYLv%(z@|;26UMH1i!0ZBZz2&L?=6e>Yo><u$&g{u6KwUCiKSyA z(ZiPIg0p`c<QqrPVs_T=^Uf%Y=xe+)lF8t#?1;BHTFj;-4r?6jNoUmRLgH+-+Eqy~ zCwaJ#mNU}i<U1_<(wE{Sv#D$`FPq~NMLFZFZIHdTvUytNlga!bh)im*r;sS5Gs$AU zm`G+Fv!gEgVjPU-aPXSgBjwxL<dO6nVGzqW*ei9Izk`DZdvJRW_i$j^AStf+0&;Nj zocspe1HLzMXkr>${oNi@aFbbv!I8Gi&z3y=o*T@g#bR4qVPy4c;n&l%dUbMlyw_Xy z5z85kTxE0oKG{0^v!97T%{|WzJ2oNXZ*Z_!eC3rVzq|SVrcSQ8o}I27LpT5P7k=oP z>*sul9pLPWFYFhCxj}XO-N!FI?pv!@KlXAWaq{)Yy(=>{=bY@wh2MJe`xpaYqczym zo7uOzu>b6~)jd*@v(7;$y%;0(IGxlpy_a%&Tyi8eGL&b7ZO<!CC#t8Zlip;qR}KWp z455?#h2E*;P<|+p#8%;0;5@q+5@PnyHh4HcICwVCh~EfJozz7qa=zXyoxJ|~6<<I( z2Y*MM&|CniIf2P6GSu5n`r(o#@44ZlTctMBwD3s;YHOn{vo~4DX6pnChkb0_Mkfak zzLiecX+BgO`u>4$x!r{I*QcgFu|agw)^pCI-}<>&Iu=Iclt4~tbUImg;JXLj^_$hJ zzxA8_`%lNVF*EgduMQ1;=;z-(aMDQ}=g7I6y)V>PkL*9YzS_xs=v53Pd!-^oCxbmN z^j^vlgS$tDMuvJt^REnvy&`+ek#I0kSG|W1JDp4oah~V?k>07@L%l<<?0yrS^im%& zPz6}_VS8AA3!PAS+45dL%%9UqUHZvjv!Aei{R^lCz5LdG;>y(238z;ggXrYphYudy zU}K%^r>1BmSTnp)C&=q`a<DCvkv`PyCm-DBO5%En*s<h$Wsm%Y9};Z+^-N~v>5@-d z&+-R1-@o$oHNPluVrW}^q%n4_`u3CGKjY<|`f=|njI8{`V=wRCz2{IWwe0@mmx@lX z8EH$u(6f3ZarVL0E}uPrm(P!+G23us7dlB_dJvsthq6U<f*OWoBc_a9=!C-N57XY+ zcs`X<ZJeQ+&g?Gs7GK%@CSw=pqdJH@clalkm{j`V7<crQW{FN12Sg`s?3&Su^b>Wk z?TX(~C$uY|(NE9`V^_WHh3t}t+uAPvtD&Ku9vQnBjiHdSE4Evj=_gRTu}gF!jE>d` zYqz{okIR!h+*-idhF^uKzYjY_+*LeGba-{V+<esn>=CbVujv}}`gloaNG~@6FS7m( zi!Oe~HH4ezIZAw--q|&FN!Z0VT}^y2eV*fVe3Nd*MK}kZ|4{5nep}MY`H1jxGW7AZ zJPepK8^H4-ZgB%{ygS!)cL#D1e8hJERz=13JH33me=Rq^x;toxj=Nu$vQg&%<O>?I zHQC@g8%=r4bT0f?>A0@cC6$h9>Z<hPeX$)Qi{ZFz1=Udv1Ko~|XjKP#0rrvuFyS^> zKnH!5_G;{pI^~JT`ih*h7;MK5F)wzA*Y1h!6UBZJx&=-AdLA`Myy}V1Yn;YQdhil2 zH(u)#mYc0_&^KeZd5)r-=NO;!Sj{UJ&$~!miEo|{3BGae<DTuRCysxE%fg<vA(sY} zu8BRqUcaT3?|k^_4v|4<L?9}LrA!QetcG3LKdQz)i~Zq}KUQP6bp}6Nq7wFbrGDst zR7KU8e(bZ7;s#y^5vE<*c9&<<b#{-~F;=SQz73tMuau;&<jKrl_TTu}F}{C*N1azC z<ov~&oWuBj;d`C2@4q;<cN81U<yXb$Gj>PNOM1?WbZtTWvq8GH%B=jop0+)I$9uW- z?708A{Y*l~K)ok<c+u2sWIRUn^mtBB*b*KEl%3;@-~RR#vkf1^7#z!I$LjTmA3lm` zcEBo2I|tLqyD<+;C1uW^1qUtmY<b4i7*`*u_l&4COD5)$`ruF|lWp`9Cd66EX1riC ze4C(t=vDc?#0>s_cHe?s$4WTZbN1PbrlgTIA=XKKq^Xm5joOU;IXXG-yc=#9ns7Sl z$q!HFn<IUb=2+J{#4B+gO`WiGnN26N3HonZo+{2rZX2ohvfdG$)PJi^TtDHvDm`u7 zi>cp!PTuUi|Nr%$bk-a4r=MiLEZ-t&LbIPV!cvE`U<0RR$Nl6C7VT;<KP+D_c+Y!g zk-UZX=zfynw(BTD78Z%bbU*Rxh39$-^b=0LmUE!_o<p;r9FO+i3`ER}|7egqivL(T znfJHHmPY!?o9d*wqCQ3^Gh-L`6)?*WXNG@UKZ)}^x}Rir%Q|cZ7?H&0TKb85Ce)^$ zYb(%CI3a#yYHD(pPU7|1dtFlYa2oN*OcXkduVu&$R5QNmruvP;&(IN^MJJ)7VS0)^ z8vQ>yq%XYXcV(IC7fQ<9FJ+x6b2I#2R|hk7a%|l+(@)olY4B^f&AK_3PN;qtI9WTY z^`|skrG_0MFv{Rr-;8g%Z=@>-{WP5fjsbH_`2U+=m|pg~GCoCXA*Ia2&TW>t89upz zZ<e*`&d_O>?$|o{ZFG|8cLD=dVc4jG<u=1d6Fy->Mf=Jc+L4h}wqIZ~9gq3@uky`B zEcpF`Q7N4=^HdpnL#)qf=)R;^dnHXE>G2rNl`s@QAzc6^VY#Cbz(-3h$koav#+Vq- zHKuYAwi(+<<&aPc3BRcG=M9X@0>5bx793tKV5YCm3(6dYk##1Rx8XF&ombh|X<SXr zN*luRYeKCNs<{}!!mxI+y0~WW$EoCMRPCrxj1w9GdHvOgEBm0B)E#)x7yP0h_(oqy zj8bvc^6o~*6iU))UbFjJ(&b6uz`*Z#sUg7dLj~>+4f%o-Y(efDoKLYM!{CeQ1$Qh+ z>VFp+T%n1gw_dY0Sm<e1Gs(;}PCU(!mVm&FXf8=2#>#CnVciHdow!`_wTTn=jhHxg zrk*4W&!fOt30g#mcgo@=$2g6|_*S-e1iVj^zwXWsaN?6UY(mu?vEi1#j<WA0mA!G? zW&v`7;*3nOpWH#sU>%{8NgsoRKE|-48;=bCO=wW+M%XtC3e70teGH>x!osV;nM7la zgmJ2wly-*UG3jSTOnYb2fihOf-^6sRc-VGzR2a;xu=rhA$777V5MX2iE5+{S7q<dw z966eVb`gF>!u(KO7<4N?;%%LT1rxjYVl4^M-9-~~G|WOkYmSbbUF#AfTUi`;N9M9} zzN0Uar9J7HqmjpiW@YPmzLD2#ia0UbXz(;;irraMk#%IvcohdL4k;JIpH!XY2WXeC z^TwB1tY%@Q8CLzPREzd8m6QDrUAkLoHi^C8^Gg?cABZj{{E%e>R>mj>D&5UA!>JT5 ze}u`bRoVvXJinXNRE-x$c&;$KHMK-Lxk8T(A+C5Zk0l@iAYBYnLbi4t4IWtvy`GJp z6_Ce64QOL4#hZg|pqmda*b|U;0!r2m-Dp>H_cj?=h6Wc?mX}uovUPSgxZ6%w&0SJ( z9+mN@D)@veg*0@)hIA=vp@r>w%GFNHMT=wPG7F6JFDL8NbJfu2wJ<3vB^&nLT@@py z7RRAwtfX{_7N3XwPFwA)l{jLt`dZX#726T3X&hS(JFE1|v_%~Ljb#r9<aBuJ-am77 zV`9}}oT!4zn6W}?!oWJQwsJL+0D4RD-PK4a^tMu9p^L#v@rB0y<O-u8OvCL2XF_d= zvlg@p#HZj!-uQS#3SYxBDI4WT6jdZrNP-T0(1^@I{FdE$Tv-}x2w~xrV#q0}tgwQp zrb5{Dg=(=kY`f;`022cUl=1qM=XsjLo2=wS;|zI#3wOzoKlq6&*VyMU@nVN|qIqY} zZaKQh7;}JZ3aG5<XtW<|BoF2aZ@to*J=R)<JG(MQjD5t?Ktv7NiBgqtV$3Mnu&~^A z!h`*It|dun39|nLn=PgET3P#he7K!+BZ+YjU}YR1gOj+!&P7fw9^p?S{=~_!qXyR@ z<Cxijd4mW=NgNGCh{fJP=wl#T>Ll)@Yys0%#pXt8ivBBGw5(rcQ;W6z9$O%Viai!$ z^+|^jrTTpTzuQ$x7p%1<<3N4UV>6Uw;SHl96TnDHs>Wc+m+vCF3cFz}jXvT*wI3J= zr0|}2W|u-lppFq9FcPPIsvw+bm%cab8&8KAmaf-|xG)J1&EC354fs)DlRO8f-V2OP zYv`*6$*vL#4lsh5Zy?uNZ&qH0rW7}ee&Vf&{Qt1`_HlMq<)Qzx_t|H%=VVOwj6)pW z*Br+5Bv5-GV7W@kn!_QC$j{#i$v{MF4qVDjt!<&A0i<M|!yycTi-S$@T@EQqh*qdq zKJ`k~!%LCcXh@4vuNOtHSE>F!G>BB|+x))I+I!DS0tmgmwSV-P*?X<^tY<yzS<kcH z_C9Ov#gab0=RNIiC1)+vERa1Y51H#7li^4$kWiNN(vXHTFuCXGnT(CgFgA5Hoq{Df zR|b?-90_m?7abHz25=>yzI(DrjH>xHHnihZ2;%G@nBpiBI}zzdP2Wp5!aD3HELsoQ zJ`Kw-az=Sss1yRt8mY_ZKFvsxS;1>$!!CCRN|8ap`k$#m8HEvRK&QHjR)|L}+vL4y zD6nnWkwPt+5Us6F2`baLx&SsJFa`I1+=S&!Vs*v^zed_X$XRpuKzbkzX^zQ#h;I<d zYZ;k++w!^2(kU<Hs8~${X}-o!Lmsd0;-^WUh0;7zKHT%{l`I7%O}XJ>kd(CFC^`d^ z;?mTq|G>qg%9tu+NTI%2hSnpf_C6ajQXS=^8F_hbd`<BHxwM{mc!Kk3e5GNSa*F9Q zdZ#I#T(GH^32j8@Vin7!hp09vi8V>&g0L`R;NVl~bBf{5N+mK;#pi7p+<YRI!=_>x zg5>T6%gde8YDZF0;(n!h4p0SZCj=OOHPe~m;oaOKip?402P{{HF?dDB^P>2WievDZ z$mpfB5g!(DjH-qxSN3^!axR*z8W`!OIjKCPajeO9qqwCm@%O?@_!}U5>&?Q*=Os_r z%?4lkbaM9N2IStZ>X?9E%j9@^Ziigjfzv|iSnG%CSFv>1)2;I&>wcZQItlx;2d@sb zYM6^1=x%esvL}>^8&+G61QItA`&xr;k)uy-06&?~khb1K?Ok>Qa!NCMMzU;pF-WH+ z;!4LLgP(*dfa0X;!`coNLmspf<9$Aq`3~1reyTH@B3N?gJ^g+=qL3mxD2t{^2!%8d zM8!LSh01~SM#S8u4b@ODiRlqT*TBu<(w3xvAA$7WBWYk>t(t^UJSgb#R;sOD*bS|5 zp(Uxd3O%EN{U9yB6`hq}kN~C_cxo9p+S{;@(ihZ`9ve=LqN31Lot^|liMz3(dqkt% zqN8#fN;eG?_FAK#s_83B{2HFu%hQp=h{g<!@j(IvGXqeSt6h{AS4PK>$Og?a5rJr( zS)5oi!G0YCNAf9L_Gjo#IED@@LKk;Igh_0iaXtpiSmcFXRau2JEw;Lv&~T;gBw{y? zb}k+RRyiw0h+{F9st4GmHw4_}E1dVo0pqfX$)0t!=D2j~<cYP!QE;1y!=TK1P4Vr- zq&s|>aa}BrcMXJL$9P7L2b#8sXD~W+OzibR41(!2LEASJDv^t@Ln=@vsW6b}%nyeh zK&&HVUxSU38wSHJqY`Ug1GS~qnyvkPqsl@{4(XJpt!gO|bDEU;udOJd{bn;H4X}>3 zE}Tihyg(tS3pB{0QHl$+YAV=FyIIiVvfF{E`C%2hI7gf)ABDl!`^>$6KD|fyTa=L! zd%F0-c{DO15>pstb1D<^TD6nTbFD+6HfT<u30CY%QYB5*(c5z<soE_VHE3#rX0-+y ztI{byhaYWJ#w1!E*TQidi5mu&YeHq^pG)r^Z053)cc6M5v@d5(WMFpLvRT&MHEu*M zD4O;Ohltkv>)8JmUaT1zesLbK-3?PU9{U?N*pOzv*ap@$uZfSdQ;nd;4q-=WU;u?t zp?y~o8n?3I>jg!O%g$AZ=t^aqug5eCah_Cfp3HlU21vj{a|OdisH}d^Sv{cjlPP@3 z^*ntj>naBDZy${JW;dV0H%<^GkJP!aR$tf|ZXwK_P$p$>%Kj4+oby;#^B7fL9NQL= zs&($B#NNaJ!Mk)lEW?I}jZI{R4a3mb0MAwqUKEX6a%*ZVpBGaiUk2^-JSdj7n3c*S z1Etr_k}EKlD#tcFv7%I*)S|<Znnt^QDv`E5%gRb3X+BUx2Q6;K)BFDIZ-;srUr@ej ztJVrSo5t(e+WE;N0!|S&yCuf^e3+73R(UH8O380BVCv|}W3|5;=`i0S<Pj-WX@3d0 zEWRZ@qu!bor%&Aq^OoU|k=l!UNCXfXZWF#F8cYH6{p#S*m;H<L9?P_rso(SQMbk>? zb)g0Gm;*|zq`uJ5(d}D$XIlqnxsp0Vq}EypbRc=b;Bz#PJ5A*R8O2XK(TN1U7R{N# zEfO_{f&)Tkz!JD#JQUG%TiQmh=7df?h;p{xdE$T58!C8_;5@yrWT|&9y>Ip=3tQ)P z;gW9F6Syn_X8|GVF_DPrc$>_%6No(BT(al)F=!=8Rma^aajASu^nmaT$U?O9Y8bVi zw_pWNOHC9_1U4lM^cC=n$`&5~$}r-;LH$CQ8YS{KtG;yFmiqMWyjM$Ovdbg9ZhGEJ z%}ibT3*RP8hDIlR4o=4yk|&Y#9Gph_)arQwpk1r}+f?DU5>+ZjiqD!dYsWr=l&+zE zlKy*u!;XD1QW(_5Ze)KQrV87=+p8^J$zUDnykfSBp&ko?)q1CN+<;2z=u5N%AfbWG zr_&H{5M7FX-n)+7p=^(r4fFH@r5Ev_6kxqH!|^b5-GkzPbqL-IzX<U4%c5xhF0_`F zLz8&X+^|J^>EAqd-avhNPnXF1jdSzj=X;rYEuhoTivmaArQMQc)~yL!O?=oS@Dk!3 zU@;{9Qnl)%saZT-DoGOpwxJLPYJqonY3|)$$*p+73(eNsgEHq}cyREw*yfnj^(5Rt z`*M|y+RKM+I(GY1&UZ9vKO?5;=l)7(>UM(En@E(;&=&H4u8@DTZKT=?+h<8_-13^l za^8DrC1j4v<r88RU{o{LXx<lDeVX#k*jnbyVT9#8krMt&;Lvq1Hd~v%U+2kgHl@Wk z*HHYNnKFxolxIL56n*7WDy0Jg6s{EhRRhywXc|hZ7@phBT!VVy+L@;Qk5=XQdu#Vy z8J;#?`~DSg-t_B}ZwgPdHFRC0kpL)M+sP<%fm&!!Db!^Adsl3F;<%ZW?OIK3Qaddp z`xUm6<S)>}3j%E?hRRrDKY@-ZuR4`>qT`9BEGwnPc;f%~s<BgD_r#usmd9UpnRP|R z6Ub~-;ldvLBGJ*WA9E$3>nC4sFFkA%#@@aA#JAYDoETNE+~k|di>R@8q3i1L)$09) z_LWjQ&F;ALoJWq>eP=kX_6Wloy^%MI(j8AhYNyq%3~lYS_0WyXd>N{m9SCa$Z6^c2 z(!Q(z-7m->5Y5vex(@86S6W-t@x-FbVRWs)M#+VA<Mx#&+TH<l%!bq^o+oc)?7)ea z%4N&f+g>y|dGZ_W8-FarSFYE-3wAHOp=1TQ<Brs$?j3i0=8imkU^#PqN(Wh%?m)U< zL73O-FAna%UnITm&p^Y9SqKa19`zK@Mz=5(gVeteSR?!3cfR}G?>_k8gO5JA_sOq| z;CoQypZ@VX4}JyT-o0Pi`*~eR`vU3yg<||GBwO}^=UrNs?|$byl=<@%@E3Dsp81Yq z9{kdm_I@cZ^S>tD3oYdA`6u}~C~NhNC^N5f)45cs!7!%b++7={Va`1X=$B{l`S~fi zd#3eoBTD1?TPAcl{W#3v0G<f&kZG8gfeY~YxhIF0{aGgYnI<=d26~Y^Jm|wgbT{vn z9?i~9*{3eO$qrA+EzP6vn<Yy|LEpWp8~rcV2S<cIoW@7@mu##uTvvLn#f|>FbQ(Xe z2g`P3e7~B?JbZU1bC8|o5@g|P{CeY{@7B!C!qGQ1>@*+GciHh-et%x7AspwYq<oh> zmGRs05&y^;OYYv@U4vl$T$72^)sktLTk2+blZP|cWONzcm?=$}rc*b=S9o6_PHy+E zp0h##ST532H^n<y?waJLa;YoQ>G`m6N+g^)l7?#?tLY1SIIH{(w|ATVEpqDiTcuPW z51{Z+=5EN`iQ7$+m@vM*;v7!_6Sr3$M7H+t-fp^N86HQxx+581>t%CS@4<EA+OGqT zY;i3OUwszz@ChroS8(0cfA3vn15d3aAFq?}3*?{iH@HE{SLO5kkXvWZJ*%!ns+R}E z@?!w+eF@vh@>uA?sAPN1(1^XSPM*S^NReh;`sz`n&zVj_tFsVz*f8SPd(w~Do)1Hu zf>p<HS$-Cly0bdzCkHU>t%%5p4E-ICjWj-`Cr6)4r~<-Y@qi_~0Q&v!j2@NJ%u?oL zIU;v^d4yPeRwlfQHzP238MpO@?j8^^Xd@DHq2d8gX7bm-k+HD_`#5g_3~p0d0v$s5 zfu6_o#9<Pvi0t0P*V9-ZwiI{_f6CtwvQ>WW@!^m>$0)ij_vw#05{_~eBDt7Yl_S+B zpB@qx7l(=r5K>dA?OEN?l<F#vH;PU#oaciYk(NINKkN6tc{iK-HID;<Us7km%aDc0 zntvc)%#-0$$EHp-Cps|OEIy^udxqfo3{9tjTpCN+o18^b&F}_tjZ?fD)O&GLT!!)X zGGIrc^E67Q4ao%R@oMnDjeB3HI10qiD~<#N4StVJRO=YZWot+XDWrM?FFN3A$YaPL zk5iw5;;Y`5-|hnEsk6JyBBpV*6kIMt`Cp<Hr+i40ez=-1CeDXc`V=!ShL6t8mt|he zyaXxMYGUd>A+H`n8UUTf`(i1Lhxws87|Yk~G$LP5p#FuBa!K#1=iKLW)8b9%^gMmi z2ucKb+e@PwwJaj*<guc*Wb-k?;!*j`?9VK52u9<5T>6W@VyL0%<eW9e%F=lxH_dlG z#9DOYF$NhIfYPQ_Y(6?wgeUEP<wAa0dfw8CvQ?N!omm^_L0o0;#c^|7JZ_;FHcw(5 zg`Xzq_)EcQPu`ePKHwLCGRZZrMpe$w2K;0Q+Q|_{c1p67#RKdn=ZEEiu#Mtq8!{E& zB%6YjhoZ>EDF-Nw`ogZrtxlwC#F%cg5*|;kPQP?y$S>_r{j#6{gh{%kQR85!Lr+i^ z-2<k|^z97S8%je#s$!3VH1d6H9-ooHL`!YBn=qXN;susHN~uG#LypK(rFib423fTd zD8}hc#Z7(LJ&p^+R+Kq_98oG&`WuDfklBLQZ>xH+x!3qPBRHdMDY5Yxv9beP1b?mH z3Wlpq7XoX*@R@&gSxdw!uEbRFJBghajioBiB|TPEwHRDkqS70X_iDQ-BDSUn7e`Ke zuwY92ta^v8=_V(wFUI}FmWl0P;5rUjopXW(fxxtah|sh{Fiwex<Cf!-K)Q0H6Z8Az zl=P7HHE}B~q3e&G@B)q2&g@hKX8aRp^<|Qt2+RxgAe!J6oKIjO;R0Ot3JPhDyN#cD zJW@Zss6XTb0l$j#tvYxrM4AA;H}C^de?Vg&Bm#enbvLR4vgcQKnIK7j#M;szIj+~z zJdgx-U8pM}yR7oF<1n;VJI_@8{MdUh>Bn`k1*7d0ne#_i$x_^absgZD+|ZSQ)O?T} zzHqsfgC#%#PWreKL-AW03IY|yMHf0wD2a#N%FwdX%QozGXKXY0o%%SxI*vD`c(zHx z(<h`}gEOnS*3=R=a$&O`+lfJ24`sA$kyWgbqB}k0S1%jfWVJ-of|TusRW0(yG)!v7 zCLu`I(74b9wi(*2Tcyqs)nW-%jq)fJOqE)_<G$ikD!<r7q9z59Ll&cg&|c6E`k0xl zF&124q=w#Vsx&?hJ?`RZtL<24CYCN05@IZOSWeKnMWa%KZy(WN^e*Btn8<5FBx#_d zi9_O~OlC}mr_q%yF>jcVb`rW3x-i&Dxh*DWO55<=U>4(+#zqs?OKC+8RmZ|2Qf)c> zTkDq&jSJa>Tc7aJbSwf_8Ag&QBH#Y7g$AG=rBO6^Cv>X>cDb}7D#V5hq&ePJK1~?e zB1}(Dq7lm{mECnMan9CULbow2h9v<p>~&eRGTy){Jr6BPs%tc@iM3ua&dMkVwLl%e z<;oyX`E4F@xyP5v2Qn0NK)?UVmzFH0&nrf=OS24JQHq3!BHKDHt&L|%PcQ}z5r8Aw zwXAco?H5-MoqiWYn*P%DwvL8?nA00Dgk_Ry14nJP!F!?+)}<teXsbw~Vlj+vV$Dc< zn;u59zHb^cO!tpa*OLBectOOB6h0m_4TkOTy0b;`1Bu2|FjbKPjdsFFFhQwCqQQ%$ zq1|5)JaPsHFs2kRWUx1!P$QM95i#r&@G<x$)>5lRbY>i~Xm!jfmK`4CBt{EP$K%(^ zZpWP<Qp+2`K^wh-8X%WO!!VkKId4baMXT8EV;uJm6`&`PQ~%?vTB7fIQ>1wc9fDDt zX5|OdB<OGztWbrtGJ0CYNH|f@RiM*-Xwb18z$2}{r*b(aIs_?YqgAK1>QqFzGqxJx zzv&3j1aid``7r%3?J6O+=_&Gz6T`XWN|b?Bh1oJ8Rb9%>uq?)Dyz$dW&%3Lu3{NqE z=C+GY*fp!u?Q3Ah8|xA}5V-P|gmEe9n~th={ebOGl&gI~r*9~lUOpyL?n$ek_2y9@ z89A?th}B^dbvkivs9n%P=*Y$bL)S)VH)ULzB1R$(v__+|G~k?y;s!P;LK877ak1Nq zFC_-UM16`_g@As+X&H?VI%u5tr8oTK<N5SW^%O_W+}lufTofC|aK*uUm*Hk;1fIbI z?eqYoH4Y0|P-Z$52Q5H748!|H52Fa%`cz5gi5J32<i%Girx-(G$}@~w>YQ4Fx9f<C z(1j6|Y{^d8X~&3lC&)@`_36P`gAw!}B<lFnPdIVy7;l(^!DzbPTTdN7{a&c0S%^X# zojnN6@Fms_gh6;yLmnR(j}lZeiut0>7`-<Ql>&G0M@uN5wiR&_>T+h^Xpkgt8~P~6 zI&wrqPU9d{F#hguR_`zfhPJEhdMOm)#hY@89I-_6sa(g<!$+1FwQ!uq;p$a`Rxv3s zp8(vLRR`EcrKvlTQo%5?7tEr<2=#&!ZOMeEA%*1_3Vu0w_ADrC={5{^u<RdUvcuj^ zeLNtUgPBRD7Ab=<_!{katVj>Xb6PT6marpCey0>~#Yl&$!%85Drb}jLRk}2taH<qO z<W`m#h1(x<GE#J<$_;Idd*3nbZrCi7rRgSAjJR4U%bNDbq*-ZA#Sd5duBJMNCD&yw z{g9LnYm$c{`@g+O9%@gCbK~Ub=$v(ht#iq=+u1hGv`hpQI?<L9+e~-x7qmf*Y&&h^ zQ(8i%h!L-v+Kx!23!N_sRZRwu(6%(95t^$J%R)jEwjuEYC2zd&egS^;wWutRZ6TCx z2pMLE41=_|ih*k^eMGQ2IW7?27cwoS5FG}>@IQm6V@6CQa6LKUUGVQ|etai-EB%C# z>2QR_EB&q-9<P11vGO=|Gzph^^D3(jqU&if4iEo?0__LOp(PTy@MKJnWglS-;4Il; zu^fR`e1ID0Y=FUSQiRIt8<k{;Ma7ZU7IEjiMn7rW*E{5_NqX7g*6>6<8e0UP;e@(6 zp(mdd$uGXIMBm34mIUjEYpf0r^^9abJj!W1anLHOt|@#fn$z0mLm+0s+lw4QIHA;G z+v1goOW!z9tHWKp9ic_lNKlZOHdr@Q%zQA?4}*ZBD@+*gq6Y#-c}<0#_5cS>@iksU z#YDTZ(h(>2VR0jinOj{z{tq!t9)Dcg{29Fl<R#UC0$swgLOALgW~=BNF&b&n!s>Ju zGQ?$yFrun+i_I`q+j3?QtK`d4K%#ckez}P)2Ol6vEnGweqFUPSg<?(1*y$*!j9eG) z#JZn?x%+XCHzY_nG8(kPN~tGigbiWw)O#!8R8n@@iMqs*S1D|tfa%H8U9i(=eVCRW zBG5qvD3Z0bjuCEbD4>N-4;zUJyhjgDVA3@Xv8Awp-e4iYN65P;iVh!eu;$dsCBzJ= z{glW9W3s^@-8isNDlEPo_Ferr+!7;}PgA>``dfYRc*k+d6vu$U*R_l7Yqm-)R5gXx zwt2Vupwx%zoHfzwTpabf692%tDQ39C`Z(fH?kFd=MUg$^JyBD5j*0Enb&2|gJkU`1 zo<*%V=vdTgUsoOP-dd9jqGo8_a5<q*2m!$$&)x{Ra9Z(%{2Qy2mrQSQ0igog~xr z0%nSc4^xnFD-rVZ6J}Vb$q9))J9&A}YCRkrWh5Aki!ctR!=OuBk#aI(t2}h;+{zpi znJ^B9qC#TU=;pCwrftY^4=Bba%Q=zY%>$Gv5L@Da2{$-u`4)4J90v^TRzJh-c(NPO zX@m-y0{w!Ppq=f9WC)4_R%b^pZ5%i;osYu6U{gDP0+!ICqr!p&99&|8muRd9^3vGa zL@(5;4>gjTXf3=99Rx`JrGvdJ%8`(#ry*Qdjf}NAohz?5JW)c^-xkkI`Uu3fU^_9b zU{kw7s1DB|jc}GIGI9KKS?wW1%|Rg;pdT2>xDa-K3I>=)m{90Ju$<H8T$78oR!uN6 zW?Ie~8&%*xIB@=9(qHAluBi{x+k$w)%KGLYZ%b_Dnvu|!>vdB<nMu@mQVve0$Eqae z^mu4+=?WPw#7?RM@k7)~on-)H5k}#3hopzN4q2EQj;OpmqMQ<iOAyJW#Z^=e{fI^< z=t3t^WK{Frv32{YNfenJOD-Z^LPQSX8krhq<vZp?3;r!Y9wkSFBQ_YN*G5lj&oEhQ zQI2{Q443)~@t6eGxlE!Us*}67n&A`tv`8JA+%@DMM(;G@dUv%3WG&SXnXDF4e*?p7 z;01}FSqY{0rS>?cCT#K1Fxa}90&>lQ?CCb+CXbwAHbu*Y0mnHk+K0{?a$3?TIvE^a z!#EST!9%Ig<7QDLak9%4?n}aYI41IEk*ymB>f3frO;m4ecRIW+OOjFP)EiPJul|$v z35`*wM-gR^p4I~45Uy@@IO#Y=Q9+EHunFn3ASxOVSR1RCL{>~qsC<2c0X6uTq*bI3 zLwOPO6h<{sh(JKCFt`(rJ^0oLWR1*6@Y*=3XnszE)NZ2vu`cASXc{snPSQ0=T|?G{ z)LX}Hj|mk+E0(Jxe93wS3}G_Sn0Q=Xu7yDGwc%;{GSafViidqI35A^xlv}}yp?+$7 zXt@E#-}yZ+8a~dyL#}ZyhcwBQnBT1imLIOfhBWZb0P2@vvz2vE0~42*$Ksxe>mzF+ zEnYnmcX<LT@v5l6dy1@fIXQo%pT;BTJsxS(P=XOOdRq|gK<r}MQiTvKOvaLt=|<qX zBgRdI4l`;^Fyy9nXBKQtdRWFE#TZJLF`zpYGUq@zW>U<4^e;5BHE7l42%o-5;w4wq z49|0wHvTuexYC0@g`i1-H(QCDBk?F$*Nmme>|Mpz&d)gNh7B7RK;Gms5$}T-EyRpM zfLW5DHV)qE*k`HV2?+>BOXv$+WZ}*&5~w+Bm?<%lRGI(>Du4I4@Hm*Jt&=kPXiIb) zujtB9CGEfIzQQa84_qD^{th|4&M>txgP^EYron|o#8R;x;)JsV$W0~)Lmk5c535&U zn8u()seGB3zKb;m2EGa@(;W06rO9(x6eb*7tLL3KX1Keip=GGwmF6K<$x9(&9y&{9 zWLJs*lH)ePF$+obOqIc@oGC5K;b(h*byn;R<k~h&wVZQpE*Htvgg#a%q{0MN3nb2^ zmqy^tfpbxe7+<ahco&D_Ow@*ylu;{nXSoo}w30It!~vUwSCRumkz*ZHaW&eNqMSrS z4Il8`Uda*mN5^RWB0vG6G`;#s4{Y#vI$vBD*SJxOc(_V}!;D5Leuy5iMT|?8=5&^z zaULFE3P}erNQW`JHspL1lb&Uwb%c>Ql?Te%vqeJQtW^}h<OeB@YYaPc1Zih!r$eW_ zr*TUwTsIlwr55=o;Cx}3LzJ0KA%t_xCbVMBY7asg-j?QV908z7xwIN+ewQGVK^t== zP`2~I!8Q&1Dok`1RAgCiWal9-;~^z#vK`$(C(U_ai`<DN4)FQB{E?HMG?Fo`KCOL& zY{kDR8kXesjqOPkG|<6e3}6>;GV7C)8E%{$tsgE0%OqGE9Z~u#*}yExG8os>UkE-m zXjnvYtZZ*2D<U#Kc4X4H3$N)}wwyLz*14gos|j;S@9Ri=q{XzoBrB+OPEM3yWw_kX zdHrc<SMo^)9`1%J5?&vj84ul2!La^2undtOojSZ)2<s+Z+`5bA%5mx$3{8tvYI4&j zl1-&!!?=3FcBXP7RZKGG74q$EwPo?t6I84d&#s)UskZA422s~y@sewW(s9PAKs5Gm zA#GfAa;xd*TMp86cQGY*bRiP)uuQQuHD4QSf|E|Fa#D_jQQQXxHvH*-#}ee#<)z4D zjI>aCzjJQ-Of<qFACGTy$SKw(PAVJdDD^GR+PD@Sb_CV`26mb*zW4z#5*)i-qDdZx z4?7z2a%|3XV#{G@&kN#BJkb?Ptsq&%>6qK2s*al@<g9ze(I@;@?)qW1XDSogBfCwz zEHmMuB}v#<P}vlC@UCBtF3?9W;sEM?+tKXk7khGCq+O_Pc-Br3acwBSC5P>#suEuO zwSb4Zfg!n7hKnoWL96V*3r~8<^uyP*D*ulg?lc<qzfRD1{n37zqJUmsaWH%vyJmUY z?|R58tuV0qS>ZPC$HRo2#<dKEz*$FR)UL)m+v7V=7Pp?Mm9#)w=bUtg;?m=Rsf!_X z;35)=UXc)~P>_>Tqxg`&?QDxYGB6VMtz_p_%O?<d>_cyU_v^p3;*Edx&FgpUt1SBR zRbQ*#a>>jauIerRN&n@Iw+%db;;U}icgP!WeA81`9ew#PzVWud*!Q^|jbER9$E!~N z^ey|}^0v*ddev1QyyUVwx19Qgcf9-Z-~HpIpZkryJ1&3KmgVPs{GUF1)k}|flWZvc ze8<b9uigEQH}AM)@bWu%{qPe%J^7EX-1qGd_a6H36X##~n;-hnsl!WN#=bY_h5XKg z?|SfS*SQ_ruK)P=`#$^7)OEkOF?hwy$43_*{e?e$#n1jw7Ju@@xH7bIbn*2!{@!sv z`F`ay+Yb9hQfbhw9r~ZHfB#SZ<`uu#bjS6#eD3#3pZRN@H+Y-;kH7uPPyhJQ)_<RQ z*UxTz$D^A*bH&Zy+V+kgR6galZT*OjC=>ttL*Mz$umAl&>{z_*hhM4u?R2Yj{SU*+ zIr7fVKSjGAaVy<xU2E&q=Ud&LbDXDp?T??_{owr{|I9<~nNwHX_Qc<`F6i!`YTY;0 z`NZqCef#Q_=S)A|<R>Y&=vwzU^sckjrO-Nj=#(RtEQ$vlWo=R5FGn|itL@~GqmH`j zsNd+TR9Y?fcyz~f>o4B_rVot1?ecfr{k`km+B?o||M25)+SdP%S8n;si~nZ(hEsq3 z)3+ae)Ca%%>aTs{H$V5tEf+s>`4#_j^&j4H`Bhuq`1Koqa?2%eF8|XT|L}^NH*9(P zIp=KIcyzpF-P^yu_a9qdz3keJ|KAmVx&G4MfBP#w_CERaj<VhN<=<Pmecu%;uKL~& zFaG{zTfX<HZ~yPdAHVF0%l`i84}9p<WqsE!%4`yTYis*E@4x!K;)jk(e!emJ>Q|4v zw6kT)xmR7jY{%m>Th930+R`vKIcH_C?ERgMZ#eg!%Re)9*e{CmFuGs7^@F#ZIJ5Db zKmX^Wcig@Dw_o<vzt3&*^-rGt$d5mdO}1Zo<2%lN=rdPrpZw5Uf9O6V1JQCu5;@|# zpMCP^PhI!KA=hJ*6=&NWVesWgf;IcL4L6qTJiAia@%?SjJUaUBecwdKSm)~MPrmhu zFMoe^`vWt#edDJS|Lx&_cx3<7tq)(l_3XElzJ2;J=PYYJ?r10176ez^)<xpfb#nBQ zQ<p4R#sQVEaY5+HLFZfAPL4j}?MsebUs<u^`fXoc*MINB#X_mf2mkf6{r+!Y@JKm{ zV<8%anVI@#&iPo!H&`Wj3E#jimo_e)EEEnue6WyyvbV5zW+q;_Ze4M(v{5*#W3XT@ zo<tFYeD{A~b$xU38Bzl?Y;MxUt--BycAK@Q4Ht3x$4rr~C^<~e4wwTyLqN|6sTK=$ zKCmwJ&4s-(6N&%ItN$!`V`F`QZzb1PiJ{9k-TZ5_M?b?SlqY4~U_n+Px8P=Q&N+p` zz-rDTnT*I$8ijL;uPnY&lz*DHk!t-6|NZCmd&BuRZaM5j&o{_*le-}IaQ4IQ^UlLP zWtE(Fp6p(F3Tn!9c#zA7oxLdQ%9=lfP^93z!!Z<`?!x$%!ZU@^=EHX*TE=hn;E{Vy z;{1k<lnDJ#E?qYRkK=#qo_jWLM)Rwlej1zXJ#v-y3Ux|#=&RSQyAzx2p4qr@aPQ0C zhE0ltoR2ZEdSIaV%zkX5-}_dZ@O1T5YLmvsv(HtV@D*ga>(hG1#vIJr<e382TRKS| zds3U=85r0T$BjmPKo|Y3>Y4>MIqV<!*tjfR_gG<a>EVbmxOa}LOisMxjOz!^i}=dL zswU?_zp^Mx8CFtIM)fniKh;%c6HXfOC&1*o$=%7_e1CY>CVOViJ8x!k|0#Ol49TC( zE}2dCuPfgP!9g}zdeTWt8>=_Y+2rYsn-AyIket`*$BvviX=dHJjZcg0-Fx`V%(_*S z@2Q^`?<{TH%y-rsX#cUjN9xy$%bU^KZ*N&Tb0_vX{P4olk8u);wv&4{u9|%8vDLuL zIvGrDq9uOAPo;S0oqRBA;}3qMwHuoZ-u*f1_B_BQgW673KeKn%CdT}b*7M|UCHwJ@ z8;z74n{=n<wUg3!`Pew}KDHN|pq$5MZGx&&n+P`PNi$8)i<=Cd$0mFvoiEqVYA5yW z-tEu&kyC*Er|gl7F4`^F1a(jDK9JUjGn>q;+l)>8SwN}nWyYxp9M>|#=`O1?aisY4 z#xkv8wtWsfcI2v)_ODylc-r?}yZ3vW-1|kfNx8gqvOzEPSm8)CN;{(OI_nQ)fAOAj z+1sSB@(OR0(yGb9!TRQEbt&yctB!8WoS9ktQ5q4g_vxp1-mW&$Q%Vjw`XHM?k4=hu zwQrfxcA_?!%r<xK->)`Vx^$2BT?=dyZP31J|GL4wGpi0KzsKhHT|e`Emqb62{q$Xe z5Wep^<rI}q%zo{=ws=uBtTs@GzKg65wh4Wg(xf(7HFMELoV;=hNA>&?n=D<onT!v% z$^Mg0+P|^dn6-)F9Fu_kO6p4H@~S)w_ab?zzAC`=@pJf`KF8xOpd05*5T2jcm_YfL zXZh>-D!kWyFm=N<(7lJ^*LeJzQavbsG%7tfcjky+v%pIPbw`zie5mkz0Z9YG*(KhU zMISZU`6s!X;<=ka{j^Uc=J*BfYvlO;iAc_@b+&hsV!X4~`Q#^)Me#>2kh@My7Rhpk znG>0JXJ@w@<IjdE)k_cRIVPogoXg1hC25$laL$RWnGJ(CoS(vTT8KMjWav}MkMIdd z71iY76On59`BN!AFZ20RdS=M*@cDX<iJpU^E<fzfy5gUAIp5>}d`_R^q4VkTb5uA# z1;s5}z!&~{zRD3%xXinkMmJx=k+;Yfa{0a_#rNH;=bq>}DSDR4NYwLZn&^2c=aUZ& zfOA-~b5^Q8y|Q?4_WTphL18*G4AR4e($n)s#x9hHkC<3A=kgm2$Dr^Ca`9G1nlQY~ zPF;3f6t0;)*JP@7veyk~w~So+Kq~u{eK-4aOa{W`v*(*|e#u#c^_-Kl^n8;%tS({l zIa2dM*Owvs@MSV}a)mdZxc})mY<S5-t;;l@O|$2#<dXmEx-(0U_@8IcnfPJP_XH{b z{rmUq863R#i;6mu6OKw7^>h6?p-PGLu4!H<yn6RJr!eA@V$rVWO7pXy&71>M&U%yc zCeNGMKeM01(@x>^CYhvTBELOH?%%U&)mt=Xi`(K-cV>Ss(=6X?Tv@ub!Rbt9<$?G; zGmVYmxsN^O`@W2JmIjZ}<KgB35-|YINO@y@^*r?dHSem)qrbuFW;4I|1*7wme*Wk4 zQky*X*i%1O;f`dso!UgtSxLp!`^-=1311-0%-STG`z7muv}zMQCu@c&Qf8C$GMg}y zMaWf0?#3p6qPFm=Ox@?PiJqJBwk@;S_(Vc!s;hVYXn{r2Ld_ETv1B%+)kJ}V-d4{d z|8IJhCJ&#X^I)W!U+>i>g}uQ`D8|f@%(lyAofYUgE2;Q;pHKhGM4Xx2asNH{+^NZE zYtIh6d7_;V$Fs)ddDIU*WbhPyC`;RktU7Y(Mekkpmd%%KzHAGSx|``|R`(Wg%yhqs z+2_)w2e%UeAD+vJCK;V~7ckIi@ErJ7f=$XLX7~RFuxj$9e|N^7$w_S|^L3os<oMt6 zHrcax?`BRITxgRZpq;#6P@Ak;^&9Z$zwWsbsZI3UMW%7NO=u_HCcd4>o+Bq`+lf|O zZ71CGdNRv5)Ai)!zfwEV8cRkTQn|n;rL%3Id6pWY?qKHTVO)KMO1$NUue4V0>Hb8I z&-X9pZW^EXy?IJMPImlol&151COjX@Z&iUMp5Z-U>4j#X^jSW!GAA4w^~g;xJpuZ; zeT@4u{El!GY#{r@ta&2e518#|+@}c@Ql8O=Gj}wVE&6cg=Hby&ja-B1){JgZ>h_tG zpZI-^l>Oe)I81}#`!o05K0a9X%`DxunH<+-?!7ra<9~l1pM_`XGk(Y4-mR0t?0&`O z?Og(MVVT|ESm8aYV;|ydyvgWHmOgj0^t+mlpN-f{Sci^1g?T#VBg)4*)%oovoo_9V z4fKR7L;}n81~`8g)9E!~%v<8j&G6E(nja8{C*9s{@=H*^&0UY@Mjk+c{f5e}{iWM? zHK$??BO}WVUN`HSCUoJ)kU@d{j_R-v(eqUuW&C{^bpNB4rS(wC?|Kb8-agLmON8Fb zoRw)R-QP$$kB6V`dz2uf*Rb*nU#GcRUM;8_MLnU&p|f!Ww#ToVbxS0ED;MH85u>3A zy>W4m&PqFS6S2UUl@mSdn<24A<E5!L&t2bl=RQRO774s(_67z>@7}9Fr}1a?{=AUH z|Lq`dX@cHC>CC~*EW(9SgEX|<0ORlCg=yL>;2i?J6z`}D)>?k7NXc!DI8rE1LQke4 z!h5(YsNZ9xcO0R!>WUHD)cXZo;36xLk^(HD3q2$8<+q_RAVcVMBbMsr7_I_|3X<RT zL9qY{;pjB=!^i(P&0WFz)aujU(Dm-Yq>kp9UK+M~`<Fw~_uro<u{|KJMmRVCH;-m4 zkDG_nXKDpQAq<-H9()Rs8B>qd(lq%?OJ%ZHf*EAxC&crpgt$Bx`8Z`B@>N|$`K1@0 zG|;fF@nJKJa#yg%JGwR?g~Vi@+@Z-h{QMFf=O}e2j1MKAUfEl2^C*0do@Dm+EGQqB z+byp<vk4Y>LVGB)iRY)8O<-qza~`svpW4PYRhFl4+%;@{Xzr#mJ1)PAU=Hmu{^zg- zINoAsVXLmuTbe%gJ?lNt?ggM9N`XA5F_Qi;3=cx8R>PGO;6>0#q84b!pF&7jB8Tsn z0(8FU^ZBKTQp`g9Jfmiu=cwG!*AK{TVcLa}W?|5W>>Li6bj=XOm<MK_pWj1ez=24- z_*r#>BJ}E+PdU$@v!jBlH4g-rA$;!e(VsyBX;()XCE4hZ7#k!bp|D~LMTGs+{ld?7 z_Ev6$qtGYURN_K@gEeif0#*!oDp(_C*`qiy@y_|>YUc801!3tHsT7RAKi{pakpV&? zusF{hj|LQwLx#S}Ql5}8-iTN*b}m{G8Mu^~5Cv6mNZwVKOTl$vsycHTvdHF~G1y=_ z5MJH6xN5RKcreX?AgL1LCnrgTZH4JlBja>Skf(7<F`EMc1w(NVAr2e?@>Fra5K?<N zCsRyEhgp3@O3RE>xAILFSqtnsZmlHONn3VBP_ojA7j3<V*;_||RTa}w3&F9Jhbftg zoZ<I;_@q;4r}*9!W$PJsWSJ>V1)FJ6cGq|%Dv1iDqTI_BkQ$7yN%V<nsi-k_5E5Rj z%AlrA-)6<^v8bmrx1&)2lWtoh(m~<isd@Q9Q(cXPi^nN*Die$CM8*{bHQskwD*nBq zZ(M;$A49Dpk-3-Ii3_42wBx?wNzrNw&&%;kuJU6V?RL7TP91gNlBmbPaJsaF_<d4z z^f$cSWi>rNR)QmK(e<1ajelI1LA3Z2z4{V1KdNZ4>~!|bcg3U8s6!q*?re=k@-D8Q z&I*(5ZJ8PxAJTF{E46TZEvo@#>ssk{D7AyJ4eNaq)=i*Bt5h)y(w(&|=W7Hb0+!lW z8?9sCRv-F+NG}#MXd7c6T2@3wI-)XIkuYX9P)HY+HPyBwo?4)4(94RjMwYci|2eFo zV2LzgXmtr!Xz(hPF<h3Ugt)BI*$bq?Mgd;?8j#kOO>49UpD}345`_9HS~|$=8emAm zzw)7ZR9tHs4scEy*PTC_Y->oZVNoAMmXb-^ag!ixidtu0qhTlUFxgQMCs@uZQK%Bx zgw+_=A?T2px{+qdMv(+2lx0*xi<#Ec`lM7WGCYq+2P3d_15po=VGfWnv{JYrO`BU$ z`UEo8y+i>kS(wC?BYi08lXftnxe39*Z!4shXtL!I4Tvg{gI0T!4X0X|g<uIfa>UU# z4Y)(_vHONh;N)>EF7a^42Q;1%#Kc%qDBh8)MF$>Gzq*ceUYKDmgD*~WCKH<rjl}QK zoI*?e@@FIH7)oyl8DeT_PzO-UD>VLk5L&Dh#b8gT%#6un1R^PsGx1SeV;u}069%q` z#mPE~%942Ln?%s9z}7JyOe23<Dq2a=5M>81LyahaSuu)A8#aB2rPM`qY*9W*pu<tH zN-UurvN&|*qlSGgc!2>W2_q-iiMYrjCg2mM<st;F`fFS^y&TSgK)v+&U|oF1NrAhe z5Iz781xJrI>Q}(}AlcS6GyWBH571d+t5UYp0+}?d&ryu^Ny&i1c~akZkdBfO=Wkfe zQ49x#)e0Rs@O47C(K+lD*12mV1gQp8l~CZs*3=`B6OB=32;*>oP?zCTISFa$Xh&*9 zxTp+V!9@4o5QVcJMG<xU4x@#}imhzNy0*_Acb|a~oCIS|=!0a?8?dwyQg9TPtyrkh zRj5`9EBBpA?%^0055XifHC_7ZO40{CV5q9ox;==Jks0oB<ur!7N+Ag7AX2ZRNpLp| zAR}pkxfkdFr3>Cfj!VSSkng8HJ4)G<3<#v)2W+dc4$3Z1Uid79*X%;k<`dF1BFeuF zA3L);P=d`NN&`JPj3R`ZIm^l0Mh|!5$B{IWb`geUQ#P(08uD^3kRb=9nUasucKh0} z3<;CKmym*EZPdd}v=$%~F~DK3X^zIPr^HP=xXcDJt_^}gZb^elmoDi$i(d*GL_{_` zu`Z#t5`0^AZ%OP7izV$~orV+<2<<52V&oXiT#@|RCZgw{XOvA;9aV9WY`v=S1FWso zyYZFFsy~G&b*wt0E>)>tzUMK+EFsrskWnd-qe@)ZXX)f^QF&Ui&N4P|dGHUiRoX>E zP3Wr-$pfR2%rP~Au}Roji(<#Ab~O+Ptjq7C1_CIVaBx!6NU9o;(BF}rGV!I%$g%;K z!3p|K-Ptm2Cz8;T&_&c>i1l~Q3Hl37kzc9_f}B@|0E3~n8;wQ2mvn0C2~XbD;$%4* zNXLX>N6Oo}q+#=yi>J!LR9KplwuU0+Tr3{nw$oi8{ARK3PuVC7A+H%1xf1GYmuUep zGF$c|JxpT>WjR(!3lSQYT(TUp#M;v-NHpUK%{+8sL>%5ZfGg{_RUwIQ6plN?CKby_ zJMM~hVZK*(p=AZlr`UMXb&er{zSHu%Vy-!(7?>+R^0B%M+G%FYLfT%iNN~fpl;OFd zT~ume`2`6qgy#!S=dK53BiyM`D`C<h39|GiE?|=?YGIs(Ss5(Ss#Nb%{^68n$%5<} zZBSQS!_qhxj>08&Hl)pHTG{0c4tfV~0wxKpu^wf_D25YP+Y^VQVsN@ER_WZLdZ%w7 zPS!-HM`L45i6t-$UCHUN)<b8PFjkR=4sOx!Shf!!4Gx))OT^A#yiNuP@3f1i>trkg zs$cRAkpNln1&}y8Q^HUqo}xh!GF*V=4`6{l@`gG;XwmJgAleXPB2zw@EmwxV>mjA< z(-aUoRZZaQo$a48&d~fr(?*Imiv)BZZm-NYGz_2EFEir0$kml&cbh~iS|@O{IpqQL zk@K{Ag#3_CrMw|*q1^B`xjFd+9y4UNsyq^{sBlwiD;;(};$g<|a?`0r<XtFr8L4%E z8ir?oNM4RyCuq@8GyxhJVvG`z+PIpbY8we_aXT(=GZJ@QV&7#*vorod2)i4kuPSn~ zlTz18*uIavrr&GYJE<jJN*4+})V6m~?``O8be{<@OTp=&IR!Bj%xZgVx{oqfdqG;* znD4H{<sq>vte|gbV3L7DS_NL(bdisx@9r2<-5$_~#_dq7#<z&>^biul;BWhV4M1<A ze|>6W1t)Y^!hg^~#Wv`ATrUdxY-r_dRwX8^t7`<?BQ>GD6`m{UAL@{)<W)yWw=(&1 z%oEO%2f|@DF889YamRe&1i21Tq!ER2FFceL*zA?=Ym<L(bRsZ}O;KSqV52fkrWQz- z=@vy|@CcKk9{e8;v?NSZwV*uxS+UnzlYBVQ*;2%IQOafM8YL+wVN|q);=&DUI0|U2 z;-3)*biUmosk!%Rh<R{`!x6(LUv0QJ(go#ZR~km$gQGT-=EY=5R%&ucZ-74oeK`yh zdqI33<*`z%n2O30IY|M*(aQqhJ0l3o_*tADFNKpqcu3b$2GO^lrGRzj`r!=^FZ-#^ zf<0&nnJ?#n$P&UE=3DX71JGme>kTy+9!@>vu%A0=91hJpWvYz=Y|&U85hJ#px>4OI zqp>jT6U!{h5$BSz&`z-ZEqqOQY?;_rVHxR93Ze@tC8$upf}^suo0?i`9s!E(*#A64 zBSD679_QjB@g^)#&7!VLB8zo-T@so1l&f-GuQQPlKSlGkE?yqDBN#?TB?nCJ@sb^j z#K=qNcTLg_#qnii3=8*UQ;(6wFvv8Np2@^ZVoRSJkk;~)(U8VXUUhw*m-3qfmwF9( zjMJh+t^btDNo8!2F&&-wCfdwhru;)skwwy^>QMz8Q?Q#5I)f?1Q*c^4fFT;#Sc6iu zzeyFx-fc_MFcLBnU@^Y+z{zk*sNhJH1kp<ZRil%j4@Cq>LJ_I-y7P+102`v<KCKj} zFWzcwT>TbrbSvdZtU=9LS3y;QU0^_%o`^=kj|xT!3G#ZwGahe6gczTeMeW2Dt~t(L z#6wKqiRQ!$IwP?&`cUX9gM5ewK1u1mtD|ylLZru~V!Qq^=^LxcZB%7xx7!Yj0wGK- zFMQI8)uD`MZMH~^@fd1@D4doe1!A+9%A_~$;?v<(H{?nIpT3gdo<u^IXemKa&Et)( zNul-+b>Y{cwVISCrfJXB$09a`?;@&>eIAC{UlKv6F~e9W-K0g0w@_H{)BsL#%K^&a zV9<m_bD&n4aT_rhO8MN`iDT8}V?p0UAzpleHh2@bQOJA9YwBWq9o|^g$E;&a5pRB9 z)IRP}B_yl#4HTsb2vE#G41^teO2Q|QN7FFT3#b!)9pRlyu^G^E45g2lQ1JqWIbRjd z$Wx8twksJr(f;KDldaB(bi1@N6I1O<5{*J8<lLX!S{uXrC+r)hqrt972g@=tD+!@Q z&jL+VVH8yp;=NBpB3*QBRD&*Hewp+s!Z;?KbfhgM$n-49Uowg-f?FkEx3~H|IF%Xj zH(c^dl~Hq%|7HXwqHCkfB|{XlnZR?(k_2{w+TJ6=(h{6atmVmp*JP0a2bLCV;UJ?j z93L80t&EX^v+9Vl3<rThpnm13mcd1C9?4~8q$9dQov;f-3%&I{b+DEpYHB+Q;;Bq0 z_cY%I4xY7Q)xpAA6|J#p+YiHw2?7_7rmnVS$Rg=NtI!BB0U2S?+otR4C^pRa%2?Hq z!YXb7I5DFC2ZHum@cT2B5qB54L<iEmbUAT_h|l-1uaVhh-d!l2_B80N)HWLOdT>se zi8{C~*P_Ot82la32Le?hL#gZan^=4iR|?Ma4XL!Lnh65|9Ub8GW?EK#k#m}#^LeFy z&>9wi#D46?6ldpQUuF$ZbOmD;r$wOS;2pl56{ECGy$w&q#673igpNG0?J#yoTAGbk ziX4Wu6ZBkYNA$md)0_Y7hE8>vmuffzZ0%v#W&0Z0bG%l20U``Bc|5yFUbT#D*CETY z60|DQ0&r=ll-OaWB5Y%B<a;7>HzlBc>62{=UX<aI((?%u?>f!9$*I?jBU-Jj<g#UH zcr}>Gnz=kbJId-zR#MfCFM~;?&%<#<cD#G$K_-aHh44fex|7X14s@0cd|ULCV<oN> zF8iaTF&%7R4_(mX#h0{9>w#@@v8<gMet<rfUUAuy?MH1m`Mj~@%<*t-Xx^ACmhEfl zs6?8yD^5U9j;b>88&}acoZRTQU*R)4mN%{zmD=OzW_Z4GA<xuw0BP@vBqYzEu%0Hz z2dYBXU?cW_?aH>sXc$_IVJI0pfk>Q^F8xSQEY={F{>8)Hf#Q48`buPn?;X@gL6IZ> zKK0gZqv1=5SnO=59mzI#ei%G1Lp{|TWl{mCF#t+(5-%A(CM+Y<N@;aWP1c(rT7O5u zMWy9cDcC@6-G*$$n=omFS5&b8=AxYjrNv#oh87)NX4ZtZ48?RIn}VPh+HO<%D>$nS zB#g#Dwxl%89#J~#zYdQ55TcBgUT#`^4@GK?Gp}|hGoCDIIz!TKrRyq{i{n0~c&&~Y z5$otk%Q|?D!j5@qAeM<XF<z&9Hj0?$`&9d5VL}sg5{&YoIeey!7EkD!X3)=W#v<ES zMIva)2Dq)o#$4aifdXPf-Ka&CcyZS<Vd5JKPL4xQg=qZ%#lkLF((7;WpAllhaxc#4 zM99w!cv5f>YMHlf)f9X%NgHTAfDJ=}C3}WIeR3#W!$d;#(~VmLw-w5CE*u73=^Www zZsky}apwAxX-iU%hev3hgCn8uQ<7kyWS5&Hnz$~I=52f%GAJ1*=FcmMa~)TcpsYQV z6#eX3;uZ~!p;!xXy%^?+d|QFu6FByL-9ujg>c8vz`D;J=p{bKE*>dLU6R+OzvN!zp zRquH7^}DwG@l|(i+<)C=Z<##r?k9Hb|KnXxzvcG5H@2So*uI~v|En{11ohYd+M9l{ z{picDc*F8Hz4o<7ul>WPesTA)CH6&5u8SL2Oxen@PyF=IqxP?V#R>Z!_`T+i%P+tD zkN@B+H~-|1&VTgN-R7G|`!750${m%`_KxdgQZZ$cxHY6U8TM~W5g%B5X`{5_>?M`b z^*?#-ad+NwhkO4|fA1A{{_ToF-=za9mzB)o;ptLp6Bbn#-}%4#?${@b4`2PgC7*e( z4uKvXm<n$@Cw4=9H*W1q=(|eyY+KQH&jW$G{(qc*<F0$VtsCF>4>w--)UJ1Z;hO0i zT<e-;*DSj>*y?T43B}3crJp@^>*w|b?xS+=)>|KKUHZ|*7e0E&OaJPqqfWh|boA?A zzwM(p-rv4{UF)b*Yp=ZW?5i%j{+jc?|M5>fb=}qPfAae~KmF$`j{4m058SvW2>$f( zPP^r<y|(rJZQtzd`jgg<O_W{wTJHL7k9T(MbBnisl24Yj`Zj)T=I9NtES&rEyN|tP z-zh6LfA;Rre(?3DELwckmapIP&-Yxh<&D4i>5j{jKmPSQo_Jz<(`EnspC0|IZ9AUY z`qn@D<d=He*KS(z_EYct#Zi}^a@CesZQNM6q-MUc_M>k5+Sa+(EIa<<9UD&kFF$_& z2R?gQvi$ERKXvaHwr_a#C5vD8m7Bj;_}#a?<F-xBU;F)uw~Q25mbQnkZ>zM_CPCIt z2GOPS`t&wgx1@6PJNLhB-D%%=>Xus`K55I)HyhW!^3nl0motQiw`Vq4bk`y8EIxW} zVc)(Z-+A}XH@@tvU-b;QZJ!FeHC_Qdc;nWO&<2B}?s?zO&wbhC+TnM)$Ic!7D(<$o zoqNpw*AIQ+gULWEC@y<Ra!Vn#Nu)M8>XuJ#e)QDV+U+0RcK4%|&p-Ubk6wS<SMGTC z11~x1)DwqSuRV0xo$oto^4E5(9awqhReyBqRhQrLH{C0Llzjg04_W-GTb^0@^MBs{ zjc;w;`e6U9$8<Y1wnd8$xp&)1>*CL^Eq<>w90nZad%b(xy7;EGrR|^G7T<hb%w8)u zBh~$WlNc*J!)-<O5`~N8q*d(s8603`FI#k0O|tt<%H@3hZ@NEGSDl)RWu{O#!xz7J zCwqT%{U#CNPPQ4%3>Gy)mwRf8;JWArPpEt8*!NQ^{o1eP^eO(}`_i)PKBKOE&!l!g z^-@XdQXi<VVyhur09O|al5Vb)MLqW0_yxIxg+^5u2OkXQ_&q?A>;Pk5k*wNJ?z<_S zcPIDk-@nw$RVe((Q{?H{L6<J{&B~U`tUHD@&y8AQUC3IWTs1gYUHv<6Ud2+^i!Lhs z?3{C0^S^WuV80y{M}5+#&DOZi`q7XwShci_zLv^c->RXiOii+w7TvA+lG^0#bC;g9 zY6e!TS=l>t<dI9g7K?YLhpwrHRnvOC{B63T`3$ds`8HW}ySE9vQc6v6`WKgfT*G=$ zp4x={rs@7LNK*X4_oe0flXYw|6Ho4mr}kiz-B0eRd%FF|T`fMnC$q^Re=uv4y}IWq z)8hh`sZ9iX<~Es0ZGuR1Hep@y0(sCq<zv0^K{nC7iLA_@*`o9_D%U;tq&5+DITn8Q zzV}^p(T6{L55Rs@QvXt$ps!Vvx+l@w<RZ3%0q1^0F+cdx%t@0=A7fMEQ$J@<!jVh0 zo=fpgzc;ox^Q3ARz^cEoMR#MIF{2~u=FM~M<lG;j?%ns?$u}iEsiycZ|M;7q6D16C zo4_<Lr>8pjMxl4t>tEV~P58hJ<8vv^8-oDV>N8KXJm14njP#Tncx<ok4Sa5!EL}Zo z6J(sBogl>X+C=xk9&D4PGrD&{w~%-nvKU*pKQ=c0&YLf~XolUud#mqz-yT|D9XRj2 zJy`AeZ89^d`yIVaobS85O~k$Q{pB)m*KgmCO$vJ-8@Tt2nrqs3VJ)A#+9a<@>|mUH z`sp*sFbK-!Ih&mQ18pbwqz1vKDUSK*As?J?lZ_i+K;MN;&cG&F-zBemooaoy@2Wmi zSe4mi3G*)BT-nK}duUVpDD@nq`#<LOU2yj{LBP3oQeYqYTpFw~^Xz?>?h2mYcdgpY zPT|ZZd-hy((cbDg=e+m5=biT?Fu8lrFKs6>srwz-H>59ErKj(~C`alB(wp7CnBjHL z-lxuF51*O0?@>7GBTGN)=J9g9E{K}oy<~DSpN3wO;(MMCKRG@q0dKvSg=#$Gm*Qy! zGL*S^^Y^`eV$9PiZ!Be$C%4Q^*FZ!j-5Usf=|bWzRvMG-YXq4>gLy9aaTy|yxHj(h z53)CWYG^7l18Z>Kqwab><7dVqWEhz+>_6na#)L9R`OWb?-|xvRJ(%u8EUn31_`avq ziPs>9B|nwfyWq8KzKll8egHlT`@NjJuUdA#xP+Gla(=Z{rB^|RQ&pU~{fqhjME3d} zF#tXL7%S1deUGG1_c3N}9&dTxm9psGz$2pQW=*Gbmnfe!--Ztpul#r*)$+5a(4B{? z5bI6l^ZeP@==U?`F8G4V$(}={6FK}TDYTHiC%Uh3{(i<y;to@a%VaCO%i$%bO<ksR zZ#}K~&`9*HquzSj^jFp8r}g(n$dFifn7YjUe7cn1LvlX*8Y@w{ukpC3?`GYH$OhtN zmwbNevP$%woB6o!h9d^b%PuUq;fbg>Hh;h41iyRweU8z>eUOTm!ZeTmJyCwBh)32J z2FL%FwkmdFzX%90@=N(Tfz0T<AqCz4e$PFF_h?A>3!Sv|tlw6@?l@OSdyR#ChmSb; z)?J8t?!9v`Wojh@yLTUT5s#HOFHAQVO6M-RM?CMk*iH0oz}BMGQk`U~z<y2rE<*}B zz6`Ejl}<u)B<`Jq<y3D6jGfG>m}|^~G=3i9`Mo;UFMaaG+vNS<*u%Uqok?g8`kjd4 zof|jmV<9$Kb<)fqY*Bn_lUxTcWT#fQtLf%I`={rCnN`?iPiB*=p3^4p_<A~jc!sZp zq@14JB<zEqTs^azP4RwwpS202$;@M`XAZW>J)57^Caad_`DAjxNSo+$%F>xCeZq@_ zaLt}QOP4NaC&C=tw-ap*CrNy$a-3@?ihV)t<Um162O{Q&nOZRezxKT|&(ltFo6O~& z*OB?0m_0C(bJD19C)BoCo9LK7I3Nevq&QekWjnB)FhN+r`9<1<-P71a_vgMi*!HD8 z>}u4xTncGB;mMD7;%%~j<*PL&w@He9LG2{tIO}9}dp11lnVgZOb2fSIc9Qp9bal_$ zPWT4Ssw(Y-y{eQU{Z@u%rr&3IY+%p9Ho0f>v)bhUw4KZr@ZYZ|n|Hq;0Xr{<>OYA7 zLj#!xs{E#kH!$3)V(>@p)PMT-SveE&x}a{Y72!Vvrip;QxpD*gt6bg3oke(!Mu;Z| z7lmyNayGNGkp<raCl^Am0K#AJcXNJ_(Bxp%<70V9P`?6U8|G<K)GxjBSZNm!!QeR{ z&)r&+3u>7c8zs-<)bGJ&E>2Uk(6Hn0S-~ENosUqzk)BakFTkdSzEC~7IEuS965?>> zV7>pKxd@Mg3VQcD9eqgoDfuy3D0dd5&UnwuA}9ML?u$*4T>DEH{<7$W8QPrO8_DD$ zT$}q%=25rFg==weo7}Beu_a`Dh?|G8+>^VB_Y_PP&Rri2^{xcddgtE=)j<&nuJh`r zHfg+qMgW@XfEntv(TI#s>P@NBJwUVRJqM!%887dj7gp~<;10s1h`v{4T%IG|muEp7 z^kxo`%n!_pl&ioajOO6D@vG`w!sqrqJCRwKz!;f!iqZv}&g*iT&~`<6h;olAj_2-r zJcmi6ls<<5kL6MteM0|b;H`cj5sC9W)Xn_|lI3CTArGb^RaP<P(jQP$as~${o{M=l zpJ%Arb@O6_xolFb#Ze-K#4E)LzeCT0&3x`z3+VF1cj4ieV`nP-=EB<OmR6f^l$Bo| zLNT<BAQgonNdiN>>*|l=#%niBrO=AQXa&mP`WW@7mo<!m_e$#c$doQc=dx(XWtaK^ z=kIejGwXHu=0Z7o@KgAl3Y~%0xh&wkN98P&G(%>;L?dP<7R80a#~SZo)zss$Jg{oK z;p%ef3LpTK{sKN?putrL(->#)J4W#QtzOBeQ&sv1y#smG83{c<ML8L86VX(!<J8Y0 z1|BNd5XZQ#kgiA3J6RW}sR4}pQ4me@^D}nL7KgHKOxC*rryM%?-^1)cXRQuQFH*hV zAT1xZ7KbqWIuH}*SpCKRHAO4dU!)?EIP$W%9-sv*H2BiH$M_rJqdwx4q4Lliya3*x z-F$jW<&gnT!@1iem?Ab3=l?t*8(<=cf-IFf&Syyh=ws3fhyV?-%4_x_5kS7tdhZV7 zeF$GVa%)`VxUr;5`T1+kK>>!4{y<8MZirKelC|8&QVS;&WL{z6_Mp)iUY<sRk45Z` ztowD@Bsn5Zlo+SVPxwf4U&2}kI7A~F2b~OS5@1wf=RF$mxOpM1Rzss~EsZj!X&UHM zFq&f88q*Y<DuEhWGThH`KcEnRda4UpnfpDWGU~s!P272)(qMY<2tR5PmKLXFNH_Nr ztEY!)Ki#5&u$YtbhEc_M7Laq)0(q>^Qt`?%K}#CNf=SgETxmkAZPi?(7HdFoRrEj= zrLY3RdSX~xNq}{{oI6qRG#VK-S(NCqT1Mt98tn$ypSi6@gK4_c5iksG=nR5d>4Goz zja#wP5=PSkitNM*OrfuJ8SdKh;Sj^IFxgllpm0c`ib^5f^`v-GIlXJv+GhkF*-fL; z2!A*8{5JJl$XydnbO{^FO>C1ZPh0qXuO5#NERNw%@6K}J)61xvm|Y>RdJVTOwbM9b zP0%t%sWf$<+Q9XNH9<zNVZd^Q%vK@dKP#uz<L3H!<LtNpNThY%$H5&RVEtXSmWD?S z7?UEYxSFF6*(l18xQreeGf46RA<L<m7DUR0V*%9ffpts^yiR|Jm=8t+c|lb1)C2|N z@9HAi^TC19Ij=m;Z2lghp&|A`q^Z)loC24kdBs^VdHU3Kxq1ldc7KhhvM>dMQ=;8Z z3d(n)nJPmu%3DjU1Pu*^6{|RfvM+ZXtyQF`2&;>s)B~uUazZbyF?m$gQ|i)1ql>T% zE6sr4)jFf9<S~(^-ZTbR8*R<055dSrS!$EjF$Sz6=PVRc-=I{i1V*KSM%8JQKK-Zr zP;UzG%e|h?V`niwp|}hQT4Ok7L-{QP$FJA_Z-$!4+={yCP?%yUJ{<!F^643|4L(?w zRonWPu)9r+Rqh()bM{%{r<5LGs0GSc;6&PG^Dn43EmuNDDx)i>WIoc%u0GA5h*U6d z5QNfPj8RVb?D~2#+zj-a1Z;meR7RSkBdqrcLXKRRXpRQbn4o7ZuBG;DqU}tuXr5$) z!TB%=!cbnbk0W>|x@&dw7%2KLn!J%FQ!Z7qQE6Ft1}X!Uq6%qBjR1JgChruy3&v#~ zhhjZ0t&hs)P#>l(6VuT3;DiGLhjwTu)}Y>gFh*Fb9T7)<7Bzt4-)~(=F7|zYS!`le z8WQte12s!9h*hjCCKXp&H9c=FTgg{MKkKAzQ1{&!L)s{s3J{BPnMq1vTv7>%rF@No z_B-gFC9axJTxVlwT5#}Ih0z-^5XoSbHR)-n(lwf^jm<GA+!$Z2Ph!)H)T^IJ`Yt9H zF|-!jRNEgmt!*nXm6Q)vgg68YjvE<;^C*Wo;&|4OD^=vQI@(c|o&uCDHIHW_L00)p zIi$BRno$P64EQ<C%-2?;Rq$BKlp>T0hkt7=55Xx`X_oy|OrSxs4J@uNts+zbO!c&p zyT!7+Nls-hS;<8KEY>6Il-y}@V@e=&8lXV^)eW?xqBUG8LracbgFmFO>$PMRX_~Sb zlp_07w(o+{8sZHp;BVpxZWYrHS<n@u$qiQuc=SJpvQDW)zl@ChDvo8HE6#XQkG!kn zyDu8C<C@yi^2y7B*AH;aD|0{swohQ4fXE!Mo%Q``j!=f`_qSQ(oX5_2pVQaS7`<8b zEPy4KW<i^}S-H~kr8wX9YivCw(R)By*80Ay!Hxj>t~?#n#`Q{IwWP>wDY=TSMaP}% zuoa;&?C(SkMx6YMIf#HUTX6WFgW*}FKuQF=d3_`aatNtDE?()xi4ksUd~xZ-U1_Km zQc^UP!5D*&#nu>H>#b3&T3PR*QO8vj>rW-}VT~qc3eMUAbe$r^D2)IWt};G#$=8F) z+|+S2A$-(G?nb)~U2eqqr(wn9_m}YGlp)h3p1?P+EG<lwJj*9`NNY4IMaG7cqfDss z$J+iOhGI4w;>t=`(P9|>m^Nx-1Mr6xpBt@OTQYhgo60VyE}$q!ZtC|!lqs`OMyiP} z_bH9huEHZV@E`E+N&`IU2M81xZdKnQ7bW#u`iLXG$h#KC=p-q<+CqnWym&XXBnV_j zsj6WPa2nSz@oRm&l+y2cCZ#vnG#;03A}OWmBy$f8OU5UUp9`n_b9|z8Omj+Gr(6?K z7wKC+9BQiz`fkm?_o-wa%<C)JcRhE^em~O^6ZAcm=Gk|5DpM35Uem_`zGZqQ1VQxD z%)P&KCPD<!)umd$x}%5J`gIrUHKiJY1byGk(*IrR%3qgiF?fylZdR7z50_43I1QHF zt?~r=9ne&cR{9;#;s2k#_koYBxbA%G-oEO&>Jg)s(EQ<#q12W^VB*LglJF8XQ<4=d z6UR?u5MYwLk^g*HoaB?>#NNT1Y^d&Oh8{tPzyh0O7X&e880Q%_4`-d&&u%brh{Ln7 z4bJ*)aLBG-Ub5b`Co#lcuWh~Wuj<}bOEY80pM9I;<0EzbIp@@=Q+2B9*1fl??t!RJ zHeKLf9(~h1WfZ;GCD5K@++i$xueZ56@_RiF|JPrwu9$R*1Drjn@d>zZHPY`}wGqE+ z13&TTmwX~4m~>u&`zAv^>vF8HflfO7Nbm8V704Q71w1ElmSE-awp%2g9&UFRQA9}# z$anqzeXENM4eRH7k~dI!3?Igd&g=9ba`=>X=03Yh)O-RTI_GT0_~HBPBIxv!_pM&- z!#SXn%KJb(zccVjPRe<q;;TH4Px|ywkxvmP-*ArTA>|9C7sPmHvMB2xL_fV4-$Os= ztj;3&E$L1LaL!~gl)4$?ooRzXdqP0!j7t>|I4aP4(@g{Ik$^LP&J&ObejZ+;xPWfv zg%zzIBEFxepL%S#ul9{`fllI>KE&$VbiLUCsV(U8VuqsoqR@fYbtO)Jy7*Xd`judv z={kUTSI#2L@&mZYuW;8mC<=+e1;2~SkC-DA0`gKq#h+23awlwn*#e(&{R*?d3}!ka z6NU8|N5LCs6*GwA2UW!zO>y}jWt&(iuuGlr@sWyTIaee%VfIHva$pX>lGg8w2Dsqj zRQQpdlR^b8U<x`JE1v@Dr~hafJf;DZgdoHgF)P9SK+N^W6^@AL5><ZIEM_hP)oW%J zoRPgYrWaD&@(P$L1DpMxED|Il#yZzyG3LT1%b4_mTBbrGr4b}3%+L39@gSH<=rJo; z!162GbIB$<2}-=eN}yj4f(W*pMMTWBM?8kR<m>rjP2H*gs+Xh)sNw>YA~s}NC|0n@ zbA91nFsN@84XJfYunulLXpE1MSl$pONMZUA{p^H;EZ?jDbt?DtSxSBzGFvD2s*kqH zLws>1ZXE8=c8P<@lA-A=v4k_OZVrxFXKbI0ev9;>Usx~*3KbIgPaw;WeNE)Re7ssH z1q23hVuOvM=8DvjcwASd2T~in=W#Z*Epfa4Bry{8>eo0QFhh2#r%cMWKHX`Ow=I$v ze(H(yRnwGm%!D@Kbo*<K@VeQ$nl|AX%>=8Vam>ML6^%{E+7J}Z78GGDNou-(NfZ<K z;2y_GjBh9dwF6twkpu{P>Tls#bfHr!E+~8(I&{p7ud9RQf0>M8YH{Xewl<8(LdDeM z1njcKt?&ctx~JVRc7>;3y*u3`vMD!$97R|vi&j=SG7nK950`vF>!>M|3zTneK9T#5 zIuLjIN$R`2%i?3@o+Me;C95k;lj}Bgju7c^*&)P<1_>FWnM>Qo={*tC$J{v%Fm&4a zsVkTG@ZvLVC9_0QhXcjAcpc)F*l>(XeL(l#(-}ml!9zY!Eq>^nNrxcOr#zO>I2&MZ z^iFw`<a*N<7uqG<K`V78u^Am05pX=3xp1myNR+{^z2lx=Ha%}9+`QpkPsaIiJQ)j> zWqi|zTz!4I{@}FlkRqft-UeSeWu~n#&k^dzdmr#25nEGTq=AQ~3Qy3HkS`7{sZTcx ze!Uok!c&Fp)jUp{PR)2L9o3VY#LUc0E|OCV<Cu$OSg(2wGfz%e$&!vsc}ijG(@u3v z?V1rc<CFO%mDvt(;7-CVu--LSX(T!IkLgs?x+qgtG&9EX(^DOnS+Z~;#=9tdPYYYb zj{wHg@tpM>SeBep&1RTm@b0ai!koyqFvwclzksY6Di*bzb=XA}pz>s54TnZlO{YFX z)v(lFcR-8E&Lo2{GuHvLtkRkRI{`Yj8RV}zcSYjr9<CWP<MbbU8B$$3XlJM<gl1ql zZ^@#{YTKFy`L}A$jhgZ4l;adu8%&^*OVc;h?II;g(SOl6Ghn9en+*X+#`>?%X!(R2 z$hW75@`*v(EC<3M+HiUk6&8w>CG585&n9}CHZf~me7YlE1}~raRPSNwCN!YjuFMYD z)cDyb2NCsr7Y)NjVPfjRq*Q>RJdTh$l%?by3yxmO&$P1<QVrH*;D(ul(ejhhBYzcC zjsuBJGRDKqq6_l!LHZ4L(>v%)FTVANG|jU~Gv;{+PWuUb>BviT?#n4AyNJV@)S7hI zM9r{el@f;8DS1;LfHPUWZM790g>16DV+VJ+b4`7*K|jqhPO0J5ByC9%%j->x1d}#t zAWP<Mh+G5cxvFEJD*dm=W@-n#wp_;X{OZY6)9W;!OffN;S?|m=erg_;09VwP*8!|V zfYd`UnU9qMVn$On5=&~Ros0%3OvsFi1CMq^EDH3+GeaL91&X17&@+9AAR|<Tq?QO8 zshKcTGuHyR&UpGU@`+s3RBEiTPQ1`OD%lWLk~vdf<!Cq%4t6IzZB|W9Vf}P4ip$Ep zR0HZoCAczB_^~uStTF@UgUjeyx0zEovJogHMZQ_l$SN(;DdsGW^-abY(^<u_nQ=62 zkD{bX=HN(SiHC++M+>yPl;<5Y6dG7+o#~Q{L5b7(yvA*gz(`3#e{ob7f(Ql!8UzZB z3;2LV87+Wm;4`X6R#5|2s|a};0?|Rps5L7z^H5BxKL>T{eI$X6o`HUm6HNo?X??0* zMUF{*Rkv8M=(^q!rh(F{Fx~?)>YL}mre>8>PU3}7a?%W2^D}MwsafSlAfF3)UBq)p zdk@mJJOg!94G0f3GEinhwK7Z;l)&};CMKX{nNPz2UTUjV5t-TUt1A;(R_7EjN|>29 zFkTzu_^T{WPjC<%FB^EPh3pAqrgoX_9eO4w5<67_7X6WSg6hUk^Nx%@k(w@Y&c{sN zWH)6pStT|PDah$a8B$D@Zb^(~7^EZwByt>O3K0593<lTXI<g5xq|0$w1cD4Qe+93) z`!S>`spnd$jEty)^iYaqo~r|ycU!ntB=iH39h}j3(oAW#o0DFW>8<;K^fzs5I7z9h zD=$$H89%BotIy&COpKDMRZ4+HAQ59#xS$*rJmXVuO=8I_V+@0LT9+P$jB8jP{4=PP z`P0%tXMXfy&I9tR40iJaw#ltMXs%%4A-*@Xm5SxyF|v0yAprttU}{qC;~FuHZSmt8 z&r|laQJZq%$jj7pUXl8!Aryviletv_9vL2t^e4_`=~Via4Nv-Me^3Oz_{l?mq7AkT zd(xm=KADHvqz6wP!1g~!A;Pp?%go7;#Li*fXj!fqgwixUtSraHgUE|W?a~^`2U~{D z!i>fmJ}E!lGPc6enUd;}Z|H>+o`d$h<4j*LRz-5>BG@}W*RYm;))pV*gb(sOX^5sM z=&ecl^D5mV!kH?r!22N|0yqhFp>ml(H-)kZSF~|!tRg1s%C+9O38ZJQ%!Gq>kGXVC z;YH>X%UGAuMvGQjI_S?Ur@_qgu*^5$Apwn%OlbquxDNMIjztxUfng?32die3Q?G4) zGOauna{WiJg#bLqngZQ9Wx4u5Wh6BRQQcYg4w6O6ul^VGy@uDaQfbQg#@J^0(`hj0 zWae%J51Njd@N+gN!60K<N4GNLi5;BER(Gx1yXV^WmWLY&7j}LEyh=S8pB!ykukpB= zd2X@EAPZWBdkyl|!KDKfIL}9oHJL1ux?7XR#qTkV1FxXevxuUxXD2b#pEW?f)a^vZ z*j39KR1RYoFV!<kwl=jFn7KdS<?T4t`{0bZ)ojCVVU*$=*Q`V8L1QlVWaP{x>3min z^rqEZ<#`uUXL8zIZtiv-@>sgpy}JGLPjUtu1sx*=b-+J1ZyD#KIaEdpW90<<;4vS_ zoG^Y_Gi9;RW55d#uV!bxH-iiY?&V1~nq>?q4}qVdlITLK@T^iNucU4yyTy!Yv|Tl@ zjUq3l(N;5Z@qUN-qNxv_U-v6sM+KYn&FOH3xyXx6Wpt1!m@Hm17murMsAOfFIzR1M z55SN70J)Bw^H-Sb8P%4n%$KtI+^ufJj9xu5OL?;MmAeFM;n4>vRNWjz&Akn!yg)=~ zEzY!5%k>EZQ77e!PFamNe$tq(IEd7zt*vMG)CcpV0!mq%2^uQ2(DIha;iSx)`o!VN z^31Y&Sau5ilA+emPo{p^lGn?uF|(^-ex>v*Wyo_zI%>qE4h^VM784NgH~>jdv*|;A z9il1irXR)*&O5pU+VZ-(em-i|t*H)XjAY<65=Z{p60=kg?^a@GjAtSe<<x>~PoHa< z3VEmLSfG>mVZNxqF~EjyFKOqe%*;C>2pePF5sGXnL&&17N)s`I)rDX~?qZ>_N0S4z zmqCNnQA5pYj$H}2XhBsvi8q%vP0OoIn+iGtsp=;9G(M)y#yBodX~w|FEjaV{IS$yX zSCzSJXetl0w8tfmAh<lO)6I8HoBGf+wjbB~;PF}RG%c3tWRCN3s}MM~i86T7&~nXa z6_>$$CZe(_HJYMyfu|D*bOXJ*CgnyzWtvSFqznIQ0uH`;ZXRIn@o&H1R6K%FAV4JG z@>*#^Hw%DGb6$=mZH}o*oe;+H*J6SY<YXXbbBA)O0ft;I#nVY>Hv=aj8esqkRfS^S z5mbAbG2;Q-HPj}JCN-ig`ssE;KM<!<9r8|X2(bJ@K=$xN;1D15Ko~d1S#J_suU9Ig z3~OGsgQ-o8M^;?sQpz$F<#1xv5KXj2n<agHtXiL&*v1GBk7=N0?R@j_dArU^B|5^C zu2eI{E(lOrl4u4~02S^GqjNUq=G8$$%|Sz4fYf#1Z4ln&T)=elcdIb!I^4{{7mLO? zMH8Kx2{Fgr7UNo02`NBXV_r?z;scQDiLup&69Ews9Ln)qRt!H%PR1U}Y+S+(^S~rS zIaG%k<_Uyy)QE9xDNPak<XN|su%dv1q;3Yxm{pkk0=Q%c%|FYKK>g0ed?HC<s)mP# zoSK^_JU+Pcl|J?G4Nlk^LUM|eg(m0V<rzrIO%OHU%p%fXf=XwFM`_cKO(gD7MvPt5 zNKnyEB6^}ul?ZT)`d7T}grideF8-W~1|&AvQAKwA02f?3xmCb=-FqV&`*n<+FmKGe zX0_WhF4ylH5}PmQ4U;<boa0!$M$DYTD^RVnK8z;pG$3=AuNIr{649cWF`hMvKBJ)Z zWRQH6m8I`ehJ;m+;z|pp!ezkeicU#LSpw%BTjRzp@m#0RldChl4C|0iKUb&K8E0@T zrLnM?0vu@iVV1fob>Y=1B6y?kx&!m`Pjtpm5{OxHTN`2~U1sbDeGR|bd^V4KOYjPQ zf|#5%S&YZ@x*D`K6*YOo<I$UKNe?w586Zt+O|ni1p-Y#%uC!qQ@C>&6JTmZ@c^@9Z zFJZ?|aIR;{1exNCMrG1@N^0<RT?2T(3Z0>eISN@jIP2Ls%IE2&Qib!J$;9J#Lv9&| zxDlfi5eV~GYsjN<pcl-J7?72?81?cPNvu-<S|KP8F)2v`pe(p2o0O?Wp17b(AiCfx zEy>E1Ofo<e2`wR$)#S{pM67e1#}(kyQX}}_B|i8m+PsAHwUEF@Jpuz+-=f?dO=>;A zK*E8*MPMFlaV?$%QPd3WNN0Fn1EzqJcaHgZt|#YpZr_V8K2RuZRG|=_s|9nuW=exn z@fcRNN}Vvg*uoDf%X2?_l@PFEg`dH%j0WH17WtI;GCh(R8~CtR$X9pOx`5Y}f@(oh z0YQKCPSc^0E+!d2!ewZ3zH)Kx&N0sS<dK4BS%44x^Gd^<fJ1V8K2|?7p<n#Kycs(u zwatICyx8hMUfJqBe96?{dFN*fW?V@G^>coZhp^sPRp%C)aR16RJ~Surj2518sk55b zA0)5*Y+VQXfLnDvCe70M#f~YI`K6Rv98~wOKLLu%eMm66KVLR)$_JWxJe!fT=i>EA z#Sh<Y$&IDCHt4ieM)eLv53PuWQ{ku36e*cEbIj^AJW|*c&3STU^I8@b(vg#_VayY_ ze6)j57$!W^a(+_JQ6{d$EG3h@FD7Q&hC^k>WD{z&i>^M)%}NFS)CbkMrjimgx1tWZ z8CXmiR#vnmFfr3v+io9+d9Zybfl~@_ZYSUwC6-kStz^PqF`7&|Lo2vocru+fxxLV0 zmT+pkH_oTE^d@u&IVFhj%pJ|@fz+p6G~}+T=5iaTh&Rt*31{+q+AuNF;G&Pf7w)#k z{D^r{Q@P8`{9euXGrMcd-+Ae{7~n5pcvmpCCiNutrfF_GCCoj{U>lZtl4pKwDIN0+ zVD&d>ioh*u<x)G1G04%n`7D1UGf6ua?!IIq^X56~&s24tfO|O&g9Rg>XHu)Bf#ie= z&eT>FXqu%5uQy{p&8mijS&bj(;jMWklynjK84P?g;u38&3D*mravRf9$`ZWMSg`YF zw|(GqTc3LPxi6X9{_-tv|JrqDuATY%fBE_^9BQsC<u|m4C$P!hbCS=zywiE`{K1_o z@4M|IcfI2WKmUvS7tT229Y1{C`#!q%pKm<;%Wqx!z>h99pO{=YF!k!+{QficefXbY zQcq6F>F50Jo!iFz(tB@w#Gn04)82f>&u<%^pPZ07DwF?W*Gzu8bN`W*=eFjb$-j4i z&$jg0Bw2mQw%@+=^_PC`=$mh@|Iy_9rysg>+cyu6@4D;Ioxl8+?CVdC)0{(N<DTx1 zYq$L1PuKp>&*z+%x!St_v;MBrcxJ=>^VXGiEx&y*AG-DXm(IQHp8Up}Kl0PnkNk(h z?MvI<b=l<J`P;Wv&o|@qPpsrueIb3vwO7{;-hOju#aGfhzkG4^!_S<v?aYbaz8;(0 zR;#U0+h*z6cFjI@_(<lL8fMjxb=yn-(YJhpO^)7Rp1i%b^4HrYKX*9yKVAFN*8P8! zJXuNK_Iq}I%)hVp%)$FV+uXj?dT6KFkyP64mTCD)tSNsGy%!H2Hs*%^wC!DwBv01g ze&ph2@|MeP_^G=-I`;Y<gAd&J0XT2)$)|5zx@~W=_xf87`v>2XS8n~nzVq*T{f>8> z_q8im?mFiOANbaveEMy7{<jM!pMLVCOSgU6RG#|b>%RTNFT4C#ejrgl!zN=t^n<^a zXTQ>Z?}3?4`plum%|riNy7jWoq-jI;KJi~%?M!wLA3n13P}A%@e0E`zMD_xbCqH%P zZ-3_H?a$4Bamin9F5NpepZ(Hh<5!&dZ|-{bqnC{S_s?exWYLD(zi{SVD_{G`orgZZ za-(_fDRW?Nw27$<t~^*XJDP*r+7B(g_|hZhU$4~Pe)*0&uGl%W?Q56Wy(8&x_2+jq z=J#$J`sKs7{>;>0q}h%R4Y_j0sc-()<!^cYHD{c5#`)V%s_(ibtu1HhwDd$fojh>U z5tI2D!vy&mQ~NXe#E&%ZSvq?C;oCpEH9M=8Up6_u@+JS&Q}*|tnA(~?TRCTbg>yWg zy8N8WpO9_em77~Cp61swRqBDp=`)({!sX|DdN1em><MQFa&`-6ns7?V0>hAb)##|s zj#&$*8me-{vm1A)Hq}^L8|Sy6qbJvfuQI>5XYIN_DP@==Q{xM}8v`K?&cFklGi4h4 zAAelu1+A@Jv;Q^w*4C!R6&4R?v;@B~C7FB9Ez_0$@KFw{IXbKpIKx?vyYbLerwB5k z=s%nAMav^YmHFbFydcwzTt(hS$AJzRv+v$-42QF3e&{W4sWCz*-wVTepXJ$lZrQeK z_wHNG+j*P&+>e>gPqyaoU07XM{mB+$&_6<>F)&bBNSDeuK2-xyM{*a2hZip4WT0N1 z1mZfq-~-XGf5{|1St9=J$HyjXM{1A1YIN=L%OM_PlgdD4WNqzUP9c0M+GNdq;Zr)z zu=rb8t6&qIzZW6eWMDuxNs_g-EgWpYd0F=&JJefglOspRk*l@a8{xz@iRst5N3VQ( zsIsppXOLamWdG3Y9&EDv8z1{9_+f0asyhD0x=qv&jd^wuo0x^#C&!~rI<wfsJXbUG zvdNLa6Y@K9_SqY4QXX7JEyxnP&F)K%eqTHfRP|Cq49A)ZxaYUYb#QZ}*zl3s+N(y^ z-v0LE*<|+uM*H%;I!bKe%WKzNH+<FF7rxnNle5nrzIS*{=Tt`6PY>ZlGJ1<_V$7EP zC-3{lH-@oE1W^sQuM5NXE_~w~d$yPO(#IzB67>_#H$}hQoUEjFG;5|xmcrlm(x>-) zbzi?t7K(ndf6x9s^w{11{bL{fD7~(>w)Wf~k!Tg(qMl2e{O)w^UNi8?@lVo^hd%b@ z5!OxIJ3lZ#kWKg7WMS>>v%k7<`Jd>-sUq`O@2YJzHV)ssWbOMDi+=@Eh{<O93vj&i zE+t{TpX?K_4oj--eidizQs`s*$>T?k9$EYH_{cX)o2;$<;#b!``jsLlv^{$7uxa#c z(rEOLUAoyk8Ko<fIYO`r!I6sk9`BPj!FRIB{Ly~qo&R!V&sQ~eg?bUCw8`wA{fu1$ zSFSO3sdV%i*5|E}y0l5G8@K$s(+`+|`Me!%@^~YgevTpXxteUUK6dTj|G)#^$tGd! z8vZ_ww|(`GglLOCTxn8iyAC>=lJosJe>2Ahk7Twuzmsz|-)(iqC07+=x}3u~#v|vn zIH&UwO?QOXYah~e*V6?)a}krzR2<W0Ob=?aS92!j_!#Gdno-HgW_6Y(^54w)oK|N` zLbqA%<4lN|V+vyWx^5g`tj~|WTaQ%svZf-L%5;Y2yfHFu?!?VLt{ZK6uIIdT8mA(8 z$_vCi%;>B^hGyJF+8MJvR^MV8Gv>kb3qQj@i|1xs%K0~`$1(AI&Y_Enb2`l|WkRJL zGv<l0I?tzP%+&ev-x&P#EQ_x)s&2VBUxXfdHr_i2G~)A%sAI}(!kMPQ59fg9GjDyg z^Nw9_J1d;OIhk>8XQw&EEe_{!`YX^Xz1ny^pP1#G&TC()b2_0X(1lMRys6!J`DA8h z-=On5-<GYs)ikf=JkA}aI2-e=#$N$jIM<W=*Bzw4mM9x#J!~c|&;N{PTkcT0E8!R9 z(et-Ne>t3!{tKMJN&JjA6z6<Kn%w$-EzkA5x}tM9yR+9$9o!|#TR8)icUWnC%3o2z zS6XBK0AoiT>PB>vUTeCip0`Eq>4{T$n#@!2?(6uOx|^Rf$%|iBo;|8EolY4yr&n3d zi*<Ctu7{{Y&i&l=wm-Z5TI%9FY|=Xi^iiD$+PJ9hbe<>qa;B;L`YU-VY5rq6%=P%_ z{M0__z5!xC>!BWfHVg^OcD^&5|7;Cem8VOt2O7nkWWkJR-nO<jz$4}3K-sU&$9mVA zf{PQfb!y#it~|PMneNoQt_7PR|Fz8+E`6I&8?#=!5r+L!=E&-BSZjRrH;#4}t{SFI zOon)w_*IX8ii8WNRh~X_c7ao66a|ydI1lE+3wLuKpvJ>;J{P%<FwS3MdR1b$BvOym zd0EG$Ukc~k=%e#{sK-sPiSPHnCN<)D%bPeiM1SCGvdO1<3Oul1Q)p@vO@mFo%wd1W zgP0$goX94+@?ev$>5_c)SP{GU&d5g4bYvrDWMlkBvvBn3skI}|nSZ7F!6u)=N54%r zS(8oHPW#l;Ysc6`TFEAlb4j{zxbgHIz-F7&_F<E?r+ayG2qk$?=Vcw2exdBJ?j`1F zd7EJqUv_>F`MmukdvpZ-E4>Ce&?p*fX_K}2+09vnc+9V-cgf?8zs{TM)+&a6;+Yu& zo3t(D<&23NVPVtpnZ@$5b*5JhU&t3HuQIja$<RM8;n2?G^^;hh(<s$un}qiDeEo#m z5DuK|RSDB^-DFXUvW`o?)NFw{()^z1waJzrP<1?iKiTs8AL2Y!wW|mN`=d?P`^iyS z)^VU`lVkgdr+<8EjTR}JbTxeGS3>bM0<+7Pn9_fKo0toacCg7lQ`%$?X^-tEyVb^y zoc5`ag=1`@`zzT*>Z_j|0gmk_yO|rW_tB!CoX{q<A9T8!)l-Ky>|abZmEj>~&wsJw za;JTY+k`i@X*|Vk;7e*Q_yKPc9(_W`FX=pQ4D@>KVgl>w;TtOAc@H1x1wYt;Pp$my z1I7NuPsg~aZ;WRjpbxw{=8>7OkI>XV&A!I%q%QY2){A|Pw?&;Y_BWzXQZ;eEq8`!^ z2TmDfA0v=D9AoY%<wc#UOg^MDpXe1mslL3(_fKn1Wn$msjPRxz_c<ya_{R%BDfCEE z)fMtnC(k@gKK~Z~miKy#7xpi95Y%luWoUeR&iKOb=y}Y2le2T6<Gw`V@6CC<YW&Q7 zlk&s9#ONy?>7)<*^4X_oVGDeD^k?NG?;@p(a>Z{IGn$YFKp%j8jNL^P8+1{>^iW?s z&}mjLBM-?sbYIxl$R5nqMO*A|ByETX8Bym0>^saoHNWdVyGpY3;_xDj&{<x2<)&$I zcn%-sB@Ugu_|MYR%%rCvNAXYGH)-(?yoNf$*ZxUs0DIr$VvGkreJ%SQiJQMbo&?V{ z3;Fl~Vm^bi=p2tbUK3vVhdhk~#}At`NuM#PVzVH=$Wv?61zGSC0x~&LJqF=-)}(fh zxUi%SKfD4qV-(*A{qoCjcum=pR;DR^rKfHCC?^d%mHB4fh%Mq><H~q1<g9ScMM`u7 zUkcW^RHa^I!sois_z&}pE=Yo2i10J$+9jlTz@#n>!8GDrp#eU5#bqCnJysuRLFRd^ zLHFQS026mGip!1oP%o^{R8h+(<C3o&L%!F<yom}NF)NA*ur52s``t2!6La#m1me2< z{!j(V`iY_^|4M&O&N!%G9KZEM;QHT9DhfpA=tfMyR)UNIu^4<OnOYSaK&tU1RA7TE zzYG-!WR%&L6nv$e%FIB?w8>YgW64WG$cjkxx!d9vD~4-<C0e884t}t-93MC{e1RpV z7tWar(cnl@sb%Sx)a4#WiP`9vX^V8eKmE?W>wbT#4UMpm;7$;ZXtho5ZDRyiIY&rM zqtHL_XgPj(a~XNc*Xu&YG*Ob`0es=hTV^dqUo-|C15DY|17^Z&#o#93!-dB1>LsGN zxCIl2Hl8Lnq3SCeM1vuo*l=!Qf3<CrtLUa0+aRZ8&kYhK5>P0D@-4&iPx<#{%!Ytm zq`McALr8E;xdZk)IiYM`94NCXpN;7PQ$X2uK9&r{+SyoY>nmIZVWtB6%DWzx1YHRe zeq~Zi{$<?+so`ZQjAaKXd41x*(NLI>0bWkfy{A`7D56F3%B3GzT)-bKf|YW8##Kkv zUjZ>tyEWFouFeV1q+)rI&#OkGxA%lBPWgK`;XaTiW;Jl2BN53FE|zrTC@cI%U?HF+ zvz(}R8xT^%<@ts3%b~W}lSH8am2RV4(MK%TPS3lpc5$UZjpR`#g`R3oj7#$tkKhv1 z>3E0fkc8YJ))5S;pmnD#(0STe=ZrH9-W8U8+U<^P19;wozl4y3oo!+o-Gh26sADL0 z6l2y*<H3-UpU~h!Tt0uZL`>?)o0sUMj^)YduYoz4#s{{&6x(G=-ePrHjxQ<XiR1{x zotabEAxA4}M;t*w^~j<FBx>z}a!@$X+G!KHWX^cvCCMu`D+eBjK3y$&2}Q|Lmvq_Z zp&Yv~G-t%-z;r=14Rqp7H20o>fXa{RO~<%iC|YfxBVC%+JvO$|P8uiG7KNHYkX}tn zCBE3&Fm}c?;4_H>Ni7C$sK_Pkh&FjG?W$Ux1jafu1CC(g*)6CL@#z#*Qqkvy383gL zDhpEj+fHIZ@VA^UBEu^^#n{^do6;0QiX<p<YDmljQ#~_nk;XZiokLZ4J8Z;MO41nL zB%N{>BxaS6Cj@&-xK)?>gjkY#mXm1#y0Pl#@Hoi6fde>_0e;%o+9FP;suBX8QmNPI zxi*I2^H!kKpdy%g7sWJZl9WtloP&&0fS*txb6NyPZw>vi%DNe;o9{|NXz8r;(#I!Z zQKlK>qLgP0DB7^c6Z9ZJdH2Tc&{Dl5s~`vqFo5}WxgRvns76R&W_)J64N3%_rrcvj z1rO30xwLSNCfX@QD?qhExIB`Ld<@^mVnitr+Gfs2vKk<CSoI?qlqQTm5mn6s+Q-D| z*D*vX=)X}1v3oh9Q58X<5D#VbA!zB(4Hoc+2n#SXPsyp(0hX_OKEz8x#pjBgGU`*( zRJBT?ZM1mh{32_SBV``*A}V320%@pXN4!k}k59b>mmFN=h2PPKv>C$(6$4$^5LkEo zday^!gHYQ?DT9tcl`$-gC#Wa<kd<53nTlX`{?|aFGpU72I}6<*b~UEStA>50rUlKR zG>eV`s9R;t%&QEU!u+WrP7#(A3Ddw;lr~^Xr-yTh1Q-#^kwKYk0G42vaf-4YlzjaP zkn*0idXVBx>OFXRY@s%djJBk{t8KtECw|WGX=gZ^5`&+4zIxnJL5#2Zi^<FxL2L;v z)tV;?vjVJ$wF=Q7d^_aCR)D<fUHYidR4x30S5c+VUkpJ)U6!)hJ_atBq6e;#$2d_+ z+Gj<YVuorah-WcTCRxgb6u#|!h!gJRs=kD2KnUVWdYHtQWgZvsTv3I~Ok4Vrz#F!2 zWvTMO6GzSC(Yrj1&`9AeK>^%81Pqm=J_2Oku=bmtS@#4je?k{THXSQAmTAAgr<%xO z1}%AOr+y+<{riDB#1#;p3zjM~;2kaHyl~yKA#UstI*6!f<}}gpG8bu#as2pTIKGRB zAV!ovP-^eIFtci{;V&{O=Mo5>_Qyz5O%>>R(iT-yo2X3S?~xfBBs*Sy@CMK-%NX`; z5J8JaPPG+S*nn9GC2D=d$)ztwf-_y?jn~WpA8R%#UnGUh(V@uMqh-`-7L<USaZfe1 z6;tPBbaO?uG1v0Cg0U-2%7QirCDw(r5X^zx(-62Yp{CbAOx{3mg~n+_g;XJ#5+h3+ z>jE1HI#3*(MD+(_3v}MLptMQrk(R9b*zUv=z~edy#&nzhfZ<6R-!di_@}Ui?jfM#? zc>&pz<c4tH8dJU@Y)spOAk4VYQJoQmG;b__q$&8M27ugA^9VReYy{r4r9MX4f<Q4D zXH>o3RB8QOiTGQ^QdpGaP4CT2s6`S<JCE<VrjRZJ<1J2$s-V^olvbhnphxCB909WF z0sJY=oXFQSP=MMblz7H)Z(1%awapM<!MgWU&10*^lD#JZZHs@8t-V7!+<wNl%#jZL z18~%xD$=Ca6KHXjW#f`14z$gI)`TaJQvvdWk`@_+1!?qbsQWDxke=>Q!gB3gJ;_qq zf};g1!7YD)2<?NhihDA!8Z+3D2_lD|dO=%3zKWA(o_a?X=m|qLQxU~b0M<0KN=Au< zK@i!7eUn5aBBM|cJ%25;jqF;jYAbA^uVyW!2(?IKB~zcJUA7{%UJ+g@%_gLg2;5AP z#4RYW7KCe?kE2c}?O3!s3z}#Bwq?<;g`dRUd*e)(RGi%DtV!&a9hxIB6k2JVn>jNx zPXEF7+Ck*gA>*hL5TVi-TS>3UU~ZXQri4j#z?%S$wxChl;dUvTLnV(Nsf79KDl&yN zSHlcRw6s;9rnI@GU9v`9<uu#16UnV6NHA4?;FvAB8S%HhN82<>ALG7@d@`T;?jhry zgX%|(Yf{cun$LvmNjlWceCUOkgW3!|)iF=<Mp9Mg0N61j5LhF|8N>f?G0ZbZ5RFQ= z594|T)1i!vvzZtZ7VW*X3Fa}n<!aYk^}0Uehwk-SJ}T|=E<;+KIcpkP2pG)3Uv6&Q zg=H5(&@{-7{s_NAF1uktx{Ri&mFpgE&E%3%#+N8Wf=g(#(Oy_5ga|XCjIhojHILo3 zq>&A4t!wjU-~hz)egw(tF#1m|ePT82A{94h7G!xl1h|#r`P>XEv^^`;D+5|H;tcl* zCfq<jDyCREolG!|1t9@`B!6cLXPj!`@x(|9N-5eGGs+MXrT{ERTLZGP8P!wavY}n6 zBweI|9kS!6u};1qHC8Q?3rkEMkT?fbt#zLdaI4Su&?IY3GBZ|t7r~f02_Kw0hjh8~ zW+tF1EX|#IqZHf~!6HmzZo)oRc~K2Luo?P>CR{XJ6r(cX6Ik!m+`2Y*QkZ<}^`S*D ziBpxAP&_lkyva<;Mi&67lvPKBnHejip#qS-@fE5WUcC*b_f8wL$lDf)y+%Y@pi7!` z6k!AveiRd<aL`PeZ85oH8(J2!);&(1Ik5#uSxsYR=M+}W06w^KgzyT?QX-<+tR;2g z?2lO{0GcSkVZ{K^D)Xv>PX9rb;0Pr-{w*rrC`W~(sB~3LSYw^YZlZ2xPpMK|M{bFk zvy&Y7fcVL<tAgrb2XC){j*P;5B~4o)wfRvGhe=S1Y9|D^@Q(;OJnagArW*#7YY}^N zUN|qO=fP~Acy+*xI@kquM}4MMiKgv=v}q`2{fo$=Ctwba7D8-Fii4^wa-j&ROGe!? zJ$RPsfkjY*q&bHuVTi=Gub{!OcAl0-(na`YU{RHjJX>ByZxBg{myR_9Vn_$3x-6c` z(rqs>8I!0qL)VMy+3X~Vuznf}F1;KQVx_5nfTwtUklGMQI}FC`h=GQtjetwYU0s0c z*D*baa)ox+m@PAi7TAHrqL76xlWP_o4!?P^lVf%gBX~?}W?X8Vh04iU@@5>2Slz<t z%=p}5&L~70H8t5t5Uaz`RP3pWL*iVBlz61fS~HYPh*EHxinD`nrm9nyGG$4jurrfA zhclH$tRt>DZxWk~n!z7oJhN^93uN)0X&$mtXf9u`*!-3BEOZt|u($;)hMP=j*#|Zx zGS-pj07nj}-SA7KWbgy8lN;0wj;XprX&caxoqhw=Nge%f1W&M?>!$D?fOC<u%+uzL zA44OafjPWJmX8}=W+mCJA+bTRETkmc%uvt}!LdakP$Nr8Dtxh84hi<imDw$SY@wg@ zQ{WSggc~6sni5qTWRGILO=G--XiwsACVsG1tF<$KNM|Lf8Z^w9`o-RSI9ZiZ{GXEn zzPVq;=bVQB(*NXEy!>#y(UU$LkDJs?`>>)BJOiS=-^cnak@#B*JKBhAn^o^ugIPd$ zRSX{g$NYTF`@=$S2J}4a43#cg%8yJbtR>_QVE}LD92cDWGAi2~%XeoWar7FT3PdRS z8{_Y^9w`=jdnWpIhf!U@1|k3%Jc|6xCTjQ&T;lD#t3RQXx#F84Rd$VhY)N|+C+ABt zPlLAa)#F$oO;xeGR#oes(3of4C7Y$kY98O<o0YxtAt?<a8OmTx13Sr-N~vQX<X(8} z67_fie3G}<*ccDU==k7KBn7;Om8o|K6>`h!5LTc(fuINSHyb(Nsl~kJ;i9S6Xn;z? z;Y4Pcd|tUcSJg6s`tp+6QiZ8k;!~G3YR$CC)i4``ot4H)eljEZ%JtmX`_x+Eo>nrt z>wI-0bQMP%oc_^L!%1or$3Q}kS<<@opxNsg@_jwA4wem&rBuZeX;$0QTugP62s)yj z*}7b>4W(~9+{o(89Z}G1$tQfa?5i!pdJ4^^!tX^7wcB5Bhw7-UDCMFZs1DV6jIqoG zzB4&svcY9D<?LPx!6X2clM#Q9sp@qD5#Yd$&oBj3TvUM%F6uLtS5-S)->7n?2VH%& zl{J3?Myp4c5j<q{njyUsBq0Iqm`g;s7DleYF~-Ym#B=r#OM}dzPQCuG`Cx%LVA`H> z%D;1hdaRhq89!^xBZKCOnt9NizHC43&4e*cGD#-}YLqw2Jioj)tt0w5y9gUJc@j|1 zx0q_BUWXBOX|k+P?#EVByWH*=JH;0@@???o{8>Fd`PkI0Q=EoH8PhI?bHy~koLX<U zxO+ncQ`1Wy)?zgZM_H3uoAO%Vlv8Wlc;&&^HF(eraqJDpi!TklIW;qXYCP|Jma?Ws zE-t=Zx@PyqW_z>4Nhg_}2Ugj;osQBs^5rJ3JK1!qmQ(lAnyR{)^yb2KW=x^>RfKhT zm!@riU0rT9n`WT?zK)ELoAKJ}Z%k$#P6MUs%Lszv=~XlWNaorm3lxvV_yOVbCFZf$ z-gxHcFL>ft?l|Ml4{tkpz})!I%98o);NiP|?$*bD_J6Ja&VOEcc4_Hz_YdtmE&ayy zoxk=APyMH*dn?Twma}bJ&)!lSnXf&RwamM2I;r;5|Fe`od8qb=Rczu9wk|#G%ztrF zWo#Z3c}#eN@yo_D0XnquZ0+!;Z@#~|c&(qDuT{Qy&XK?R!nJ$9{(oQanXm79_0?A- zd1Zr5&KUjX()&MK9lCMPAHL~j-~LKIoZ2NWgnsKS-#&NS17EuTht4?ljO`~aW0UFw z@4sosM-Fa#r@3+XE1x*;D}OP*^p&Oi-~W{l`P#4F47J-_`sg3NIQ`a_4)3hqymP0i z-?FV*yZPM9^YhNU^VSbMcHZ89f2R4}E$9BRx!}gr?);6V_us*OL)tC1e&ery`=!-y z{m$y<e)6ugwxhyZuzOaYXx)FHbKmU`-1OnCwff=KOMdm65B%qe!z({kTWO`0rhi7Y zaPVOJjcMB_RnNY~KW!ae_FJ>DT0%eZ(I)=J+s-&Q{monaKl{U9YbK-3|L`lPjoq2< z+%|GxcBNz9b$9cf```V?)vben@z0l^TpIt(;N3qy{J{_I{P68pKXp&--d6KKb1d8X z{X1(T^;&zSldv(c_S6U1$9aF{?$uzE8^%sO^THil$Le=`-=*_iA8g|NIi0ZwmnPr& z-owe_*PofJpIdqJp-=qQ&Hwi4!=Jd|*B(6oq-*D=O}fD*XO2F3`@m<<+H%)No7Y`_ z`Db50T+MFaEn?-&U%&LaeXsoL*JYD;Zk0_=OU}RZj1Mkvd*{fVx4r$}{rKzt^6Pi~ zocWVK8F=a)XWrF*?(*uV_n!IC!}}L@KKI|YS8pEMS*tH^+ji>GxjQFM8hrQ4|M8l` zdtbeC=vU8doNntoe|`CD3)Ro><>5-`2Y21|#r@ZfzW((YLv28)7J+xwYNG?R_;Vk8 zveo=O|Gj5^^yUli{jm$~UwX-n-@Na8hQ2=b+S-n|HU)lvFv}hxeNs)>6wN~K`Mt7< zx{av(%!|%gxo)Al_tQhO>@`}OpECDq>1(&ks(0<0S#8u77HW+KjBqTRX6g^_XL;$q zePNAjSVt;;dghA<85-8sYJ-DAYiqORddsi?J}?x{Q9k}2*KM-G6`bONHFh+H08|nk zv-X5ljmV!J+6|bg+1U?$sJ^KDxVHS2#-xSYv}RiCLASsBP3Buc7JAo4D#I+K-EHPC z(K>hSepJ4@7tDadT)~07-MHheEw?;>ulB|@?r_U*ZNIhEApUmZ23e6$ADN%8tgnF% ziGy=h$nVN4ue$28%Vs}x)m6j8-~at1UtTzJ<&_s+xNsG_%Bb7p{1t0!EAt!I`i>06 z{Dx*(?ut!z|2wUd?RFm+VI4j;*<V`=Hrf56J+jHdslUr^Ims&j3dMfppWi`!u!CXn z4pz=Sel*a9SbLmXJ3*}GO*TpTZ89*xYWh1)WRn^@{aM5-o0xT*eDag#XzfVH?7!@) ztD;R#-To%?&1jSTjeR4Z!X^v5*MdzJ_VsMC7Hx7=nrSzdY+_8b$+Ls9$!`rlXX?|C zWs|_iPJo5^udc6wR@w;BCd0!QUijYk&VFe3?klg9O|;`7+N4)oWvxZOw8_4WHhFsJ zlAtFxfe)MPAKs&U9{AAig(J1vk^TE<`;EqtwHNK#KfHF@+L<3czD@qGuiQa9T>Hkt z9e3<rV~=jnCf_`PO<0(;P+5yMVZogG2{uV&lW%@=?aM`B@uDr?k22k^u$bPwjehdX zqD)TjqP{wv{a2}<sh?~;{6Nvq_U+%l@99smsSumcvv)5n-~tP5G+Y}{H@zeX|Kxb% zj;ZNq2j}n2utDPvLgQ1@zeQX&d7L&7`G$9|E$sX1`u+{ciV$sb^r&pIzw`LxS6=z? zk3Zem&ECzcuA;4~+i$eSCYxv<$~ru~C+5e<6l`J^_5}JsVUxzbg<zA%Uo^CT*qnA` z`v*4Lgml26_YKm@*S>M&j={>>+Q`7VP1f^LsMk*tjUj8tj9o=PIgU+Et92Q>WRjy( zrA;I;{VSZ#!h-sbY*HJ4plE;l8vAQcS2S|%mNgi#hNDeno@kR$*1WxDWRo?H%#34~ zY{J-OG<F4>1ipo};obWx>-(IPdxZY6Yk%jetBxL(O*D2zo6ugqlTGF|ja-M3J+WUh zA*(ZHW$e}apr~&S`wPt|d+s_(ecZ6m@ONsnwU1AC>KEi$KJ%6s|D^bndOlO`$$K;V z7@3fVeTk7@dj|u(qkW9CasT2D$)6CPkvww|^0cRqJ$2eI3BT@NGBd-xFih@=>&8HL zSo3<@3I2i@m)_%MdVbdx#4lpa-K)%eEQ9UsYb?^t=T5xH4>Dp|l~>$5Im@aTX4=qK zxj=G1ANXHF+)T-d@^o*qajzwE-Yq(H(6L!g9R+&EK1Ur5dsZ2bbUk8-^e7*>g?z~X zvY5}fxq9m0$gZ~y&c4-kt`#Bf{bQfuW7WA3f2?`!=^x&)13LR08!7uAuRZ;js<&$| z9&IaqYq2MfbnML=+adaSL!uv~t{UvsJIG$W^dj~E?$RE>uz&Gw*`0A8BXRL-uis<J zzrh{3Kb69#%x!~Bl#V@!ChlMCj=jM&FOXj4e#W;>`CUXQZ{_1=DwaX}8h1VP<d}GN zW!*8e`yjU?-JXn6_qyp`Nt?vD#r{R(5QDS|rb`|s&<r*y<$(xwf**9FY?P4^)%l-z zO_rYZHn;o}bD5cVn^`{nHQ1yV2e0^>O{AO3^7fz)dqb7}YF^<?X6y+?Z{bVWGy9@& zeJtY-jA)<#kw!TtjtnqZuN`5FnD(cynZbdP={5FM7h{!@g|V#ctJ5RbY~j?1Ed@Q& zO5ynIr9ljWl87APR0GWsjvUeTq5K8fYUJp-M|&Xx3kx~?w_iogetQp_``MG$Pd<Kl z&j?KWE3^A|zbG)w^Lc>rHO?$hztEU<BG`DwfUYxvQ{xlmAJ*{BHI8C&jKkxPGs%c! zQ>4SP@tS_3TuS_&{VzhUE=my|_>lJb2b)MDdpuQx4Bl(QUsh$_v9SAN*koT(=SmdQ z25z(VvE5s?tR3AFY$Cyh*q$ew2-Xs=2fQ@fJq+du=OxG{x`G#TWVluX<GQoKCTmw| zek7X=esuU(RpxWoIZqH8-t+XHXp^;IlcNK&$t>6Sqx6#RWRtizvlPoA9?^a(GezNO zfe_!bM|H&^3(P43Z=@5XJ^u}0Xf`&PGReTm><~t#pMXK;?7VWR4RPK{$YHQHJ8jHs z_K8-cS<fHb^z1d)&`+)@=p|1n{+NC;JFk8+JAX_+8R{h|0~>6za9i0=2ETXsS9Q(Y zQ}UhIpBh2xEd9iULeH<hz4Z(2S?*7G5rR=poN#kL3Ab9Ien_K9R9`<)3;w(HlcD`D z+R$eQKD2*`+Lukj-{VKuzP$F$0cJD7CTn*bdHiG8q|`^n*kF^7J-!8-Yza1ja9o>& zM8(+k_)*zpWMH#R)`r&muK1Z>eIxqOUAwI8C-sYle|6*BvY)R%JUsPuVUx#$O`faC zCdRnsuY&(hHqkv?AAE;?!hnJ@1$(dE#-XfF!gquJNNQlpc(v5T;!N>YiE-J)@I@a0 z^-lw=+r#6}P?ovg^K->D|NSof&!~Z9?v&dyw-~aXFwtkEBCf?K|0IA{*Z@teXk}UO zec9$TBu9uLRzwtWk3VGO3fB2z{C}LA)JJ%ak&|=mE62~tA0K@T)&)#-`-#V5`l-hj zx5w`t!Lp7vq$$uY+_Q)u{q^>MySEL$zC`PC#H8CTVPZHS3TLK2@;^3wZ05?ZzT>gJ zY$;R1DvCbL-yo<RU_jj&!~Y53#FP^x_&cMIX>1W8x0pn2$U>jviV&+|Q)L_@ZA0P^ z*_5KkupHlA_TncBr0$e!HqvN2b|Xf2^DhJnqaTxcL)ubQ>2Dyuut-rY$JP$_a-o{b zsxJKX{!z+@;QGR4@CEp^*yBT)=W(gh;8-m{9zT`e^>71XYAkLj)A9L4eO^$$gW_^> z{&uiIcXvD)*>Wf&ZowNG;D&Uew5q-hRcIv*j5S`Ne(2{@1;jzHkHeN6rQb&@gSHI( zUH#K|-Zg8SuSP-sMDjN3g3c%8JduPFzbT;&_)W-w`<pl+efaVfsa$M;>wS@rX@RZ> zyM>{tXTfzI<yOYzhj5QiR4hFcyb{Y}{{q5GR&5Iee1XF&<Y_C|vE6!|Erz00xjVnr zF`fs_UWDWH5%ENBF_f?oQ^rca<SKn%1R_1OZ&3Z2t*4ak?Z7Xbhfh5((t{hyr<JmK zZ&n1;I<Q=GJyNC&m|lhhJ&v}?;LrFDXpkMQrP4q;###(+tZfScPTca>tMww!o?Lh$ z=<3>oD6tnkULZ96D$j4l+f(>>oa;E1xIgD!IM&)a;|mF~*fOS<COt_-%FXdopzoy# z`U^cXS{SY5+35Qsik~`z3)GDb{<`Cepe=mm?~-L)A2GceD&i5liKeLA&=TT^;zc+X zdPV4wgxU7LNV`CD1)=nd=(=~Tgh11Gx;BFii0E#nogf}skry%MbD}yZwMg64me&%& zY^Hzw@1-m9k=*_kqi`|u+JeOwzUvu42(4W12Qu!H6JKKW=mqb_n(!i*y(8+_y9)MF zilZ!3M4tX<eZ)<{C@r`f@``xhr{Jc9ebJ5OD)sAQP|%g}jr14`E*wP+^*3_Gw7wsk zNTTb<lo`lhjFH5x%UKTvWnARg>}tGJ?k6Ba3#IL87}vN3DK@yVr0zQi2y9!=C~7S{ zSwWmJHV{te#FfiYyZ&}nVxC`ugQcv6xe%W2VM;s*%df|0ykdf~DZuGVZr?Zf7Udzm zsG%N1NZ-;-9BVLXU$tVa>`0)=eUZ;%h=rV$7OOd7BJ||C5T~Dn+KNOAb&8<cu8XMB z?WHRarT&UWS}a9y!_I(hw`yz^E#Mph{Q?N1GQ~6nGT^uoa3O^)v72~ezrx+9k+|GO zd65%of{jvQOfElPw9;0OZ@qBi-o>==X<A?OlTEohNs?9glV*xrQ2Ykv3!u0VV8L<l zaUED@r`S04R@`&^jtgmtjcJ1}q|Yrf0LemW7h@&wvHp(tL>zZR&ZHr1kL3#XH;GDI zv@rZoC!Tl`>-9Lvj)z+B`{-z$SX^*JNDlb`;Z7%na9kOno!bzmU+Yi2Dcd}H^j;uJ zA?nuqRv@}QX+7=@I7ix0ruC9VX>n2WdZIE^=2iOZ&86h{oA@Ukjx8*Go<wDC&%^e& zBun}U%i%T(Ej6LVhuUSQ40V`*racDm<~x^$k;?~0OB%5@LM*g6AA)Kv#|VK_+=cmV z2;mCWaYQ6BfHv&<NV7us2$9_9Zlu(22xWAUVI!?C(R$FT<Z7Y5hl?7;b{mjz91jZ3 z11XHgWG5WQEl>xgl!&8FtQa?p+Cl(@oU~NIgVXPy3e>i(m&C0H{}G0!;`^@DqWj<E zH`PA@J3+aN_h&od%{H?X`6kM<;2VqK>F)*gPXy|@?E&=*{1YkIKjLE4wmE<uP1?}r z{t*}CyQ=>KtbyZ<Yr8CZPSMon&CJ|CK$ZWNDxF&G4Q<wacWnDx*5co9vUC3T^|6i7 z?Yr;~paxFuz5peX7r^sfmcO?eIQ7q8n5gfX<%QML-#6DD7bMns+XP&H+Bbgj-0MEU zS{(CK@e}&H@c(BG*y}dr_JM~_|KwNwDgQ6X=U=eCA?5#^NP4!@<GuB7g;@0HkB=Ae zmx_;Xg5W_n@!KDKD<t4KZ>EPY=rLZeO&4(+{G`YCqcHF=Pw_<Z@Re`LPb@&V0Z*!b z8GOD2661x>Gr=Oxv%%~wQ3kxqQ?yqGuU?zUCHuBizGRfXQ`8Lf9y#f04iKK|aDGhT z+kj0teZoORPVHg>($%r%F3CoVc=1h=D&Hgt_>)zZBpI8E>8gbs)m^{`e?y%Hx!G-P z7g&AUnVcL??9bVb==WLN;LisCz44LMI(|}e!Z$!9ulOd2G4Iu*FyH&uh`G;374M7R z9w83B#~*@<8uS7xkNM((R*a`N5f2dKO1tfQkU^f6xuy*y)3h<bH#>qZO}hvoarngL zd*ZtPwDN();GPxzPW028&I;HxM?R1aqPzS<_t{w?I($2%!|551$Fk_#Ap!r1s84!R zkq&u+5SKorm%hX&XIcKx!bc&0;E%(boMMrsQ<BY)2~j><#Qv;7a6<J#Zn`0snMYV; ze{-+d^|81zY-7L`J~3pb5&44LppP*=F!Rn-Dh1fW8Ujbi9iv~Q7YRdTCZd9r$nOfw zalCH8x#)I-{M3eMH{fD^Lg-hj7J_nI*ZGnZkq$2l%nlz&9Zr|3sN&DX7XpNO5FQ>U zA?ku#>5(Ebb>SUMAr)NR%$QWP{!%+3HiFw2eW9^_spOH)lCZ?-^siFR$+Xq)1erUw zu%(=st{0mMaqIfUQEK8Y@)UImofTL8TnaOeMqG5IZ5e_}Rq5@!BV`X#gol=y2G>Fl zfxxiw8Mt2HL>(AB13fyP!Sq-d1cgjMY5MtzdVc-jdy$YqOxMG`fWRjCq!|7$&y%b# zNxNX}Lme;ihSfNO{mk|lc7F`!I0y|{{os2M39nNP^<GtlI;M6)1@-ujjYG2V+CCQQ zJDct|lG{M+i`c&Krr_~xtg%+`LhW_(k466IZZ1hb?L^@+P3ha?FqW;Z)XEmGzG9l- zc5P8X6QmEA`BIR2h(NER=`SG?U81~#gA`7Bg0OBr%N$6Q5^Q2)Fq<sdQdeNiV=*At z8ec|AZT0#s3SJjq)Np?eNtrTm^`yWVxh&J4QZ#-xIE&O!7-F#SulHu0!Ei~B&6m1H zKc-I#HF%$BlqV%B-x!y62P;ZygU`87rEbLGal#9%!;w^L^v*fRw|Im@%!@*59OV|q zuBarj#FpCU&`pP51kTyzFO<a?7jUzjg&jiE>;;cpqH9QEr6223ro)IDHpCZXRftv( zOozB*?FWc7ak#vXM-DW4qvKFm0^&++U@@V)g;kuQq`axEI~WOvS{Aa<JgiP|!UIk! zC%A%QESav0n`F&wx{dUv`8_By08#@*vN8sS#LhyA+(A&_@uA{<Ax%0fEl_u!zYvVq zk*Jj)@to`D!9xQzNH`%4G0%Ea+O*<=A!icpRcTsCC5|O~9v-dp$p;6Z=Va%cIYkEq z59#|Q#d3l$iaEp>=j8&d%2>i1SWN0{b#Mg9H4A}5QU9cc-WfGot7k4H?A?scwY8z0 z!5=jX3F}pd4-+r~w_}|mK^csmX$g6D_!ld0of^+LxP<<#&vA(lj@$)5x<aU+R(g42 z+o=oaepWqw)EQ?v`PC=$Y18>82Q~X?b2n|h9zNe;4s)%@bSQM)EFxo`LsL0VEp<+s z*vuDgf~c`X5D8}qS|6?>8N(^Vo>r54Gfz`_gGc`L^H5})I_Hw{mb0oj;1*d~yzHRm zZq~%AKy|}Lf2W4#q>K2h&%80Ko)rb5XwGLL|6OL6Gn$zt-b)_~)e<#cHJh6W$>bbA zBw}yLs%ncy2+;_L3+BjC41tMM0Z2(jqcUOzep1O(C($t4xEB!=@x-*f1`y@evhuwn zXs~4P`kmTKt3F+n<Sdis%1%ptIc3)kIQk^ns7*q!%BfICdA7q*%8&${VoZmR<hU)3 zygY=AldGJT!>F)w^(&;zTJlv4*Xb)D_(A|_$IMzAf+i)ilyBXnj(DCr4gyE^DAqs@ zCybKBCezRW!cf=8X*gUU*pMskkXLDG9{_TKNyB1vW4hpj*1`)oVm+#;U>8ZRQxZ=$ zl$C!f;ZmGJ7N1k{W>)1BgnMW8z5ubrxDlaojPw!fBa32InEK5DQO=cOb18U&7r2y~ zQqy7jNilaa5q6QEd>7Vl;WO+>fl;GxZWWrKM`&&g$@B06`Rk|$r&auFMO;2cK{|e< z#z^rZpxy~eP~nI1E#r!!^>l_(IOF)TPH5CB*L=!xN9gJax2QsVN4u0vIE4+`sxPF3 zTVgP&ayO1Ptoxdfnz%=IZ>)vhF&&?qn-cUw1=`6$lBLp`wDurUVWR<NDao9YM(E&? z!g^D49wh&7jFh4Qa?xY8n^0OMNFX`cnHEw6lc*A|<qgRJ8U_UiW+@+x;h^hQ=pLb% zsz)F4f=VE?%~hokBoMO@GOO}JjdOfBweC}wd&Vv6HKCc{_O+!_dV`p()g_fB2xpU@ zF*mh?9QcW3L$;GCq`s0i1_K9jICB~00Z4o;MBrvsW+dPbIQo=&6_7(t7Gv0l)+%~p z&_m;bU^GLVQip46LXapKN-0$ap?Ww1)U-v6J;vCr8YuwJFLR#M0fJtGbh9LLAuU)K z2?q{pssIkG4xv#-C<3$?og}FSQUD`mCh7IcY)r%z5=<<5=uR#wLq_CpR*~l*Q(e-f z@7}D9P-Uj|gmuQaq6lzhW|Ts<NTe1!n=%McSIby~6OBzqI}VLbG6&kmcm?>cj0sPV zc|~Sg!Z<UQPtGyu`}sL|jHA8Hcp$ee8AyrDfoCXPBOOK1s_~wdSsTeFjIDd)Zw)*! zEv9@Yi)o$r)~9)+Z6z*6L2$0)yu<z;z7&xy%oF+vVUt>%pNC=w?t$HVP+%xe#|}EK zxt7misjXWm<R}`?<bubD)$$?4m6X8tkA#?<hOx-83UzEqmu9}zGWSSQ%)*LFUs6g{ zY0IV!ugrZjqc+8N6m%drjd4N&v4yUhdvc4Gsc+C*2od(lgJ#MvdislRFj8gd-9=$6 zQjwXzC$Cn0knPNj9txe5sielXNuNlF#m&u+#0aW5*HSA55CKd%$+9MOjl>aOEbu02 zD5Qojw6`5bPEK;gEc{*vN|(S<EV#;*0<87sR(x1RGFgjkTS{MPnYM{$sm{P)gFxDu zueSng;kckLW0y0DH9#mADHR5=0KL@=y*c+wjl^))vpa{j(_tiQ(TGyR0h_kcFcVyP ztKK9G(V5bn&&-vjSIn}`XBLZC<a!a}Lo`}M?7*4vnI~;MoeET|Hl?w|O9fqQY{xU+ z&oZxVon)`~LBG^r%2ic13yI`N2K@-Ts<oL3ug{3tJj*%Lx;BMv$2xUR3l}4GNbja@ z>6lRq3Ggaa51uhTskiBk4iqCbspt{0LMb;LsBI>Ss}+?R+D_VVUee9bL_T3Mq{XM= zmgG+&DsW}qnQWDI<cwQNQ?wmI?T{?gdiIKgWYt8<G-c@;b17<C<3RGKa7#Y0^H*KU z%$J&!-dPwm2Td3poIXA$TT&Bd+p2f@Oy?0KP@RD1dTSLBNDni`D9{xl6nBwaROYi1 zZoTLMsYg1hHWJS@-9P*UwsBNk#RRCi%!H8wRiK;nk^GIfKxrb+PtZgg$vp{ies0KT zjyVL`(M&(foT+Nu_1IYjgWLG50Vi6c0ibaaS_evmO!zF_?x>PfLRIi}@Ct(H>N5o# zf~+V&T_741g{mUn)w^bbra@3ds(`C}UbnDj15$L+80QKdK+;%X8&U}ZDB;CJbKrwM zj1g|igcXLBA4joJGvmtDdZOeTWMzRw*#-??qzJOeo*B{v3d6G;WS0)7BP&=q_0fp; z(JMX|rwGz-#hVF)AhaEVxw!}pYSMWOL`wURv_ei|9@Ucx>-dQ!3+WT3cj-OpYuhIA ztsp1lK&wksf2nwBmNWEps34OH-8|yS&J7BybQ0xN+tdP{2Curc(~3lfd90d*AV%>j zMsDV~I686E?X=fG2A%r|5MDLZ@>cCCLb`ep*``Gs6mI?s`lBU4v!_CAop1b*g&BR7 ziVk|nbkm8vWim%0`5dU##b46nT%Sat9vE~K?4X9HHRDN51R3Mxb8+s7LB9xzrHUg; z6{8yoM@2V<^5GvU=XZ`~`RVM2WLhS4m6u_`I6*Y5iJ3NS(k0Wm8B4iOUe%z)V8B>E zMg^51Yh;<D?OAC~aUIz^^1u&8rM8GO<dDkJO6R~^TDBOdnnc|cc0NSOKuEiv38X;G zQs;?<Z;zaxv8=2(AMv%Oss7|8A44lSvaO<T<X3=It6EoeXe{4lIJ7(fw}0N44~Cn( zfuAFx16|3`rS6&j%yCG#nyFQ%$3&Ve!4SAKUI@5##a=FEE<{;%3I}clB6twqIoX#Y zyLvvvhkP(??p%-=3G|S9l5}>RJh_52hj5qm3pJ@zgSX?{BYHj{6;nBrOd>U{Ptcho zROL0~P-OvJPovN(7s-{fX%xr?ck%He!_^^v<Qlc8REC5ZM`$@aZ?Hif(mPlM2PI_D zFs@65JL2fq_EuGtFT&?@^KO%>=AcoBjQD27<4LNXv1A;x*$1z#+wmzA^rbX)yNiqy z%Xg1H7npRVCZ(^{scqv72keg`Btb?|dR??ab!&`MFqUFa7wrfn6j**Vailo8CYhU` z#--tgWF{Zr<}O>MRz!?rV(OqJi%ywy4V1%IIn32Wl~sVL5l)@Y2IsEk>hMPC2;k@| zG;ME_dqOSvs7(+P(gyW>&?+d5xF9s2q%h#i%VSI@L{Tu^?HcBUVh8AU7<rjgZDNiH zph*(eu~vO@+~;*hgsyNvYRsawm394t99Pnq@rK~K<2y^Fxs>0?Y-QxsoQ7=Du~`_X z8i(gSD6eL~<3N1<O!#F4NEg8i0yW#@n`X%q8ca~AkPze;<nRv!k|Y)nI0EwcjsvZq zppb~nDYbcwn`N9g&gj|3{Ik9kZ)zD0H%s_3JM@p!q8QM8)=Zn;r+Nlv_}qt?0Y|VO zvOJ%iAv@3_Wqhr`y70MHUGKUs@l)Z!jyH2%n`l=vTucIds#&Yawzk`jlV2^!0A=HX z1|;i*5RVQ6;A?0MKRH&*c7#h|tZT~ZVX$iJp}C_p2sQJK0P@68D$vK_2}?*%P*cHX zC1fYZLM*@sB_Ibm!~zsT(>x$ta2W$LC3TZr8ESmMgB!7f47r_X6AD(z+NtihJF-c3 zH=h=<EmOTaX>xT=cBBa-3eQpPWN2N=M{*fZhK6cCd;zlQNw(gpuRPK8f5ijidXm?i z;gUGWC#{CeV%9aE=nf8zzs2Rw!mW<ysdDodm(HizPd#&waDu@xO?3E{MvO9Y%wEb^ zAqDtSqA@R>TAZ96t8qYHQs)9W#sF1Ges9U-zu_t4s-N7;2M^<yccfK|fsS(WBP|tW znbxV7dQH@gBMgWU2-lu4M#i|3Fz=y0gRVZgbZ7`&+$;IIfY`~;<9QNxM<*_&1vHRL z)E>-r6HW%u1%GN5p-w-V=JRz^6%WZ;W^^bchj$x}uZ77Q-fRwGweiGy+Eivb%gjh# zM@IE!bIu4Xx2)t%Zzize67%ZSt=&}ylA5QkkVr@4^C$+n^;eL)yJK=Z;CW5M_|B8x z@Ei{-ft_4pINWQUv4(p?>pRQ&M4d6olaS)B+WgOxU9TpHXuwi^Jhh=d_y_U_C++E6 zA_eqi-?{U$bk5B3J(ue%$*DEQ<e|KtZClKei9-@eUtg@-8lO4w<U_?(`P7JUO1>jJ zWowxgyDZ_V2r|VAZwx*t-5X+l6;a?lIB(h^lK4j2YGk~6u<CnQns$=%0;8HSeXgZv z@q9dFVA^?=NuIKZ(5}^%oxRB0$@D#yP)_fmw6ezNd(uTyA6~h=mbRPb#+8HH&i%d4 zc=Dd*8lsXLS5}tJ`CKx%w6an==a1+ow>`VmJb3@vH;z3Ee)#^aj~=b%3+LX?SmyaY zds2hX+;GtR#7BPkm#=;8=Pwxd>FRQ4bmi-ZI)DB7!}EK$*J@9WPR@VkoaL{-|MdFL zEIc#!iDw31^c!#a%g$rJJ@hkE*W8vatz^8>`O>q`Ui__J{Q8&BG1z45LzfLTMz_5f z-6>OI&KdglFTdi4FFkiKjJcV4-v___%dh>VpW4qE)~`GBht9Y&+j7$I;8Qoh?CSfP zFS)V(P;2s!-uJSfUjFUcxwm)PTi^50f&9S1tx4O@m`0a@Zm5wRZkdOkS@tb|!?Jg} zJFWWm8-stK&Cq;9K6(IKppIvJr;M*$I($7Nh)<f$zutE9UytQ`|7iUBc8h052Q{`N z2Yhw=g`c_gWtX32w*ORXrRCGgy*F>WeMimglnlXpzEnH<$mC@o`{GM$&+g1$_4`(J z=vxPN{OlRu{ue*{YwxJE$7*S{**vsz`?kT`JL8q}-@}+NgiYE@=5u!^hsLnU_WP5q zw>`9U==Qt6r}^CMQgYe*@80_4ga03M?*k^+Q5|}od;50lPHWI~k4Ey?2&tw$n2~Ut zF@wPY7S)W~^58gmBg=ygJkS`t#E{*@1RIIB!LDw#L~HDoM<5xS5Hwb7kOdDrSwl#? zAGH637@mS{7Kh{!2qf8;gyazcb_oC6`#V+l_RPp~Uf_G*w>dL?tLoILb57N%fA`+1 zTjlhOEyvU*JhEuW0_|kqo@nEZZ+i8sZ}@|;I~(z0Wxuz{cNgwCIdiZ)Keui1@xj;K ze&O-o+%Wf^llEKR_|LEU(NE^ukDk16bfIy$><$%}+yBE;*B$@IU;V+4P~F?4?`5_A z7hk@H`=e0L*7ZO2o4>i?6{~&URZ8SXKYr%McfDyN3m*snk2BVtwJ~0G%fO4D{NkEx z|Ms5Ie|Y36H#S=+zkS`i%Bw4K3+?3QbXBPkwUQ|*S7K~(PGTOCL*F>$c!n{gJ&LxI z<jp!Nl?p@cvaIso_H(GiB>UvzyiDC9t(dMBo9tS1;9cvZ?U&T{Cb26tiiMW9$*O_% z&ul#JwdcL~;Fh=*yJYXD4y<_}AK7O9u6&~C$$uz6b%lBDlTU9cKmC5nu0t6{jpn{1 zuU>ywVfWW6)qed>EuG!CykOVXaqbK`J%nQ+3Va)W>%h*f#J-?kCbr5%v7l#f)XKHu zC6Zn?Uej;&E`6IfI=kw}(eYYsBsyE34-fzCSN*Q5KfyqSc+fDB+2>s~?z&z2edGYA zekpZgMrQi!<KrT4^)!0&$Lz!f7qqkUK(_z(_>r5sH^FiJX9qU5-w2oEGP`Q`;^G8f z#=T6_473m2I*)`|aZ1OSDV>Ldw3ipv9XL4<&ezDkc^kfb>-G0}2(%OmpZ+c0>knth zP!n2KK)Dv&anFx~0>-7v5SR1QujDL;93Q?9t1^O|f)oo^7K>l&*Kcf#JUu><+vEWa ze~+_9)Fxl+pYS&6P87d4+m(S`<z2-~PHg$Llm7ITwLvcQ7yn9ir=}}ZkKeujW5@r8 zHp$iCQ@AIt%f45w>7Q+r?hKRbo=xi1nh-vDQt9n2TNku;kn)RCZQlgyc=fFVC)Fme zhoak!HdcT6KO6@?t07IpH<dH@r?$z&bJ&EwQ(^pRy%xSVzIC9=Q4D$6%fP?MCf!0e z*u;Nh8=GwHs!dLgpS(BNWG6Q1ViWNFC%Rh)W}p5Zc^cTYbJx9>bYFSXz-;${?$b-( z75|fedSIvOGcY@Q_n-VzcZE%~+%?WabmGLfzpXbt6iZd7QzuTWTHa2ovmEaf`Yol` zLuyvqM9*wd8@=jP8voAEZaorgvgQ-js@$ZwZ+n|?l84&lnP)cEKJoL|Bzo<VO@799 zGBB{KcrP`x2i;A<yEC)NR&SG4-@jn%z@~|v%WRU-WeIazozhOaS9V`cJLy(w2})Vq zdLp+8HtkLnc6JBUCROm6P3Y3;yLQ&@y=3CdHx{Z|5|?SwdL8$F>;d0*ojhJ0x%gK& zC`n_0rEgMeNa5Li*Q#YUqedZT3FrZ&zfPyx>$}vx<KykjCU5@4c-Bth2RCgJ?YsEs z`oPKi7Ww`?-;bB5J5c|%O<O|WrR_xfuAi};3=GV!Y$rXNWPR6G-**+CzTg7cl$~u8 z%IDu?6S-2nO|FsQcN^Z4;r@oYb!M}guWPb1L~@riMEuzx#1BHJXMk|W9w!<Pd6MBd zbHtx9vTZV)@zFaQWU@WfzO<fxLC+dd{#X06L*i}VKTTuV##1^iEIq5_(>yl*ocaU! zvqKX6*hK`s!%;=)!`xNFiYG|j3g?O#0|gUeqmPtQCer5oUmz?pHxfuqG|m_=V(wHr zT<~TnFeG#S6$dW7nRAaY(blP~FB|f#H#>eVo@hMx(%UpoCP^O(E>ALMg3ecaePT}+ z1baTcy!YZsU#|PJM{X~-(y88AA??P6t9Un1$<F3byoP%&XNauQvq2;~1BBP?m1Jm0 z&j1-qq^@`hjx$FZ<HJd9{ciF2ZT_qgY*?}G^$8E`dS{f7ZbOpXrs3iHW&O3{Uaxw; z^N|K;iIla>JzNc68V)xa4Q~^bLzr@L6eee#5Dt{9p14|~F}<g_y1h^1hOTZq&JGzG zx_Z2E;c%jl-JF&w5P0Q00#2_L7ySMejM~Q66PRHe@`fxjsk)w}dEPDi^qiAzdKL+H zP;qc2&UMzZ8NNO_q;!F==OfwqDYu2PBc3uix1aM>th*{nYifV(9@IH?cn#?Mn)Ok6 z@VVSwe086*5O!r}v2g}P7>hG{`VLM&^1WI<#^m8ZLE=+cYkBmbUY8T)+KaNjf`@5G z#vdb6$BT_8zWBf&D&fS5R{_1=9L>ohS6Bz;xV1KCy`4CD_Z@f8m-?}Pxm>0L%Ey<? z%Hi2ZWEYK}^-~AWSGng*!u+e4&9|z)XtQz_dF4b+ZWym)PVh`-eLB*<fU$wo>6Xn! zmP<R0e@WhHBA1~!#pRi<9)+M~&N&UaO>~sfyjL?DR8Mb{Y#3U`@Z`TN3&{1?kN=nl z*h@B9*xCv4MP}6x`1wh&3B{v2`#(Kz>lB;py5o-SgxZAD3p|6oI5p6-3FUY?o9HQX zYBN2+g*+<vT$-jHD1>(j4A)B6t@<-XmTdCMT5Wt>>#m*#$GL1?J8VL;sBitBZ<AfC ze)OYfx~sBwGCQEBvoVW`7`vlx)P2UclOGEG5H?{gVRZl-F%tVf&46Z4Lp@k&6KyBU zZL;&o*3L<NVkKvC(#20}lYs%XiMN&)oC^(S;mmT#8#d{cWgg4PcK52EBHuLIoegEW z+$LMU_kE4;7Q-B%yJ>)V8D*bAx%}Plj?+%6;IYe+O*jE&*H%4iBR@Che@@>ezVFhb zb+jFD$V}c&RG&z4n-B=?q}uDtg2F#--?ejL{IPahZL;AfD{Ye3K6NQpuBY~092|sA z&?7XFaP?~B=MyRFbT-j5;;=~(o9J0a@Fu9&z+>X1pA&Ot-zKG#O#?K%Wj2xTp1k1( zZxikMmin#}6SKSeWuUj8(U3M`f}v9r-M5&9d+wx<m-407)0J^@dJaI&_93!A(bI)} ziW;wB;$`g)4i@HtUaaXDOmn(`PsZ0?uJMTpc#f%_@ZnFceF<)FA7gTN7Ty~huu;jx zTddbZ%r9MC-WL41ZsjSZLDp2tOKwhXRT+SH*_W7V0DMO0b&sObct&?PbDwzrlupj1 z@_fw$XY6M9+|BTEFvLrq?v7r1pSKK;T#sL_PvBe0=nuE14XQcUvf_~ITGKi=aPA{v zt|7P{K04;{>`ycfgd?o3K;KsSj~YMmSA3hGhCO6>*?a9=JC*r%V+pTC;xha#xtphJ z**TZW>JzQb9D@5Sa)828*s4Bp+OnRZlXU(6@=;?v&x{8;_&9W)b0l^jT~uD|u{6rN zuX9eSc~D&qSjBsu?Le1Ga3kAGw>ZaBZZR2tY8f5)RPnfd8GV|?6Gnb2ZOY~p*X!fu zXnG0!`m9%`cS-QYS%_C$_|}NO6~x!{F{#a>gTu2s(To6zSnoP9+zEIu5ea|GKx=LW zEATn8=k_D5--iMRz4{ccN7B<&I^Zgs4r@$5GOc=gV8a_{!nTJ)#SrKy%6mI{HT-fn z!!N1X$s#R)HwPO$R&0G4D(!f6J9(s*gxD#GJ3Q161;#atR)Ykb*MKx}#KjM*g}@=d z=ISWA5K1IdG^g-xoP}(T#WR=r^ElEAe%>=I1B>66bexxXR_@DaavcInHGLLXl*cAR z|4&YPN;WjX3c07@0E%hHv%o$JqlkbtCi5uw7{8*eUJ|`{K%;SsPxDpR67(u&dYVuN zlrY1n7dF~aDn{9AjW^t$8GH({I3Ks50dCQt^Y+uAf+2j!Q!58et5Cu9DSRkYfCYL8 zr3v7>h&<pWXk7ja5vomqz(K1~R+aLI6>e;c|20D_C0#+WB4C$=7JMOi#B%g9ik{cx zK8h{;=LlSl<Tf+sma73>4>XVZyg+ff=y+L<A|=wY)3^mf3%vsK)Ee!<a#b4>Z9Pe} zthhBb1eGY0ZZ)k!Pg3@BTyfUd=0Mq7=Lo(J%ZulrwIb9ErOzSbodw}+@)(=T2_CoM zn;|BzHoYtbg;p|BQWNO^wd<)Q<Zua<XE*C)d|`@(U5(!|>4da?8_j7z>(!^usOTqp zWu~-anj%2#aEVNvU;bmXKMM<QG=*Q{X5b4|ZU%`AEDV(30UdjELzDs-{z+L2k&z;u zUX0P}m{_j5PGedkQ<6Rt!zTyz#WkK-<c#tN!fVnkK1AF!5>z>$`X-;ldI)i4@t=x^ zVgw;$`mAZpXc!jYEw16uc_j%12&jx_j#L+vVvYJ0cwCa9f)wLeO&C~vD%KgLFC~AP zC}Bx~&q$U&C9i6gO3aE;x+7Pjzg6|oQB<P}fxZL^Te%p_gZyHvuf;lK!&+U~gRDRf z4N<{QGD?)?Wkp_d^9F^b5L#tsU}QDNiew&4&<|F)brt8)#H5p8Jhu)Z1=ZfiCNoK5 zRXxRIP^X?C(emWW=Th~wqV`v%feq`4=3RTAv{Wz4(h8rzCC0%uHPV>0G8w5xK*#r{ zD9h6?eX(C@kXj4D;f`9x;|s!OqNq-~q#ilxBrV<y6Lm0|)O4V1(Au`6y&80maGmK! zWLfVcMYMPXeWD^Vr36<ehoxw6SxsI<1Qbaziqa_A%TNSQxLck)4l=J&yUAD*w^eP5 zgsY{NM@9zCOhUkbL||%36jkj4k*O?N5u-%JCyrdDPDV7Po+{tea`ElYcTy@%sbTGK zwk4C~K91)S>*B;(Ybc|1e{5w6GHZF9<@)L|OfDsXW9}Dw#S$Zv5G)8aNj;I_GH`on zzAl~--LSeKgU#CXLTnmnGPs{U*0AwO7L!G}Gnu2+yAd{?x)HKY-I!ucja!H+i`>u1 z9N98c5~;8{thL9sVrX8IhUoP+t6t34>z2iq)1v})85(O-vrwHxq8Mvr#_p@~AlFvs zw4kR%j#_ciYn)AOW%4R&`pkkuSv#efv;3pC35)k6hisi1B|lt&i8i<^#271!64O{B z(mATeP(+VWte`G@6i`Cdp!kV_EN1zrm{_q4MpG5`JvRaoWZIll8c!RZPD!w%m`c=u zE&fT8QA|MMxJaP!l9WDcI*=}4KcCi9$?)GtGh_(*NRmb35q-7b##Kw5aipD-n1Y=Y z3NFz^em^okQ#8tp8cLl-2bv^d^e`?;guWM{UM{oPlpgg8jn^e_F*lLuT8Y|r%qh#5 zT$dcBiVjV$jaHO7wvt(8Ku%q1OOU~+E|Yy4>jwob1Cdc2o-eB~MX2(M4v~hSf(>(N zBy@Z@pId8V@T7^>E6Y!p87nwms*o5qP$*ZxcdE##yJE>}phENVOy)@MZHHS@azSgL zE^XF^C&qIrvVlp}H@dD%6E~Ga#SBt%&WOC=msR9ql2D9sS(V9E;zkF$dBwzu=C3kn zRk2AVX$`WtdO-<l5HV>yL#LXE=?zxO%)w<+8x=~~$ol+EiA?FU0TgS<`N~e04yK!N z+#pz3R#*u)1f4~4YQX?-pH|l>w<*?GR}vPojT6RRRzx16#&%rCkCk)M;5)v~r0Jp< zt<C||EypHSE5?=Bl+X*52{~8W6N-s8tuk|`X@Nsb=76?qEA6z(2OR&diN~+?)()IK zmA)dzHI0SZQ?}UXL=Cl25?;`29I*mZ!yW?n)KEFt{OR~+K{;`WOQR8ya~;L1ILCTU zs;*N#wSmU!NoWgk%=;8ogf`9Mt{PQu3{4zqTJoqY$`piYbgqyaX(g<*Cai$bnhO*G zXgNPkqIgJLNgQFgYjmC5s@GgfBa;^jQXEo8+3tI8f0N`eQMRf~qWU;=SZ4@t#)qU% z!kh6q?V^l!I$|vMA-K}k(xQS|WnbZHI$~*P3@a*t0n8QY);v&8CWBAo+KIOR%D7{a z&Dc(@K69$*U(C_i5KqxKWkRcGaiq~~lq_%icuhFuxj<rBfrp==UX=oLmof@5mC@VI zB%>st2(9{#CuG0GOEzeAOa&`#8LW^oQh79s<D_zq-WpsaHtv9y0tqXoM8=f480D2P z+Dj?bZx{nKe`O|#;eiIXZi%BAiu^^zlC}P#v@d2zFfwe&k+oH!ecPfRIT_^(Ztr44 zM)%NeMiUjHIfI$iT2vZ#4!%uQx3usmInp|uEb!H*Nlf9iM`%)KoU~&Zj%Cr+s7dAV zdDHLYAyxL+IJqy4fCey9i(bZ5sEt+;q_+jSYUd(&jEHn7k~Go*CB{gaf?^Pwv$R^! zn<f~CWy?`K=2Gpg7o0>Uat1A=NfKByyO|dku|a218clh$$>$piQ!Y*59P!PISQ?I% zlq^GKJtAC_mr1ip57jIz@=VS&jRTj=yI8r=h8YNS0l%YeHiwX3cByODNC<3(#Gs|% z@*9Z>dbKarEF^fFP8<z-3Z9qx{bW;+(2<apN~xulrGgAg|LWYESs|I4@`jk#<nyv2 z`Jg=iiBfYq?Z2hD2w{vyv?bbK*(BvoWs0`ST5K^3ay0Qdp&>MMX(j@qxx_?8`dsu1 zbr#!FakyGMxO_RxHm!D<aHW!u%J4|qWa%dboer`SXXHh3a~i9POX7l0KwexM{+dZ~ zigLPYh33NJ8i!qFdV%z?Unkoc<S=0kW=SI<|0GI5AT6QwYkFhgg=7jt(FEy79FA;y zenfr^7wCLhnr5Rt!lUCCN=e!l^puP)7!r@Cqq;QLCZ<DH2IHhzkLPX?x8|r&vBZkG z3|3H#YSXOB3wf?A1d(Yfe!~(*OPota<KZFK=dkxfm6krDOWX!?4y0A1^U=_YvDL?! zw73tPl-w09=^MSvrgL&`8WqeU%BPb>O23lUgnFFZnMgwvI$GnkPRJY*rkE=(q8i{X zq@jVQXXXbS*J(+l*>_sCN^(@<$F-3=l=dXC>nnItLRu@?f1UKpYmhK4mQC#lL0ijH zIwu|cCZviVQgTexN9MKdBr+0PFq5%LBMm?0t9>9X-O*_X{Z><Pz@|S>tS~(`wl7)Z zr%wHHU5L7Bd_1ZgwTY8G2?@C^sAz>*Oe;~JOfIsEd`zbv{fn{~4HnJtquRSr`A5*g z@!zBxXj7ANanPm0ogmnn3_5r<F^M#-)Cz9Y(R`J0`aIQY(clG~e2Kb(%FS#OL?V5I z4WZ7}{rw3WgDM9Ls}m>bFnKTQ_{tw%iSL75QF9NG)?0;=w-dFRiHvs3T0APHsdOkQ zl`V7)#x@ik&CrXvmT&B2!b5PPaglwCQkeG1LyX7>9$1-pgs~Et37rxg)k;KMD2Z6L z3_XTQ%AiD5qDzC!BcW#7v0Y$6`3LK??(&$@Q4yM4AW?yp5<CJ6lSamOLOQ%8)T{Mc zom!d(meVlPM0*ywG}Nd6S^+&lOsVNMfVd=MF*Q}?IF(Rx_#ulQ@#;&nF2*)#%M>RN zixK%Hbg}(n>UBs7&viWWQ7r{h`YDM-wf@SYQd5rf4chMT=VIWPXb_1RBsIVOMp_=L zMWx`-f_|<N+fJ6Zak-OKbEI>g`G_YI>cpj^+`oFfN3mACpmiaQoZ103B%aYQKT4t$ zPdep3DK3%7NPvh97M<WNX}gK&Jt5x!s()SWTu||5s+uGq8p;uNRo>ey64u=wHWrl; zTN$%1$fA~mQSm&0DQT^YU}=&>5j7u02?qC|G0aQ)Tyl{ws0|BqesbMcnT%D}ws38j zsNY!T35@;Bb78_ey+@I2nxl)qh!QD8&_^Swb5>6h-gC^6Sm@&)nMOKtEg7(9`BEWb z&|0`y6-n`-^(xV)0#sr{FE2-l;h$V3dewYJSCJRI+(Ke+Br0hjQVM|1*-oKIL_=5t z?JX%Iy{ZzV6P?EAaL%Q$G;uQWS9_S)#h|T5Y3HY|P=<<T``+-vu<~7XZPIc5Y|+q( zqfv}uj<0<6=9c`em$4cB9){M*K?^&Rpg8x0Oj@AEN6oS74l{#`qPSoYAbT{<YE_6= zS2|Q+go>rpPsk1rMBG$7H(X(bK7}#<rE2O*Fd}|o8_u>!+>{KzCVY>Brr2LCajX8z zmGm6AmJR$n+L&o|X<S-8P94(bVNjmjsYV#bKl2&E4`CYlScbW?#TX?3ru{Xxq|#!9 zX~>Nsfd&?pOTFka{4~s2_{8{nPRvbF#zZ422TNxY$DK5J2<M_b5m@ZGLCcXzTBVA1 zQm4=K_sH<@Fvo_aMY6ycfMyass!VCBXa16mY?pM=$Xu%RIARV)QQB$xXXQAGBJd+m zGC8FUxkO7sq=T#BC-L*B8W<|(+DsXmVC?9PQB%1Aqk1v8^moD}CXTmBY<)a@5)12o zNA_d8Rz+T<x5X(nyu%P6S0u?>e~09%0NncE!+4+_3U+WQv&-l{Vxqk<xk+V}ab9hr z+1RFec%CK*KnQ*LgP&9XX`!LT(qlr)7qNlR;4p+LIO83E(W=Qb&-<NTN#R}f`@3$Y zwfZitEOKmt0nKmAB8J}UnDBMh0FPc3H+^>`L+_y!O+@>SG+_n~uKXxrr><_z(4f;E z(W;N^D>(n}y@~E^=L26vs0+QD7r966aA}-;oN%U7aJ6vI>Ejg_S5&ug>LB|nKTYe7 zcy^5L9-J?Iq>Ou6%GF8PxnmksIa(B6E)(H|me^CMQjQ4IC=)1RevW+NX!x=z2m{XP zXX9Fm2dq+taq>>~sS)H~d}%{p>9h^K+R#~MXuIEsO&m~eS>Xwz43%YQJHFiYkzBCw z(CcQ$b{}HV=yw0G+0QX#h$P#YO+ez1i&`qp6uD>o?}*%95xEMSMrx*W;GsAS%jF;o zugY{)H`g&yX9XnzmF;!HyPbg`*X<A!i5+~af?M|x?{$$GMzi%wm%EyN2ReH`%hLDw z=6UYPk)bEF46?9%fMuRQqa5E)MPN2}PMc|<a06b_f=#5{WD382<B+V;&+E8giY6;l zS`f(IYnyi+(#iE9M*a8im$x5E;=VH^wKQ2V40R(5m@8Une6eoAetIuXq;-gHGF^=3 z=WQ#!$jQJO#A%)K&k-d0uk59igK7NL$@w^G7>bJGrsr|+jUQJnsJyCSWzME$$4Izs zLGC)|+~L<4S86v=G;$UK)y>V1(%GLa1II;oE@M0E#PuaJuChLjsyDYB>9KG*oyT;A z)U<de^Uzo!a@yPZ>Kmh5dTZr)S{*kux^{nj7WKLEFx(u^S5W&=M`e~{Ni@b?!^8N; z;)RIkC$!r&i_<cFP3rEu)I!2zAT+qNp<@w&3n^^pT2ztfI?Jf?k7HX<Wo)4>2IY}o zG|58Da}`*T7{&-Peg@1&d?~pqG>$*nt6@MZAXP#3*ct8n5q2LGuGAoN3UGFPqt1wo zUm8Duklr;wnGrbMqx9OttD;hq8e<rb+r-2a_+1~93-vwZLNvMqr>x};>($AAQbpyV zIMGScv9Y*0Jbnc;r8P7E;lQP@i{JWE9va2U*HssriMUpgE&&B9jNCFJG7`DflM$a+ zVl{C2sFP_($N3qa-I~Pl#K~>cQN?)OvM%4!(-HorFg`_TF{#De8Ke~_uHd5mtM9*v zl8^S^x`2&b<Zd-4x%gwQz2?wnEwAibV$yf%P&{_lNF)nXdbOiNpZG!c<Z&k^4L&t> z|D{^!FaE%7@s_Xb|G7KM<@+h#!!LgCr{<&EqU62(Yf2?PZ*kk|^3hUx?fQ)y(;Mq+ z9<T06TF$v>&*5_UkT#^lZ=e60+s3Y_-u&e&=KH>KPvPxfek#7_D{jpnkwfO2a^U=v z?f>(d#_u-%@~M9~=d))l*`)PUd-GTN?rhvVfAzj&f82;}J#cpXu_wsq@VR=+e)CtK z{@BNV@`JIPzxvhxa$fi0%~GCUz;aRZ)&rkUKK4X(U?@INidQ9nu;owx+v|Vx#t+f0 z%ymEU%uT;`<9pt5XwCe4_c>`C{+njfxTSUYLkH&Xzpp&}Kzq~iJ8wDu%|_$q2On(C zAM6|Y>ij?d>DX(w-`u*-Q9S$CUpjW6y)IqV{>&$?KJOEMyScD>{w<|r3sHl%T)OA+ zsD0!|$ICzX!N}?(2ad1#!w>hZK78cAH19pwxOvlOE=oT8`TaNF`pm<}pZWaen{WN$ zUzdJz@3Hw0A9-=<<9ix=&imNg;uC+9?*GwEZ{G6Z7mv8#y8F&n_u!rFTR(W`(c?d8 z?Abg2&3(t8Y~1|xGr!vZhyS#B%Lmq%#}<ycyRpfhRQ8S?{?z>H!~36COxBcWAEmu< z`Dh%gO@=nEKW|}2)3qG!U|(hv!6x_LmR`Pa^Ov8J^eg-O-hSx*a^DAz4LsN<9qqdg zjNI4$&!hKz`tTQTy79_ac3($jbJP$iAG&|O@BPQ_SvP<4lV9n(^6~Wp1MiwW``~tL zGN$e1$k*@fe)SaxU$^Nmzw_B$R~~$K1)EUkq7UCZ^phQh$G0CC+W2AGN%Y@$zv5fF zU-70t(0SKie*N1wZN2e5oA<6c@O~Zz?0w=J&Cf}@wQg*F{^Pay_E&B=^06D<u=)J$ zhgbjN4Nq=)^3T8gXY;>zTl%_#Y7=cIt1mrvpq-?*{`S_^OLvyO`|dLu?u;wr9Z#$) z%TrfIk8j^}>vy{ExpMb+HXI)9?i_s6%!hw#;rmY(9(>}+k^66YL-o&b4|RW%{O-Fy zeB`d~O_y|kaOBA0?v<sPC(d~6Gk-DrwwvC4<e9JUe9w(fzi#NaZk^rnrU$ogXt*2R z82@1V`g>cy|CQ*8Cq8iF({Fl1>6zUV0}}%=Z-up-JP_uc9g8{rzD7_i{RR5V{d$9^ zKqs{f!ivmpZDfR1$^GT}<Yhb6U7|RCJX<8KE4X{G^Rmk>>vkvWz4e^3Wow8GM8j|U zmlOBt#u_$$eRk`6d+9oUQp5}2S-Dt|mwbBsF%&+*!0~GN{##~m+BM2L&`eTS*8;Oe zh}^I;TK@oDrwX!roZWw6P5taio*2n&w=TPONtZ2Xvwqj45?A%o1GX<#wV$i%J~6yS z{uFi;HC&J#FlSE_Yk}*;kk-?&)_Znlz?Vo36<(>n0akvKHGH}_pOx+Sx-&B>ccOTz zO<3v;UbQd!HR^f(3Ppd@P1Naaa{TVQ*~3sMRwvhz-xK69hs-90oKuEhd)eA<_hDVD zn^|g|k9hd*5d7P>our&Mov76&Wb4-VwsUUS!~-_*C49-vTRYUKk27WYqI7@Zc=sl~ ziwHL9YKDVNx|vO2u50Wy{#iDW`U$m3H@69ebv!GBAhSDBoy8^t*kmi1>O^tC+hkzJ z)7WITaB>GLkgEfQ@vZe;vqeY;{!nct<6G4x-R{Yg-#w`|K>=*i#U`qbx5*~Rx-&bm z2^&XQ7v7zp-?By1D$Gu>e4nbt+VK@OnV6lpBT$^232t`PGUadf?z;!NC!u<H?am)- z3G@J){Lt5fLia@2BcM?`*REarlb<{s)-QY7b(&AfZL;eQwaHd~Tu)<@8*-am;%x$5 zd_=zgx#Qhkv+tl)YckaG>$7PmT{I%2vucbboA`Zv(9NFg9`9n}U=yE^G}^jW+GKX% zfmxQp51jbg1?bw{G|?S+xtFu!X~HnyK?B<~aODMCFWEKw@>zB?{-NIwgH3i0?Cj3| z_^I!H_iHDxiP}Bb1jW2Q-X=SCR#8z6Fe@u<qV-Svu6wg~8~Uyj$4~sLHmQz`6tsPu zc=$4^S|PM)6po_P_g!+?TJ5{4-lCc@3DqLEN&Ai;s!dMQcOBQZFWBS;buYQz?+Jo- z_5UpsADZ2@3zOtP-{k8H+0JZ2Q0pa?h`#F-n`C`gNQ_O?la)b+8+bsfERV+~7l7GB z|Kx3Qaz|0?Q(*v`fW7j93of~Ym`xM2y}k>Z$n1%Ft@dQcw~M^*%59=@x;u7MtFyBc z#j9Jok1>6S`MvfJNeGue$1InbD32ks`-N<u;uL%K+J^mOlWkAWKDEJ5N0sunYb5M< z)cuI%diir%x~Z$d_b2f-rK8WEQl1Le`2CXc`V7y$#t?tC&RG+gGBS9(C!9%Xmm@OS z9-hv^R>QnJ{sj$B%J5a{GRD8FJ~R9qcoO22mam9a`($iLwzr3FPt0giffsXpTzH!o zf+r8Af9eWe&?_F<nrH58z0Ax7<6(qVFX?r(N4@i{c+^a4(IpA^JRaRLopYDGk(X5S z=w0NZPyaTrk9lF1{_LJy_8(rz)3f+m_7jfrHaUdXb0gVM{bV<L_te#WirhlPyY0T$ zpUb|=-Rw{F_(#}}c>OuIvF~v=>loHUw|l66J^LAbx|ltCyEk0VzQsRo?~dfJm^wM0 zx51D7l0ymf;K!1`+E%%!v0I3LM?36`y!z&SSBZRHuI7U<5pKl>J+7VDNBRycQrcd> zrTvWZH}42K50MwY-w^kMD(jtg;m?N?+3=Ra!olIBvq1{(&y@!&jvm!Ky;BzM(D-+> zb^l>sU1b)eGXx!F-;rwzsxvZgZj2Y?%R?Hkx~VQ>NvE!Oud9y_Iv0qSiIDys7rCp3 zlfPP@yYIZnq0e7!d`rQ3eXf3Q`~0!wkwbwmazo$Wt(CRE(ye{I(RSHD)Ybgp;Ic!} z`*_!^qX)3+x)I;5wX>GG-$Uc^J|3E|YbNZw4!eIe)&CeyoH)sTs~~$hb^DGg{nHYh zL@5pJYP*H*O|x_~FZlf#nfTGzwa2=0eCJ~t$0ru(yO2Jh{B-KF5(>MjkI{Ktl4EP^ ze$V~?zq(mT2AiNs77n&hYXqCD+Vw+xvv>G1hiuiVyM2etG>v}pIc$>cS^Vj3^6V1X zbq71_mcjR=liTD7dZ|r5&jp(>Tp!=rQBt*uALpOLCXem<8aC<vJG4n?7ko!j%b0mk z-cGiLJkw&Rjl0;%3zU29<htudYMO7|I>s{<t%R91z195e-;bfBI$gc@X-{)`u68o~ zwr8~yo?{^Tfm@I8xfSLV1I3wfwaJgM$&7C&OgS|_eB|RMbX)Z-X@z>VK+KX&YI>jY z?*Ourtd({C3uIxnfDd}N+hvC$pUF7hYbV#Oy4&j?+KKLq%u_D2iEk%Ai%nGWO8DEi zo!U-bmDz;8tE=Bl8G3RSn}|j4Pt0_DYHXtSC=;)D1XabA@L2t8I#>L6XOmc`Fif(% z8{xj#GJ#zROWB7dHc%;EUFiLl=lVHb$uvII2p*thVJS~)IN#s+T>BlN_jJ8<K~5w~ z`xaHt{G7&!FU2Y#C&(`yCNv*pa;k~vm5k>)mA6@?t@OeKH5}X6;d?N{?=4TIijOk4 znz{KN&chkq;hwJWwT<MH0bd#^Px|zC^ys`C_Go&(&;>W=dob28u(FKguw#;@_|`O! zaG4af^8Jm|igzxt1`@@KclDx>Sns4B&r`{dj%nD*IUdd4K@&W<%2$YY8lT}co-lM{ z8V}yPy>zZ+i3Nfiw{f8>JeuMEKCzlU-cLA%jNkAT9}PV7a}@hCnaDEGdfqB4lPRuY zzzX{ny>1#mr--S{eJFF$*?`XJaE+I#e8CqkBMiiU_ffM=b(!=0Ttn#;e@oy~IFa%D z`{2g+G=e<%=llY_UY%aDdtVXjtng%7n6`R|@mqXJ2tMl?Vx7l#{54>__up{I<tV9h zxf+@|m09Z7^-4>!dCLOy`>S5cJ>QwEr0lpns5)2z;kTrbh7~NrC@&n0z|S%$LX{}O z%A8eKrK+o7eK5ws&(-ob<x_h96sTUI>3fd4BrX<>i*-GMr-#P7PModd*O2oVAMm~; z;m(9VbPGsUZWe+syJq+mxL!b2UIwQGmttZc(~GvqUJfzg<rt>=D?oGDOH9mi|8l}Y zm98xGsn4dd%PG}QR#%`_hE_!7@<(ls?s;-VaP713Hq#{NoNFHPg9+m-y}|W<HS3&5 z`kOfK1C@dCFI@=4wHzh9^9lau5-PW6FHa7#<<Z^`^0{DPd4yjfxeUP)Izsw09=n`4 zVDfy@cWp^r5WOG=JvA4X{e0N?YcQPk{-x9*99pNyw2vM0*X0*|5w#rrf_;&WSJ7!q zuN?3%an~4yalP<r%`XX5KN!Q+W$6qr(di`<Y8M0BGSGLM3xPLy#^)u-{MKXQCA|8w zpk1jK5s_Md3Cxl=^BJ$#O0;qd97E2QYY`{|CX))P|4X@;Wo2QB(4U7>d8Anb%q@}A z<?gBUrz>TT3xxKRc)}Uz+c*!ydL^J*^LCZu_3c&DdlMv)!K*fk3>R;q5Z0@QG+#?a zDCQmJxMH18zf=|xS@Iz+M&o-?8uG)hc97alW?(bdnc#r|zSxApAwz~JvpnQqos1=Z z(ttWpc$O|W>)!&xE{!yVygu*+&kr#AqA2xfiEY7vN}b~hsAUr8*-FAN#g-3Gtc92m z$D<h5NaH%=G^n1$E6|>DxXueH`K!}tgILTSdm7=&tNB#NKh;qgU7BS;;yL0R7&Q)$ zl+<*S$mCsm#b~Q&L<>m@MTZCm9G<8-PY)N~LHlI9uLmC>a*0!4q!)li{*H#Gs#W*_ zN^7}BJQc(XA^&ytG4JFdqBbODoALCE0lb)gG|v~6TIh8b!xXLuOKLzbA>sPp)<(~& zTD~JM)}i%-Y>{wCa{6bLT8fTei$soB?ySAqZ$Ua9D!Q5d9PiLd-6Y|P>vV|Fhue;y z=38B+ehu`jxEUL@q#@0Sl`nKf9p)A-T{;k@g*K`6Y^=6+QM`3;u}s3Dn44Kpz7<Wu zdcICOK~LR|In&V6iuP9$d~oAWO9`ly2V*d?FRLl+$6~ky7hu&2b(q&?u`V(Qrj1y| zAG?z4v+HR}Mu!1oW=2qgFV$%xAm&$dxK4dUS*|Hv_24ASA=C;^j5EAo#xpHMN5f(K z2x%cP?ADc0ZwD67Ra|6A$yrr=-$e_D=Euowu^i=AgFU7@RJ->%wNbU=gkvP)Nz+!O z#9S9Nul&zJLH#Y7$k*V7y^=I!@K7{kCo4y7Rch9x?~|$_TMN2S;x<bTXV~#Mr=R&| z6{DR(3nzA_gmG987Y$jpoi1Q5p=)eSWIxDP0BudCVrkcOf)?$k|2QgBuhCs9gO%x+ zkKtKoUxz-H9Vb>0<U#kaIPddUF1mU(0Q(uq0fhSFN=_A{B!(-49r#!;{=MT2?sCwk znfPRzW!UK&StQX^T27@K3dP4OUw<w^Y?T`!VgaM%9w=-VNn}9}D=w0v2{B#+yfbW) zP;rF^>6&mO7=?{gHTKMS+QA4m^gtZlK%6A0^=MjA6Hn@5*$WFcr3i$&g($36WluBF z+PrCg7K)lwMl@w9hJ;FtIIou~u8A>%-Zis5D<;Tl0Twi{4z9uK6iAvVW2q`KDT#9n ztjF)88OKq&&(|6HSgksM<|5%|__5@vqgp6`aU)zSGg=OwQi(jNt_K91UZA$z*C`u% zJ-|U?1E~h_fsv;A16xoFc%6m;Ev#h_J59oW59+2)HKopKH88P?vt_@S9C_`WR<%Ck z@3?p+eBz98>2`7LQSizX$)L4P0Mnz`aEwl%soj{>y!iAfy#eHY3Yg&YEC++5ZQCls z!G{v0`y;@vD{w*aeur_vg*>FZn6WaSaskD9R*BQZ&?|UhYN!BEM{-$EQqshYfu?A5 z`||2V6RN(<p}Y#D_Yg7#iPn?2k<tqqZ%I`*1wYb83kBzYMgxWzx>O{^P6svGiJ@4H zFA@hrfz3WH?q{j2EK+&roi1}?C-@i&oeu{|j)_HehjiseAL&Ja(!}si_&NTyz9O}H z(JdYQ1den3tM=He*j8d_%15;bw~+I=q&?=KtFQ%x_O4^zU3lQmRx>F%LqLVaDJtod zAR$Uoey|=xVk&3pC_R=q<T@`1tYbO5cEwwWH)zpDEdyRlgT*skn8R=?0MQCij#406 zm}5$z*l3KU1#Dpv3R}VV`q+@+QAvOTWK@;~s+E>UQPV{zbGb$)kt={8ix&RkAqv&Z z;;IPE%DDQ{xX*c!^j)O%9lO&Mor+=Yo6>2&7*&%Is7Ji%3^=EiN5ps@)K_0P6qari zVI}<xg;+36YUxmvKsWG^SkK3&il~m<*rSrljH)WwpanYkt!Iv?NYagBEr}C4D9)AB z#q%AxJ&{JSvQVj9q-^CSz7?>i&^SHVk#09XtkY^b5<e8pz#sg&Sem!%V#JOwlhVli zP^m};_V2q`SkHnG^ciP^)|yBvFLXuMw;wGS@>%K|PpcjjKum)$`1ocNt<%W}ONwbT z)a_JjZ>7)BUpN)OUYN6F3s%wgA@O((Wi&m0!irm56eXmq^~{MjQbG*Xxnv_lcWFe& za@|{CNIOOev8#ZlZ`hMK<_Hae&uX3_NSvuJ)}>)0X%I-?oU$!h7sMOWgdRCg4aJra zVmIz<Bb`lE#2htJ!vwYBSgcWqCKo<|s+`sw=OjQ}fPAscR!%4FMNQ$N5%4A*Z$dS1 z?n8CyZ>r+P3Uz%<erJ(PvZICd1C6s-f*m1ALk?{saZ^Rok4SotIWBIqL}#DbtUanZ znRhPv2wSJ2GgFoXCazbCm6~zb;HXkidl=_*FH)j9$F?RT7H*m;Y>h*on7EWKkkl0T zxW+|T4TZx`ZNuQ3p3SLnhDdK3qI|h?ZL`ohuoJ5)r}Dm7ObO#hP+O<CX1tGULbx%- zqbrr1s6tgWGX(%dl6FuG<UR=ZDKEJCu8B>G8W4L_B1qQUuR9c)ifM{B!5-%*Y<|@y z37oziVx{il0eaDj4DTMJzxErNuAzY7896Dhk4+6}VGVy<D<=v9WCdVTCAlB3yftBC zw2ZonW;bC^)IL>|bpB^bwFG^rgg)_Pu{kL7#+W%V``0UPUGzL9&QJhqK<E}?+-w5D z9e^X{0tJvt-Rzm4@}(g%XWj?bL?$UqpHI44YrIfz75YqiP)8S<x)Vl5rj=*S7sXK* z$jE`CXFnJ(;3gEEuMCtC34NKW;-qP5j?j`n8mG$FnV6ExoD7dM7G$AuY9%Y^6T7HN zQH%;kIx!(2{gYA7a5-0fDGRQlr3tROg{-zgElRDDxS>&<uZpw872ZqYtC4K^M$R>F zmb<u>Flf<7Wn+w~C5D1#F0OnwA60==JDrYN=k8=Wb&wgADYs!_RCQOQ`_~<i3F34) z9&BP1MY-3&K>_chtLE_-dFZZbwVJUgqiftjMSsUEUt7F42~@CS&dfGvcu8!zP;oTZ ziNE5}#(p|z2sW?UNF|Ly;Ce)4p5QdOKpFp2Egxy|t(QHX$Z=&AiB>hH9B5PU=x}MP zLpPnSshK`9APGNX=oz)h5C?)QtJ?7@4F8csX-_6r#KvOTmwUO?Ec1I3vxjtSk-8MM z2YJ>^%8GJSwb`nb#(X+E!Os-H8oKpN6_;_(98&m1&ZmSK_$<m}govha<<G$l7(+|z zS|HhuuQM-+>ztuV7ZYi;%n;)}tZl<3H6$5^yBH7J!Fe1VmDBhD7>%F~n5Te_(UiGv zErOW9N=U^LLp|^*wQLoPqJRc*n9eR5>Y%1|O9I>?;YyPU|L~}%CO(qpJbK#1BoJBy z1(hgNo8k3ZH3L-m0+U8sVVOJx+%y1gP`1$>&5kg4Ng}8H1`wHeyb^m}gM%@<<`~G8 z54}WSSdo@`>E5hWK4bh8qLTJ#=uyhc$>j2VJmryIa|J~+x5zeGr=uWQpqL^Y{Q`?p zX@`Sm{3IIX0!yDAi~l^Ap39(|tfQQ?8%-vaiXX{><NbQEJs%zvq}y{f3o)W{2wq<j zfxaHfi_96(nUxKc7vZJXMBWStD{BK<;_DcZOd@WWvTK8+oYV{o@CaaVf(Uh<ztQiU z)TnXFNh5(cKF8?Oipa1FdwP@&7NnWuLsdpMMC`ZR?I$j#G51@{Z|V9<q)n9ybrQ7I zNwVb%FWAIWeN2cHVT0q9%8JO&L^0Toct}k#nxi&4A42Om*i%jJobJ1zz%#Jg%>fVP z2xvVu$B$7GWQdt`!B}|s7*A$mOQhH-z2yJ+p_nlh5eLr1q|{jd(_Cq$B0Q13(~{nr zX(B_RW@M4HhBlusuvt{Onp9(ypYge4lLzhGY7jAOr=|BA$<3qbz(PqshfU-fe!4d} zQq9sDyhc<9HgnQXH$fLe-V;M!D<hR8Y4d;%iV|b?IWTiUy@+82-hmi50LD!2q~=@g zuMtrh>rV_(%yQX&Qi#WV;yRC2K+2@kD@`9p#%PxQNY&Xa<4FSPF>eG<!o{jIg(SBN z71DW3hw9j7%en@%GeU3xs_S!s^%0)u!f9v>vUSu}$J-E^w9#XHpP^%!M&Sg!(Mtx| z&=5jNdnk6K>m{@EO=2o;zB0tRI<42kzvst1GLlZw??lo*Q>cwJ;OOJ?O)|s%Ir-$# zgf*=>&(^YYUJy-@4AHGIv*y>N`v<lB2Z3n*v{K}~hae*MnfA!u1s12mArd<{wgT4$ z^)LUer1x1QI`E#JLMkD|@`0-a*9Sa;P^;8?WT~hCltA#LGBcGUSGbOr<Pmc`u(D}o zPAeJUoWGW3YK}5dS!uli%JEYOcR$|i#|AJuXn8PVFkqZEX4FHoh|u}#3~i_xG1UaA zpJm|-1L>&f_AmUQM18Rq(%7a94p1{}WREn|OZZ=bFd;%z4h~P^_kgHRyZqAw5z$Ch z>xl^jTHgB0z^Ka+?L3~ax#hw1r*;rCxah9mgSb(Q54D`PZdMms3_tvQb8)`lxB`r0 zwm!xq)wH}o&}W%wRXh{=xG%`L$bgz=P3*XAW{$=EmHsu-q4lMq*o^za?sZ9M&+L)} za5=Zer*iN!`iYFh?S5}#t06;SFBqL2sJu<^Qx#>^$?L>iK879oFaO2ZT(vez*0Db` zDzIPm1eqKCo$|B@L&;0bEn_5n$kcv=-fcLMmu`^?Uz_xzRDdLUi4)i=EY!I;{`qMh z$8oFlijF}DvehBa?wdYZn&YIer!$u)m!@Hhp>-4&6D3nVKM56+WPm{_DMjbAQ}^*y z1|1BYB<_8Ol75{J_E+jIz7jGW(3(*~3jGQDk)0N~W_~$ToV0Y%dL-5dTA0PTJUY>Y z?HRO4Sh(9}pt_y4L{713!!<++<H6e4h2;}u>#pm$ose@pmWYL5(wS0v`Sca(bkdgE zd=2SXL~Rg{-rO`60~{z0&7&$N?fXf&yiw~!oO1V|FEg%^IjQ{bh(CT@YgUpPz9UPS z#1%K*iVj6<l6ovNqhr*zG+J{=$}e@w$ikPi_~3*ZIvWlBwjYJlv~c#>4qSswMa^^Y zCHg!-QS>C^dWA&*8^<%+#IJLhZn0T6O`UzL*EeQKVQgI>nlWS<0%~C=U>+e%@g(lU zUw_laU%P(ziRaz_&&~KNjpx;FyRBJhHW-aUi$2txKeR8cR2!so`9J=lM*7ri$F@&C zSuUTP8ClR7g;J>LN(q<tRgbsR{}{U;?mu?j@a<P!R(|{`*<3%qztM0|x;-0T_Uaqo z^z~!cwePw<PQMbpDDvqgdfd$~meMC?K2pB%?Kl41+xNERW%FBxqRj*CHN$fG*xA>p zO~$I*Z~f#;KUf}&p2xh41zsQiuq07o;GcgZ-hAbY`~G9!|MsOf+;r|$TR--RGgkMn zS$FHJUwXw~^k4ks%O5zf`*VFyZa?qk*B=<W;=BvL@TyP!r-4_0{mg++-T#X6`wmRM zowFw6eXWGN92!I)J>!oFob(-fB<<Vr(HE)a4QWNi-}}fKsh0ZUJ*9nQHD28sX9uXX zzo~g}vhTWb{N-(9Gp~K>Tj|34?|J{{e)q5E?{B8--+%X(@+UvA`N};?#g}}XGw_Q_ zjqgjk_sgmLsa98-o;&iP`o{I^huc5@)IZP1SKe8C@ok6Ng?)`8x+K)GXz%{{LoGfo zicOrCNGgTH^W{g7yZy`M@_lEFQLQvKw+St^J@Z|@R?&A1o6t`FY+w1yFHJYE-yCe> z8hbwYnHyjI>TmBpfBycbQdxiJM_{WAqWjwAFH`AfN^5R>`<qr@+S)(#GTO=Jkpl-f z#Psqc#wMeek2D&$9)8&e<H59#ByYqfhv*JtY|_8^^3D5Sx8WZz-}&pWeBBwJ{p^v# zQ2BXpSp3Y!ufL*l$6J5@gEt;J|I5|$-u2c4W0$}1*yk?z$bWjndAD7)@ui<SZ+d9p zs$XT_d4UbKw3<V-lL|ILB_0EwyrP`G_hT=1KtuL5R)6YKlAf_9E$xZ-afe-4egAz; zz6pj+G#9RUeO$i&wPQ0oZur9d(2isKE*brTd+AWRerR?}`G!lzuDn7R%2I7727cJ& zJCZ)~r8N4AUrCC@S&<j<usUYl@C#&MX6FT`Tg(aFzZG;vs_swAm#iKK=wJG;^Jj64 z_(mRhZQW91C*)<9L7A<GT_J<jqZ)RHALf9Utx~*zy<Wv7if4|$m%U1dQGeCn-7@eO z_iBup(=+pS?3k4fCwUAE%oQId{z&)m?BRz+SRvezpW_@B&v$|~{Hy`b*5PLBYnRw( zKJCslHv^kE;7@lJczV_&Z^C3$o$)L`6E(=HO1lC=ij`Ng>sQO_Ud6x<o~Wsi@n?Db ziyp%!yUHhCpy&$*{91YS{*189CX``jlfo)*le<smOdq~O)>SF@%huj;H-+=#9G|-b z#7*$<@TOoB_iUSNe5=SEWG4B{EfQ?<_#E3>vB`1&=v4P@s!eqDJ^QG%Of#Ew#|w;{ z-Jb-T^!KZEnC<kRsING03RUWL)u(%0c`ezb!j{Bfldx~GSX7&Izn1IXb5~j;gdij_ zHUD3;Nf(<OJ-TZr$2tKQ_}#MV`&l8_B#Ou;A2bd&xnpA0D)vl#?{2S-a(?)Zt?Ss^ z_t;u&^1~lKhfUby_snZwCDmDNCzN1O_sV48+llUVSo`DLCV%<sBv6}lw<3ICz&YJ3 zu*@cM+_#hN4c+c5)gZoJzOn!5*~g)OWB-qz`tega`{1&z4(NVsfK!crl$WWN>I$1s zdv<~RDQp6(f5j%V-Q)CKM~~KaPQ2h`m%i&{Fyl(y{_UaD_g#0KxQ_F-y4{gN&WU!i z<@m{NckN}qolqvHK*234ChFOQ7XECTyp}HG|H}KWpZ|3>l6HS*$87g8`2GCP|G#^e z+vFy2!6s@a`mWi|quasF%F{P!pEOH<cf#9XNt3Qs|F^Ko)6cTWY_IS7;Sbd&KTY3t zdYc&kbclV7eqZ7U=Oc#wjk(JNwXOQF7Y}zNQ9ppoqdGwGdZyqcpUvWiV5%PQgOlt_ zR65<y$oQyd7~XD@^5?E$?;zpzbs6&eB2_N0X}0M;NR!B5mR{JKIOX{-?Qet+5Y9f7 z$)FeVhIfNSpXj}>fhYdKYr-DK1l?|DYB~iiuF*8`P+iq!=FJF;t9c-PWa>5M;NWzB z<x<XbJX4nfy~do++@W$QI_Z>HLLQXAKa-L?<a;TZVFQXM59^_8m-W0wX5J|`BJ7up z&1kZIyUxT-lBk;|$u^R3h$L@}Jil;`BlMI-dweYHW8AHL^Zkvv3u;>Nx_?pWuI1^f zx}dxsiq|s*anZZRt%AqL@8IzvG<tp`eDxeg&K{KY>)EfU=Q`?sN53yp_dgEbUmH}H zf+c;}x7c&X-LRe$c{Teb3*2>F4PSMUqk6^f;Os?}!+XZNcaQJWJ(hk?q?e6M-UljA zTs_ONfiCE1)ny_j4mK2wr}MJ8Z<JVNC>|Zp!qN0T9P$AFa3<xpERT=`opnDX>DPxc ziMMGUl;8E@K6F_k=UgufADZU9n7ZK4c?+L}LEr{#z!{g}9LIC9iON<e)cpb3Gqhgq zt7%jWSL*7GH=yTZlOOf2fFcKL&+?&!$-4GQ*J<1JpgZ){vvjsBtX6_YY}umUov2T$ z#O2X5v;AOJ{jp3`tHol0zHWkfoXG3n>h*(f`;Gx=?^@dGvuWY2uX;(wBg{|Qi(N(( z=MD?sM$monAp^CEfnu%G(LSuZ6<oKhbBOMJ3_ZJcXFJaPT!_(^@uD~M`BG6GmLQ)8 z>4yiFf#!w3ch1aK`LK`9^f=A-v)%20$oIRuuAHdWlQ%NPt%Sg3pg+eJcImO?f=w9l zy!0A#S1qTV-X?lJ_GxYMz*fGOFfseE&MAL<UCs{ov^LRu$sAOUtlOgd=}$cDbCJj3 zZk_G#*Kcg-=zYNjh41M;JdIwm$u4Y?exD-C$|L=iXW3*Y8CYf$Zs*5f!3Q>qIu;{8 zvkB9*i7r)W;DP(ukE&6bO>|8C*=?eVeV1=X<Tg?LmHF)K_rBBZzVVGAymW=0otH~C zd2APlF`wWY5zn^CR!ybnW0M`V(+arvfvsQTSiymZCpahj$E$kn<XJY+`PZyG+a~MQ z?)=e@CZ1)J?u5=w)h6BUN}JTZjN`}u_HC?c|0n->1+P)%zb)B>2?4+yQcM1(!xV#- z#qnducnB7cb!YF*Y!b3l#=$1cYQFX*@}h~B+XTfk(AvKOf*dloa|K%I_?w_!eiwiv zEI+G^A=A*wll7#(*B<h;Oic9a5?k}|WA*;rCTd=i_xdhRqvvCv)+W{duhD`BCjB|t zt8#WoKBb+gO;&<OY+1J!T27}33NKMi(04J9eRkiK+hiD<kg8XWpZC6J*<=yc-X?l8 zhA%!&rsA=QfqQFC!`YV7GE!CF?!dRd8LR<UEz{6<Eh&Dgj*{DCNeiC=rQ>hc?9<<= z0kl>4W0UP&`Yz^r^u*X?DX*DN&qXE6Tg&JBx?4%lvc3-IZuu2HTq(bDr5lehv`4Hj z9_PyN7#psHnWijn1L9wyMad^~H$QJN&?leF&tqI$<HPk)GEyD%uF2i}T*l0O;#Aku z`Mjt;jF@Ie>8y)Xm!Z4;If*Pk^5-g|RdycYgHWojQnucGFvIW7-SRdf^!&sr)unfi zVs9T~M)ySKX85FfCU1=4n9IrI4+c5O+MNDWH`C*wrd23!o6@{gKjL(rl*&2IfL(Gw zl7(@7d>(F1Z)-#7NayKWR@CRt(W|n`pkeiuZQ(@jwx;KZ<$T2qzxUcX$Ev4WT2|fo zi^+5d=WeT`^qkB19B$(AJoB|WO^MHx=@)$Kql@~|cF$!WJ?43C{-{wN>{A@m@czy@ zUblQtrS4BuygxS)I$UFvS3l{%=jjx$33K}WonAVRm$#kcR1W7WW^yu@{g9eYzHkaY zOAj9(AK&AtxFBCKf6p)G*Mqd<y;g*O<S005p-w$RNHGek#d3`8g`AJK{^~Ez-$3x3 zeR+FTvDEL=fi)R6X6~-Cr=;aEO0Vg>$_|hIK=NN1{<D4!A%oc1`WMFpbC|O-=fo1! zpMvw(Te$L~$aXq-3_lNK%x07Z<{_WS9C3DeCZ_TzpC}<o261plaXOix9>bhQ;yLU6 ziR$mc>ecZcIp)WLl<+5OaVwDtA?=W&aef9`fDzJPdka{S$m6-=3T_@GWV4vy6qwFx z+8mOlcpGr)sCJotxtcyw13WYXdgw}_=M6UL`xj5}To)_8NV8~*5tn!o2OeAi8@#<R zvBt;DK?asvj2?C5lM-79C}k9Kq17pX<~95U8ucsHkf*d{!~{YgR&SDN$8A^7A2<iX zJU-C+Yhdc5<3P0H>O0rvm>#0%Sst7DRb~$L%#-g*-~biTH)jf&Zq^5Sm$<(1(O$rN z7M3$y85Kf-onCuBlhbM43fP_-+DagB{%J5OErXogqcmnAkIZ762>%|;vTML(ZC?Za z4INF><sDBZ#^1Lt@lew6etcO&P?dW5R=K<mU#YkzdrmOW8;$iB^@RJMOoPk`_@yi8 zT*fF^<6{)ljAp$5xh{_T5)&eAo^FZu6locuk9Xu!vzcWTCnM%XBg$lGPs&B(vR`Hj z4I8lf%fSSXJ4LKdNmS~18Azt!uV5C%;e?RM1S*EUqmL_G!fFFvKjte-VBsm0Y8mu& z%42zln3t#K(B!oGa#-X+v0U*v?Kg8EfeZdbT{aZ-B6Uql08hT|x5SzZL86B7SQQAC zq3@{18JRN#boQ&VyozK=E;qzQnRb@F86?r)7&|f)o7K_0Ke_^xvQ_2!L!lG0KE0yJ zg*Vn1exE+Il-8pJEJ@g^hA(lf42JSruF76ZH<ScLTWk_yn1eDBXXqsiYgHpxi6dU% zYMkX#cd}MpJz9uIExA2p(khnpja(58dH|t1DA?g5;uD9@Dw-efw~KAU3`tq@azZ@y zAy!AJ0+`4;s};e?1`5%lr61=^AitQBxY#nb|20X_En-F>tlbTkT^hGs3wDTzTt}Jk zFpwW8X3wQ)#Nih3`c8gEv0xT_ZridQww41FK~rp#Dy3ny5cj|Xu!>oThMlOf^uQ~x ze`Rt^B2`vG8?O3F5Nd*IS8&cx<Ad2ED~a<djTIrslWd!ui^vS&5*D$z*3K}N<4z-D zih{E;1vPj{EDz@V0(^bc$x1xi%=%rF1Hx5FH6MHj!YI}{vFiF9X`HLTi%n%J%~|kB z6W)94R07Lziy<|N*TzPgB(GX!5yh+rLl+PfoY4UlWq1tPXQnDv8m1*qS{f@<oEWAK z*UB8|$ju+bo{m=Unsca}y7$>>i!Slh)pegnM%*ae1ce+4Wk&UI)`EyYNt4A#HnJ+w z-l-`@68Kngcx6!$xYoqB;--8^%VJt8*IH|7=5sbiZSv%csOnHpj40SxucHFHK|f^* z186#>qJr6+C*_ecHAA88i$SG$AVe*qMq9-Mk`U9ok{1N~crrZ+Kg0onqa%@~23+j+ zIY+TY2`JBtgnUg~Bei55t)!AGqPd{1xWEk!6i8IKKt1e@)qqNJB&7|KZof1k!FrTR z(h{WJ?z;+IK|D38WTR9MS+JZ&7A4Lt$`lM@=SvP>mCA83TX)zZM<}WerRzvviU!kT z+6wqbmO|p#v4ZW;uo}8nt0`Q}y9;N<83@}%vbIQCO5pgjniAk$2Q<>>3z|F_MUvWI zNTh_ZTFrxx$b9T)qA-chfojX_IS;LH;jjc&b<ZH&_lCffQ&&j`)q`%%hv*Gjgc8U4 zKb4_<mm^k}-UUv2B_fkjJ)i*qbdy3<aC%9gV(T(w$~cvAl|D+_lSLPEoQ<}4ifd>x zOAkG@B(SyME(Z}H?)msq#pQ}~DqPFU>rO!5&g<q!|5#&M^;Vb>u{c@5>I(oyp=IF5 zk!<Uv@0T^ME_5*Jp{C+SC9YNy2ZpLuaid9W9g!;95Tg~w@H@x%VZo+T#Le+jJr?=J z{4Bqka`o=uVocdM{4w3RdNhLis|BXE5OI1jTB9Vgni(z%zB^-^KQ$jHL8uAX1LV}F zP^iP~g<ia0YNHupvp|e^VR<kF1<w3RtecAB8fF=?_swc6GM00qtdN+kflb*5Pc<|X zff}O{9Ymyz;G5{M2Zy9J=CDqSB*Z6jS4$f*oY1=zrVk;L#Oc@X+vJrMU98e9(TcSA z7nPrulOw=|hX`CXy&()(jaM)xnN(L(IrT`qsFGM{OE5e$Q%jLOJx51aL{0&{4_fQ% zizUTe#?0XNfMBDIk$*ZCtDIHS6!~FfcRocurdkZrcOgi1Q#XRU+8#&oL+Y{W<6OiF zwg?bh&;zXT3Jq3;s6jzSJ}D<C&{-hY|IO$T&q*?r`Xi^`p|=A~@?`mQEhTyMy3SNW zi&~_hlc`qR5|_|-DF<mG#0;WbvJlJKBzcHFwc-^f9v4PU-%cu3$}gdGHHEB$w>+Xg zq@2~%OsOQ}Efds2G-aTmeSVu**Mz119KEZHOU~h4<hhtbQRs-7UIYgr7i_>@0cdS( z+JxLV$!Z!A0BVHy!O7tvWDVu(=1JkBq$w7E$N2bGyVit<g%WZKgVtoqunoj*>FApH znlo-}z8N{9UxwCv4glU1C>z}jo{eis(8S~T(dMW~$|_!rkp<GBFTaIE_ZYL#QH)!h zLn4d&c;rZiW)NGhlxyu8W`|KTe#mv4iD%I&hG&BxO*CmYD1(o<L|Vdu8wjU<TBJDx zMswys#?<^KE9}{Xb&S|Fa+fxR<4Y88d`AkA_4&1MrRq}dsaW+`4#q?0RaC<yq1=Y9 zAf)(h=rNQSNpS1bxejV4j?IWsP1AwbxDu>l4W0(TJ4NHBMq*o|OT*s;*#wO=f0<5f z(Q38!khu{7XA@@;KrQw44`D7+?X{tbl?0d4nTJAu$&voljG`qJ3CWzr2#kGXLy~K$ zs`%LTSi9Ur@!7YV20G9RTP3ayDbBFaQ;PkDvlzA7Diq0KL0YhTp>_z`cWFeN42@D| z(Uj_lC^ZQ@hSV>kAt@<~f{LCKCG|_j`-(DF=MI45kz5iJ3o@~qFoSW$Y)H)Q+_1FL zZx|srL>XCxZG<Z)GfHA))RistvE$Nm*1cH;@-zIV6IrDpl<)l<eg*6QBynu&<G@xh zfkumS(3Oc5(~K2sd*cZe3OVGR#mr)C)7I#onMGI)isi%u$UVSdvqd|Y(M|>ogIf}B zuF&S473Erp$#vpNx=8oUeT{T#p)tJ(o|-GBW5hk5nbst)R33`WP^6o@;beUS<m(Pr zrgZJKV-)Q;7fWH!!UCZJ=6N3(7>X8MhZJo`Tcg>D)Z_~?yiTI&J&+lp3u)8#AZa$u zwUMnMb<vhAh|0XgS0T(2>v)>&DE$TSV}^CkiQLD*(v}w?U?_-}#2v&%<|QR372{a7 z#%9BpiHyh0-3&`r$7mSIq$Jcq8Htport{&dQ4!0)DqewU9;Jl`H$&lDNvw20Om9KO zCE6%~er28h+ffdzIB(#&PlbjDJ$gqL05Jnk$Yz7>9GDGO>OQ%&`Vp!p>Byq7a^b?G z)N!3vC)_&IA<o59<HQx=bH35r>2!@))Mf0T2A<2rw7(^VAsqk_(JymJQgE^Th?TQR z_Kn0rr@9zNP~==XjsLYF*1A}}6ct;(6N$v(4#V6oOmjw^NGqC)sc?0smb4PeI|m5W zyP2_+4|N*`9e<;$(^}oa!}oM1DVca$=vSOlHc{MBM<E!OMf}{NQVsbs06m3)z~<$R zAMjPg7aFrQ+&LrG_!zx3<F|<MvDV`XF>XU-zf5YFjz8|V+u66i@HR$K9hgXLO;oVd z=7*@AO%ivsq%wGZE7o}kV`1g|sbe*oovRGZ#iriiL^+dCTEM72!-?f1$&N`w2mV4# z(QeR~#9Sj$Eg6rEt(lmdjHZV36RDBrOd?519^yN-jN5&3XCJ5IjboyzIJS$F7YWck zU2IIc*FbkZH9QRbB-E!SCnA}n0=<wXMo~om21Y9sMWmMu96BfW&>uG(&xYbcM<PRG ziRJckF&?&SV(TAmmy_x@>Q+i+j@phLL*rQ5@cV3<aTHtWfc6{JD2iwbZF>A=8CdU+ zgX8@urd>WCiHXx+mS{bCC8a^C1L-NCgpO;7g*HO5XZ*20b%DHIMhAr;8z&3PYZwLd z0n0sglzd!2p%L{@)b_D^SF4rS<Qq<J=9vJ)f7G=uMg;gN6J0XIfz0(kO^O$fGImw^ zUYeTz(l%F-;(bjTc7G=_jutZmbwaqrHf4vMBwh!(BFTvAqhjKyiAI}8ISc;G+vv5( z2uO`9Otd6@NcbjK%#oAO4kfZ?4>4BLGMfnyTM<~t>*nM#Gir1MQ&f5jC(sLQ+LVhh z{?k!%$4a=Aq;qHx|IWC-9U`OJoJoQ<D%yvQ`j-ItD`3!fZi=LUEOq7^c=aB1QN$8X zaD}>5!JUL`iyh>vB%(9FI8tU~sKf(7W4J09>m6cXS!X8U>V5KN>r2BK9ZMvhlcYoR zg)YV`BiE3MyHK8wj7c(zOyZ)e7t+{j6Ou`(8QE;UL|H?85ffVaF)@@(g!kRl9V0R6 zQ@I(Jb|jIIlDs&=Bguve6`%SxJVcxIF%TT$Ar6%)8s)UG<nt97XCF;vOs=~)X=8p( z9Dv!Gdgy%=X2Qig1cLkjvG+b;c2(t}_uBjH8TOnEnKNM$MiRoB!w4sV#(@E`UL<RV zV;GU!o<Jr+{FA|QDb@Qa5$K?|CF>kc!pS5JgAIsQ!<d4E(Hd>Bk5?=XNUgQgk|=6v zp-|h~$7+j1lZc?^e7|?Cz0aJPB#NK*d#?An@629*-u13`y=%Se-`;2a5wxYl3)J<F z$oVZ|fXewuQh^+ZA4nsrZ7cI}P%S(VcQHz~(C0m2xf=7Mq#n}gSV!zE88dB@UqbL& zZY5+E2~b7$N-A7G_X!E1$$rAi9^qs98e!sE`~u|?QhY;<m?W_kENK3f(!4G@361j^ zvj_V+6&XL#m&u2vEYws4p1U-w_}?!X7d#;ez(P+scj&N5rrrIGd&OfK)N&w>LYo4X ze@n=GTf!(Z<17quSf!$-rUORG)HS6NVFJ!il`aWG@8>9?5u!UX#{=0Zqr~s1Fv4}~ zIHHI89d;pEi@GbDU3^75U-a<tFH=W<$Kf&VDqKstT{LiRCG~d}1{2QzX2DCmKs);i z_E5?|<E1$5y<|uuu=u;yqW$z<MS6;^P0&&uBru2GC_v718i7uQ@Y3AF*nVXMjsT8* z$u4s;NLrzkfd`lNNfGxo8YjD8H4z$lIR$#wTy|OO;?byF#74OAlRPAOc7bUd!PAF6 z3?o;8Iub<J%lRr&Ei&s=u#7xK>nY^5VbJmx-L;GjY!Mq+b`c&eJY{8-hRcTMEX8Ka zQ7i9o5v37i%cLhY%RvU6Fe{)T!+8;??7~nN+-XK4XrLBa#$Uq=1KkBm_d4BA(q;Mp zL#4Vy%W)5F9advmikYiiN7UGYo*+_#)B&q0Uhpb`a4nq@5xcou@!qTXtP-f@3s!~; ziCiA*;fELYABGc)K9Mths}}a1M}pfr-&_t$uc3~N2Zf>WIGbCU<u{FYl5@JK)`C(W zT_9UkLm^%w<L14bVuLg*O9fUMw<*r{2<4h{rHmWn|A0<pRa-gR7i{mnK3c)&Du{_T z(nEJ<dsJzx?d;s6J;6mi@~MTwnF~~W(aG-*GQ*&=j%<)s`{`k|5EkfE%PzO!L{XJ3 zeX3Sj8beSSw^Vg0Bot!#S-^U>3464XKy4+vi>P58d_T0v)mBvB=4XTzv=SNI$bx(V z3J|y|{SBYx7iiMux*tYDRSsCIlnILiq@vysaVaRJGSZvdLT2{sd}mo2CP<NN4DQ0P z^Ia5ZzAZb|_K}>b6*di&EVaHG>@UZ^c1_sZ)uV$jM@2D#G2>e^ml9ZHUu4F#)ouzX z*K0o7vT!QOXV1x%7xcy}FB=HjcFT!1Lv3y9JV`)>U*Kqi&d0W8ANeHLPXD>0x$t$< z%84-Ag{$RPuT)yAH!erdXStC`@NEmGbXe+EnU!5=)J@}qu*=HHH`GhlG=)q}sO*%g zspy@ber|bi%ck`YF31K43hIPg&MKc;D!jPrx3`a#*RDKpLj9WM_dNOTCtGh^u=4}u z!tmDJ554bC7ruit2)2*id0@r<uT`a;oDh^X{<Vd*S2*Sv+i&^p?22!+1RYD3WbMf( z2HXB-=Xdh|e&7Kr`)w3q5Z*ra_SVTSvPpF3Z-jfN0=0d6Pq_C05w{Pt?tLWK{^>tB zec|`s5G>d?`CDiF@VBhmzWg^<J^a6V#yWoZTW9>)Kb0-_I))PmY)~1KVHP;4f9L0y zy?xuxzkB*4U%2;Q&wk-+YwhlPR&L$5zg&Cfx!*YB^Z(d0aPEf=f9Ug1uKk_ojy$~i zD=Tx`Hvavst6q3t+t^LdymRHh|M-E^{^7{NPi9}YdHcS@|GMhA@2yzy@E6WnxcAL% z3(h-_cEZ)_U--;f3!i^{TlTw0R%CzpLfgWB{_U~slF5P{8ykuCmV*V)9N}KacRsLS z^D}>S#*f~;;)F_7s&8i7cfb4Htw-+u=&iTxe&CaL|IJ5leYod=onQDs`M_9wV{4&w zd0J*OcI>f7_o@%v^0phdt!>@Z@dP)69w@BcaO3MYFAvVPqc3d9?xOG7@T1L7d}RNU z6&ns$7v6b51>d~qA8y&%y8Qa!>kG2G%E5sxTUzgGr|&ASbA8v^mXyEfB>d_F4|KFH ziR~g)KJ9HC^_$Mx^kPPZw}rH_uG_cYv|;7iwTl;S*)Y7Kw6<&ef*Xem`?1S6ZHN!7 z-Tk>cMmMkj+NO`(v+H*^Tye*N4~#vyd34d?=kK}w`3p98e(lL!*|GD7<M9J6d=gQC zt<tTwWPfGyJ8%BnQ@4NQlq2>3@jo^{xqJE2Bj4<J;=o59uV1kFZGW<<<&V!jbonPr z1Mhj)?mxZY&Dr0%=kVtG3vYh$#ohH!pZepg4!!uQi@tNwMQ{5r|KpxFZ(hFncQ(BD z)O$W!-?;p*pImU>gV}gVyE^#`55H~m>Tf)GTD`vccYo*4-?ZR~^Rg?~e<I$MRX2W3 z9Vjh-=pI7;?D^$CT>XX3@A<-uC$vVP)W6f-dHB^E)<3!F<R9MiyPL27`%NdW{`l~Q z<&UoYOjvpL@*Y0=M84FXuZ}&oZd>pHH7vITHj0ulISfGn-t6x<6L*Fsm8;z<(4~CB z?%;MiM}omMY>m~SDNbze>cVt;qp+bFe)sUZzb|_lubq}~3G?>6HR&FyT3R|gd57<l z74?$5cV%RD3uA{(C{ru*E5pO*5XRm__Aq8L6B8Y`&$!&~lY0;AjG!}~wy%^SZEjKA zj}VWm!yVk1m&zmi^n{v@L=AVAO5CQ_H>}P%hZ~?|&q2MfFW_#ubI$4OldvLhO|qxa zLkYN{Bx~n|dmhsd89~6{D!HwzmX1@&jjUYtxxzXcIw+DEb+{3*XOA>DSxD>mU8><T zCp(&TGPzL_YXGVe{zm~i0sn&RA;c!v!{7f|b@Gtc33<<Su!{By`o&Dg1sBMThT@(N zE$jdj{iZsZnqt?SBic99-x1gQW;*8R<eYPc_p@O8afG~mlwFJm`(=Mtzj^^3g)UEr zpReBlKlQ>vVROR`QkH$}hm~4b?|Z5=T|6{1oD-jiQt7D@m*A|OnVHP@_5BB_d7b?D z#~U`Z9CmAvn{>k6ImZEXLWOPC$(}u2w1ZB3J+2R*$+BjIa(e?=6I<Wdw07R1kI8#@ z{i|P60qtb{diIUh>(5>LeeMlCRy*nIJC<ns_I08Y(Zt4${fSQcheapscIiK+PA)!J z7nxuGc=1rj<1+rII_a1}SE3W2P`$6Tq5i_*esuEd_4@7VVEyr7b}=6O6WPZo?c`O` zPV!+mGb3$5ZcCIbmP)cC#<dfwVIMmA(V;_!hNV>{@DxBo>ZSj9=|4XAx&QbNrM~w) z3GzCbsb>z>4|kkef1Dc_>4chfk`|%2>-1e6bNa45dxqV5bFUNnE-GG=PKL9i9rfnE z3zZ!M<eov%1?@ySzIx}i@1C!d=X|td>%{k6qLYpe)}d1fnG8Ci@1j%eKUUwh^i^wz z50fdb#-yfaGVSdh9nZSHtE1#}l1jN+`mTdB{e8px*~s*`^mKLZ7iAX=`+d=slyY6| znWVoEos6;>vQFQHyB?)FaeWs%7K*N4PB=eB-!)2UPv&Q4KG&D0Kk+*8eHXV@COY9J zTq%6%krSA!6P=M)m8pc{XgdwpCrR|F#=b|-af&?4UO+}Emjdw<@OuZv<Ms~9ck3J; zq5rI4)4hgCe77%>_qajuHL;Vs4f)j6d{<%*Mbq#bK7P=nyM2$m0fqlnyVtJl8twNh zN-_fJy@-RdN0P51Um=d0>!dQsUQCxJi;np~fsF3Ec`74*x1Vu#e`K;xlCL~h!k=&t z3BNSGHH<dM<?<?d68v17PcD4h8BC({qUQYEWm!fiN5geW?m<-CiRr_yuF746?*7J` z1%DmCe~ACOKDkGcqL~MN?;!Er9zyKD+KNu5x_B{h@pBj3A-RtcU1CqE+{I#lD@<*< zt5EJpoNK3dAHpZ812BzAnmQdl==Wy+%UxIhMGcvz)O9Dh`xDpXZjk+q-wktp@_8%7 zw%sDG$8#s-#r#T6+E??!$^Jq~vn285K1J|df`_~-@k8(Mj$ZJoEP`*ohcV~lsT(i0 zZyAcdb;gYsk2h@io^f-s-%&p22;8i;o^p26eny9U8OFZOGbcyc?J`T6-qk#d5!d8o z=d~V_&a=|+roHVc>gTZgr{&B7fyLYCEPf*NO~n(NH?#5UY3VzT?*9(npy{xix|E;D zO#+iC<nig!PU)Bw^_z;^HakngK-sS{{i}~j{1cG-9y*iT0sS4oo-Dnqd2Xc1$>)xv zle7%y(*J+;&FW<NWiLl3?jmNVlcQ5S*w3U&eVqBtFA8KVKR)#J9XeFc*Y~6p?5gDS zhM!$0EuCko(cwAmq%-B&Y|pk6_oC7i^owpM?iP08-}=*alAU1A(f`adwbe88wz~-f z=F-xB#Q8Ge=W8dtAt|kAlS<mjF~^cws-s;k51old=CqUJ=p=0?^L6rzZYQZuvOmcG zl)gmzE_AZGUa#?zc=UAfXV*!(=lJMyN-(n>(=kYBCo^@uXSSUv*G_(Bog9Pp|0bvf zm7hb9#@y-W;PHQ>9#sNMw;Y9f`3U{;N&kPR<Xf_UH+||x8SnV{&Bz{)$JFrxd<AZw zA8h>;*v}z-VAua@CNj=5m7?&<bluEp53OKRNStDQnP&=GEC*?%qVaz1EurujOq03= zo5HM1g&t>}1+8gU;ue`yyJ?r$250)<JQ|qx&xPZSd>N(Z68=;lzmm_P5nm#*!_T_C z5OY(W8@HKJ<`0^E^W0{h-+Sj`#b@}AtFh-mlBV&<SqT!vypr^#E3|1i&e=>gJ9%Ai z8ax~gt3c*~TmCbbMT6ofH8ny=ril|53C@Pha|sXm9jh7U`kF&@lP66*p~fq&Y!k&v z_cwW(ooUn**X-9R5*xf**fJN@<dbmibf$&43Fi77tESLd=PVtI=x05Sr#G6BK3-sx z_^F+Ek7r4pfy?}2J|6k8@y3_$u>)T|w(a*{KCP#W=e5`*{%k`yCc`Ap#|-mG<E`WH z;WOZT@K;H=>SleVvnh$j5vqnaK_^W>0-Ir?*^{`f*iB6)LH}%)7iu<+#i_*`|76Y? z7i@*{xFDZlnn0FBxch9}_Zb%u&Tj&<<SsHFSyCo?Hpmy63rPA50#k9D>6)VWOf@4A z25}Nhq;f_oyl*&u!BT_RMpI7XHfdJQ<BVDH8pOwNB&0N^Ms8-QB+$-!XI*K_mV6o> z%X6GQA?H(Ae6jm{m}Fv?qZh+i`EfXsAG(#5$$}NEfSk#m=K9a&*D_WxLBx6h5!Q;| zIfNEZZ25gu+(6NaNjMOZ1P<pm_yBRYc->L9Zh;?yxB`q~LRqixh-!TQQxSgmCn&3` zE}Ide2<w7EcLt}xh)+H;@Px|eR|pUeBaXJ@a(qth#V<K-f<$+-7(zup$49V2Ep>v& zY8EEqH9P{w6NxFA(LyQ@pkqnA+oi6H4u!!|c6djGoD7|2sUw*tW+-X8YUiq&ELIf1 z<xzRAC$yr2xLeX_kr-OpaXkVHix4G^9MQO>p|!ArJ@I|TB{g@1pQO?zcrAvps0<*r zq6~9^$jf)(c$^;65}=VFFnq9-ya_^RlX+txKDW@qS4AU!mTQM5w357soXMw7v0WtN zQ-_syE+Zi}ZKKX7nEBx1+PPSYD8(eUX%INqtXJ}2B@jLiYZGbkTZ3C$HIdVtWjidS zI07xEdnBe-BX$J8QK1g7DIMJ-1tY!85-kKA;Y)d073Rt;|B;pHCJG$|D~aGCl;ijX z1YeTqFswRL^@R9^W`Kt?)_aVsKMjZ&sDU0VY*O*Wn1d`&!WsYzV3Hu*JlMo`mP<!! zlPFLi2c3`Ryck+(K?D&l2LU!1gD1};?s*WvCU8vW1VnRuKgAO&pI#ziLe2dEX*`$g z(zlXOK)V(Yj8;J(>!qDvypOmRLvaPlfbDTaLfdJiQ=(uzLk&(g$Ct2i$)_XN9&&Uf zeo>waXk^Mrp|KdM*B73YhA@)v{a#`aO+`v>5+4rd4jX<^t^?O6ByN+~jxC%=xN*iv zqj&$X^J9<|#_}kds3G@hGM<-q7{b6i(DQ8X70DfqU)d!-DGO(Ni(s8#5<75`xKNAf z1I4AfE*DckCuX0sXN^#6!gPT=6#As7tvjs&9Bj0s%hp0}PZ6%nC_*mB6W6>7#Ikj1 z0{{`d0OA3l7rz!42?aP3K%4ZCvC0P$=2O6Opgl9(;K4~-kX}}c1H~#;Gp>A1A4P5y zi_zes0_{}UM>_a$Ylw|FAyN3mAuvZoK?KUJjyK}=gtM-KkdI(!$DxTr8&4&sR2_LV zkC;O`P})*=t-L)4lCl&0q$~l!I)f?6I>^cKKCH+U0#k;K$k*AKd+)Dev)m8PQEoAP z4%2{um~^RZ4YGm$N;{1Snh2Iq(oUqDYAzO$x*Np^M(6m+tL%0f!oD+DtWhR=cUBM< zl6_?)o@7B;?K%|4R6+!DnwBd3m1YO3415B<?srosU1mZOWF=uz5PM@|9g$aVfnhYz zHsr!hM_(XLDB%KzNAs70b4fgnunj6#Fv@@eAmQKD1T4w55t~4-DviSmN(b#opMsA} z7+b3gtPm&U6@TklNmcV*sb*qD0Guc!rG~QcEAi;UN|0Kj#<g03rH<XIEoUJHIRd)I zc^*jYPW2!-cWLBAL~jCa7S&^Mm4t|1LIrp*TbRb_0^epjhomL-P)<EM(2~=P&J}vC zX6%0hsRnA?62bJik|%ZrpFm7P#<|2yHf0AMBWRz{tREhNb|6zC2aagKg*c4(eMBFA zJd@oeFB?pQQlx8eH%;<zPqtDgKAKA9C-s;OV$Y&8CBU2Oq_PIAcLN~9X`Iu$#p%k# zIWPizE{9GrCP5dSZ3vYoX;euV<z<KhX;M~#FS$T)#{;32UP$VWvI?#9;A;SWfr4uj z5*B&{c>XJS7g3z@;A?=M%g2R#-nv2K9kqFW$4!W|7!pyt^|kmU9WACf9C(Lszx)>U zdV-|EKqYiiWyLq)%z~I?Q~Nwv>#z=|HNE#rWhWV3vT=num_$=<wYJJ9sfnev$`TZ_ zjZM6y<(PR2>#Ulq`Vw0v#6nbaA~||NYln34F<kpi-i1BAXYGX)(qC@KtoY@Yf4=np zC+H>fhWWYrW%c*@?**<+X)^J0pT5BOHv1DY-p9bq=7kSH>$>4)(j@HeV~1JdsX${S zbRYC?nPUCkMbb0)2kVy`Mt-@)pOwCi-AL)*EcSmxmmjfZcHmv}M9kRuhZ5jn)|Fy@ znd~p0xWDoDpCNZ&M_)(oM0s*2!vClC2S1w(-L;gSWrhD;=G_8aZU*k%zX(%g=Pq=4 zT7D7y{tx3ZuL0;r_5$1aF(0TIGv<*ssQ$0xKfeUhmO)B3_hjVSNnDHg`_E7NKQD!l z5339)O!i~3b72o@&9V6+#CxQhxCnlwX@omG^htQu#nVn!Us2)fzPj^KC?1n|(P#Wi zh1%lr(S52_@X2>}qWbh(|Iw!x`E>z=dw+N!!H0=`pG%E&xY+Y+*#Yc89q@MRo!*W< zlQn{OhsilRdnt$@I?3bN4eH|MI>jb0#3#=!U5k?N1BvazIp#7K3O_D`^Z1m%dL$Ms zvUom=a!I&O;^%z2gkNeT{d|JIvw?T%E45`rTR7ROSrdC3)A`*ODa-loR#{89vJO9# z&<~~l#5T&s7b1aZNX&4@9q__2a);7bnQ8GLkhw#?c-dPoi-xoyYGMeG4v-o0>4kq6 zIlrpmhkq!xK#WbC%w>dI@Y__p^uzaS2@2$#bP4-gY~o}t!-hu1XLwoS`?bcaE(I?z zaeqPR!+-U5nfR0iKiv6vj-Q3@S^V%*+sYaf@Zp*1`*qPux@YjdEM?{CDiR;4?C^HD z&8OS2YozDmfyd_jc+Veq`aX56H82sR4vd)dDO|`LqrKsim^>+S(Fkt&nYF>QK`RcM z`r5rKGAZJ?wr+$_qAJ(=@5*>gnu0NWAFKJ_$7U07G((t#T1i-oXd&m$gvb_}&=8-f zt`f;gs3|oIMq&{x1|X)D=z}<#!AeB;LB?P;U`j=nNb<P2Nx;aIIEIuqV#}xMi{S|b zV$6a8>HbAtgq;UMEg!A`7n}Mxk7BMjG|n&4yvupnB`bCyaXp*R;B0~@<C8v0fLR)Y z)9_LHk7iNMC3wk|2uZm35}2FSxjwB^sz#<gW-eKCkqC3c-++&4ilk-uPbD|1$e$5@ zpxM-d<$PYIX2}}v(D8C*@3|)C><^fNn7gjY$C*Rk<Y|O998H1qoa6Y%$(6U$WkInP z|I2|k$ww|Uo!?2RrGo)PeCx{rw|2PHXf9w#_~#Ku-tBVUm%4qOKW<itrmHThH;CsT zmoq8P1cMN9j=cJWtcVD>kE__oq{VN1Q}G^<0@|5E670v6KQ;S%tq*KAlW5JTqumLS z6qjxe4OCmRH*q)PLMgDH3{qTn!Ms9CK1b?#=sBFU#w$+~%+m8iP=fb`*hJ)<HbH3g zsc{#anNP67N3fjo<31|8!2*QmuVvO^2}W|B$W=-276L=k8r!<LjAs;s6?I8xoK!pf z0@j&L*o=0u=b(d>D#7y2)+Ek`72=X7g}^z)v=&3Q-~myg;%#Rh)6kwbA0z}S7K8j3 z0>_{?QpVzUKT-4J(B4oyO(`4OE|^G=HYli1_2DDW?hCgdwN5K?l4)&g7TR8l5nB$N zb5L>>iNtc3nW8Czi@YjXF%Z*VvHFIip#(Uq4A~mRszD_lB52ypA{BtMDi|UFXUW(| z+LMY+m6a_rCUakim3%{i$+2E8T(x9iz+tEXNPgTCFs=pq*vx+u6j**j3lIn;C&^P( zz9@wz6p1}VxyTrtk@d$skya|aEOluH?d6bj^#m5(n5$w*<@N)e)F%UmuEHI>ic{RI z3<{$%?r~LCWe)9m06sxBhz2TZfoUzb+5c+F;{%FAQJ5bp&TKP*;xY`98Bmru<0fZu z0LJE6uwzvQ5p#W<^=S#I1;Ip(yvrnP!dM#-k$(d{9C2G@w2FVDtY9sFZ3d6hpj8AN z<_MdBN>WO?EINQy5K>a%qN+t6N=fYr{dcC$kV6KQ$ttrbRA!_)pn4d~dRX$4H17Z| zlClboGCU5nwt~ZFLX!4Qv84DA05evD2?xCN{uWC)T7DUcH1%N+o^Mdfooa}QkCjFN zL5g#@@wUAnDOiKFKxiy%3`<|M<VXPiG@`|NMA<<#Xvl)3Xyv?imdQkxxHameeY@h^ z7m|}YkPD54GBo&m7{$Xf-q~tGiX%z~U~uuLXoYhAvU95ng`<oq4HDamE*#oICcHx{ zw(1ni{S=if@4&A^9+*vd&Ikq#2&-D9t#AaKQ6p3>?Zc5AEyXe@Ya~|cjuDl_Bz=kK zC6=55CL-}jnL%MFWv^TTi6PZrwwtES0Ou@N?<BHwRFEPMdk2mKMa2MWa{TNs3BhD} zp4S}0s4PN3M37WtoVhB4i)95tsDc9Zz^^5c5VbDSp%gyZiY!DLXX`kloX9WlP#n{z zi^MbPgJqS7phE-M1dju$Sx^IVJgjqCrFwN$1=Mk!bRsQjyM@$cK|v%?<*aClZmZNh zEFJ+t31Ek+;&LPDTTmJdT^3x%9mRy;2DT~+Mf}ieAD=894OLK~pox!S#g%|bBs*p_ zPXl=x%7$`4>U9d#Dl1Hd#!)T1Y6wq*B#B84q(g}n<A};vTnkWn6>+VFQnt+?x>jVh zgq}>~`QaBfcw7R37K8wOMWYt^tYpBtB~d1(K_qFLq%^Iv5=*2@gn@w8;iI~sS#dcU zQ6^~|73>0JSNU&FaM~>~HUEaNlG-Zn0j;c6s4`cJtO|Nn(Mm0JwT~gqs9xV9!xB1z z4rwesp_Lv5ZcaL>DdLmFyllR&ZJeX)r63g0JhV?_=P_byjp+gHYkmN>Bw`&U4k3)I z*lO94=#jQ}D0Q!@vF^mG$WoI-j^J8X388P%;g_`v_-Y)kV+4=Yl*HyBs2yDEVYTX2 z1rD)A^r%JTjP;nJ-Kq9Sd^-fA;vP_nl2JAf*1F0n%Cmxo5F9s<MfCbAm<oqL^n#A4 z1b}5s^*}_<))o{8qCs6CQ$8f?Ep!+61(|rw0ufli^-9>qFf2r`S7itcw;fQE6pM&P zj8d92A4G&4ZFXQl3qi6X$Dv!nfa9@6aS7nlGXL-(ksGZ>JdPSmi`%$=?+Uu`F}O}4 zmPx53NPwCZXaZb;$VAN=*AGcpATuKs1?wb3Qlip_8_X{C21>qdm#IoJTIK^e)pu)7 zoe^yzUL`ltip4q4Dl9JKeIjUKAg@t7x)@)b1Yb5oDul);+Q|ep#WI?Sj^p-9JR&SX z@Eu4gEn$<Yht!>n@(w65QM(8$Cgn`)2%-p=<`J6%OnGt{onwRxw9<Ae&UKHV>U>O* z3S(9{yq}Z>EDN8QWCnk1wahDsd5Wbl0!^J!2ub+}(`dvUtsMs9mb8o{BM?d~moxE+ zzv4{i!4T;!Qcz1tLB&~Jz|?VIDyr5O5+WpaLNP?wa@0Ts&AM18k2@YBmbSvhJ=ADD zVYLoq;0!BjLdmTMP6|gA+X6C7L91whJ9D+JXo;P$Q8_OsLNj99agO?}s<uj;c?5Bp z|8m;69Ynd~AsL274!AHBzflfCIaga+W^PJ_EN8b-P-(4LTc-C9tjJYGV2j+otQ65} zacIRa<!4AW5UWV1Yr*l^$B4l040o5W3T|7~5rK;H+f5j@GO{oks)U@ce{Vd&M2x(8 zu);wnp3vFwnxK#*exyh%ff~A~LG0zSDH?g=t*OWBFZIDU6gn9yl`=%tH6yuL;89(F ze_btJY1L=LILzfEX+0C7@X!t}Dz#38M(v#l{<xFwBA08=OOw?IVcWNkp-hh2tqD)d zQRYFsi0rAj6%JK|7ETW09unoCY$Vaj@TX`MWWeXj)pW?^pYWq02Y&$}hj#=kIroGl zU4N)rO-=}``xmsvsd9N6E9xa}8(f*u;#tb5D5tVis&=BRiEbf`==Vy&m1+u7x`gue z=vs?ApaiTTE-b7TS7d0HYT%VhIkZ&~IU!WWnn;#};qgXAi_3u#Ga`lnJTc{m00*({ zb@qsGVmuHARrqoIW;F16PNaK%U<s-0h$LL~DZP%vCK*p?DBP`8?8$#BvO-UZoF1rk z`BF=4LZjXuh*^}rFEE+Dc)x|jQU%o>W%x!g!XHxm>MgRkTzK(ae?T*^fu^67FLWj_ zU8dDa9=p~1p+*f>gNH{24^F_+`<x-1jJAYD>N08oBkv+Nm2f19L7fqLo`9mrt4bMX znb|OwT>d5XMjD}!C!$}8dRaqHA<FO%j{g)4*Z)vst(0m5Z^bjkV?8dyX?W&?-~ma{ zRUq7f!-yeow4uQv%5!kyh?XXFwvld1YB$v#f6)~HH<P#*tVJWsls+*g^SVMiIhRS3 zZ6k@&qf{<V?!C8aS%b^{H#U@a+>pOORW8>mG~_PF#96Y^rzu8XlO)d$tZHOJ8U)R; zVmVHhFk*y}kxNKU38Nw-v+N!jq!LkRQf8E=%;*5aBh-TSP7eCSPhSfGV}^t>m5-$& z=ZuyqLBT{8OCYl{?nN_H;;_iQwQ7MDEdB(H<0>ABe@w85-6+uph3j;rfKuRH2neKj znxKm^Pe|n>0dUHKT!mH%K$}34)zE4|WTPPyX(T3#gbZ4vw7Qg!BNL1pZQNOr_laCX z>ms|71<z@M5e>FW<6NyNH*|1PVC34V#gc**`qm(1C$d&xtpr5_*?5FdGa=PKs~8Ak zNg1)n^TZD*BZ-^>Wvb<^i%}=hf~#2&vX(bDV$hL))3ypOB7vkDS&|F1aQoOqy9!<@ zVZvJEa9}V~@x?tbsKi1rXC`HC=q3{XtmQF8wkeLzj}DMO&(jl71;sHH4<)nbMP-ZZ zACn}6UJNU8MO^RE1Eo|pYN+K!bBOj#0<UCQH*2HSqbkA|KN>=nm-sAJjag*{fTsxD zM<@94ib@O)H}@IM_Gu_0CGmias{zJP%{3pjEUW_1dQYE(%Dx=wN;y5slKnV9CJhF$ z0HPY>EaxRAc;7RrLD#*>hb*flS0!a|XVf4}rzEnCidHmSz}=<_x}@Zr1EfaA4N;nZ zuzX5bF}WaoE*OUp{KVXVS)YcLqytbk!ksgD#ROPBFXa^kVhBRTmmsOjrv&h1-F6p; zqflE=OrW{8P3sA*bHN%dZGok+k<zULM76}iirTbRY9g<C7y4l$P_6Q_E_$G=*W4#^ z_6b;Ay<!5NblAw7fdhp*6z3=wWeb$Z0AeG{$co&AOjxN`d?RR)glw!u!yaNLOt>5? zgCnxUcmK-g9FMdUK$C(U>57Z^B&Qzi9Lo_31_Ih(Qf<28tda5yq&;g1J`Q9nNHPmB zacyy+Hnzw0qCP0-j=F?*Ev2;4<p`TCaNe^SV3NtZ;19CY5n}TK=I#kl+w3ZOmx(As zCMyxmR<VX834pD4#W3Fjf%uxiZ5NcXa3MAcave;U6xAGXyyhci961WwUBa%smPlz8 zYd+^)<VsS?eyBA|iy_40T~gv4zuWVQs+J0(s?rIdP~ynI!|PL61wAeZqg*Vj#7V|e zRyd?OK+<VURhj*9ZY?wdVCRAeA8F3{ZU$JvD;E{ix@NTJZ#}B4Dl3AXGNNg^#uCUr zsvq)=uaim;QzQ9~6s_cwFJbJ>RK=oY)Cp0E149}$+PVWPrZcc5f@H}7nU<VL6Cr(( z%!A+?KARwqY1!sbrx8zP<ehF^;c_zpFXrZpq3dd^wo%YaR#8;)n~ID!L3H;iJ6x11 zazwTgjfGFR<fHIj@WEqT9~c#K^4`gQpJ@N5xY&xatEqb<K26v*8!1?a0l#J#`Go(~ zD4HtS+^ztufOV##`H8E-V<~r;fzAbH$#OV9)y7rv(05hzq6u`Vs=7^j|A6`HiUF`o z7e%?VEoa=9m?FxYG6>i0=lhXX>$(uC9agP|xmnzo&#B(77H;bqVbGKp<8s_<RAekg zAa_!}1yWS7E@|?r^<#`Trag$NUFlaTH=r=^BO?D1$y+)o=Mf*nvsTuISu3@nsMHgN zY4;N9Mi_-$hoTHqt!Q12TOk-8Cc<o226DJiYz*KYxJw6CDX?NO7=f+jv+p%rB@uLW z+L*VLf`MygO2}@#4iUm!Fx1_Z<HklbuG)D7Xe7$2tkgE60&5qk)1_dogf)Y;yeru( zd1`_<;`LadHukM3#d9?uNBWN;RgJ#TVaK+-ZztI)e2b&|i9nJaYt9<8uZfnK-y4fv z-xYm$aur!-D9ET&N~$v|=|#Cq7b~+&En;$=i=rKs*3-H1qMWt+w@M)us3kTU&s>@B zs<g)(-siQl%}glDQrTF&CJwuKN!5}yn`!m6-bzjw>k`p|JEi2>jo`Yr(uhAzS>y`* z%n_b)hLK`v&*s;w!SJKCMH<m_^j%s8i?OTD%+@H%=%gj9Dk|6#?5avTDYxJKp`AI7 z<67{~)ofvxt=##&?4jFEAHL(>g$oXa1=IOh+t?FZ3#HBvyeqtMDzodrk59R1_s-XL z|7G^xXknIiQjS!G$y~W>LADsFwdQL(c6@dJ#}|FGoCt13U%&`{Yb#rKjaB$uzQ_np z!09C7^uw{S@3$6m>vAePPF-tVnq5A|2)RAg$?p4)?A$ke`p3W3(R$5EE97kG%<JF% zp-+7Ft;_a5eS7xYg>hHgiMx*+S)$ghh~9E?>ubN-zIgq*kF9^-`(8Kno{N^8a^aFc zdjI<Se)PFlzv|ST|NhaB*A9I{wXWUrj&S_0TI(H~uYC2}Ze8`J4JYu)g264g{rewl zUs+rjJh;2-!i7t^7cDrimmdzZwT@SF@euXCT(y>5WkxLI%5J&y(%0^4Kihn9Je%FM zm45qhHhZYFqVt;%ho`JsvoP#@b$j-=*RQ<%gcm;aq4U<S+)#ROEPLJwZR5Mp$?|Pm z+qSiaOD<wY-ICeG%lQc^IGMi7o)~lnhq`Ko+a^AK=W}D<*wEIs_Rrh1hiE4)U(b#` zLElxr?Z3w}TQgk;esJaT_3al0cemZ!x-i?iW1CHMvTgxmyj~l8ty=x+x<Aa_g-)c% z(=JR+iAq#ut6f;3|IZCbpn%f}IUBBxeV;ZS(3jXnaqfhr3zv<B6c0MFLbv<tM|R#; zT3Ps)j><KcuXu!ZvizpiANs@(hA*0Wdgsc%g{`@`<sNjhYTe%KEhq0<zJFoo;;XKG zY3AJ<U-N^_cQ3keeaq$1J<ok(;RSD;__wcbA3OA=wl({A6|%h_uuH}_zUADm-LH!` zo}gM=Nz>l`FaMkki>umh-*Mi<r?lRE>VmGmI9|gFfHUZNxa`7K5y@I~Vrfk3gge_; zO^lswsO4j#lfOVGPkdv=+V#tqpK{UV9Vgwkeqr|Q>%+^}AHMsPSBKHsPIU5MCKxY| z{T({#jN4XEnQ^knA#*LAVymSb0Sx3@I(diJD^<Opg9P0fzJi_wIcr()=X1_`U4{L_ z&@+x6eb#2j#@R@7T<N)WsqF%1?vwMs1*WIH?akpkzj&XVR+{3%!k=)SeF@ncXY;*^ zL*!k&VEwhkote3B@~-JAxM#CddnDfBXX-8W!^P35zPigJ+9d}q`@|$zO#dfEP<Lkv zULf%}C3#q7hWj}@njYjdnSkRQR{Qq3bEBK&_o6#8DYtpRQH=@Gmoa5Khp2e%?L}2@ z@67Zaa#N4~`aY+V;idk3>ioXWjb11C<!?T41hwGn=dTu%nhpIib>jKWkB&d(nc9w| z<GxfEsqJHquD@`OPWGacBXv1PI@QV2A9r3`Z&B*6|N2OxldD80Q%)y&;d1!v=%ij8 z{bHh%XQwC?4oXk*=kqDK=^v$@4X;K-uai1yprhf{f;+AL{PUddt<c%=bRtK0yYn!; z?5XL_zjmHP74<|n3BhyM-cCBJJ5|Q(L@9q}Ydz7)=)Q+GPP%rI>SRvQk*3GjmD36J z@S%;=ZQ99v_-Q(s%eUh=?F5}%)!a^2R_gUYji8g6r0sMKJU3S-&)+xs#p!z8+M5nf zHFQF?8Qs{I%DAC^LB0Q>qja*GGdk-VDgTB}MyY~zj<g4N8ac0TL?`uq`(%P9kmkXc zbw`~8-;be_{{HmrS2@2_P92}CllrLB$<zJqzVG69mWPCHGU>ZUTOP`Koe*FC8tDoZ zfQ%mR`mR0w!w=DS9ZM&xH~R=jnMp<xD+&Gyeb*eFSaia)w@D{0Pr0M(>GNj$E~gWw zGE=l;>AN0pIlbqSOJ;SFq2XNc1)mRRx;)Vyus2Ep`*t$iF<N(hmo$LW`l;`!P7<lp zJR~|%PA5s&to2j-u2I)_J<XZ|WR^}RcdppiaOOFmOVl;0>$5sii>~Gj@@zG`CZ+Rd zlK05D?zJFyy0e-7Mn%>AUZOKAcEH)^-9xwr2NXMWI1d~Cu3VCEHBjyPY_=GGiL=z( zm<E!j2c4dQ?#_wtQ3LWt19`At14YCei{n!)b$wR+oEtCl6TjmF*Z8yDmt38k8Gki5 z<Ox?~a4*8dVJ_VR#vi<c%$EmBFCj0VjiZor)EANG?3$}dOZ;TV#Y^l+@Z=S|Yahts z!}+1GCS^sQYUJrrp$l@^HOS0`)tuvwEoFc$=fzVdxo-S}l%?>;@A3fu?wj#P*Q%0~ z9m|u-q^H!TS}SEBcKK!!4L3cu^{QpRbH-hq?@m4C%yDC{Dny*?4!--wXx9zi{xBE) zO8oFT#rp^<&KY@SQ6Y(3dN%UN+2#0E5)Pl*&1biVYf?H16S%r&yT#@M|CQc$eAFf{ zAFutM&kcRGn~{Uh!>uAedV0kz$S(7YHJfU|brP36xLzu!+?n&_QD_k>J<naa^V^qf zdJI{j=q|CB-FU`b#Cy%M8@rhHc^R(8?j|k4^U8tF<*a#LFOzW2VZTbsDrZ`UBEDSR zP_6xH_l;-lmOK}tyvw_k!6woZS;-shC|l$BQ$|+Gw%7^(?i<^R9d*9=FgQpa1o}t& zX`k}=&T1($u}ralxUZy^GOG16-8hxeZnP!O+=NcGv;7i@#X}#~48t4yuXXo<$juy= zUDh1r+`i|lw_Me~dAeynmD1fgeA@@7r%yP+A6?wpLP~yKm=M&b`%f3=<m7E1tkrki zK;OHjpH4rYmm57w6dCVW3l1F;TX@XWi$yiXJZGvt_RO%{$x@%G&&-hXj`oiJQU(&H zOAOt_?vQK_%e^0s^PJs0O=eq;nPch<k59@f?fr(8F`NU=cp<?uW|T^AeXE!fd-Q@L zuABPASL&qXC1>QVUe9JbTZL;!eH5L{!cjUI9$xx*k=u+)!>EUt!~Lfs>*&5bV;;BT zJl%fDw5ST5H1z7}_Vw4Vb#qs;etez0bk(aV-q|^>#1$A`FXvfPE**0Cw9|<j?|dlc zw4X<cPGZroYTNP<IvE{Rlf(6mPA3goy7!qnAL^$m@X7vc-Rq=Ye|CmBKz$mW9HjVG z_ivPW)iBwTTUDG+@C)>B6qDH&>By6UpHoYa!zA_W>r-{nN&WF(|N4~ZWV-lNsl9!A z`l(V~^xc1G=Bbju8>z$vC2D<VXa9Vi>`PM+o8$A-G@Z>fZ0X~2OG~Nlbi(P@=%hZn zZ`7a5{`BfgMAgKctCQ!heTn=|PVzFB@@q~;u<mc;ebnw_=tSCy=!7%QiQn0hAMKo@ z6Cyjlq7zlmeUCT)3%@`SWjAKC=;WXz_NgRA3VGekOfEMw&9yQ0{w&um)zu9$eVRev z^=YB!mZR16jWVwqbvi*SqlDoXsBiS<e4V&J7vx+?o}Qkr7yFqFP8V?z@S{UdJ=Na+ zZ_hpTRQ)k&X@~HOPJZ~Nmf~h<CyUOdzpp<x+fMT6#OKd3pRbe2$)y>tlIbf=(s%Ka z(=kooMdM0z(z*JQeyM)*`>xJw4?CR@AYvU2iTo4y{XSwH-A-KJ<#j?MbUK;acd5Fo zOOundC*h^qes#6eNuFM{<aE-pK)JrF-mwmy9C}RpuKK|`I-&0}^=Iq#>yfLYeOPVG zpcAIB(m9RN<@8e*L?>zAm9&SA(iyo9X?}iu9+Nx`^9fpXLVTBbxg)9ltrL@_(qrgk zxTRR6@4BgeL-eMWPN$Pj`JH*T?>ecUUw-(B*xM?1(z)XIw%@PFm?+^_I68IG<w<x` zJV$qyfsRR$Mid%HYG)_J&+pjt@L*@@aHipl7!QC>CkGV#5+(6ZO8lKpP9a!?96*A9 z1m~qoMK-nrW-m1o=QFq+WC!q)hpfRHs5=sS{(i*7pN6OV6r(<gFJ<6uE^%H1qaf<^ z=|F!>{;kg^;kl)Qu{7C7uyfd3mR{^^mH5?CDO;600DhN*SHC<`i&afE*?j(Ves2%0 zsX=GAeB0Bd_EGdk$B?oAQCVjjVgWF*%2sw%jq|G1juOB1Xu%Vo-)p9{I0mazBi=R$ zqtsWtKNfr}YgHl_9z!p5EHaIAzj^<Jci*qI<(nk^l85`XunN<Cg`dDrc)Cv!e8I`M z&DpAwgtJevAZa(^u^&<RsAONFV*jJ0V<!^+5)On9csuiDAI?6-R4!+;4{}2C;B3dR zDcs@tEe#1Hr8Ivsr4*Og+Iy5Tf^%509ECVMMyJ6ZCE-mJ4#`LEW+X{DnDSQDTVySD zB(_QHcI+wVw%(N7K%MxU+r@4@Gr?AcJ~I9iXyh}{OgY=C5oK7DHQ{_v5F#)Vnoucu z2#BkK!eR02#O+cr;?ZINhCczDnp4i9?3BlN-&|AUl~jFPl5#F%1dAb-bu!K=XF;b> zazx2b|I{lVqFu1G!TI2Sg)_$EB^7Zd-o%a?{#h#Lij?YY<TnlTHYTAy#bc$LQ0Go> zkV8d`G)@xU2u&Q0LfpbrVic2rS(y=*^+TZhk|3kKqv%46d~$VQ65FR6Y2s*5I>o1U zl6xZr4#ZB~9uq25B|pAM-J@pVYO)8NTTm&O)Ir<wk~c6eB$%H-lD)a93aONXgN7qT z%(4A0M~5;#U|f;NF`@mt4YYRrwbJvrr{0oKIzEDdPHA8EN?F0XrC_R$A1ftPKsb6V zv<?FfMB<jEN&Hf4B%^H(C$4cGXL3@pi=`bRF><=`WkX_zP@oUT3A(`fzzb++9>Z{b zhST0L0tFSMcvySTsRP@&k+c~H+I67V;XFL3c^Fp@1g9~aE$+sXJK<#9y@?;offUUg za6j74!K9wh)6R`yrLc|#6xm!#M-*tN^|9!|NdcOsuuui5kDv_h)SnA1m_CQ-PV7Hc zcjGr@l_~jHRs_48uScBt%MzH_N2VNOBnV|Z(w<QB3TvIyxb$_-FwK+41Njiq+%T<i zm|(yKNKJ7^I9umU4M`S=U9=oy0Wt6o8Ws{dx0H(pS0GcbP$SNr2PYsr0r%swEC6;O zKTIS}elqs}&dNFvkC2tP4)_G3;-U*nc^To!a~bIgM99RV04W7P%bF9zPW@cFX)CGA zRL&3(4iO|xYJij-9o~qAk}L+$GFp;{ve>!!HGH?@M_Sb*TjR(RGLbJGxKxR&@s(IK z5lrFgVm52AiH;GHu>6CMy2e3twwZ|Z3FUuKJ{po<Bn*uT3g}FSxbd?lL-ZL$wuK*Z zg_{TiW(2qRWo?BAYhYse$$h{Gf}71X-jCw6Ra+L+6n<F^XZ-TZQy(#rI#E@@LBpS7 z{c1P%6ci<)hxH8p3B;Vj-hzn2C}2&yRb@8D4d5G!e#@|Gg1=5`jIaqJ8xg?pE7XV; ziI7%(&?rM$8<4Cv8vvIZfWjCs<s2LFB!sXksZ8LohQf`eh$3u<+)mXp^;!7VWQJGl zwHCSw<wsqk@foY^I0!NoP-iGx4M|w4k#3IOQcVn6GG~pOXAh0kN~&j|7Eox{uq-Bc zKN^HmiljR<up?I?@o~abSR*woEjXNn^O~Vb1cA4jFbDXDoD9kg8rp-bZrK`_jTvtp zLZ-E$vGl#CNKv_J*TO0hUFIA5b0lL`V_+49(I5kuh6qN8WS%}8G4W6jDu~b-;gK-9 z#37cCj+28x=~Adxs8(bQ?7%op|8i@|nYna;w*(a!G@wJ%qQU`V5j%=hSu-ZV6c31A zRb~%gt`j5!1RSmP*~_us8mZ(pj=vRz<pSy~$_gTq3vwZYn2x&H^q+f!V!IuyG(zV7 zfm^HPhSx|dmxGoeIZsyJ3s~H2$bq3>9*4V%tO&*X0R;t60+fUirw5(btq2S&(Yu2P zx8#9GEZa69Xf1{yjB_}{`l=yr%Tr`M4lYs=#gQIRm5?PLPvYYy8$vz8YJ3VF`c9~q zc&_vt+^QE<sE?u?;qZQ{lu>OxTjF<ASQA06DNx!@XH4zqu$n>2M61_x-f=1JqSLsW zHTWgYeb0s60euPl6?Ft|@N?oxN_3Z)K6|82VkDKNY=vANAxc+_Nx|a_W8-rqiUcTH zpDCe_Wl<}f#57W2Fi{z{1BvM92c$m|NhDW6A5=+zBq2aw?UHe2m<nhKK%-XbR2Y?0 zT2i3exkT(>F5HFRgV0Nr<z>nt5<4=k>nlbw-l)#SFa#V)jFX~m2uz6~nDnYJ62k@g zX&nn4c<Ur>uvx!`on8~tK*%MVK2_;xQy05aa0+{QjDN)0!XrR>hR8e#7=J!9qn1bX z)o@uztX5r`sN+vKUXj|RMGq{QsnSJ+gUpM^E7dT(bs`EE(gNba+Jqe6#4f9l5<mhL zAc<VzN?{XO67MbG*ZhO8RY@_dfDnKJa4CDYRTlL+w9WdkkjfF+1WCk9WT~hU=5Y{f z<!c2o3|$%9O)bsU)M)hZD)QI?sG}b!0-&ZTOH&diHo%beRH_ydiCTqxQrv{sWJ%lE zYAfRuTTmsc!XXCVF=(4n6&9iND2%qTYV8%?Jg%NYSQAnzepaxSC&L2<4O_fge6%{T zgs*ij48kMD4oQ#}jSCtnYhw7C3JVQct|CK0G!<yoM@X(3If`6lZ5Wy$-!cGJMR^sr z__)GJcB!_gHcf(oks3jq0W0Zyuvj52+6XVi;Gd3Gdq>1R45{uByEut4K_DT@NWFyK z7!lS>Au}?C{P-!4dsR`CL5I}>wSb9CR3dOUn{tTlI7o7g?2@ujNhfFVxS4QKbWX=- z7Ad<Q+>)@3K6wfCvS>*r%yg+fs*1MiN)f`IksEe;U>obLm%9_TcV&>X#n!^id1&7y zlFLg$a#}VKq>=XMs!}7qIPsFA6pUtKqZNNayK7LoQ*~L%jpVeO9#-TBx~{gwm_V3H z7>`fbY&m>;_$)i(Xux_={86PfqUFCOX|ri*2mAg)1I}8hKsBP)M4Wi6mF+>OpI`9| zro4E7rWq*f<gr8vY-p6j#g=efe517dnJnC4ug;1p6t_gN<9qPuDRTI=h9pZ`bS_zE z*HpGvq2!dI-qmEeeegz~Z4u1z#@yMaq*b+tEihzNZCGJn9kH0|BX_kcXb*3vOSeId zNJtX17O)(%Bd7*8q9nGct?;X<Z7R}1e5<O~?2d@IA12idB~)Q+i}cuR%2z=*?E>hb zT*9qNud%W<j`Ai!Yt6ZVRY4vJT+vKSKvm1Nsys2H5lt^Y1*KMz`UHw$CoIzsJOl=< zs><Q}LCDm3B<^K2paI%wLba<F`gbXEp~KXXR@&<5Q|*-fP7P-PO-P8m*bYSR7IFEL z^^p=gswf-r>ow(x{xCD1BmGJh;pulGDN?45#H7cmz<W$4AlYz;b|#u@QU4D=#8Yax z$gngCeh%G)5=0$C4o4yyY#@nN+!5eagb|d70eb?;<l$mLXk-u}&sVw~?Z-i&+qAT& z7WtJ|3u98#LMbKzk;S&k<`&=%C+rldx&UEBxP#ER*5d|nWi%98nuN$qcS-rd0hFkY znMZp_oo%xs!VYCr5x$nk5GqWiI@w1RJgMA6YN(a7`Wb7~IP;Trs=Cc&?I~uPRhQ<? z#U}+*suJs-XXyeNMXe#3utwRT9Dab70;YaDF+*2iQlF_VR6hxG0;=+eP~{LsK>BF` zt0>PzaML5KjS)2M7K?P~jN)CP(p9R}D(m(*^acb-_aV_CXc4uH>)TYWG6e!GC0-#} zL?b3lczZE{(ZyFRioTMO;%9HR?G6ViC=)7W+LYDm{nm(Fz@i1$sj%(sA=;u(9I+~( zPmn%6!)|A#cEUhtdG!-&b*3)>E5#~j(7-VQ&HJUn>3C+sOpP{WVPl|aW6xL|y#hI; zxe7&12!r5+Zsu*DQbA61Mxt&KGGN{41ox2k8TSs*6I1)bDI14h))LVf){Uj&ReB18 zERlRcsVjv`A9HWT6h<V9tVri!5G#V9;6zo*sF23QQft~2Y3!lqbfOMhX39vd0VQu= z;`*((LvZTWIM2q0!W(ciffW-PfnXV%q)O6DSbVIvcO$F3m?YpG5%XMFhCx8eaU^fI z)rwFs7tE_R7C(eti=vELWDI2%^lFxt^BN;B@9)WlCz+6qFB}#^$sY|P4&{V0PA9Q@ zjU}OCN<2lx5jX=hJJpSUOL(<fFhCv`4X6{R5IwKsn@ykw`ydh>RQ?d@_^cArm`xCb z-?*CP)fygo8}Tlz*lOTTU9wUXR67bgFi3$zsnc1Eok*cn5tLyXYzp*b(s8qcAPTza z1dSD9BITvm5{M(${VKlc6td?aNLy&y+YuqG7~dMYjoT-vGS90mQa{L<MD)NsLa9AA zj&r@tc4<_qg)~J(@woz!+~Em=Oy0$b7$Am*dZ6$kSgC!6g(gw2bgF!;w1q2&w9r-t zxkapn)d=x5RHc?EvQfJ>oe{kx96gk=Tsp``2F0LcMtnFkqkz27k%a?2g7@*_!kxTo zs#aTxB0h5t#@P~kvWXl%O2<s+7r0*6aiis#Vz|rwO7_nrpoR0`R@p_N&ZhKW!`@kg z;5aEy9_N|}iK6}Vk+e8^2@e2)b`*Y6PU8U)O|+`>j9DnD*x6QeDWBS$5D~MJXCp)- zQ48kD%egpZkhMhH0@o-<q*YBhwxlGmgB2by%5z|bcxiaB_5?#X!U5`-8jzyvGt?Mk zgLArrb6O`{2EgnQ;y%;5Rc3&+u@l=CtySI839-!;=xVg>jSb%kXn4KCH=<;)1>no{ zg?t(3CAW%aV7z96u#K4(dwJA^3a=ZYE0-{U7*ypD7c<k>VDYAJuz<i!$;)qvVhh4I zQ1UTdbgNe33KEJ246E=-8~hCZ5IpW!3kE0{;*z5tRaWt{WTY4w@kb<VC`d+zKqD#X z%a9lKU<1Yp1f8k4AW$JaKD|>&S~a60D<TRPA<prMLaApNhh2PrH?4MC8Av1{L181l zfSs@;8}`8#c7tr2QF=fT+ofZ%jWUL%ZV`gEwDlUTR1bOtGD6lZ4l6{0>N7)hjy-X{ zt5p{7(UMh*rclFk+M+(+F&bnHQ+kOr2Q<C6CgKD#VmrVlRq|<FJ&dpb8(A&3iY$hJ zmH#S9(m;X8VhGSSEmkB(z=Lg#svx^yLLPeK@KL3u!pd;ns^Z7otK>+IOHl|10osH3 zDRL~$$VG>)E>sMw*)ZB`32?!|HgVu}g)C?Ri=P&Qh6_~T@8b=1HKKt@8jLj!pyP4W z2?7t0=qtgcmg~qMFeZ(UN4^3YS5}P7E&x9WWtk+Fm9;oIYE5X!yBGoq117>_D%v}x z#>!(A$y02{vTAQlD?3)vdu=TntPJ%eU1WJ@-tM)wOPQ`K234smtGcdW5Ke54e^Xs+ z83LG}(z#El`FIJxf^T(QY@iZ4k%2dC3PR&zfXRrx*ylTuQu;<0?z%b2qH%tiTv#Rl z<N~u4SV?~|^7y(6Cnry+XHvNuHgfy)mw&xWWzQ3L01i%&G=M5HKC1(oUFd?msT*X+ zbVwtW7D*Q$nlLSC!4UvxXK9ylyrF06g1jNJl9#oMv+6vtr7YJPwf4@e?phnFO<h^j zwKj4(*tJ%5uFbY6o$X_Wb}6r<y#dD;EvB-ufwN;=Rk{Kl>^iqqwU&c$LDhy#!Z<-- zWk`<V@lqzsXt(VhZW5#7WtCPWD9|lY57_#gQHH)Yx=vk>u$8unF4dc(D`}gM&b>R# z=Gr6GTV$e?rE_=1g=)yocwCTIHu5_n1()gNy{a_`)IGlj*#L_h)pukL3yip)>Cz|E z*_l2|(>olmdqPF#gQ}?F#h#_`2BOzqq(>;sUN};Kl|Je7EtMPtu-^2;Os-N`!jfsa z<D9Azz!bMdMVHevyy+FT)r8upu2sX|QuJ@pc^5NNkv7=AUqyvDH&LY`aFUUw&ykqc zR$wnxbOJxq2xY`;mvax9RL<pIpTTmgRnR9vLt-d(9#Pn?_-XS<6iz9=_5Y)5r`BY9 zRbRYgFjA*a#c?^}-7ajgwnffvE2~=<_QsK9dEB-|uk0jo4k=qk+1g&_lRJ1x%WqZr zXwVkfr_MLFAsdcG-K8EA?->m9t)-}akt(?{Nw-F=t?Jv`PI=50_&%J?tqFpGy>>xF z!82UvT4lN&Z&Q6=iC>>nH9JYJr(*iHAf!*J1<Y}aszBn8R<zEnq*tU4Ji1uQgwl|* zXd91giE_!vD!g2K5LnFyLst(Zn0~-ge1Tm-W|U%>8~ns;<G6DG2FO4Z_1c2!X~WU| z8Zf!CnPl3{zD846*-N7gmn~9-jOh&4vnBF+!;eH(A~^vZ<E%17Q)6zrP@*$mSBW^y zs7!NMIoug%ZIsdM&8!5yd#o}xyXDAlEd0?cY72gN%XeEp`AFf`+fVz)*1eCYTW^2q zouB;tIfdW*#-DCI{YwwD-P*D94_mh{w%hJ(`FQJ&TkZCLII>{p!=El}f9a0xTkpH0 zynWd}w06IxyU<g;moGFsf>)iD|5C1h_}9K&oXHlR*wgW&U5h^1we03iZ`ix%J?mF4 zU%znK@`a^U#purL$|bF>3)R1EJLOCE?28|3`%w40PW-bMKVG?g;oHN>_XnBtmMn~y z1Z~^zx#c@6|9#U$ZTsYRv!8u5R%2sVx9+_|)&Bk;PXA=RZ!h|L!`O|7E?)KAlZF45 z9sB0VagJ{jS>`oL{ndwWIdaQyU;js$-Ur#(+a7HH<KCTNZj6`auu3p<<tlxCdFxrZ zaT|B;T(RM*=iayA9m6*TbPWvcnXl(AdSCbJ{_3r7egE>8!u&wjGw-T@C;ux~z4EkM z-nr`4uYA>t^~-K~Y2|O;{JO<Qb}zi}rlC_?s@Bqg&^=X^J#}{H*t4yB$JO@RKlH6| z?|wCQ<jCZz7r&~v?LM+{)sKI_uweJ!{8R6bpD$GY;NkC7_8zdOop#>mH=q0Df#vnX zPj5W)`L!Rp{m#Q1UU^{cUAG_D{ldBzU%dSruU>f8H@BVmf!nL!+;IBW4}AEZ?ez`% zYisYh=f=$&7yj*gKk~y5{qBZWt=&KNw%wnMmdF3I$KG3sckMXgHy7l;6y+DM`SxSi zXA52X&ic+1;V0L<<;tEnp_9wcU4HqmEn6OmP6Bk&zGPwa?GK*vH}>q%qLW+RT>i_K z?&_$H4u_YQ7N8S_PCjzak!Rkz@xa;-Z=XE!*5!Zyrmk}KrVa7_wIBZVLq|4W^1~PT z`gg(Z`jHDZuldNEZd7C6WF%{09^rKIE4waw`1)(M{YmD$Pi58Og>APzc*a82wIkjV zswxd3_$Ny{xwX7y#e)xysqvkkyx^)M7p=T->64jiwL)b+;dSA|?_1Kd?alvX*YcZN zUsbx|Gtbq(v#R%Z-q&&H-49>bwtW0mAKv}MYfk?5o0oq7iRi-X-?=oXj?e0(Y+H6M zREIXG(%O3h^?lZ8uHC)-#v_|Mmv6fB7JX#%hP6+gcF(=5Z@8lGy>|uI9Qk@^4xGul z&;HeFDa$BX0Ny`blI<?Q>W=<V*3b84^DUWn399$IxfebB;}Xsa&3>#)`djj{`m~-Y zF}`HAuGbG9geD^^b7i`Q)nES+CQ#bQ3S#^czkcv}x1Lk*;-8-O`2MS=rza<e-$akX z+E5@PM$7px-1*Y<XniK*=|BE)!3wNfCwrD;jrGZ!Sq6Ol=&0<4n!E2VGo0yk^3KTG zQ(WS6>uEg?%yx0L99E|dtIcfu=@>r$e78pYG{N@|Q$nhRb-8X~JwIux4X(I`v01<_ z>+m}}Ix|5asmPWg0Wn=xollIbuJY^7uejp;^M$CyN^aJ`W@;b&!Sv}Lyl=9d75+kZ z=Hx>y_0{aoO42LMBsyVJ->@29ogHmCnCN7m(C71BC$jHySWREje>m^<10F1~mVHud z3LBj~{`h1)kFpM{+^5)__Su%YF%6x-Wk#La>2*SR;-8xO+rRZXQS4`I)=5W3<U}Xy zC#Us9N2XYmb@t!;p2#A=-X|6@i%w4bJiDt#M=$9-S|_8K`fB!CWe$3s)V)rm&=Q!W z%u}7Xg~Oxgua@$wd!1}_I!V^uJ1n~BA7&M?=wy`O;m+0dOb08CWkt1TET-gb7MgWZ z9Bp5H#TBbpmo^R`9R1+Tbf*6*bh7+fS#o@4{h@uVC~wwDUAU~S*F`64<LKdotDE+M zrK_#`S@2BbxujnuI@!ot_Q{p(6J}Ef(4-Tp`k!}Pf4%6aql0!rXq}3A&OxE`I+>n+ z>7}Iopp)UroBo?p?{V#<eudXb9i0$A(Mc)=(J6}I;pxj*Is7TFlZQxPZaa~^0&G!a zcYy3=V6}F=L)K@zd^#DF*U1Sl)M+QFPBw0Ir7t2noxsAayBBPI1BFisiCq^o3BVS< zbu=d%q35;}pVU=XU3;yhSK8RO+Uumx>EyX<XQnT?_NqztlY5;EckV;YOY0;e|MXps z!D=?94AT@h<_~Ak34(Z?*J{F*6h$c2FFGNNzH4LYU^csQCHn^woizF`bxHAY<Zb8# zMjh(8Z~fTmL~=sc(f-_XK7ncaF0YgPnUc=T71GH_-}N2V>8E|ytWJLPBWg#-^hRE1 z-p}@s(NVWAV4hBfX&Iw+wrMz>Q0(({;`^?KPUtkwKVSO5{?kMT-*?Gw;I!{@IvHkL z1norlqMwdV_5;KBW$L7Zs<9E>NZ-}TzL3-V??WfP?~*-yylT6>e7pb*vd4~6_crsE zHCI=={-@?pmV{2ind8KRP4VuQ__`Ed@8VUv?$%NK=s>z>4}X?De&Sb5$Gz?LC&pxV zkT@mDH+c3Csx>%sJiI}9y!Lw&6MqkHsgh2Q_zgCdPU!Hf>@}&I{egEgj|GH={dkUd z2yZz~Qx>7ReT3|d^LshjhbS_BNo+6sqPs;F{C-cT%S*V%^HGD62N&-4BgP3nTqF1( z*Ao@po>20{{zmP;YLl$UDtT+<p&>hYA`hoW*e-9I{;GU)Mty&Y*h+mXy!i&%x9IKe z8~<hZrZ3CB#;zrs{-VYn(toMsu86Oba8LjJB{%%V)+?dA>uR@maAhca^mt1SH#P0q zi{b^&k6>Mb$3{5bJDC4p&6jZT->hn{Ih%60x=;2B{$&?)Q1DaiZxp%sF|>cH;MLW) z)%w5_F0lxAbkM71Z@fCQHP;<YvB%L~DWNI2{fQ5{eTb5_$ROcMuJii{*;~2wO4(Z} zvU7s1$a>{6*12%fC3$DxA$u%c8L;2b`N@NX3w?K#Uy|~dya{2hZ!3E@+f>f@eU8^< zwo(^TS+RW{)OE=I3go?d`_|mj=!veYZ!7nm75!x~mHW7M(q|nvJPF9F(bd$|#$I!} zr0etQFdGJwKhAYHtY)SU&(!;;XCA6QJv~FW^!Vdflpd$=QcC>9>Cflg;W<soUC21{ za^S=xDOJ?6{z8U@;Eivbo^rSivc)IqcN{{_HW2XraYIItm7cgR^fEv6ei?yeYZsj) z-SsFT_?VOSvl(#%Lpo;})H9C%s2c#Jqj%iX_}=piG+(YxCPgP38#<xWzJ9bxCo;|) zR+H20>|`2n@S#*E8P)Q*NGtwP1}!u~zuF8hTPHtAWBu@@^%s&#P%L_#P{wukDkcRb zRBobyO&L3{D?QPG_*0!Aj`NF7C~ZdZ)#yWXf=~Vcbh43AN)#rez1K++O;ppArc3D; zV=#oh97ahy=}hDhd3C)$+fL-YrA69`v=jCfK0ST#ptKWtcM<;LC(h5&PELL@l~XI% zPShLUm|!;W1~I3d@CnO7(srcm(myH54Q|uiY&(%Dw=^mlf@O+~k9MLuGs?G<ekJWh z@YwFf#Iyg;(+P?FbjbU5($ER*<QO`coS}zeSLe5ACtfG}K5>51P7WT-|6J|l<Y!VT zpQ+#ZMJW#6WgH~!q^WLYlKOi*ok-WDX4?txAiRgHW==&zkPzv)Xcw6dX(zZ5Tza=e zCymDO^XP<03g1EHquK2Ji^lo4WCz6N6fN&}_csPXR~RylPyrtowb;aS{`4Nl=%jEZ z5oY<y&tBpO<7^e4Wt`vRquUZY^zouh!><#1#~(SJ&Dt2jyA+(Ql)mg;Ue>PrvKJeL zjD22a?qOVGg!0bp8wH=cGi#V$h=0)GbKW0)FgqaloU@7FWRr%_4>+5BjV^w=FY>7U zj3I1+M?xc(Du;%7r0laastO<34~ZS!hhNewi(KF(T<kldA!TtT`w+#}c-g<mqy)>} zUr;q{b-xzd(bYiLsz$zshdZhg-&%<;bYctHrSS21BYyTLI(ju28R5&%V&)pL1rG#6 z*cL}zHCod7ipPr|AAn7I&X(|+6%X;fg&Cjn#{0F<+<KX}EA7|<-@acvhs72i*lPrj zEtc$OTtl8JFS>gf756Zv{)R1ibNe2#D?)FTEk8<p3tsj=!dLEHba|L4YuGCGia47E z=33zjOcJiVJlq>uP(ZlC%jLo)od<X%Kt=9JO%Cr+y?WNC{Q46|<;V$3Zv_y){Mu@; zJukuF*0X+DXe-=|2!AZF$_m;zQ(Qnhzm0pBi!1S`oGU?M2`&MCT*PJEf0G#2zYYqK z6~lp5z1UMcBcU?J8eFD*Dsm12K=KD}N=()Mtk7C<Y8+Z@F_bk^V)A&f#IoY2k~+Lt z0-;YWvhZ|&g2Y`Ej}!`yD8YG4exnlbrV2b3Qx;D&K;wb}7RJeinM9BV$1gl861L`I z3=gi!j-<Z1cFv37m`VJjz=zKXm?O23<Xh8dhFu}Nw}@zSAoUx5AIzx{!g29(l%}p+ z7EI>)K=b33%9xC5@aUbxNjwR+Vxw4m$wiLIEVU;S^Q2j%xO$Uxg%cn19cXWfX_V4p zrv>Zlub6Qdqd5MIyaju=Cmt7a$M*lF?0w+us;Wc(b@n~?a^~ieIWtV+5Fpue8RI2Q zaU_&Pq0FA)8i&ZQPB0;nD!JtG_(kor(W;>Jm+X7F;3ZI;<QILZws@0LjZ-Vws*j2v zxlo>u^2?K8|NGO5{_Ja|{a_T(N@?czUHjZSGYORX_RKwd@3q%nd+oLMzjMxBXUDwJ zF^<T*)0}bCmD)UXA+B_?{Jq3eW4s*eB@pU`^@XAZ9{7^3g3<uvy2z!*oz+`qEds0f zyRQ4PJOIY1{(M58*P!#1GS)-x@vZ%zmtRgX_*rE}eKzF<zIyl>G~{v`4u3>J53Wa# zybgfp7xC*kC9lpZ@$e&A5!hCz@C9ed%+GZpik@MVyO4zplu5EtxV2nd2wS~s^d+2k z3Y=%#Y7F$u*Kn^I8t%HR-6+XkC3-3NKAB%v=~m^JbapW`^y(gf{d0>lWx-G#5KiaB zO!jgifJp2pm&}=YR11?1pv@BWnrOI3QvnNvGgb~}<GUi2Lpm=i;KYj0Vp*lV(yUk` zYceh^?bc0z5`pD-gb`T~Oofb-l5a!-ON-@4LJ>)YZ8$)PhUOkuLZ~54VAi_8sirx- zXkMJvju8wNi1d`LPb)Gq0f%tJi9g*eWIT&!$yvp9hhW#aE`ozMCx`gBJ(e}pc}mlD z9FR%qcwQ$<;wj2eaE(`lKGN^CO36oU<jmT@Smwvw6~g4<m4%c!rOOdwk7!BRh0(}S z+wdHYeMRh)v=qs|PQ5tvNB1%ZH0+&TgX=XfwnVs_%lhm`H@4-SRy<1CQ5W`!#LPF= z3;#0YWlKE*uj>-$=$1^r;wh~tgN#-fJfzp8Y24sGEOi6Q1*sHKQ$8HANGU`TJIy5# zBwQq(VuG=%?)fS3)m!qh0fTh5o;Vm%2NG^VFXYq9xukdv@PvzWz2Fo(H^vwZKjayz zD$r3N=PEjuokYBmSP6j|JG9`h>SIr2o0Vk#3II3?t;4>cq_}D7(dG%P8e^7RFbfm} zr=n_Jz<z{R`qxkJEVBt+kJwzsNnh#rK~NX6IQ8o;K?(uIh*%Ik=~X7+w9mJAjp=>l z+11KkO}@eHou+#WlN?#JU7HOpQC(6aJB~NjRHEuE@5rbdvP^0FvY$BcXoe?iTE~>? zM)fqEAix}I^VsOcwq17GJtNAGug|Ad?p*`}2Jj7YY?$L`W-7zJ=qFLW?(1?!+h`oe z5p*492g;lGTCUu7LufE9wiRfj9E>B<AQeLo2Z`vb+R8lwP$5k+5jgT`!;=ZG-G%bE z7Nx$?M}tl`X3jv`i`D7NxQdsdNzH)aW?J)C^N?1C+s$23O&2<5`;B(SLSrzRnW*_g z+h=&hJ&7%nO=ZF*jiLGswuM<Ql|E}Ji_f!=k%C8P=&2gWu!0u~D4VRqJLP&HiG})6 z;f>h#NsV)%8Wv1y?ow$JQoTxKwE|Hi^264|(icV~uSrI?g<Jk=tjJ~tEi;g#5tAl# zZG&gEP;dOGOgf?=51p8OF@$}L)n7_TyK`9jXm^TRw~e&PIW=(bY;xE>k4SA;2Gb?c zsfz%UL)cQSA$3|7zmTFy>V}pf0~Lb>>=A@#v{0s1hjqP>+U80-C%1+@nrZ8%x!6<W z@@5=2@IBo%menBjnLHa@W@O4aI$Nuai3!!52t~(ENqTG=5xHzmqHgQ(K&7D<5f`r6 z#Y3|jiVHThN%mG1jbl?lpWP{u%~Z$$0}nRQ#!EHS<+2J(iI$7Ay8dFLsUkEaLJp1^ z8%k?xGVx{@M2zDRwaOVp6p16dbxaBbLtDik8?@)KDM;=tY>4CD0vx!_%C^>dV)HIA z(2Y?L6tzDR@X8HkQPd)wRohh8nh0%CJc-GoIVIBX9yXMxR%CTYk(Q`ON5##UPznpd zMKl>&5Y?zsA#LDV6jb%2^FHVNR5BT-S2I4)31x61ZqTr^tZc_O!06L-LqKslO}WZn z7eUK(aHEMhLY^jLRkj=})R0_N!GIjQuVNc&pji*c=?vRSxtH}`g)qs)hhB<Blkbab zVd#Xiuy@##(Rk`IYzDp4@>IS2lLHKO5s2OlQ#c*ijAqg)(4ZC2APYOAe9p4iY#NCy zGv#P;DI*DbmYp1>HV9*gn0(4nTmq>@K0j$Ap?nWw)V7{-C@E|%qfb~^fHu5)1$s@Q zT{W#tY$4d@hm<feiV=%#NYPuN##rL8epzxT?vtdwPX$Kz1|_JSbKF7;Dvc^YVoO3< zA}czvmSsdN!-TZtD06sDpd@S?B#CXxTr{X7zSdvq#xrYtYtS=d*h-BG724DelA$!x zhdfoV(DDqP0AH(X18ij&lT>nSG$$`6G(+skq3ZjY0xfWD__0pwHcfwfNW7D%L!)D) z@#3Vzc2^J-F~?l2>n9%((Jvf?qM3vf*~ER2W9`CzE7im8G0$D9h)c8TFu<;IN`og{ z$Spd1OPwMV;Mvp;gVqKd3&yHH7Ok3&!=!5qRV*$s^3q|OFJWkUJ&tT-Jmth}H@x#8 zcBVIwlUg}d6tb9cqSb9MO1Zc#j&{_tQNc3CRmgP!q2qj$FoO^|QgTyVk^ZB;vCe3* zsjn0D%7|WLeme3IBCd60l|hW-ZGfoF83U3w1m=y6%8_LeM4k~))SR!3Y~7Ii0~E|r zd$Po}E52hz+Td7z-b+Q=HX?@Zjb&e8c~Hp=vaK}G*x*f@Hlh)2W#zWjw$=J0GOv-g zIRX=n@)0T9o&FQ9XyGt8E1PKOo+fNsG&5@7VDop&>|4uShx6wqcFh#UT6TDYA7LLB zvUzlJDNR)~_$DyzCtf0ra}<TtK~PRRkn<~L1)2TBa>t!sMm$DR$^TUQ%0}zsU(kAy zvEfW(tfHH*Ro*j$tNqn%(jJA&iDG`HSx(KQb2C$3Y?*KE+axL@3VNx@xkvZ}O2vvD z8*XR9VQs;>q=Q^qlETNdp1T6ocKbGZP|Il8c<o1YXirX7!-O_7cdJx<Jnc~zE?<vm zGmc{7hryE^QPT6&(djHh>riKPT)CJ^(59!#a#4WMs6Y}6CGE7H&w@J4pEgmyG=59k zbcHZ3cxF$V_aMfo&0p4jjTF~F3J6R}T!<l$i!2w`%eM#(pD-&Z+OWFNsDMTq8T`+r zFmG52_1-wRWYOA9R0M{-lJ&1-)A0;@U=^ayB{MPdV2u3x_<TrGvyy%i7dfNbkwk{A zj8b0~1M$_#)if+y;V_nwFZQh|sWjA7$QO|5ExJ6s46y*zUK(5ro))=D!Ua?IuAwy; zw03sHVIE-^`*NsqNE_O1c}u%Md1JJtvZ@Obz^!ko(lq>A_F`(vWEz(}A8s+z^>hvU z@Y7CipK)d~arVtcn_MJ!(BeS1!p1=uVf3qu6W3y!KQ><#Y;P^ZBbpKesZ=wSLQv!y z5ihnD4YkULn+Qd%fo>qLRmJ=LE^rikkx_<0_yZefNA%jAHYku~>a>*Pz6N7$F>yYX z4nvnI!!={^HMTIZg`;0rXya=m!Pq7jH=G&)k4h(PS`%!HqAN<aUORux-(1@A%Bop@ z=FnM_4BpO7FBy#{SNL0+OJ=;AEVq6y)z0tD!?(ySl;TgsX02^!>==0G2t2M%S*-ZB zw6!w9MN;#nzVuvbM*Q{M>PEgQ>09E~GH2vTB$RdnqF$(#y1p>-4yC4qL@0N$Gu1P4 z-XRm;KqidLnrZu5DLKm!;(dKFa_WFtR?}Q{%%yb?!E1W)XlH2I2A1S5Jk3}-YL83} zx>S@U&JXoM0h17-$rK?^J}X^oOjUuzz?@!AcW1px7X>bMF7`uZQpw$DMqRWhPk^c2 zX?!{^Je-%jSNd|UqJ+>@CLLrLwjvi3PntUD>Q@%%M-6a*!+=HC+6$;wWRn8sPL*VE zw2a;_V$JG83c2tz2dhxQg{u$2vmmgXkXJ&fjD(Jua1fDVDJ*kNlr>-~Jv!fnYMWIL zG5WTa!9ULNWBzJ*Mb}3V4Kt{wz>y^mjtxae;u)<(Z8m4pmI_LIbxA_msHF^*sD}JT zlk3H~svC9oD%3U{`h7JJs`Pl<QV|!Luxly95Vf9yti)VTap&xa=!LMLEi?|xJs%Lv zl8SC8(c0q}%xXG$fLe(Si<E%Fkb>~5u4-NF3PJ4DfLrjEBQR0n`3d|*bSM#jKKxe- zD^Y-%RHj5OihcD0WBa<4bb8O)j<_T|vLe9%ns+L88@n5LRVdJ@%4DsVmZ)4^h%LED z;?5-bh7$w^v?7t!0(ZtbB2S^c<jjDnmmYnmc$NW|9Frk+q9?0#`xIynV~i#yc$PtW zOz&UP)O!_<uC4~`eQ`8q3B{#prlYiP$fq$wPmo?)MOby@Ar656<T?|o+v5O0&z*P7 zkI=GNF@?qIlwD|XDg#{vG7e23Z#Mo*{F=hplA(*Ws-uHUnxvUA?y-8hqZ1=7<sqxC z4)x!lqv@FQ%2pqi>O=R$B*$im<t$1lgUHwE#YB_~6(z(h8)-A@I^?0DXw37BoW~Wy zDPdk{zGEUy8;Y`|yO6;V0yRR4D&DTphb1bLJBz}g3u&oyqyxsoarI<5fKLQt3=u1a zGFTwQJQ6BFft%KNqz#jZ=}hE1)J%Ld;1unk$SX@Dlu9dP#mQolRX-XqR%)3*91|XW zBe}v#)TX-B{H3)r`1_2Z^|d4M=tv*O#E!~p2Xb&D6BEux;%1_njU%0jY}tE?Nk${a zXzf_=B4(7z<na}BJ;m#3v%GZ~u`|QTtqivtrkx+*-g~;!K0exZ^fVekB;tGw$yVij zo>{%JTPCEeg;fjtfo+jr1T~^HdcgdTaP@Aj=V6qsO#YqRXX9)%TcF?x2FY}FOkPL; zq&&FPmaQE+a|*}t=mpBOsGwwx*XfSTe)W({+)2Y}UoJ@_18FMcBv~2Af*wk!JL4#y zl^S}1*V3Krd7~-$INVAwTucHlOI)0!C3Y(bWWkxA3d6g0q$tq>S}HAdG+vwuk06~` z0V%asQV%pPk>Cg{c1|PR%z>vY5i+Fkk3c-29tJ)`K$V2kT&qf@Lz|+I;4-f$7W28( z>N^D>E5K=JU>{M4@$bR*(xf?86Y0#bI9Bm%wF3p;(nv)1;H>Wke8{K~Pjgvtb>9^4 zdrU;y8c<2*l!%VyIBq+q^Z@9do8m-K$ULEO6W7IBEp%*|#uMA*nH1&31L{LC(yQ0Y zf*bfV!ozehb=lK{Zn?3<fMT(lB10XX7$~I2RTvL7T^)j_A%tMS=mkj#d<%&_r&t-j zQehAh*Gm?Hi=55Ef#DuFvfo$(1=bj;ACk!H7i0=DK-GLe=_1FYiTnjs1t&Z(XWo&q zp~OtY4Vo&LSp}%qlj7?fQ|Ci=UyrA>i*tukhagL@I+SryW7Jbu@9pMfQcS_EiEVkU z@MtfIkH)Vm^)ZRFA$3s7OWo8KXWJ9i;Z0JiY-Vk(DR!{Rx<<z8@D*!y^Zrp126-4? zi<v}6z&XvGd6n}+juv}WZaTKjVIJAQlDfVj!#aiD=}hN14~(Rmn59W_S89e<7|bOr zGoEJ^4614b>uLq@Cy<ofL#3<IW>TD<Y|>Pv*70Ip6>H1JAOro(uybCx5qO0$91hwy zik+YG$~UNj`drF_TZU+9q3y%HhDaJYXSBS#AW>~*<)%g<HE(gL`#-GpK4vu}Fzr2v zKI$}DwjojEk%$pyCbe?L?N9uWK?BK9^EPVJbw&-)$r;Q3F?-MCrpeb@tHcTgTjX6( zl#}GR_O`VZ@jtPp>ER?@@hGR@R=A1XIlW<OTHG)0*<fQ=anozdw&<pPa)f)Q3GuJH zI!>nP*0aBZ5SwzmY-Tvca@y}2^s?N_ZEIMUR`pvW6nBa%y)#<D<C^5SN%m2Rrl%>w zC-NBLzB$Ur`GMR0n4uEaBsFQT-aWQd2hMmnby{VV#*xfs3^9hde(QuDN*|lK2!pTD z=?YJ?rXQ6*8aX2!O=}-63?)(d;-%by+GKdl*j#$WD-2pci-}N0LCLLjW5Y}iT|gm* z668#2=tL=-M!$J(VOVN+F0r1S?NdiCDlYekoI@H+k%dBN@ZO*C{e5?E9^Sx12UjKs z2IFP>w-t*QZz&$w{Ly`lgN4Y~m!cE%hP-+5N$m_DKEofz_s#l8|Ml|kU-yppf8a22 z?{E9?;XC#nlzJ}O_v{y>a^SO{Tl?I}ouzA6PQC8B7cRYQ``Wd8)}H*A%l5wY10Q_# z$qgfWKKPD3dw%U*dw!*M;s<Yf{)1~SeEa6s2j8<axq77Xx~G5Vl1rC<<)hEe7XNBx z<y+U@`qVeu=9+awAAZ-)+xn_!Uhra_torMTPp;g)`Lg5Jt@`Hj%Mbp}q4S!)(L_GE z^De*pQ2rH#GoJXf#))tAed7&#uG)3^y%*m6)yvB(FJE!)-RZ7_GsWn`@fp9obVK<) zyLP>*=1-WB|JwSL-?Z<BW_$S)<J0fBYjpdD*IxhZ@keVv{y+cW(1j;|^55Pt^s}>f zzW1)=jcY37cYkTy^;dl0*I)69!VTseb3I>~Qk}R%LpSa#Uvt?7C_Ja#bd}+uQANx< z$4_3q=knoq{_`g;f9$VT4*b9W`oS+>^Z0WkfAR@de@i8)2c59mcy3?o*$+oi{XtWj zp<8jVYVzKXCAo=@?rWiw+x(KD=3O#bsApr>hi~<V#rx>C`tRO6_NA-e`2N?niPwWp ziZdp<rT)~jf3Yt*_}S0w{PUAft$qK>?U&#E)SAnt*QW2^e&h3(|N4jecD;Jf2QT~3 zn=3o7+w;_Wul==?PrMbI!RtQov(}#X7m8bserDy#-zn$h3-xC&G+!{K>!xr00XkX! zy5SFBxbwECTDm~;w8c|g@xSf(_$BYU?&E*+D@*?FLVMTUGb?U24aPZ%RxRIm;MRQM zjMx02@$5G$8^5vbtuL69dq42tCzoEnqA;}b?6=QgM4b19o44$K|Aw8rHct3wi_uK$ z(@{D3etbAS{<`T+pS$c=H>BS?@$94a$AACfPyWM!_sord=+HSkKXg~|O`{j=IOl_x z?%HwoO|KX%R14<_I^oe_37u@Yai7_Cnf8;MQJrW%VJQ2}y(ce!|H}A%s*?k&rZ>&~ z__~w-^A|S!#V1Ps>i#I3GEb@6)>pmilz4Z4CA)gphfN3?@BOj2HZNnWmDqQk;jt|< z+nG6g|Bu-I`=ftNSFlCB|K!(8pDVt4cJ>5^sO0kJaaP>cH}&6p@}!wNHuv=0$&){P z)7D%rH~0LPe|Tc9#Fpt3_v?mZNQSF!)zw}16trVb9(X{C-#&H<k0Em2&Ux6jRj`rS z*92I0#*ms%g+J`*s=3?_$#cRP>DiGw1f~P>I%-tPPTA<<OSLNbe1CNv_<Movn47+7 zFQI;n7(v9UCyy1;dUC5}Ti0|>V{BTTomG3Ub($Db)z6<HyI^om^8J@7mOK}Bw{i+h z>$f%ibT>QK(@EDBO8LT-dk38K_{`wwWE@eI+3Fkczzyg!d;h;ZGw{HVHsAN<OD}!= z@ynO0PVT?|nYnXjbL-Dr$N7EL@dv;Dbu)KjwmO@B>#0pQ=GMLN_+t<L@Nb?g$@9-Y z!;wGOt1938tJpMjLUl6tzyq_zQ|+*_Iz*4sy>YRd+qMeq9qTeU)=xWDts*?Hlf7H# zs=2ux$JPg(^pEG)XDUV~$CUlC6D0sAv?|#j8}!$&-w|}8mUUQWhQ<=k{M)f^-A%dt zI69%ps%0A%SlxozR@{%(neMk{c3IF#f4|*@)n!}qC(y~Y*B<-ty61e|zpIljIlS2{ zs9beJFV#<;LnrOo7we=vhP~qKlkSTT&Y_cw3@5IXgHGnQtv_#$x>wgd@bJT`lYUNB zdeYsPJNAMUKk~zGKUX?7{d}h-CwA|iJEk(?N(&1*Q897$&}>m*J+`{s$<l)?ot(zH zI>BZ!bK`r_(PEv*Ty-0C*K;}}_8EK8Nl?o4iDSpk0n*jUyzR^})d`1fj(0V&SSNEk zwgz*_acHrL8?#f@Nyb}Qo}quglume7zIELnf2*q#!Cs~vbaE5@L^tmL2d-Oy%H*YW zGKNlGJa#?(!jI;je)`F$maS4-njb%`I^jH^6X$H*mOt+p=Ow*KW`F!+75LoT$&3E} z^*82T$c?>j-w&UgD?JX{{nOeM(Mh<XlQ4FLrc3_~V^>!11@2d|%FJB<HZ>Jho5jqn zyJ<j?=ljXH?5J+rrel}tWa~}+<LDlTPUM_(*3EUtuEjb5S9QV_IWTtNs|h1Uj9YQo zXpC=N(8)r3QF95^$*E%(_t;)r*K}{}%5PmaJGbuA+1X4dJ)1kVW2EbIu43YpKjMd2 z3r@ZrdqxH)XvA%WUmwm@EPm|<(eoV5a?Mw4V&52S|B7F`vN_D#JnR>-<qI}~JX_R! z+>LDr9%m$~f4vN;&Edugo<3*rU}G3#i+I1rCo((7jl2~I`8m7rJ%A^#@7XtoOs8Bv z$m5U=?91AyK0I%v%&wj?KZEP~IM9qIriZsfLC;mZA6_5srmGDn@#5FiW>e3mR3E%J zt7D>Ytuwr3JZrurHX)8ZBg*Al%bCw`I6`@9uZYw0A%RbHWy0B%@Mq#1LftGjn(_Vm z(1FGI6q!u#+(rCB&7NYb$1KA6jIEpXp(%80IpE<8!w(fc_U>kDLxnR9HD8=$cx&Ur z$H>PsSOYz5`4Y2{XLiHnMF;f_`mz&RQ(QPZF|#S;?8Fu5M*b7um?6D-^H`noNEeT7 zqUc$O<R?yfcm`k7x%p8W!NqR*x3cpSFA4UA!|%OB?G<%z1eH-g2{iOf$?L~%8?MBC zJ=;nX>=t=7ljX;D6C2KRzp^}>+o)$#YFzI;weES^p`J~-wp2#<3+FbTi@l@}R~gAz z#vADaYTpPPNeB3~>QT3|fv3OW)b24psO47Tq>7AoYdW1P8wB`xLiip+k%5B${J=mS z0M^I-Oz**kp)26`@&L|NOZ;`m1*rAk$XX<$s#tT!j<HUnXCA{bh3a=Ca3@bPS8LG8 zTybvp`MJ5rU*H5~y7TPp@Y}Vtt+VYm*R48u;fizB-)5ZE*(6I>oKs!yj2+CkI-%lU zl%<6zEhLOxj6V$Z15#xT)t}qORX-b{l?|N2`wl|cbPym_ZW1X}amJ8ctay7hWqp3# zHpvaF3oA8UcL|nYter%^wV}&6of7n?7tP*td|e@fCmrq{2X18k>HkqQJ>CB}tHfDs z$unJO890`XWX2v0^E~zQ4?LhB=FoLSmmmgK0Z}>2@@O=7(|EPS@p)YsI$8NN;0%YW zu9J@OV_HWik3aqZbJ^2R$Iq%x2EILa)iF+roPAzSe0%Qc8|B!&tR4TMs}q)4=;X7H z^B%wa9JBIoQ~t~8<h<|i*a5>x0sndB4Uq(an7N)##^?H1$xW&obi(H|R)G^V5%}$A zzTUcHe4h8}v9W2T0^{g}^E*R*V1k32x2?-z={XILU7hF$Eo2;-VK6_h6Ey}^7BbN( zuBPdL+Tr(fS0`_t7S8M(ct9;K1Ez9-3&vpN*VC<aI=uIR2kuqqf=(2U>v5dL<nf!v z`J?U`otMywuBltC+1aPjRj2cep0ao>j>pCxn5ElX_4M4Om$pxoUQnHspZ;uVUMKHR zwywGH-p4WBEPub6=|s;h&2-i+ezEtrSM7KXog{w8Ro(X1J?rueT81L3nP~rm{d@JJ zExB#X$+HUPjOcEi#`o@EvVIAj96O;p30P24os4gT#=5z#PSh%uHCOgAiJ%kEf;r?V zI$@0y^wpi@(21_pZn|k&bwcP`*vS~pJk0aL*rlgi2b~NIWI9>5uzt~$9{(ZC<#Bq+ zIA_lWIH@llyHqE$SpBL_j?I0KJCA{HpIkaU{Qz2;bF*`o&fPor!V4Y7uBV@V;jO)~ zY3n<*gd1-}CCKjSU&(Z`b?d*clk*Pk*zp>w5ymcL5gFRl4yAGiN>QI)#4Tt4o47kw zov`TAF=1V1w@74sFX^X`U5HJBPU3l;Y+avMoeZE8sM1d|ov2k~&;@;=s}t=op{r`~ zDrg3{&`(fHk+JJ0h^tPDcl58TK7DO>>?%5tqxiSF=Po|f^>01Rm)`k|89p|76PhoT zE?)0k$A|P88~1Lv_IzR*56|B4Z^__=?{<CLJrA<p^&dK`%vps!e6n`w^v+@Y=)h^` zGWO!k;a?B!FN$r^l_+!QPl}UH%f@~YLQ&!KfoCbayH^LJa9#!$9XTV;UDSBQi$V2S z8T8^=UA4}NhrOewgO|a{hb*v@47Q)_h5J*Zao$CB@g6d7)C}pZ#z~XFL+4yk<V95) zojq9GPduE@Nc>hkUy?3y>!+<k&9^vr(I;}d%lwwSgx^Y}CD`D#SVv%!r~bmZjm<~1 z{7rpLOnTEPUB1fdh~TogDQ13d3LiW^haU2^EI#yacg>E{Q3{#M@X6n)k%9Awf}gx7 zPTw9`ooF_L9b=Wujeq{M4K#cfH%IZc%qigD@qEs56{&UY7}Xw8T@Owjl@4}~q0Ye$ zvPB$wN3DB9&vV50J)d&4PSK<F;45A2tGO%d;e}(*z7e~{cCEs@^vTs;>y!v_9&`sk z#92>3mXd;l1Wim_77J-2HpHCNg73`~>7K9+am~NTnG!TIXL|vBc*Xf}wOsWVoY#lg zFLwS#AkVW1rQ-vwB=-9LIgs?3x9mUTJLy0$D~+hSk^|avwi{5q4mI-51;{8@8$umd z13z{ev3kMT5Q@7J&>CBPBga)~$M9sGab+P8+$2IYA+j+8P?ieQQ62$Tt2#3JD(yEE z<YM5CBLGRi3d-!piuJXWBB%UxiGc&B2ANtn8ekc3hL<~H178$lT$aSuc0&%I<QKVW zfH<!DI|WDI1ZozRl9@CD!vDs_GCtpTI)1KKk|ty?Pr(bW%bycoZ15l*Om)@3neyqJ z#$AqZ&N??1q6*PnDk^Y7L0URW1=a%w%&Q;50i1>Oy1NJE$-S2DPLolsm!4%|T3F=B z(9uj?7hdrY_WWfo_;7;bdP-j9BjnAJ1I~*s$6o}I*q;VFjp}KsA*$ufEGj<wQ(hMP zMGPs81v(QN3&{$z{F2b~2%P3zH=YJ8u+t0@dY|nkQgs`o=zD_z8qJEMeFqt6IXddh zJGe`I1euWl()8>X@w#hR*i{3BCLdf=d?>$>MYF5T@B{e(fg*p7c`6MzO92=y&XTnl zy8xOWL=3QUfG?yf401Zs7`!e@fJ)cR=hwJ>`QJHzt4P81c<wfk0JC~^dRa&nJE{O& zn;%=AH5i-?S54LXaE<8?XM6R~NXTtLfn=Gn!y1y+MN}%h^Cu;-0pv*64q|x{pa6m) z(5tVGgc9=ug{!e%gZiOZT2h3nBIVv8ks3F_<tS?kR&#Y7Aw$#?C39dUNh_MjDIMx% zF5)FlSFT#N>w>#JDdgp~lgF;h!@JdV=ew_+iS-4N3MH@clhj0iDh4No0MBa2^OycB zLJ(>Sw|dEnHX6if%t?c^Hm3p!+qu|M#3qioiw%aI1cx}OR?$sWf&83!@2oh-o(8_t zi;ToO-ug;M$~AgORV!_TvO5IEc!9f1cPZgqPRGQ|v0())2VP5@mSa&_sO^>Q)V~6x z81jYK@z=*nP#825bFsoB26ok{BZ7+%LmUlMfs;a9ud%!yn%Zv?=Lk@QgRefq)Rm-& z_ic)pN?y~0mT7V+Nlv0sHZu$<oO!?jaN6_On(Y+gbVCB|B9s;;8Ir0p8aLB=EE66S zeFzqO!kOe{`D4tEPA2`EfibYN-$NU#u${3<T|6Xx?DIZ71fPDHum^*Ull$vhc#zT2 zXu@It*d%pgif1sM+!Lhm%3W$(eB=k(d^?x5s(zk6jwXI9ZpFN7y`{ymkbl@-@sJ;G ziVCeMIVd*Bo!=5oQ6?f%k-$wUlH1X)Z+Xu^_NbRfl1IfSkM_kgs!Wez&rD3YaH!(& zWYY~~k<+;NwrMf$jVLjOD&rAVZ7{Wlq#^C<iFIy(Se~9SLeEl7`Mkx#i5zv>h8~TQ z#M{}wYx;&;(#L|qdv=#fC5;e=&Qq>B0BT<!$8_b%K2e5VaO`!!*B_5T9X)Rn;d-$$ z>a)@P0GO_T255IP=nK^N>)Hk!g@W5C%h|CP+Cm>d5w(wS;8Hx3j)O&s3~Eu`eTt{l z9x%cDG&o+X;&lH>x|(%f-6QCjW;rNi9JVv`7OxTmzkDD`(@Drq^8ghwO;1Lz%+r1P z1|=DAV9*3@fh3jh0L_eYW!LSx7um{0G%Zxlz9Wiho-XJ?yjB9~gAe`W5Rt(GTVrFH zawFcyMyAkN5;vWjWDmK+oTkna(1PbjJljA)f%klJj~sv^QZ73L=SZ#*Q{q&cC~C8e z6D#nsy}SU%l0x+Y;0HK41Wf*|(7wnB+tHLG<cz?8IxCUrGhi31Vk~vXwP|jWr6XPk zr0}jf2A5i>uei}Htwv00eu}o`g@}*xj5|@xDHXIuHncg#Sk30!dnl|0lLfX^Pa;U? zC+(=RCJuEQCHs2z#S~=J17V|CI~poXxw16ld|uk5JH<i%B9KuJzAP7h%Bkm%kkLvA zJcQ-2IB&#FXoCeRM?7R0_Z%KwCX*eK@BqjgaAIg^3|9djY3p&Yh)x}fodT}ot`02X zEa@s;7mp|=9SBqtO_uX~p=Z)-F}kmT5+EN{_;H{M6OMAIot4MQ9T$727UZ$xr{vYe zauvVKZ3!Ox4|Jvi8dIDj<oLd@zQc05Mmmx-0C<+MK;THV$cbngIpB3?x%ff<W-I<a z-=v8tmGp?dvVjv1(hMMuc6yR72redv4Fr1+BTJc`2a+z6^QGNjNoAM7HEjD*I76=r zFQF`DIs2(ZG^jlAQx{rPfoVF6hB)X^Rg1QKX28(|l%+WJSQf<cVG?+xPtjDkEYd(1 zH0~q1XHCa2Y6w>}2OF;jWkzcp(ZIx?$;UnzuqAhZ4Kp^akBm@{CT)rG5`HD>q&e@@ ziw``SNs_SrBf;8kr{D(N#+E39uLaSI>p;kgBOBEScZ$YN5W_i%JhVlBau!oeaB13R zlwkip+Q;|S0v=e#@pQ-PN$QiF3u;QfKi#KUIr*qfX(K*{nYh@H0vpfS8c4mVaJ>xj zYfT{<glXEY=iIxsDxg4{(F?GlfGE^M=)Y~G=GfI4wy3Cbn{-VrNcGFOW(&69$l>$W z)PPKDX2mF&(G=2Rp{@^R!q~v5y*ctQDXzsC9C4^BNZOUbL?=N2DM6QRs=_u+gLHWx z*8K1=3h61{6h<;VA<Z*TE2ru3_@%`>b;zb~kytU(A*e8Q5mc#CZvs}T+$GWdqE2By zEg!OZ7fZ7#H6+WJ%5Mr;GWQa-$k>GZkq@}ip-T5aXPSP}fg}Vf(g+o3QE6PaGI1Qq zlPqDZ>od*$gWJa>U4kVhOprT0tB6ss9~jNsXhq4zgTJp0)TSn1VNP~YLHgP{U2&ok zGsPjf0)xRzmK_vKkQhluQOE5KOVbP-!naS1){c#UkkKEpLf#0If=oJ*N$cc{u_n+y zfdnttCP5jEIu>3MFKurV@nsK?W?&jLUtc!1;-&vc*&I-~r$KCjG$uMW88uXbPJ*>4 z2RpXao`-(pWUR3|3>t>h8p|?q^k&-IWAYfUkG+LNYZr#K4N6F?eH1pNZYkU@DK<FI ztnFIRq-vJ2y{Mwa`PkOvup>avY41}us0|4negtt|FRQLia<pqic%+p<h`_ALJ;@m9 zb>StG^-3fcvcqNI&UEZP4r!w)C9$_<y14H+@pO8VE2d^}sy6Lc*cDA*-sk(QFIa{E zXo=X)poN2$Z$R8d6<0Ko9ayo?J{)<K(8mD}Z`V`psN{fcVP`E771|<I8z;k9hLqN5 z3yFQcY^C967{*$r$rN0W2|m&Bb{;vTrB2nwHRv<UXuF?=m}*zgU2_ETT&JQlOw~u% zyIn}50ZCR@(E&GYs8L@WgVl0y?ONrWp~cvi4jvvbR^wJ7bPSP?)-|W$DmgoLULzj< zn+n8@>0p<lt9UTswn{rBAQmP7JGu-|Pf}iV{Y)F3P^iuTAo72v#s*pX1eZ8zm?P4_ zSR4u&NQ(R9(W8&{4G(Z;UD%{fwWe$0H^x?^NvmJ2>wk%F&7Q)<zMB;}U_(YP!Z2^R z-q)n~=6O*oikKbqX;C6-Qz`^5+=v@>T30C2Kt~H!EX+{_Eu+OfLdK0{*F!t9+OC}b zO*!V92?@^XH313%vMgo!o=XaayV8R2k%UgMEOGN2Mvp@3_)?{F`*y5d8FF-SaUbN5 z%Aq3^e<ZEXBtz*ShY}Ak#CSj4@q*Sb;9kYEbD*#ho@jm4CXrUoin2VLG)Y8c(Ore4 z$!UyU*>5`&6EM!2in7%Z9Co90Ih}ZD!<I%+)+ZM2vUfaW77y(<IIuPFi_X(CJhyZg zPoI}YskO8(LngF*=rsdnZJVaiDI=s#anKk}sr0mLR{c@yk*DCUxG%X+zm(N6-jn(| zEnYktZW*7f-qbFSwM|keN1d|F7RnQ*QW~9cVk4~VM@EzdMm3>c4Rc#u(I`C!9eGNL z2q1*ezkQvqW1#GPnD}iJjmpJ(12JmF$D5)yd^(ZihFPMhIUjCNedw8-qpJ{D%jI@b zSPc(YQq%?2Xh3C<h@H^p;OMJ>5Fo%oZg&ynn7=c%aqLE|aj`jz!EKU`P^kDA^W>!9 zRKea%ZV;UmB|Tf<+A@`)N8(+FB6K)(hOvUmpqu^Nol=Mefy#+~Hb(}&im+)<82B@8 zY+*&IrfD!P?jlpLEmnCbK9T7q=h{(wpOx0ooeVEBeBYf{-4(alBp=%b6Qz+(f>~oN z&XFk2zEReu$4BiZV@JhZ=Gd7{f%V81%dw?!qZL=pO;VD&1;%}dzxd)OHOn<x7u<d( zVH%rhY8;Aitp8RC`77h0C-g|p9AoB)l&~)=y2#x44HqZZh>S$eR*q{Gd(L;uXHN07 zghO?aj;6DFpDVNbnt_6u8hlioyFj+I(+xEy2CK4n?B&5EW@wBm-A)x{qHf|Ln5Zc& zR|2x&EI})M0q8|qQUf5qVRSJ;6OajF1oF4ptdc}oJ?VGQv(LE2Cf;DDBJpDhTlP8m zgru};<gA9J+Hsq_%0u(>uvV2)HR8ySJM&_0C`<H6l*Cs_JBFT(7=s?Z$hlJEKCg0X z2@4Rm0uNBGlORbE5Jj~TIwAcHJhD0h!Fd&pHZjG)?=@~05L@z)f;FK}J!NYM0&B2Z z4}`e69^1swKnuKIV&^+xELE&i9jii$Fmb$u;X<5nKdc4mHxyd8PfI#8@cLMX5ubS5 zJcIS%XdO%C{q)+D?<GKe`j)gpxu!G2^A23#jusOl)CZr2;%e6qGSm^_mul7cFchN< zVsw;?U;IqSQ80w5^0ammC1aUNeO%$TdQypTM~$&W96$PNpncxe+DY+9B)flWI4_N= z9T^oqOatw9&O>y@vZH!nvW_-P#cp&?JC<KgPCwBqGR(ol6n~B#T;Wy^QHXX>5K)g_ zaV}iBXlmC@7{Cr|KI+S=*NL=A!HYVEnJr)zHrXKWD7}XPU{oe1ncGy2H90HRxz-Jt zk`F4HG=}U-QjDBo-DdP4a%Hzj$2T6Xrq!i<KcDI&!Agyr=g88`gvOQ(Ts%TxBpvYw z;?*$0*F7LE4>9cA4owTu<W|w8iaPYkzvMM{qV_e0xsLoo>6st#1V`h`w!=kY3GM5v z9U^;m5I&jFq|hXGH02N?Y6Kl_qb6iDZ57U^J4bx~*`+zMVF(z<jL)4^>r=u4$HhY$ zloB7yA!|FLvtqXFeH$QZslrPwj<GJY6XH6_{>XtgDPFk~Yh|&c@NS(5Oj8m5G8auu zs=Az0egV<Mb_Wzq_IiOi9|d20P}4k|kw1eg!Qi@HJv3CK`7@h(9?yi#ERlp@)^0S% zWNF5Mr8nsg;-fq%V$l8!kd%4;C_H&i8@hj{4R5_Nykw04l0Ck_SLX**$oLwGzoJ)M zYP9Y&HA=P~I<$RI(5&~nX=xr<=9~<XKn^F$PImuAGzcV3-54PsO3=inX5xbWMqO*# z5(~G13jTSVpGWfhM2-@Ts5^D0^XZaFed4JWpp}Sv=PXjn5D!+T)!#ht+i-p(@#9&I ze5i_2S)5PtzZNSLL19!)Kz}MBKF~)nq;U;Z4J6*1l@s^M+ukcz^JUJdXM1pjxQH+K z^ckN#TltcH)<m7>*FvM}_goI1_zFT61x;J2m1K=_2&zjPBkHRQ9#eNhKT%c}oRa6h zN~>p~9-<4W3n9M{e5ufoT`b$vWz7TMDA^4YOl&heWoPQ=8y$QE&ieit-Z0$CkRVg$ zVje0KJKjv}uk>_6Sy{<<<FR<xE1kW*C8ka=Yts#ObW3H|{kx}+47(BPA{$aZb+_@6 z)J#vN9l^fR4Vs@w|4_rkb&*Y0%$BpoxDMx<7Z6}i8V++vljQcT7~ugXO{wU((G&vT zm55Tk?@)Ba9Dk9{J37`6HwK@cI+!M3fF))_(=WwB>jJB0&@d+Z|JgMU9KT97`Q>Gn z=N~T}l-1_83SUQ4#`$)ZNrslDtEY2G%XV@{V$*D0hWV87RpYOEa#!E^<~6DFhg&;! zmeB?+lm7T4-g#Dro)YR!r_GP2tz8*;3sha)mD!pw*?3uktl`lVrPFPw55<r;%;Vk7 zJfBLMYpzt;>SN`#47ZFVtbaDqRt`eyMv=oKz(+ll>qsE>fqWhVC%Hq`<fZu0q3=nV zF$~@Z>S=6V`S41{u|c`3k~S}#9vi;6Aodr}PdTuDH|v%3+R`LEzKto)Mx$4+wn_W= zXGV>ST1CwL9AC`pw%26Lcnevt4|@`duaiw1sJbLVhE(bTud(wl<}h*T8yILHe3o?) zDQ$3<$vbN4h&y`&Si1&G(=Htwvz0&k?9A#57}o|zO>R?q<~cDxYe&bhIG9TNcMt z`-`uK$`;`iM#p2S$$0gBzQh{;#8k&$52;$~4!coef37w#(NQwCf{Vj+P0TC!R2Rm0 z@s7F(x6{Zt7RQ?=)s6RliDrr9_s+cQz1wX1mrL&b@|EU`PekTB*Is|&(I-asedOb{ zrT0Ca6yJCE+m}B3u0H=y|Ku<H?iY_n-lLN`1wupe^(CM5|Bv~Dzl`>M^5Fj$&ra9> z>aL|<dc|k<#~=LVlY1_??)l$*{^Y-W`77^w-=53Avi!0S?)}&IZ2Vs<_gwv+-GA}T zmDe7>;kq3^m5*G0$AxnbekS>+lb>0A@^Ab0ee<z5UiiXYhZAo2wLZz%&Q^K(>NU4~ z^5fSRW)F(ov5)g3>nwMR-!-3khzZ@Rk8+mpxR`Tpm!p%v``F;qfAgi`w|${6y04iG zykh(vdoKCGzrFUge{t8rUDsYV{p}ZS{?eEK<>Xht`K7t{@B7N%ef9FczV1t({_4%2 z|Jj9~yKB?%<x3y=&YDa9Zrl5J?|u8xU;4*wPrd2aKl`n(Tv)lb@TF((I`*y~|CgWr z`ct2OuJhez{_%$&xo1zK@UfL=cfQv+{z&_4^|)r5tSD}2KB@Cg;dt6WL^yBx(X8nE zUMuCF+UIUN`d9Vnjv{AFJ`pwkX~}mBvrp9h9s3?C%+}?PmlWUs=JND^Kkx1@|6gx= z?VG1pK5*ao-o9VmefLN9Z{2bEciwXDcb>iOrpxDUKKKXUeQxht=6;4M(1|aoPT2F} zSAKHegIj-L>-60pUG>v9uX*B$Yf7dua7P-K-}n6GA9~w`AH4tMo-ciC&j-e~@A;LR z$9{R;ig&&6p&xJgl@C0>=fd|K{nhn*f4clTU-;=w*Zjlol_yX9+umzFu=(yyojrT2 z*Zru-XLw*Aom}n9%ZtTN-o4^|+lqg_dFYPfmTk!sn}_QAp4-~DpZLDN+<L)&rm`i! z)zir*?*8)AZ~e;IcYHCoq`eHCylKxnRzCR7Klzg{%9;-?zi|7X|J&}b{L#sipZ(6g z<7+No{qYAs^2x{c{NyK}d(-yc{M5&{Y`*ZiGag)X?z?_z?=|ax{hK#GyX{l`lYjWs zp05tS@sdyfTjR6e`pMgW_M3nDqjx{lI{frgcdWg!T$=f0`u)kq@vpTz4>xz}4M|)_ zCmx;bpV3tht;Ji1tYZE%og93JJp0$t6;CX?!@qBvOl)5MPXF9r%faIZ@9@uUGfz;L zXsg!!6}xon<T&SU$<qMBUQYJST;y#-X8O>y?vtI(a1~$U!EaTo>XRqX>3KYv**be7 zzOy*Mi^!{v%?_|vx?keY4TzL?%<aHbMz%ioSpT}xTzPIse-2|CJ^JMY+vp#dedamc zkjj31+_7)#nHlUV)yT`bzUTkF<ngULB)3gs#wXmWtzvW!D!aIazx7=Dc`bzj0Xx0d z@m`-D-@4};95%Y^7WRR;++2Qr|6G{^P{!e#nkXiz<l`*LAd9eb^|Vlrp-ff_b?D(P zr1$prb|EMdx7VwT^(-`by2^gn=Yz;Ex+pQy5M3xjXRaOWz_NT<IV^B)3iePC?dl{` zRq>{EH_e_{{kh@;>-*1s+p$?BK^@}Ht=hV-yaUJfX>_6`mdmP>d&%~~3s{=T100gF zNGC1!aC0i5Zrp!)H=I>u0ck#tU6$(P;;|EIq__%8r14BA{rP@mo!`1+{rdhL<KwI5 zwo;dVj`~|gVeIGTl)tSzur&xe8J{c9_OIJZE&JKHk1n@zr73OOrm}g-zaB3tJE}V0 zu6o$>>BvrD`oG8#qqMoXx4n%;82<BJd&W4DiJ3mGO7|sn!s(2`UE6>1nk;mZwnmL^ zV!!&a^$+fNVEy=|d*#Hc9qdEjy6nMKH}#h}TeAOEucGbK+)8t=e)V@ApIe{1w|{Gi zUG?jNPD-U|EK!us_uE>XttU8pu&Z;0zLZNJ=|Kk~OhY)ksTbcXdtqLl9pgltQ17pg zvwxm_+}sK1HEfjMyBDj+9eZ`GP@TN$RXf<z9dxpFl{OLf<ZE3)03Y~G&-`?U+Azwt zo`hfQ_ZU#wk!`+R^)TntUs@;h6Y4x3bb`_#kvYe6aYl6$baGVB2<<whi(Al1)YZwB zOef|39dpN){q7Equ3hu4xf277OsW&4RaSdhtc%%>u4C7$=f3lJZvC6@RXdR0*tJL} z&uKAp=%hC`cFTW}H%PDRx}c5uD#+fgd)Y@XkBn(I(f+)T^R>ov+f*m{^{SJ+_K6(> zb67Sa10B0w^(wg3F$!a!Rr6yPspQxF`P09V!@gntdd9AvgkS9U_|cS?)d_XWaJo74 zVJuGpyY`D+f8!#*#Z!$*Z20bH;W>%z-%)hrt;F{UD>v{oK8fGL=S;)ln{Avtrgn%~ zLmdKcxtr|T5OSv<iDkkI_Knz2W_FYK(C6DM+1ZJt_iooRdq!*or+Fd+oy5JQFXvS= z9%OQYGB`+mBP0^&NY`$Wx18aFmCznKr0}j&yGD2(*+@Q~9m_^aPVwVzJlJY#{013< zM{GEQ?I!k*@JwCR<`cj8H5=+=!a0jkdj9-5jg~t<@=>nx9;8A1e4fc=b+O@G#)UX` zfyxKQb!uPuJ@f7>)JE@n%he~oJiS7urefg#L+uFvS0i<LKBK|bw6z;HeR@T9USs!M z$Bj2TJ;P8RhUgY7b%m+mjfFU87Zws884vB^JVtn|X65~pNq<9a2ES*n&uRIbSs0$p zXwHldaOBhpPc|~&51ta2bH2(W5-rQz%=w6G<JEWX>e@5D8~a7z)$9z(KJH$$ZrrS} zSE9nJgLlIV0$Yxqq2j3xC1vrtFT|6sO(*9%a#rLyG4_tGb+gt{?HkWvvs19~d~e}n z$YevXmu$Ai)W5k~K5))mj1SJ+IdVSZ@OvLQNH@iGg!39-lf~5zvIDGm)A;zJxm(d* z&YjZ7UW~Zzq8CyIujq#l<Z{nFhxNM*tSa66hGWbLTi>uQchjYe)w$fVFJiupt@grD z_+m;uh}xh1JZ_1;<Oz;Ja(vx5$HZ~SFG}RA5{y?lwa8wzDz}YK3}DdHKYkW-H#0T1 z*y9-UV4IE2{5Hn0Rs6tR#i+)ZhSg)3Ur@D<RODbCfD9N5S%;~^m<mc^rpGogfWkne zg8F&tm*rS|tyYW7ZVU6r;<T3xYf&%bGM&st=Rc5}Yq#}_1VJZZJblC5<2MzN%QMfc zdXRH7A1Id>pPBgY5q;U>7G=skN52bk&Pi0AFk`Dup808DIj3JPSj8NHHP()xld)CI z+^k0Sstp}#3OZ4&@x9}F2S@~l9<n)~eKE}F*yfG14uD|L$!u0UQzf`6mw|69M1$Gi z={os2>(bAvb=_9h**~WXWxqVG_LD!LH=N*WDxCJY>V<pXAmw7G^9IRPFJ=DBKbPL8 zYvE@)KhN2ZKW{&YKcV+$IExu3%T9iL>V^2$Rs1nd@YNM|W3g6HdCIz!y;<5%WZk%| zT9?c54Ho8dozWGCdzP%9a9@HhSJ6+{tf~3<Lk4<RLO-FZx=v@cOg!AHC<pWIbe+s6 zylkNQrsCf0Ea{g;TS)v5bb=W4gD$EQjx0Tf0bkI`x}cL|k5`LxNaDE_Up{tXVBqJ} z$wJvL8|wQc$=XpY=O@y1`SuBCjuK}TjYxC))s=y{(%V?ysfq5obZiyl6L%ZqYX6Ig zW6%j$y58EcZalw!n~q&sKY_qmXX!U{ikGrBLk2olmDOYvr+1lQ^)=s56sqoYohUp1 zu`4=oqTU&X@j%gr_*fVPB5VUEG|roCr+&|dZy|0h;D`slBf%GqLvJLDFSl8ohqD>n zbp0+oj=J$&7q6S2RvGYl7^*HJJ_1Kk{86RLn}r|s@O$ZE-}np0h1fuG6M-mxBC~7c z+{G(2j$I>gcpb>>5`j-nDPF4S*db!qNd71u%<}i_AZ33BH)(N`;v<8AC(u`X&Q+XH zpK~F5@!%H@XYu&MUH`1&6^Y_~w;Ru8zHH9?0&q=_)rQYQ@v%=^3qXGGDK1Fh&efS; z`gBEFtWyL${GM&!LcBSp`MsXY*wRN}&8aGW<LgZ)(8FFa_%eyFPUARJ>c-zrK6RVA zaXHO*u?y+IH$pG>x1_6otcTZ2=Zmac>Nem`e!5~kJW;o*^1!)^nn&#s6)q2l^A`hr zBT@6)-Si*et80OW7j-_Zb@z?WWa$R@jY<!?TIZ0S`GJ1!*aE!j$ydqfMUQ5_%D5JI zC$9W#%6xNGmY$o+&W$u5;JFD1El{MUPmV>b(+JKjzQz)VL@%8H<}E0$L`=czV#{;q z5Yh{zn!rT-0&G4}1D3yeM@}z(A*w+;A0?o5=z_A6T>vb)q${r?)>#Bu2y%nP_BDNm zZjosZM_ZEt$+2Fa#P|HB_=VFFMJr<}3afTm89kLH<kfQ-@8HYB9+=}rN=PEWU(dNX zt4CHBpz(aDhZ1~!L7EG<G#YgQrdQVIM$6z|{}xdu@ia$%brw>Ji1su`7aFoEHB}G~ zvO#c)jdR&gZv`~*KX6$-7qGJHLI%y8p7rT@fbNjsos!1kUZtx}uZxS(xZ#IC=t3>4 z#_<OzS5x_e%r)ej#MhAiao?(uc^9gyF(Wb>pjnmDoCD$lB)in`YzSso4R$%|dLbSG z)*r4NuH8#U)-MLJ`A%#G?|~fwRkq&v4r^q=Wo^I(Kz3b7(@=oT5U~8%RKr!>sq{10 ze|ROcac3W1gf~Xm&c_2Uyb=thBBySepD(PEp?2doi*a>XsO!nJ&ElEYgDz$|ydCx8 zGrZHTY@nKl#I73*6;HzzF?0o?8XBF)?FM|%ROFDA{>^JKOAgi2pv~f3LyZHZ%ymTJ zRxdqAq529fcPeyI%=R$VcZ(w$ju&B`M!AdXm;IMhGMUI~oN^UkD=>39S*K~4i?x=# z`imnM89+VZ^?cjKvw@F=nAWPC6%xwQydu7L4W(-&gR7stYP12PCsy@!c+tNQnn%y@ z0y39GXY2sdt>WSX*`o>w-8@9?2Ia9w03^0)@~XKiVnY_KTMdZghqIoE%Q9wH5?(D! zC+kY$jrB^y1=A4bSViSWf?nYya}Li*R=P5zPSb4mOOAKrS^bD)E?V71b`0PJU$*Rd zwd{cK7n2!lO|J(T!IG`nHRK>XqY1oH%S&O(O2LS;>@}e5gpKONG#N~7C*@Gauug|C z!p`lG=7>1O$A`3y)g$Yca78M73Z6|e6$N))HcMulCUPhucQl=9Mu@~#B0}|{@NzyN zDRKStz+*?R$;#rjS(CAqe9p&*9B+c<HtO9JAO0fd+=N&E7zTKysLrLao2K`ntF+fS zCo~~yrf|VY3tnrJ=rLoKOfc?**I*RIMeMY)>Ig?o<vMebZUtgL;IDNdT37;)$0Zb7 zg$j2HJ{j<9xldHoC>RM^c5x4crAw5u%?`M8dWq#xQ2Jyh$5h1GaD8$Ri#u`bcv9A5 zuN<cl+llI6mtr5klJ4cGv<XyVLOJK9GcD|4<q>EQ9@{E7ev#~uvStRqPxr;Za}<^8 zeNu|_T#I~)MWt8@u)1@qEJHGKJr=m2VWTH;pUTqObYh6dsKcCZnWiHUNv6@U+#q>+ zIRO)0sT}jvu?(d_@?g)xo&pNpB*sf*cz2GqM_&Oc^PCnYW%)qV=j!#im0l@4^(!br z(Z+jQjeCb^pef?5jx~wxY7P-8NN%Nmz_i*B;y<ZsRtl5l>#Pak0GAO>l*Y&Px{2x} zz8W)n#@YdHkOt?A@p?9CDvcVsF$`(l9?KESwnA-nsf(hjm-1BPExL*v2QPTzU<%25 zi!np&rCQz&hfeI_8&Ga79BQZWiD<aYQHi1Lsu>$BsA{!_R!Fa1iNHji*N@f5o)9?M zuOd|^k%gyGHm0g<BgbP?TS359<;^zI0W02<LY&k}U#bD6d_(YM!ZxzF$Fmv~xJ`>Y z!^+b6GVz4b38lh_BW5GoS%E?++mCANXSaOf&-TW>UZkcil?Ez+gF}ew3`~0~Y*w$a zVG#hPsbW3&nLCe>0jE`~dIUg~Y)!3iob<7OCZ1svo#-iR>=$p!@IX<|0<@^uvp!*z zR}-yv6th!39(30Bu_I=5n4+8PvGpHY0l`X2`7+rzX<b}2HHq16Ys>}tE#jeiL8Qk4 z1Q+dWz?;5$I*dp>CRu<}R0~>g<x%yV%4<Z&9|lH}rEaYSu@93{1YpUcHP&Ves#YvG zT6xzwHUNq4dFeVLjQmz4rg$RDQcf==MzP*w%4-1=wJKftvt-}~nS`uK<H<u{Pz<~< zqDQnvZ#}3%^kIt?5i&*Usq=6{<H#Zq?S?eoom?mrdHmIUj?jRtij&8JWxe9yM11N2 zCXp3pzG%{!xE<TO&3$4z-dpIE(uSf+Lq(9j)23%UI~EQdvPKR~+@6}0*-2tdvtst( z(x(_8;D?f}BU{(i0)&WK3pjkZ#<9Ax>U+@1Faegs58Q+20n#bB8uyP8{UH*+Ce{NF zKpGkGA!C$u2qYyaB0z#bp{n;7SIBILM`7!F@vu)S5nL(<UKucDB6c70j@l|y(-y~m z=%k**7JsK~*9!d-g8*AHZHsJ4t?w6|w8^PNketLK4Sr?-JCvky&@=u5i$DO1^V)G} zWSIkY&SwLZi|q#5)WZclI-QpZ<5^np+RW0fr)UEa+-A~iooN{4N1c@k)g(i1DZNid zH6ZNpV^iD|A~4(|^RkTffF{@#5_842yxwgk2+6b=w<`>kqI{Y<mGDdpo)l@?SmjF@ zNXSZbS*~wzHT!J_U`pZ1?Q0@UNJ=&x#k82Mb{yW5jL>1#B^;=0oJ1BRL18sKot9Y& zz!{FMl5A>kjRKtT3J91~tQLDGF1m!*_zK2B!qJmP=qHYLk#{n^s>P5^QcAA-?9}G8 z#<-bh!jUS1X5{iV<$Ok$ht;tlm;uj;4oVGr6VsAcQ88Q_U@5!26~5}9N7U2sgcC2e z?eoX^6eR69o`?=XDwU%#TleJk=@x@%W4XdCktpTU|7+73Hm{pA$<70@jiBZUFlb;I zCKnfCj;!3r7V@(p!N}T*5B`2TLU>JYeK}f|aM+)RvDBX>_vq*`a)s&u2NeCMu7!_Y ztD#e{;fT_y0rIq*z!y8@oo(fn9D5@rnwpSh4KU>Vw4z5RqO#w!Oc5=NkNg#0YJG81 zYsm!-1}Y1hMWOiZr&>&eR20!z8iE<tMI$aLMu8PE9S>bUS!7G11JPM}%h94~n=;k8 z)-;|hezwId)38Ta1z@i}0hm8A7BVe<#zU@7vxFzwN<^tepFOqIBAA{=Skr<C8g;%5 zJuNs3t3aRhzN4+sNqQBhMb0Ekcw*9M_)E(1KJky<gHf*k!VDELZM8UPIz6Mh>@nmo zQ`|s0>{0QQo!A*mqh2`fTQ-WcXP+5&X83@?W{PRMp$1s=jmVdgpiMf%jyjeqSD{0- znF9$He1xxV0@AQw$*CQq<P0TJv~weGKN}fWtTXm85d2|jRlE%|Lya#U02;8=Lo<6N z$kK!0MSWbF5STzBniw%Tn=7QA$m#)fl_(NpECXpgoKzB7>wamb<qe4BXC0c~oXYQt zT(X?+A1rISund?1pS91Fk9^~Z$nFl5^^bzBR>nh=ULlE%!&0`X!cIEEjG&xpZd$XP z_Z~7($INMhKuug?ta61x6zwPXu=Wq)?)EFv*E5Yq%fT$m8Pz*W$(D2`H-IIsQb}25 z#re{aCZjIQV-#<h@XnwHCG&a)3vNZ2s9B@&$%y@|;cYv%(2uns0tmt~I?6B58*Wi- zUu?##x)2cqsxESkjjPe{prmaoqE#qsO%g{4r`gL`yyxK7N0U?!rXq{p`M)R{yY`P% zG9o)gs_mt>4xeSHQxHX&O8El=vXAE45xw#FM(o96eK#>UVvVVqhJ|<{e|X@jrNy{% zJH5PRoTtxN?i(S}YBTE6(c);tj=9M7Nh6U-JvPV6_ei&JJ7mP(X+^w<^QOdzmyk{c z)NS%Eq;RI+?*sq|wji%W4%lPSSYpeuBL~uQ^U1Q=1i|!69Lr+)%D{b5pe3~_;l6=} z6n)0;tXS=w15=I4?dj;3C(CmFu5G#Ykw(Ew%hHDmV{mOyG?%0?Ie2H1mXf$KVJBs? z&NC_}`mg*R*N%^tIB;Q*vDTuITu>P(|F_m*GXY1J96*;vavp7IQ(&1DhjoLPTUM7z z($?8^P|qlM->q~nWw9R(54Xw&h+?t&hx8U1L7-iSPK+wWG5+ihu&EL|B$BmeU%_Hb zZ)iLe!*+zwGr-hBm7v5&B*(d<ow%JfiSDBy`0^PBR6)y}qdKj6H^L*xqMnmA8hfRb z$`y{7Wg^pzQQA6F3QwYlk)e$oT{Px=j*o(XSZ7o{d$2?kVO_OG1}E6bpA0lB((hC* za7Y*Z086gYjIlLlN9LYsYYJ>`uQ5f8z`7QwWeSa7t3umhEb)Ybbf_QBgJQMCbe&f} zTr?1gIHn|B9b;>x8Gxws;rikW$suVzJp^J!^yF>Gq^_ALy0Oz|deZKASj918Xp6q0 z{8Ui>GSBh!ppK@d?A{@FGV?)P8HyA3g2+ybZ-vF2tFr8Opc2JO*LMVAP~%vO4RB4v zHavKGX;{et7+~r^f@0)OKLvwWWK<`tW4;?|r8ysQTUTESv&Jzl-70lU9pcE3ge^!c zT$AI6wSfAFwOD^hB>Sw_CPc%D`JheX0B5seKr!JW-_&BgUMN@TfUS-|aP)p1!2rZu zRM442B-AQ%K45!Bsg!%;*ik?K2ubMGDF)dCQ3R?^yDE(g=N4T<aDVTN3ym4>>4Up6 zu{!lQY)OPdUBqo{?1vk^FS6-jKUVX8$R~qw>~FbM+6=r_PYL7?r_X|DsXl8+@E;%A z<=?|DEf-HE-Mcc->H*tn`wedC=QAHxJ-{NxGQ=;r(o7whPSfdpXJE*cYSxIWaGxU9 zdrvJ-Oh(4sFtzMad88$~vGFSn$Srlh%yu5-u*Om9Ppk0xshpL!Uf6o2&r4jhgAEml zy;GVGC3(gGcP96f(m7ob%FhHprwj!@Fne2w%tLx9t_yY&l{O%7OVKskw$evRNMwzs zI8hc0*8nGkuR3xe&Jb$bI_#$a6vEfB;+;;HoKq1C9&7bhL`TzvF+pr+ga0n$N@Ea7 z9!}0OX?0k0O*2btQ&R42(poALiHx?GDY5n}@yI3u2Nwl7;wO<+{&c{LELr&FuamCR z$<)RxrsD~zS*a;Bk+!ViJ}i>Fjm+UNU@||9wZ(ff(<O(DWpP_Uvb$=O>yo%b`<EpX zMyj?JZEucfaj#*rF$WGqCdW4)Ht&jGFSmvJfCwf;MWfDzM%_nC)Xw4fiE#=|5qO5A zA2$gavQ#>x5e+%19UIHySSBtOIwX&OUeZO3nTy?`_=G3nb_#Rz2>Lt^ZUj}RFw&xn z)0WI=EIjDMcLqCkm`aDZp`T6)G8OnUu`KnxvQOpv@l1osoVERmpzhEY$UxQA#ZpSV zAz;O8+!0>#l!nxGktiB2G)l%i0e!sZ>5MhaMDq`MEt~F07bv7oiwQ-|XsLu4vU*OD zoK#VoC*bJ&SxUfH)E=VxK152|8bQ<LjQYO8&u5Jf=p9N@DgpJBOXTd};L=eiXPTVZ z@Z>3J-AK3a`J~h1w~BOZFV*(~nXhexC5>K0ctS-J)=nm{qt&(qElftnOVJ$kflInv z$jpstLb{OKY63S9p4}Ovu99iECx+N6dU&VhHN=uA6c-}I?-7}lku&ME{0R!QiDR%) zK1?+1+Z9PWD$@el6d|ct8R5f?l-Ux9<6E7Ya7<2VO{09o$XbQqe7K%|0b2J~8pov^ zd)8v#m`BJ(_qC{1q~z$5iyV1y6VYQlmrfhS{~CQ3O`luOia<i)@iijJ@G@>g765SB zh0pA}>qOOvuX$QPbW3x}$C$FUOJ+B~7^D?unjx2Y*Gx@YnQogKFI+xVy5vgvLRzp< zCB1pn4RhzXTnil1Cl`*Xpej$^;JwSUx~xt=;;xyIQA*U7m>z93UBt)OBqnB+vO4%& zxfb7Rq|mM;%OouA+e7=}rvILOWJ;d~BVsqI-(F6pv~_R?;&vZQr>8b0+v5=k?yH(y zTx7^ND!KGWt=%L4KW%RV7*}x}`ky<R6<6!US_#<^=4-SOjvzE$Fo7fxJX#h%g%Y|Z z77!ZZbxQO6(l(Fg1Cuntqh)ax<G6x46w;I~E)7QcFl}j?LYfHT5|a9XX+y&MHzbr& z`tkZ4Cw!zO1ijyxyDQ6v{A>F1j<k1X&YU@OX3l)wd*|GN?>C)mm1Q%PT1czLm50N? z@X+i1#a7y@<!w67s0`E1P6Z)IQbz`91isc07`q-0J8Y9B#~z|G`KI(ej5akJklZ<m zfIskKo@5AV7mE+}{lmoe3;Kp^rISo-q!Sy-vUP<f$dWAx`^#y+wm1;#8p*FpBgLFJ z<>8Xv=p84+Cl+0?<<q7!45Cb%#WB8(u>1p+RWfOol<cz8O70!)AS~ESE;+Yp%&E)A z+H!*_o>ZE4%VtUlFO{0mlg1k3%|tY(TspitZ)+$eNZCrU#(s@AL8p-PRb()qjLNNf z{is+--bL#Uvd%1-DqdlFNOaU}uMEw$>${d6J0;8e!qBEo_u2`+O`l|Vn~oRFjEolS z;Vo0x&Ua0g*gtk=J&V^Jc2BQQ`C5zbJw6@lAN|-C87!=B%4b3yBmF%nB9kDynr30~ zr>Aa3{A<q*Nn1iM(&&Fa;TCSFZ}>V5>6hR`{L4}Wk+*LA?w6Jv^WCp}|9d-MTzmAX zb5k8<*{q-Rh7jmwO{&5{Eljd>hZrV;@T1Rf{o%KJiw{5kI7W3-A8Wqnn|I|;*w*gP z|FE&O(X`>XWy?N1dHlnh-*L;Pt1dWx;G17Q?W(KJddD#vHg0_Gisc_YYUOD^x$3z$ zz4xPkeoOn4x8#?8{P6Rem8EB0@!qHY<+<&jy6WfeKIz!K@7sOpg`arevsCNQ*!|}` za>wQ;{Rz3>$qzr6FVvj#!IZ5lUVt6npVGpjO#M%cEnC_5niKwT@lD&8Zf$<zxu<Ts z|Di>Hw_)So-SpgjuUWn84}SB7^IK=_`S-v3+Er_R{F5vG{rlg$<-6bhxwowOvlsuh z^r{E9e(7)D`TX5Icm3p+kKS|2)|qeLamVjn@xw3w!TMuAd-T74ci$Bs+I#&^*8XVK z#{LuL=05hl%m3!A)n8k)bL+kv)1iF<olxaHb?P{2gx=<FZT<AsfAH|s9Up)2>ZJYG zS8od2Il?le*Y*AJ=CPr^Bd@c!ne+%ZLQV3gSN%=N*{k<HvEr!j{?**v(=Q&mbjv$C zItdGOf>IirMA}+jk_q8Wgz*87Jiqms3xBJ4{o{{=n7Vq?#FN$ZgzI<g-1@#v`G##a zR-%(7Gqp$Sn{Qcv!AWOd_~d)v`{k>y`t>jW_h(*w=Y<<y^X3m<b=DOhF0K8i8;?D9 zb8_S1edy$rvzGsQ`^o3-|KneK&6mbL`QjB%j$id}l@G|~_HU0hzIxXkjVEUl^E-v% zt&1%>c|7)$8ag@V82X9Il=MGw<+9@rd(HG;eDafDeAVVpT=Vz;xc;esdf%__U2^>` zSG?`#SHJBiUwL5f<*)jm?H@jO?2FHC`@-8Fedp(2{L9-P{mX5iylUxdC(nBGy7K2| z?z!qG|NAHZ{8z``{>{&g{KcE^o_W=WPdnzw7neVG)+IN6=+U!2xay-HS-<mxJHNO2 zxTAlmIs2LL%(gN610IP5bduCnjH}Vf$1|PGpp(As4>#y1EobE3>-tXFoGw+JKz_v7 z2sIaCb>gT_c2fwo(BlL|JT2y)gW%x3s0W8R?@sq>cK>$I)v!LC**iCP_uUbvhZJ&Z z30!fR1(r{iR`mbm-}3qPlh5yEPde6VST4>zU(+*hI6nxBo2Q?io7-D`<{7qp?%ntN z^PCN|`=Q878D#mhYx?fHCnvl6*frvq$MvevkKxgz9!JwX!j03&Ku@yzDQkMp^~vei zJbT!YojX0prh900+o)Oqtq^t*ujIH$O@YYl59ah4_5=6#bDAT2iP<$g$?_bxr$@gA zsp&8r$~eT}{>$7-^-s!1xJO>fadMzA@NOh@Gcz~OyqrbDtUUhsiVaaGdnYHiY}wDR z<ICz`KA>fKSsKOj_YgYaq`}hUicBZTh3`h~Ggty<I@$91IdpRA#SD$r=P}a5j<NrR zGNz>~o`x?v!D>qF8TVFyv}^93xxG*BeST(V`{i|_=ML?crDsRij};azfxiu1u~jEM z7ja6|N|llNJ6#?-yrYxTb!RbKoDl@;Ep`LngHF^+krW)6w{mhr)CrcJdcIIU*L{-( z>>+aO86MD$`7fiB`6`MYwR_Xe->6nInjBcU7o7+?LC(pQE4y-c-5`(eTd`qo?t>sE z=U%FkSkql%0tbdW5tOt0xAUReGn4YgvPh<r7dtvxtEB~g?<;fpxyi%R{22q2wdy|1 z40b>CLU}K{y;Uci=>*NbeTBl@+@FNKGxyAJLeSjKw!EaDRIBhmKqp83YeyQbTh8a3 zT%p#95va>m52BO)j!rOoLnq{codXsOlPf3DmBNONq{;WM+=%VN(cht^La}zE+B{r@ z4WrsLuH1M!d(#V$oQ_V8qpo|9joyAhKhaWTIqUVO=%hU}^UHrY!>M8!>HaH&RawU_ zDiN-)eC(p=2aH{xMJIDJUwolEc5!|oO=5=gyE2_DIh`S7@^d@#`9FHLwijc?xyQc8 z$xe)2GYp>Sgy#M1v*<+bymK#$l6^I*f+Nu8+k$EzNmVEP{R{ial8d{g>W*FgE7?D< zz}RIr_pi<OcgHS{UmZvaoMpN(j$LXS0blmwucdG01?%3Px#FI}rHn9~-iW0T^{-YY zoXfNU%OENA_hT#E)1x*JFQ=2PBw2lD_MtBsyU@uSFUc%vyY!hmK5yICIX^M3)}k$6 zsuX%AA*;7QeYIaMoY@d^hGFMSL$&qGbJpQyoZslIS?X#XyG3jTnS(3i++5=VF|6l7 z)(G3G3|E}xS!~CqQ0cf?$>@tCvJP88!mBdZtm@G5_$0eoXKMXeWXlDaZsN{w6+E$1 zR9iSbw^2x=c8tcV9U^2))y`4l9dwF^{$hp{o>4`+M*9}drlc(Q^Z5MAgvT*z_t-Zy zf=tQ>e$64Be^;#o&pW|YJm1!^C|>KJB71Dn%JK@!b=;DZ@~M2)g~!%<*5rt#4o*?} zDsNg;j*iP?r_8o*olL!fa>#mY{FJPy0YGi_xC;ve>v|)8U|8hJ%Qsyz{DI{+Mw>p) zZ7g0sbtUIA8a+?(=nvQ%*QLsrhw+&`BX??gCLy{O-6w&KpbuoF59>EALrC)*;D?b9 zxnelGyG9Q9orF#@*WRdfS-MP4-p7BkBfG#8o5<4AD=8B^HO(8xG;Mj)#cF5x{#~sP z#V|aGU;4|$ga1^Ar(B7RC-K!Qed|Lf6%6elo(;))j?wPXC?E31b~9Y^+DxW5Vy}23 z`CkH_XEgC_N&_D)!z#+Y>@YUZXg<(=YJGX?4LzLq*sb#`v$C%0mRT2GbCV&g4=c)Z z{jb`nJQXH4bY{qGtjLghJt)i?BG0*CnJux#b^ow)SMr}Qx$WLvTU!P{_e6f~Gs(&o z`O*_l%<RrH6y)={s?_EtA8XA$xuT%-Oz&m2&&Qp6df!e?M4Kz$vv=-kjf-dC4RB~A zeq9MDZXf2`*x=zC{#^|#u$}->#ty5bL6wc9%-77=*l10H&9i!9FsZ5O)<Cq;>X90A zy3$LI1)r31r>|J6^Ngm>6;@!iy;5@3m6OLYO>1iGw3Tx|t2gO^<w{a^7J8jJxcTPY z_uqUotE-!LufRnnJr7X@%JNbF%Yohfzymt!1v&lo{c{`fWx&{)ty+}-LO3Y=SYcpo zT<3M<h-HX0_ry9=o$TGKI;qa>ej=Ywl8qbImN2T@cLr--)-u(}+M|!M;O**UpX#K) zU)Nqw@6#D@uDo+@#Vo~ObxUolPViT&nNBzqxTBL}{&At0Q70_%kPT}sPF`fbR-NeF zsXF1y5uEZ{d+a!Xd4=<TbJ*0aq#eEf_3>0+)d>r8Ef4mO9i4FIFHa`a%CUc?uEC&K z%L)LKtraUYZFm04Y9Z6f<m7)sCoDv}dg%JJ9d`UG)j~KZ{Mbr8(Ggh={*zYJ$p;^s z+`!r6Pv1SqlIn>w&N$~Bs%HaLweO5Gp5`?3+%K*`Cww*HNnJB*yPw-v-K{#Q)l?@) zQrkIKdse4cmJ=)H2Kx1iPF{FH=~X9~5aTP{pyB;c-gjKo$;ypdmJM82;;M~liIcTY zXMW!|hfc6oq*k#nEf$GZov;$4>>G4O&vXI@bTT)$k);Jc)d{I}4YmQkq@E$8n07&( zL541N-+c2zopcN=V?Q~tTKCJ1Z#SRUAUfbB*LlK8WQug~j&ApIP<UYFJ^6il+^OOh z{z;4SF=L{WM<4C!xtq~w#S>@Deg7Q3aJC_iUHQ2csuQWr$YU*Z5_6z?NR?JXcPZ=G z#iF*>+WWjN(71(Td89hgj5yO#6X@8LeTJm4Ix^sb&UEbBcieFt#m(1IsABFsR-h9d zyH;#aop7hPVc!bIF2<>gE>c^@Vi6l{WkHQIc5T?-Pq<fz{bVD$+c-(vz^)MsZq{G~ zR`zfnD(0r~_HaR+A!61~7LHwqrjsL1>C>lR*XJv&Op;!A#ENtv+9x^{PaZzvY&|b= zzx`r<1Tw-My6oJ<goVRvJ@_GBevm5R18^me%Q=nE$M6Ub<dfpHJSW%iwdTYae{aXV zM)^U1Z|9sw9+#31=$^kA&ut_;&);cmH{5%&ez)q#&e@H5PejuDgUs#PBX;c*vE8gd zkg(k$y5K7lg!M&_sEfU$y2YvaaE8A=e>R?2SJCa#e<J@28eZDvcW;)?{#}N*f2jPZ zn~&@Hi$a|q^)_{jQyOLs5YJ`o;t?ZhK2Q%Sn@MhW4zy_zZV`_C22TE($2BbT=Q4g; zA9&NPx^(ld*UIKiofllQCE7KD=!6T+iB&KSb?g$snh!O-!|gdQbFpvip3j)c)5)Jj z@@yT3-SZeDKTW?Sa8-amV7@_rc<1tv(caEmcpf-)><G<gZ+A+A{h^C&u`ATD+9xVq zJa<tMp@aX|4!+$vpYgs7FN7n#x<J>iG1^JOr=k2p%x6s9tFcpb(DmSII`f^|+bUn5 z&)n2R*LTlljCkJ6#*LcJh-l(2I6SRb^IZ(`?)OHY<LaYt|HWinbjJwqc)NH<y>|Tv zx^)d^@1yWI%|xpx18YOa*UftY7c!U!*YzFs^(;AsR929CjS70nfMlLWx1PML^z!>G z_;Vw@#sqvRE)fv|*UP}@4TK_Ts>B3EXB0kjH0b%85a)GEMriScag%bsJPaMw0NX0m zS&$-ftSc^Np|Ki@0k0m7iBPs)*>^%2E(_>ggo5w<)Sv0ncYIw5vv5SxYH+&|9n=BN z{<zF%tv?|CrvxDGIVkG5HS-9sxdJA0AdSokR{3f1d=^8YKp4+ohR`dI{&@p*AoRuv zAzdb>_ZcEOoj8qJn69jNg?dcHOXoxr^K<HY$0Z6H#nq7B+Bk3(nT-LFfU7^xPiX_c zE)>P7*X!lLocD)1Z~r5Ok5?|nbIHJUJsme>ZWJrBURa=mXn%UJZ$9dGqAxPhGIxYs z$W;9%Qe~H7=N+<!0>6$Z_&h)DArt)eV>LZ{%DKkM59*l*&}r}lyAlL=8b$1fu-_Y% z6A*MBXJ<9v#9LlG`wpSH>~k&QxIOe&4IdJigQ%j=2jQX!FTpKDD3{S#XW1a=2wQCm z>cMva9Gs<6TuOaAehMyL_g}j7Ch-yJv}UK{jtNmKMwe)PWE!)vQXN_D&s5VJo{Esl zD;_~3c&?5g1~DL^=ar_6ddO}3#U9E#(nTtmGKoM2&N$625N5c;7c-5q0W73!*cM(- zD*^x25S>T>+PfeP0wb{rczjT@m0aU>i%^Ix_Ve`c^iqPUkz2<c+Z-G+q}*6%@~Z?9 z0%4bl>Xd+V!XvJjdq%3b7U_d-`5+Yp2Lc2U0%E@-^SVOtu<`8t=Ouc^hx8<dnA}|4 zg3(E+xf{lc4C3w$&Bb}JKGNvZg;Z{Jk;08D6M*Rgp%l_6RA9$;E~X>H5Sen(f+GvU zK*-NF>2)a9?s7!2B`_dko88FJ#h6*1EKF-nCKazjc+WbF3{rV3D>q%V0iIuy@DL*L z$zfJ83fV}u%Mw9Gi9R%fQICeQW`t>i=aC+->W45w8;TJW#;=yutfK{blCD#?=#GxD z5hFq4#?u;7XxWokV9f=ezUG%AawWFYsu=c>oY=^5@u|3h1AH{he5_^B67d)1q=eT* zR?d$wCaF=t$Uy4D_3<g@K+Z#7JcqZocG&rA<a%-=d+ZDQWD6T^Q;+0*ya^lfHl1K$ z@5w!<w+XYNpKfR+jllP$CG8VG#a6=_Cy%7q1~TENw&}5DOlZb^Nd=*X!$i;mA$($x z_Fd{HJ0B9K;kM<XwQC2{fLEG)K$`B`4qjYw@P^o`s7|qgrs|p$#+Xq$D5RrPiPZFM zWu=(Vgf>lUD_}?{hB0Cd@&>7<PTWlnGoY%Ab*mM}|Aa55b%!_6zmnD#tc%)gNgBMz zwThG`vu+3hEIl6SJvIi4uNZL9Xn7pN$GZsfuN)OZv6Rzcf%ujPk2<TQtVJF=z<_s} zmi3om4|jxBFw%hsU8LSynbLH`;5PhVpzA0<!zRs55=!h$ab6lrKs1=PeOtF;bM^d9 zBuU*ziM34_q>2C9toSuGhVNo4w^(HmFx&)6!cl}a_6L2E%#J>54CQG+hask;xC~F% zBsr4m$F6Btln%5bq3%HT6v`1&%(!?e!AV<|B>Fvd2ArTVRIzW#c<*?X1{io@?Wc8z zolo4dX-YIb)$D9=2J1a@YS1)-uoeMf)rDc}$p&b}VViib@+d`u3mhHq>ye`YMh8}! z#57W&1)7D>z__o&<so!Z(RxoDmV6fT=@Q&(Y(H)$=+F-b>TtQ6km<IbpyC=R#2V~i z-ZHvmGX<Ars~X!7vMR@$Bqt*xH*n$$<`0y)j~X;#czV=KkdK-P<Zx48@ffrlyg+P8 zh)7*KM+Q+bF-8~Yoq{{MEeZKkpfgbzFJ6laeNFXI(Fpo#M0yQa%phb|#1V)<j+izD zvSKBqk{Fm6lT?XN9oI}1tKd-rPl%K>9V3*CVUj=#p)OLP(+Teh5TioI5Nvi38vIjo z9_TvwS#4;XD9B`?W#MBXK>{9ri+&3?18O|b%6ufUunoa^S+3H0c6-(Xm}J^kn!(g; z(8Qh}JB$OJoV|9|Ni+2-ieUiwcu{T==Qs#1xN2ML$<R25<LEjOCK$OG3b!bA2#*So zVu2sXwV729J~9T-SM&zlFgqIpo4$gf;iR@P8w-wHP*`)Sk^o#BN-Wy8rHWdFjWHf7 z`hyf)6_RN(YubPhvZz94@J2Q!rs)YJaWZz_1)7vJ&YE+C*npZFcd#KhAhv8NGCSJE zwr=|zDr97B$ho`V8S>P9z+>UB3XKJ!so`UjuJksej06N!MI8gpsP-sL62F_8Xwe+Z z)D1xbIo?0ZJscn2Pfqa<ki~2Qd0L*(XfLJUi+<D>?a2Ga%bsi*l^WY)-p7aPmMIvM z#_Oo1Uyx1Y)rN*bV`0m1-UZzqnYadf^O0ps-C1vfD`7lE{)3*h!3D0wt5jsH{&P7G z2H{6&Hd-T~Cm`g6FRDsrsoMrMW`;07vR+O2g1p7h&|TtGUlRQI{WQ2L39(!fdAGhu z0_aNAxmJqdfr-xfD6sfP#1xWi<-f9Dnl|bg<%+o`MK4StEIJWSCugKHD%{C+!fWIM z2}K@d6*A6W6k4i`*Xm86(!5zGK$9zbq3LHO!zJ_5l%tHXT#|d7s44MGIBE=P3l8#e zZSF<dv6teTiiu7{){r9wR8G#+vRveXykPKeQR2|JP0EM6bG)U~Y=Y{rqTTjD5k995 z4N0wUtZ4Iej(mC^W3_karbY`MmQVQVSgVd$b(v16n{1+JlB1*BA_RcQB9&Rq)AOUE zEp_ju8seHG4O(J=DMwoqd)=k&bVU=wSf6a34#C)xhoSFrNj+&Mnf;=6>I>K#Iz|$D zdpb&5BMJH5k($J@wYsj{km4KK4jSdYl6!UAByF;mK~2^Yn4-#bcq~YxpA>l$v}^g` z+BBRV*$x9BHZBvr&4&0{+M*+*(z=SWYs5IcINmef9n`1AjE;ki`bNYDt&p~Lt*aAF z5{ySY<^keMcm~a^54nnWRd;xK`}S6Ql(veoM)BN~${kOq&XqE)e_2oRRmag#jn_VY z8%rQFwK?et`S0Xsdz!5F3am0hZV0q++UC^R+ojRtdn6$r;k>`EW2J?sM8_F}gmn-> zOfZ=EXuZf8v`X-VQpovHk*cDmVtVfXoz|uEy%fimdW&&U!h9?Z=ooEy=Ic&iMl2Xk zm4jI?Sp;e&NK-3)#1FDE@NR_lS<kpDJfLrkjo*>l;6mHTH%7f{+0CO9)%(TQPZp0X za-LQivjBuq8bWn`V`97x%c=8g5(}bE@o-dNWaJ+?qGy7cd#}a-<1UdP67<l=CPe4J zc@yczdn=c*KYBWmW^%PXFBJS?#lB{4&9G;~J;r0SSP8x=y`EOxbS%^;E&Z2^mv`r6 z9DLPjacJi~_8G0Oo?r;2#?z)8y|^*n7Af;dJdrlimYu_;n=*_*Czc;Wr_+EVq+v>} z|3D0Rt}eZmj)Vdx#$ZH>hAq|1h3Zqdm3-NCdu@ZmS_VTUfSOi3MSE0cZP86LRHQxL zFg%dctmvnoizOIW9Flx>L@MWSgHXO;sCJBBn!cVShXw1C;_K;<Q^l5(MnSYo7Mg(^ zYYkZx)HEqH2WjW9>62fexh%twOZhcQ1z9R%J9G+ZmXO2jAl3?LbbqQs93-?hv8x(R zofJ2>3jt$6AHW5SW8!LtA#}>MW?52hx3`W_O|G5FOe5CZU_KaT&U&ygW}$&qBwC(s z2F_azqoUGpMptIEwWGk~pSqq}GOEU4Qc`_Q-<LLutt4fvw}EhCP2zBZ5igoST9{y) z<m`^CMP;H+TSYS~jbM^-H0TR*v)R0z>S4omq)%Lf4xz%kfI~m8L`N?Xe7sII(lFLI zahj7@P2FVb(-o4A66hDOS}AERWdc#ofon*HmX7vHug?c-&TBdACyM??s?8Bu8ufYt zUXs!ZdL46rMJ6b({Prepz_{6a7wo8SW6xv4NJ)@2Fs48Sq#wMMR_knx>MeuQn7~h0 z@m6wJL*Ru_5@(W8c4o`y=q_<0zA+|rY`8N3#RbE;u8ybma}fJJ`ET@rlNc<$eY`w- zq6ayOjdV$A1G*7un^|oNZSFWM8~oj!s*bkC(|u4I^8L0F97en41|u}WEGs%4#e+^3 z>9uUkvCAm4cr9b-B`wCMVxdv+{7%pUsq}y@>`WCzAQ1SIpgvhX{8g*O1<FyF5~(7A zN?|o~KhDw4DVor6J5}@Zq6<DI7M7F{(#pgQyIheoPz}`&I`1U7(9jxJNm1(soGhHm z1LWg)m;q~_I4P@eB@q{E->IAo2aH{Bl@@ay0Z`r2h_)B*F5njB>$Q+OvCXvFT1}aZ zCoO9_o`=U8;+qDBc*X)QBSFN|$mPi|vWzcIHNh2qL8X>U_DJi5Vrl&}R_8-<u`TC< zPxKZg0^&>y#l=<dc9%y$!DvF|(+?)#1hN9H5ParXl@@fH@&7hzO|o-4y);Drt^y75 z5gObKKo=oC;833p8f_{}G<e1gH`kHGlR*n9#Et8fjOnV@jkkizH|urX=e+o%rQKtt zE|(Uk`Wh6~ibIZ|$}l>FZ;46A9I1Nva+H;^q&dI@F$NdmI8JpGX0@7FO5i;nkqw#Z zx)Hr*O2Cm-=@@G%4}yWm^%>bE<*U)f5zZO=*7fh=6H;M`q^~xXz92&X6{#Ed)hQ{x zkvl3ieqm-aBlH4)IP3a%B{mtPO<}d-rqgzi+a}7KqE#g;x{VWrK*R#Wgx4nFwGhB8 z_#T1=65*cDcs-dBS0~a$9>C<@qla0<C<MOb0XeDJ)-1->w4FwvVEBrOI7N5@aQAqb zwc*3MD`Iuz>_u<dbc0y$lO&aK5RUuJ^C)2ts`Lz&Ir^B75{0%mm$`v-h$&>3PSpMh z(%+#>u%(k#gHjqA9<YIqO_LuT0ga#UeJFv3H2We87X(0lg=4pPt_iYex9A}olMQSp zaS5HWujeh~Mv3g>rp#S^;^SigCsW0S<4*CKu$qD@!3k6JnWibbk%`&k&9J3ZQ^+wq z5U`%&DN#C<w8;OD9fOFS@|QKpHjplLW7!HoJZ!W&Gf$uf`4UFr%MV}#+2Z*~IAVgD z(iWsOredc0;jJD97&H_iaHVuO>g!&kSAr!RGC%otJ({6XtJ6sp9ZUCBBHI};oES$i z)&?$drrzs3)u{~zO3&zAypZ;SUDys(u$tZ7|D;u4>>gGg`7;PMkfRk~;l#E`s9xy0 z5O=~utE>-#5W|+)HmZ-o#?wd~bg3mOQ6b{7nDY#kj+PRK;-Nr*{1`5jx~!STTjmNL zFtG}lOtgvWkfOHEN~6RQ^pXK|P*BFqRiuVr>QY%lt1<Z07=<A$*jCe4vyfJ1AU>%r zWFG0JGrE{Q8fu4b66OvjK9>cFS0UmDQxrp!(W@kk1bBQ5vHVs(ZzJExPwd4EEgB_5 zuA2{XXg=CE(MsZQAz{_o^l|iFd`^~DYJsQ&z|DsOK7OcF|6Ag&&!U<MQfrP{nSsG7 zD}bZ<b~MjY1n@~m1-e8hTgIWJ6p%+p4x*+((q|lZS;>?pPzdp=b=fJ)e3`msJHXvT z&mC)!pH+@zpIoOh80C|(%I9y@m!VlGqVy74e<W`SjFu^dGF0!Bb6Q*4?s`|r5rICL zCewZTAhtM^45r`AOGT!>*%(@6e5JK#k6F_8#w_J%z?$cgVI`a^B~wL{+GtnRrEhq6 zR852P$HKKVkjm>kYX(4b5o!W5m2?`LiK-6ca@3DNc(hMBdhu$3Vu~bpXYZ%9axLEl zAv*EvhxaYz9LCW*Y){HjhkXHyUq8aA(L0U&wpR+HAt^pA`Qtq$_i`EAX{%CAP71SE zkI03L0StcA$g|ajbHk2v^!A|^W}6n3816Plo2|5wqLZL?8d#k+kYqA!KGhkSmDU+y zB!~|xsnzD6XME=lmfL_4c$3a~I(5(+CM`jzOK8S>d`F0-Iqkuu)j*8$C`cVI1G}ki z<0F={A<1twmVqU;5g&LWj0~7J->Dtg+Sf_34ZU@B^h|wLY-{_rl2I$;qtda7f!6eA zPfG<6%G=VyV3Kf$x@b&3?qpof?UgIX1mV-8sp^_EMpL)r23yOQJl5aZ(yb2Q0sabw zH-<%-kJ&M3WdK1h!-W(PD94Cw=239#wp_3H>Zb9*V(DMUCEQz=%fewJh1?L0k;bGY z9Tqp@{!Bt)<ebCo-}B8Sp8V#GUrrAm9vOMH6l!~V_Z7b=6YK3nja#5_f~)dCY4H}} z;qG<Qj?azWYPj>_iBo~Qcw610f?)W{XUJ4E!@8YTBDZRtFN)&w9TR6mgXVSZC*HJv z)7}4k>*A%R2W2*<{#X9qO*^ip|CIv#Woq-*TYG07nMwkZCZQ1O>gk=jZf@JJHg-N7 z`hI_FrFZ8wa_#7C-0a!bnl1Sozg2qMuEH1Y$}gJ!_~9>Z9lq;RM=ZVNnfEmA3)g+~ zhUb23^HblN`RhGDzY;@~55M!x|8mO<FTOT?=c?9+zx>+8FWx(H?R7K1dg9*ikKO$C zcfR+%wU1nx|FZm5{>y(mmVfaZf3<wazB_JMT+5#_;Xap))>~t?H1_bKfVCc_m7|X0 zd_!+`gyhEF-aC6JYtEQJnUiC_eC(&vS8ZC{|8v8i%olUl{=@&iW#3gVe(xX7`twhJ z|9|}6^drA|?-jGJ`t@Ib&7YaYH*QKzZtH!8Gs6id|LD)o`rNO*|G%96j_-fu!&jVj z{^eJ_`q%dE+4-9v{n6`RZO?l2g<Jmpm%jAne|ll<zrS$KCvSP~LqFIxyYsMHKIM9g z$A0FnyEdo4^SP@Vg>ZGl6vCeMz4!mM6fX+Df7UNA-tkbf`HTnupz)b|r_3TfYjRJr zXwfa>=Cj`&2|Ksmx@pHFQ$yE1GxwwP<VSml_;g@SdOq>L!d>6)`|F3N;)?@eL)wEs zx@c?-V_ek9V){v-(M{d*>@DAW<gj}mKJIt7o_<mOo2#$Atj$bhTg{fa|66jzUoO6L zQ`$3qxV_2@esQSp*upda!uWLEH}Ai&f9ns?$v4-2`|p40tP@{c{iPRPyyDU;-gDKq zA8)&A<Arbhjbnb0eD9;He)1b{eft~UyY#~!89Vm(w|?N#vnJnu^i}@L8}g-p`@-Un z<V$B4?n(4RG3eyZeB;SoiTn+Bgx}h>NIp23u3mkF>1{4y=3?y9zMcI3mlofW<~Aia z{+zvK@!(<CKJ(GDp1tT7w_f^Ze{tIP-~NT>*FL)aBhPGn|H|(z-Q0V_HohqFh49`N z_N{y459Y4>)9=3d(*9rh`xidhTfFGVhra!5pML7w9~$2Hhd+PTM>hQ63;%Z77q?#Z zPw#!;$B+DQ@AeN}@!;1#zvPy!OM8p`pSkO{&FPJIe5_Gu_RvqlzKP!Zzb&~(KJk@j zPQS?h&()v$O4#s7&z^^GoRUAiNLJU5+uZ!%r1|~JxBY7K*>5LH9!{I(+3L+}+iTk^ zS~M;8e0Fx2cK3dB4w>RJV9RGWzRZ?t^~+H)3d=rD0Aas*2a?ZB{vzWEC(<aQrgnsE zxm9|M%7HWH&d5Li&_lC3+dVx;9hG0pp1H#3zk($r+db!=$2RL8{POu9&&@saqe*#e z_hXN7v;|w!_q}j8z%JozmvfX^`7uI0m$~uEAiW=0kx>SA)E}kt=}C+1iGRJd99^W{ zi5>EBk35CTq-786WGvRClywKa)HompD@KgpILlEuIjDb*&+sJu)eY?3M{-S19#}uk z>Xv?Xpq>d^%F7y3VJ_QF+ppVdiIB+|tu*R_EEQ(*`RHSZzSdFN6y3*O!+NTu(OsQr z6~<)brxlsO0-e;dd}QXR8I5Cyt?EQcBYCEiOI0U?RVSPk5_Pg~zfNYOPA-y}lID$0 z*e$Hv!JjXccHXmZ-`>(!etge8cm7F7CmUvVXF5SQSfb8hRn|TAP9^AjpI$p~fKITn zTG`PFvUGKF*kSCpM<<;8Gq+YZBV$K!fKJ%&Ej7+=TFEp#i7n$=)yayL@+|v~RVVDm zSDmcjNW+y_(@k#pm9H+zbaI-Wi}F&PsBU8Apc7pFGo7G0HG}|@>EywYeLdBig#$fH zXdJZG8-M?iPUdQ4tCW$w>SRSnC)s}gbG|QCkxMTfIF7yP8RL#l=AurpKAFqU=JSO@ z&qb4*irK^Z;qzN`2RAv_ber|QeNQ~`CqI@IcLsE_kA5MUPM!xcIcH`T>ZIo{Vm|S* z??9cf3qI4y>By4PZS(XKDXe5ec2_44eGenXg*w5?0!;1n(@DElR-CRO8q9``Qf5c} z+~J2WVn_EJ`^4!!Q73CR{>oQ7{p8&*QVA<|%iJ#d30ZXdNvsAgj0LJ2{uk;*F`)T- zaOCO2W4toU)$XAVK}W38Q|A%!@?+OrIn&9^%u$gs?WHqz(LR7oCxrq!$ud?YD{txV z=;Vxi{-Nief8m9TE{bDU!q~NCb{3KqD{7cu&piF~)3e)uT;1@@uC7k7iDB%TnaRd3 zj6QU~(mdo?Lw4ano%BRo8XddT7O~q;q`<!T`LT=B7S|r26GWxwtUR4F&F0pwSaJI4 zgy<(6Q(M-4fle09RX3oM?%378a$YAZUObc3XHvT_(FukO6yxQ_E~=)Zlgu75UB%rO zt3Z*=)-O*u^%{1fwtq%#^mc#?PVAk8J2ruNJ;#ywwBn*|p0UiUgr%x>jSd?)@YoVM zyRKvJSk3GfvE3WK0Pch1neAXF-?Re1o0K0<SZM1b40|dEuC9hzTy*G>j~h>bFb_C{ z@DY2uwAe^q9(l;{M3*MIHGPo~Z6~o~jCsES8M-`)%IMc2vzD=2C!IX4mPONd>>zpe z5bYX8?HQfTKZu<p{8`2~hi-B<z2DV_D@w2YQfpQ*1|su_p5N#fp3m4VD|qC7wY~D} z*-OR_dt%kQi6Fh`IgG;}c<RRWWj!mfPtOkgP><~5u1e2gTolh`j5dK^Ykf%Rif@~` zl5G!WU2`g)O}L(ipJSFY4?T8`*b1)04sp!(Rk3&c(9zgCs_ouMY6FNZVM4)+xG~?q z%J_87g*5B*Aqn|2OYuJ|>$3A1u_?^#9kY1sDLedl-c?pwftE8O%iL(P3=YwDQfV{> z_Yxe=V~lo;*c(PW#~9Z5F8%wX{iFR<H#~p7V`djQpMG7mYfPi9CATFvzQ4Tb66_S^ z%G#!j4-@Plo12!!vXd^_c2Wk)GW5$I+cfxEyKCF}p6onEEnAO#eg8R)nJ`?tuj#so z&e+ur#&M?``04KCq>go$T%tpeQmvSK--bE)ldJX2LkxQ6UTEi5l*=!)j`-c&al5Mn zJLhC4=LOEZgyG)ZjC=9}I`n}srzgj$^;`!qD8!mh$(EcpkL!=im0Fx<K^}*dkH)Rt z9Y2=A;mMeK7cyHRmKK)t<}PAnJ6iTA88$xHZmn3s42fM-KhrKJ&#LX>imL605(^^i zwZNAyx@aZ%-08d5?q18fjJaOdIQg}Rqm0xrsRL!&$bSZ+*b5TQ7dyips!lFEbGIa_ zlkB|gV~$as+!<6Sljx+-o?E$cWw~|H_b7Pvo}F{aSMFIcxo`J^jH8!%P5!98`q`J@ z2ehaQbTWxfbWJD8xAxPjPU4&r3(?id-0sW<a>K?#zs~SF*FXJqzg3s~z9Zk6+t<~J zo|3H;%(^qo?;0<YR$Xl6c4ODL5}klo%|@L-x-#m1p-$%O6uv(T_)qF&zMpht*j;+f zoP6cCXlK;rz`zSTb5dLT!aZhlZa}IWF3*C$KQl-?K<K>`XKwFH`-wi;(lq-)`-#G_ ze?O1wFKN51(NAJi<wVF>IcvKF{RN(SA&=UeGTwLk2@jOD%vb@d?VR3u@Sz0|N0D0l zNxxV<E0cKX*YyzJThV^Qd4e_8%%{hGlHH#j+)pOyC;heg>`8lwfEWkB|E*54_0}=R zs7}7LMRhXCsg;GDIixG!v+BXzz>4ZUxdhwnpVm*Fee%aI=_h(4bbwB@pTtF?xqQF) z^Zn!?on*~*#mYke;fHI##lEqpr>m1aa`XlWGM)7IA9oz*#IHpgN1d*{1^EXo-@>^F zE8*R1`KFBQ#0q<XPGUb{T?fqTB&$<QU@@INbe){9FMwzO(asPzz7PVFuVnac{P~*K z%g~uPbZh!7{!r<Z`ezERwzQjfzA^#pg=Nv4taRfKHQ)2|KBmk2tS<J~jdIw}ugf>T z>SvSh@vg2JtmExqbVcgw%4<6KZv62sqF+L1eT)E~#UCnNr2bi6(!SffdB4U*Vb<+0 zi_@Ie9nZ5{b@g&6aJ+L$w(h6%i(KHFx!pQjkZ+Hs@5V=}|NO=8PipZ7miy7cGY_da z{7%L?7^P^(_6a@D=?NNrO=&vuiU0@}GA9OK5+AW#eAn}`?n`2e$6ksomY*Ym%BHSL zl=6z!kxhtU$JMf6w(9AWN^H~Z=JiUoBcf8Mi~-m3CZUb1I4{ntV2+SN;72|l2k?5~ zqq!7%LZf^{uwh+%h>^2Rsq*H52nQu74~h4oLul|RQscPhcwv+IG?e+);EXCwPgO<A z(0G9C^{N+x-z(xE@UiB*NE2Bj`~u*;|9r^!==Htx7(8xaV84GpmEVtJ3IJV{r{Jk* z-jywkR7@xAp%SAZL~^{$A!TOkc+ljj1&%)my}sS)0>gp+cZ0@jR@R_8=oIa}Rh0TG z@jvrtu^_$orrsHgqzt;Q)Lt)0gzNZ;gac|&F%g$}_FpMZe6>mOeTNAc%_gJ4C)4<~ zfhnza8ykIi7J$+%0LYZOdtDH7=w5CujXyjfwq~lJuNX77nICClzFo;pM%JOtUZcx& zE0yW#`55#DN4@xXRbLmn`E*^yhYoR<hM<ZNI!t1XgxH)x0U>kr6~5A?S#pi(cD;G- zPFoNV_?6Vdl_F%`817uU7$PHd-A?e8IrG_flBtw01F@c729LPrYBb?AE)sE3o{?{l ziFkszF%~Z{&I?m&T)m+~s{RaYjPY7_y!(*^vVoZ=0&FVMhz?8VwQUILx>cbO>Q^L* z)Y+e<1{MN?8h<r@K~q>cFUESL1_4ayBP3pdR-DJ>rwXo$4rs9edPx)BZdV99<wDa; zjn_Bj`VwBZ3B(wkVw7jG*x<^p?@r+fC`2mU#E2H)r-6$Ru<d}Mh$QV?V$#D;kQ03o z{u43iq9l^kNih+)n3~j`W>^;$q6=LCVgbNA5}MeC9qq8)Fci?&K?F^kLJ=sEHW_wU z$mLL{1sM&z6c<Zs?|v<Er=k*QAm^!=sNgDc2JFsJkMoKtHY1g)`OZ$fW^oYYW?@eK zOV(#6NQeLO;9zyRS1u?VH+wW#&oM?yk|RwOB=7cX)+HJZ7Q$3=6#{ld`lzv-Zd`#~ z;x&&9bTDQ&K+I(bzvkwx4LJKDlCzzQf@-{Yy=L=ThsgM%<AEYSMd041%#yMvRBUr` zG^*K{geocOkfBikz5@8nuu`{-C0H>Qc}`f933IVh<VayttTaa=y-OTDgfHyl9&g<> zK3VM{m@Q&mg`idf#bui%#QcKJ%1EN(lB)`?F+ekL3DRte%|SuYQGF7Mx{2vX#<C9C zHBm&>sw%TC13|k~n)H&I^z;nSQVMo^ZU_T70&ORp@F9j=<7Pu*p~=sP$wNtkBA=bU zjI2ZCDzZIpJE!2h?H`T%n_SEcYL!dXqhK=!kCuX9nt;;WsqgvNC{HBFWiLFZAPDqF zb=FJMBM#Gz?m$+mQE^<yNX~9yJZ!Wa8-*=fx8Te+oR|fd0FmTm^jzHsJ0`BK_Bx|s zOvdWg+cfW;7+(!d>X5^>f+WjIF3E#)BWpCS&3QP%d2(e5Xq}<Gz&<$gl`(n~xDt{m z5^(JK!--F0J?C1C4;Y^~=h*#OB9hi)xMW~l$JI)xvyHOos#4J*4H`BQl4nMc)*HzL zUQ-Evl#27Ysez>ELK;Rz8gG$zd#R`nypps16jMsvcy)>rKOLegF>{aP(MOsj(TG4Q z1@@1~lxPX(QHllzKPR3Uf&?M)iqNZrQBs_CD7rQ56Ynl|KG0@Rfa4&<7NQ0RD_<`< z$azP=Zbp%M5i!W=Rz0+0d2xVxTIQ4vX>Lt6Njt{_2zNnc6vOyR!nsT&U1df~*9bN^ zk_$N$$q}Q{D=y@(6}IcauQ;vSizFdyr`IVL>J)Ohw3RUnBx|_}M@e#}Z90<p8x<vo z#biR)3^ANt;89u-)O1=&s+$aWn2#s!+)bEff?~sTEW3KM7G7pVP%;I^HF5j^n0Fx= zRo?<cm%K|5U9VTC7a&uX9QkFP(1K!g5U9}Pwe1m4`&2jCLW~O3QvTYf5#ICfHM@{l zW}*metKe~$q!T`+=coW7QB7%1404i|G{6pC(i5vIyeNZGsz<*aKy%edN80G&av&r& zDE)yDF{E;(v4EgDvuO?t5nMvz@YA44h=)R&v_JzBcLT9)F;0;KtgvIIh|IE_K#BJ6 zy^}LNrFGtUy7e?S5R%k`QihnaPaui;lU!yai!5^uBa0+laC86x9KR9u#MD0e=99+| zmlWxQTp24b*Pw=EoFv}C!8^SQ1gWjdd(^5?o3G}?iML&555&b$Jx~W8a&9yoEq%Sw z);lu75@os}y`!Wlj#1iF#&Y%KHc8wGDUn5zDCorD5FmXECsbNIWL0IbY%_%Nr;=%Y zeBnMSUjsUnMiqmnLCd;C8+Fk*{hqxJ4UX3`kt)b25s(4UxZp&}P2$DK@o`PRcs&dh zCM21FE$`rrXMSS`L79+YFwK_V%z@)mp5iMp14)r7(CZd@O@z^zlL4<j!0{W;nrF;C zi8*+%F^bSQNdr|%m}=|b<yapB4M`AwFp!y#Ddh-8jZ+|Zvq?+)iL_$z5M>Gr7f#k# zpLj1pE+acqm5T$7)kfvNSjN|RM-MsjlP9MfgupQyq4&s&zl;vjL<=F=#_so9$NbPP z=A$)9K^Ci>uw-)w8&_$NEGO+4IXZj;GDUU>Als-=hXAZu&^Lpe?*ZFck7+M(wxlOq z7vpdiq%ZC!R2pH13KSqX?Bq%?i(A-eUTwz~Jh~^#3?ELE(9m{7HZATEAWEHKk{@qq zmF0uB(M3C`9uq=wR=hxMR7OXV33>t)c)VV<l|)e72);25IR}0$i_&;%DN($RN}19% zu;eDaMsQvk+4QqI`-;3NCW!-?vw{S2jA&QTA;+^{RhdW;i=m!f37?%Mi}dZmj}1tL ztj>mkK?WTa(-widMyJxW=R_o6^&cb|6f=T7m~-h313qvdReEjBBJ*aW-Jn<}ztmMo z$X9GviIqf;SK(S*XKDM0!@(b;@J@|ANyCY=j-Y44FG>x?0aYpq7>R1^6kekhr~?#_ zr@_zK68Y#zR+Ps23wrPfr-q?}G#$M!8KMbHh#zt{r8#e<q~&piw3K%x^4F%CG3|DF zqJbn<on+SxrB@mh5|A_X=wm|d4H;zmk>1Z^Q^8aQ%u*&bB9b_%`b0c`m^QmwsEtl& z7ZpW%S|QWQxR$NPPl?3FIE@!KHO#@#l4D&UwUOZwax^!&gp|4_AFf}_sdR4*=V8gg z7$Sx%LY9*R!AgUkx^hyos9OO)Tsjadllj1plgpBbBuy=gXP~OdGM6UK5p#8HFa~ZC zq}9igBr$9afhjG*$~$G87l!)U{vE-mrOF7aFa!yt<g+{lnOJ5?c{z8CR`wKTS=#Lh zdnl-xsvy%W#dejR*s(_vAL1C^b|hD!J3o5E7)=gDhKi2HMPNyUJmNF}Wpve_LE$uV zsLb+>iwXUn6Uu8-&fYTJwd!cNZAKry3Q}{Yy0Ma0(U{~NydBBOlhZ6|t9i`~KUW5v zGi7OGc;p5RU0oeF&Y3e4h|`nnN7HeRHIKSN8>{bJ>(%!imQTt)uPx2CjVz)^xpa?= z-V~(Sm-yTeo3(-?uTsn}@8G$4zF_1$Moq>WYS~O~vH5ZJ@n1)aLba+!S4=hrWEWf* zfnSB&IXbh;ZW^T6&_)@FJA549^(|8)04pC>LX|E9bx{||<8LAQXW`G^M?nMSc3KdY zwo4G#Yq_gZC)4bo-mL;05(K4U_z4C!Lv(sE9)!D(tIqPUq-CWx5EL>aTgNR5e$P1W z(yEW$jSAKHcu~)P2wHiu0wbj#jZUeA2xAd#>4{k(Vz3<yv$jK0@>M8S7%}<yP!sX! zSaJMz8ZjP}Bn=t!H06d04S7VPWhueW8Vb)cS5g*M4%Ak!^l+~cH8JMYifp;gc(HRH za>mLJ<pxc#)cg2(ET*ZxKCA;ULHBS+D-^~-NIUep2j?(3f%187`enona<8AGabrKI z+C5azlkOD)Kb<Sa@X${P!3sZFD$xA7@C#g7tl44`2q8?&N-q=q=D|al+MW&*r3yiq z^#D(S=IMTRo}>Q1$V=-{sHHZ|%EkO7mpDqH!>dl_uLLq?v82?lP$o*^xOnX+ogU|v z3M7s`KnP^YTtYt~Qy>X3*Yv>piBUiGQfDpZG`0?_<+0xACpXFoHAQ;8bgIy4&Oou` zW2e;KNV{}0G>|nx>@A!FsSPKQQxT`7l0uiZ;_Ta^W(ah<o|68|Ah$^yYZQ_3hUS3q zLAj5)V6hXOp3=-2y0WU$nEw#!%1+naZx~7-Mk~6UW69Q3UK6o2Tqv&g!U$NyvO;0u z8%SFmlD;M>W0tQV-!3!FQ9P6EdKXb%`%MSt*-^tFz4tr>8XK9MG*FRHubT7z@_L;* zfG!;*y2$LpJi{*H|K3fq*W%Qn4l`Ps6FV|0?*)0kqO7P_=-~&PSkyAt2ry((AO$V4 zQe;=k>@zxt^^4AAoqS(Wc~LR!oPLsLR5=gH5N9j^Oy*p<uZ`n>!4c3#1Juckg&TA; zGeHqC!<c#6A#qv?e-K@#FaKw2M|1lBgGJ{ph>cBnO(tVRcPizT)r}*m{wRx9#hS;p z%x!}7mad`*b-@^=<GVg}twWvdBV88#3X1JWk*H#fZ?o2Q^gM58W_Y4@0dtC{lTzml zh>q4Uz@ZVr%Nyv;BbLr;CGbF?;<V#xg{O3D2a5r{z=qq9s<tQSi1xY)^HAY`1p<=) zp~1jgrK~eND{iLP(b%v&nV!gu$>5tBe)@-?hX~V5qTDU8XrK%RR*~oNCuq_56ciOe zIpEiZpBA}N@s4j&u}UXj>Tpmlu8FA{rP8_Vl|Z>mY^dF#ilmd1<mN_WQ|Qx-6<pA3 z1xeV7dqInQ8CMk$+f?}GS1fFRnFDFg=8m}6vvt{c;r=c^ZYKD8KrDlF5<>Pfgx;H@ zj9PDAP8}ZU8K=Pzm~<trm0qs_o#$U!<xsl7@R9d&uC!IhmkdqG1j`iXnI}~_JU!|Z zT0+787rqBGC`m#cj`-zH8$uk@t!-)khXT$`hm#%iwDCLSY&m1>&F@)%(%jt9|J{7} zOJXXOCOXlK9ew2FV!3^vubk=L*LeE#r$G0}%=STZ!R6^`gOx1>+bk5)^v<%Algs6@ zIs3j-7oUIj`JXREx~+@PKKHz|=X{?Q3R`U2cPOI0LYhT`QZ5J9udafOXZ>c+<cZtg zv;OB!oICBqmG`bmkA08fGbCiMA0s(5xRdRRWybYjDo4NW-IeoCyz4#dk3Vs4<zH6L zFQmu5sZy|M0fy<F=%ieB#Y*MAGYh}ySDyH$_x!ix=jIk~s9g1dzTUT13Y<7!u!WBw zTCS{APzQBb^c8=Xob9g|I`hIcN6*cj^v=o^AJG@P&BHARdfGx6(FV%p>H8}3k--)B zz5hG!TeIev*FI8wqVh!9zUf^REo-0Ma(J6^ob1HiceejXVR7ZWcU*AcnkBD&q;UTD zs`IQlpZ=u9IQ)?7<xtBZvUn#=A@D0#D*hv9zW(fAT|?eOk8FIyX_aHg?CUOM06<9c zKUAL6?oKJ5T)y+ZivPf|m-zG7Jilhm(T{9=^L75b-S%}?_$^w~X<>`%Zp4*cr84_# z{l8ebYRwgECXbn$E1co4y3*#)H&7`Yaz8m#`R3`qiZA<pRlYjJuM?gp$UJx8WWPIb zKiBbV+#QPke*i<D`+vYRveY7W;j5UlE(~|^UAJ3i9nuxD!oIR1vr+v1r~dID|MC9& z@BjAw5AFRfpHBotp8Nh&_kRoDLk~Up(AOYRI>?~=+TQ0L`u;rKkG}uZcfTL$9(wSV z&~?l3vvZg1*)QY$4?cHbS-$(!0vQiA&qL*RsC0*#Z@$7ro%A~0AIuZYl5wO=><>C_ zS<f|Lj^=qZPQnsooUWhPo8$gsUUO!2oO5!b(y_FP>E+&z+mqpm&+tiyZYm4+I^_%h ztGVt6&Cd~hiEB^J@b-5OjJJQo(~rmUUenmW$z06Hf5EHF@jMgGA2~TcPINN#r|R+^ zbs)cr1X}iS+O(dB64UeDW?d5i`3je$_fIqYg7Z`=Uh)6lp&!Ux`*4T<HMlAH{9y;* zjsLy8Pc_}2XRb}Mc=$%SmX2iMp(Ad0j*LxuyQ@dH=_T+YVK=UZw=^eQOG1$5ghcn6 z^{ZOoU*J3w=){8$F`h3967I{=CBBpX+@^P+V>sZcXJK<}C%$`L3J=3Fus(CMc!`@F z6w{%9Fmsa!4vbG8;GM_^Z+A-B^XTpR5((KUo4OBzPot~&aP{sOAHqKqAHeImC4jn+ ziTNyIDuOd#h!5R!RC*rB=r{*uj51536E=EY3Xts1@=3l<{I#Uhb59ihInsy5J8mDN z&O&4T?M~~?{B0H=`Ko-Kb6MmYF;%>%JVAWC2Cf~jPK5vX>!4)+4Ti=5Zb5w0L{z+3 z^@r#U>fIkjkBVrV%wx0Q{MF6-7RE$cKOZHcejl$(@6)^6P8`cm{vuw;p)THOBL(;@ zXO*iLZ@`I9eM@Qmu7cNfYlK2I^5k2axSIWJuZhA#&%HU1nU&UYiQuEhk~pO%9QP}3 z0z$oSF-qXQfyBwI`ejsRy)M!%K_3*8VR6*wq7$*1Ph-h*9=swFf+`pRz2amc6_<d% zO-pb^wHHAXWWZasNF6as*aN;d#wia46R+NM!#;)=UZC&MG@4(m>3LVFvUFUJ`TBe! z#py2-B%^R0oEP<JToeug5|oxvsx%B^83FNlJgGf<b^JLV0C!lgqOHa6V-8LOo_DSU zJzf%MDFM&~t5s!0RrR=tjTghq;&M0WyPoJ~p=^cFjnI4HE+)EU($|e#kZQj-7TRUu zX&JUL9D~T!ad|4ZAfU+k7(CNms)OBd5W*Z3CfFqzx|%?zp&vk%n-67mm1Uf1cRnga zALVuPC;}<8FT-*+RdUbopvZBM`U(G7Z;=2RneCQUpC!lyzfnamfOdzry#S|PrGFW4 zLFFtFqrXfNtx(qq#bm(~LiYziR`!LZ%%YR%#B}rY?j^n~wFj^C)MiAGN?p4@^}KI* zuT~JZw_N98J~mdLzLayFI7flm4kY$^I!Tfx3eEY1cx<)@E~1<}F{X@N3R~rFZOz|j zId0b-b>O&=QuC#c#OzACqsM5kvI%I%Pv8*2tGHUC>`Jnri9tHW;nT-aE~A)l0wG3b zNn(`m_9HL7B&J-nnmod){|(}TGfvteNPww=J`f5SKO7A^byRFp#RpN6XirQX7yT(X zeux&jwHm~W4U`SM4f@b51LxHxbo37r7f@cJ#d4u87X%`LYj}0BT3p;v*92v4a5Rr` z*iYb7f8YjsM7_MF<GWVGjL3j2H^#4WV3~O`O8jM>tk_=XD6(S|Vvs@u-nomV3A;8@ z^I}<E2Ue}|i`fc>XE4PUMIxgj4cw?4@ome+JOqy0nCW5U!-H)ps!stHd>*}+fa2$~ z7FtL|o|GmVV*2>=iB(GH!FoQl%O^MWOOU-h5mEs}d+qo*FaC?Gwe2xY4A_B$J&?X= z2mMfmllBtM{L8IY*_4?4{eTxkh~$yEqD9^)nuYpw<Of)**YxY{sm$tRuA5eXz;8B| z?67A@=L4y0NpV6#@w1}4)0D)t<@(`ikv&PM(VJVoW||>Lb0lmIs`a;2k4#b@24r@% z@=e0E#o!^B%Fd9WWPO8PD$0sK$|Jne<0TEWIw@TfEZJZuL5FL~Z4C8~>#nw8zTrl> zkZLM~5F6a61b>aNQIq-=TZ5bO9D_)gwJf1|SA)pTAu|?~W~rBv&fb-w)U&y$N`lA| zu8F{Pb=;<sP*eIo(~%#J&fHA~UZnH2ccnzaQ<FM2KpV#%4_b=uw=|x;B&xj#IX0Vn z+G~<DxGJhjn?uh8f(C`==E>L?4`Q4=qK<44zv7WWs}DM=%;GHjC|=9wdj(qdK-$Xa zFs^M^OBM`0Y{u48c#Y9Wd7`9GLfba*$-bL(H&Mlw5H(HeVOmyu$&cEpl4V2UWyiyi z7H9O+y6n)WHYbWT?}xSxQGa1v`^6GA<8Z`4Dx+x3kE4xk(_{kggk(uEO!c*8B=vrZ z8$6VO$V2*0S0tq4ltU;-O{g(Y4kU=|=e2Zt;s-<t5C7Sugxup2M!=1VA)YVWmDrQR z<Lh2n>#*wLCNrBj(rRrXS5h4dQ!w`lOOa7?adLb@f~lp+s7Xr0$@Np3k1^Xr)05si znvu38g_h(wPN%Pr+5$Y3K+ye42=A-nKo$+`K#;$70))WPX4n6t7*wIS-7BJv;_+^2 z@f^N7O^IqF(_uIbgC!XZ`M$K(<ojf)ZuNnK<2`y}ZTc=75G9l<W*k<OEeU-8xGqMf z1mZC58f>p_`)lsVGXk*hF6U@6Cl;%Hymf*qpd>9xn&jwIo<D7{Dxe3uG^Cb~cjN0# zPy{)rJZdICFsh|%1L+wo9buv(Sg&yegI4}LFj#o_cB>8iv<puAhc-`oM@DS}O+aUs z2@vea4BS+?RO<@5wzZ@n9~vmUER8J$IGEs?#0P#f;|4K<hy;mOjDkj6^=NXk<i86M z9c7S_NXNFZP8?BA<x@TZoU7OLDm_Kxk$Dy&wY?YRylG))4ZVDdLPi}!r(ASk<f%m* z;A4@%5fR(RwM-RM5Ives0RJ4%qIFU^+`=jfNJ6yJqiKft4aDCphu2z0PBGCOA*hFq zaWKM5N|(mn6<MArI4iuc(?XElamhrBS3}}hw1^CHqG-splqpGc$dQuC>DDXuK{?89 z9Sv1ZBK7799|-e&!jtM(-fiAE*amHw^t^aDiE?UkU!*6FhNui}0zx~>AiyqIF4P>U zLck$ot&-rCB<Mxq$nOMedYq@NLlc6w5l6MrF}MIh6ZP@~WsEuuohGchyn@fuK%mfu z=^a^wiYLEFq*+eE(MXS5wT{N4Ip_6kr54mtn5ke$(-;luaw)k+aC|eq1;0^^XRlYE zGqB7PXy69wxH?kJk*6|)J$0$*;<%qpJpR!!O<?g!RcN~|Xhml|030Xzkj#bze*|*^ zV#H1<o+iM!U_9y7Iz*e^L{iJ8VS*+=6zwLADC<Ph5$rU2Xn~$-RP5BixN<Zz)Dp>y zsBx@WW6+|_H8q8fj0kLqg|pIB6BY!sup*AAQHW@Vu}vmbMuc7MVn7j|&#k)QebbIC zu~L|54#;APjX@BV(&Jz?%{*)@%Z@lIiX{&o^_k)~tfyu@-{u*YS%i1AlridJLVL=l z5WdK19rT`S?bVlIBYP?fqKRA>u(>U)nuj>(qWGqg{SC9FWRfvnd5xAHkhan7F0ZGK zSlp<zp0heAv9Xu;j-$UdQl(6kCe?DeKBWT!|AF2}S}Bc9)4AwIa!uffU5!??xnA`J z)p2tWGH62|4{p*>l7i8&Bxd`xq}z8;5MK%mTFNBkLo+`S80yMxz9&uxWh0{I<K^r$ z+niP=?MRe^-Nf{mrG522YJruSGSwb}IH4um({FfK()JwP9ALB64Wiwk>8C<uF!-e6 zoyw=bo^;WvI1q<ZaM=VI7+K{LVIWPm7b`ndX6_rN8b&{EmFA1B?G#Z*?y1ss4TL9A z!6v4NU{ZYby#y<Z^t7<XyM4JBmeGdFo6E|-IGFY^PP-l?rE8W#EsVRkx@T`!kGONC z5nxyO=CUbeN*?Ld2tJl8Bxq>&r?T30UvXl=Q+`0(n#qy3lt?k^ba=~dm>~C{oT`GI zp-#IW8gd0XP|<2#wE3KFnwAo8PLZ7ak6X2UugRU_pYTsQc}qo>OEI^`)<Qd79$fp= zJYFenl0|KqZFyhG$vKS>+Kn2F$f{`rSN;Jf#^cQP&{`uP0WmtrBNHQ_cI$<}_5oX& zVy0550wuQo*gBmK&5n5QX@%C2NJit8frIzb7zN2q!8e-58{%B+)0J|ldu3an7@!eM z$Q|UX95weMOH1`l2xc@P@k46jay^UrZ6FgFWu2foaF<5&Y9vd722_|hc4?F7MJ-`n z(Hkat!`&4197xij$5OCj#d(LUPOkPMx6aDDJUOUwe-d=Y3A3p-f{CPfB=Ieo_Bw$j zN#H!T>1m;bs&)$8&KxDp<Y-RSpdT^mQ5%DeItk38l>HRGSXgv!XxKiE(@K(wqWQ0g zxQQm<q|hqwK#rIVB~H<`cw)vgN9s^2Kn-X&qYyL-R{PpC=E2fA8r(CU&K%EvUx$uq zpm73V)EcPgJs)T;C1<<$=YBX`;e;liJNJ05knCDwq<STLl<$&Rsh+GB7<4|mPjOK` zX$|x+yxAIG-%na&oYpM7g4ka1a-E&RQfrZp8;lisx<-kTOL)L%GegrfrykDNLRUf- z+W9i$rR`lr1EUx{%%ZnSLfvaU@ncWDG+P0oiU}(QDj~O+tA{K9u-0GnX$T1M->cgp zz!z~KLX(RHKkQEx>TS$X)HTC3=^ZYbMx6|8^Qn4D8{wPA#?-H{F4UU4gnfR4x?I_^ zxMXPtO_L6u!e{0f3e~t%8;!yQ9-`~wTtZW7kwc3aI;GR%W!g71OfokKv)57*1b!+c zXGrSML*P6f*c(3`+Yp<itx1z+AUWUeB|3I>?NiiF&UsxHDGRm?I@5r|G7L}Q?b3Qm zMHUMU@ia$kgT3Js!#0qP!n0-k$w4BfNnym#&U)n$nx_qOJ72h%+R@@*LNblR-GWOe ztW5YM^<?Ws>R!LqEkje9Ib~}`LP;=Bh@y}x0)j*c0m48|gaUu_&<%JwvwUsKVOfH( zPNZrpTvH~k-M-cnX4e=^;`l(H=ipFX>teBk=+4x^pGy*bu#gr|#nB1pc3Q;HHKr82 z3Zz2&q#$}fN7W}FwU%J2({_ZYAtsQAvLM%(UZleI-J+9mE5Qj5PB>O=e6R%us2W)> zyR6~ue3^K074c^qo)IKy+l3IkQ1OU49E9g1%bU8W<>P>+$H^z!BivIml(V}VETq(r z6H|3&8`PrJz>sA8ZL!6SGoH4@D>sTIlCL@j2THAUM%R;CYYaMa{E)0}st15`f)i8t zyn01Ef50>`ASN_uDy)kl8uk%9NBhw|ri#@8o;Z{?^)k7P$Vjm#y__dDGzR*^kag*f z36Az~Vw>ENjwCrr7E?jGSKZN^IDgY#uXkHhsS7d+V|IVihv*_gJ{T{6s_2>o=?8^T z#>?iIz0)iCL}?Dcf|^kTiBwJw<g<OVfk5e`BynS`g2w`NQ4A6SBb;>!tkx+Z0#Nok zB6wxxVl^=kYUW;CX%^9o)6^zXxt!&eI4419D^Md7WzkeEYB12v^}f+d0xBs^iM(Z$ z6`o==N!3oIEFjZad!+A}?9o*@H<ljiPadV@1JY<Z(kd8_ST@c&GA?B16$|)y?PNS* zV$dTA;E~AjXwEUEX-S+uuc7YsJ;^BbvW#ygo4SJ=UscCaJ58&UT&v%i+?Z_XWBzh( ziqY9omVyi@)3nbZJ;DIYUJA!+(6T8v+jX2}t`a3gYDjz6BGw(MHCi4;$!Mm_dI}<= zw3w!<uA0~#Mh+_sv<AuJatDi0lpB=V!^Tg@*sM_>Y^=DE`UB(=CQq(DJ|ZWDo@V0g z1h*!mO;U!Sk6@LO*$X5U(Dc%x!zwTS5qiuu%LrKnOFJ9HB1L0H+C`axpfpNnq4#?& zhDakI&A1X!8uiOIMvNvttHF85sON(^Cv~233Niqu8>e$g*H4s}3Tna8JZJ;jcxci9 z-#<l=RJ{>c&P03`;u!_^DuM13<uK=_4YHtufOyHsh}R<MR`D(@eWL5@xETKj($rXx z>4Puf5t{<y=tKr!0u-dUO<J5!0Hdx*+I@s7=YqqaQ^jS_ToMt=iJL4%sX8)T<hNgx z4pI@Cr`IF^om54>hUzDTRwa++9#1)18umh?GKx2WU<jH)2#Kos<$y*IGCU)!a83?s zJCH4#XrN;eVg3($?*krJbshSybLPzWXsk#hF*b@Z)|OB}xGsnY1D8;=r3^-)eCZf_ zFy&DsC@+_$ErA4LN)mf(5Y8Y{BZx^TNyETRiX&1?T9PltDGY)3l3W!-3i;CpaJYGC zUXy3kfZN14p7&e(%t*3L(%$#o_kHiX-&@k0{cr8H*WPRIwf8w^ud_qz-3(VAjmA7{ zGbjNB?#e{5gmFfnHY7c{!K~(JQcNipyc2FUk*AJG8FDgNgXA=#Q5P)QlqauRPY;=~ z$;9IlXW?q_8gcVQ;OW&E#Gf;h?DMFG9CzIVMncPhe;CA}^3zLU3WlbNtdamoIRXxk zSrqCgXBdP5cYo-KMi)cTB>5BR`s;QbA8^yMjAz(91L6IHClfR~3OO{QS_Nq$6Zs}} zV0v&-)NfXY+0_%PA3n=SWFq4a3uy`Cz8%(nCd_{I&$f?Bz*#08pAF^W_Xg&_M0;gA zmoj1JRdgH_k}5ugkTu1N&W<{>OJx;%KJxI2@JB-lEv+!3twlT7>C;7?+Bo55VniYG z;t52S+=7x%_;P$B0H#ePGPJfSJ1U-aEA#n^%`qX7G<rRk(~Bmv)R~-hpU@Rt{t9=e z1P-fQqlB}LmWXo$bcO-B@Y+A<lYskf6J_GUs^A_Dzl!}F1D&2w4-bUJay%WHLh!Y5 zCyt?wxx>{q+|CdKTvpp=ss#=+Z*j3GPkpejLv5TT3|u`436@0QI}#AYXu0f%;zZ;a zJk+rP&S?RY9*^ow=_xP@L~GV;EplIQQNLU`(wgpy<)RD3zP+!v>$4Y)yVX6{57^<{ z!?p2f<VRN(D~Q02#{IcC`{c^E=tw=0rSE$p*0A=eHU?A_jYj%>RZK19mZruhqir_6 zKXc<n5-pWzMke650u!9p<#_cG>W#Mac^gDNrTMvpBYGkvA`$sc?i+UfI5TYi9v%%F zD35E?jo)3cBo|G#^-`IPBKh^mY&SKB22o|(GJ(3%77M3QJO<`Yo7DL}H=M~EPS)v% z@=ek=N#lhX9Xf6!my+1wXUD}B@Oywnl<mvON;?|Vh_NowUBm8{oU9vfG1tZu#kQ`{ z%6)y6vT>{rSp&X1HJOuVc1(wpx4Vg@FWy<Me6n(DY9ftnxV=+Zphb);^A}F;tr9V@ zZQE_`;cUF)){Ho)FwH&llXD(>X!}E-Kl{*4=bU={$!l)9>8eZLe#Wn@c<j*YUpw^p zzut84pB_8-%I~fG;ZOFz{txlx*W7&du7~D0&!qd;SD*XA_>{KGr?2^g%TK&<`1!U~ ztG8S}blJtLy2DlJ6P{nbv)s40wg2)9ve{QMH-6~d(K~|HhiW$ufBgE2JoT+x<%Noy zs%GPrtQ;Q6#({1?UUtnbIt$TKLAh05CImnG^fP-m{9*AAzx;^<51)C#=U1-E|LFV` zk6to<&qjOA^&>Y9ocq$2<@ZkZ<^S*pU%tKn+n;~&pT72)+}}LWGO=Ut4mVNVUcO^_ zc-dD6>~mlG^2{|`uKD13OFpvYp4Hzv<>Z&o-TIjmckI5Tz4H@ojK}f~VfNWg{dWDc zuT-92X9{UQPRf%~`pk(pUbgC`-x=Qfyh!c#Q?5S!^{JN9Ee~A&^0%&TnVDPjsSmex zwO!o*`5*jfYVUOay3(HG^Ur*Hd+t1yNf5bU>F<1bs*;H(Qn%bvW(AOH>aLn|E_B-_ z_wHr7naE_2$&|QCT8QTtwf(Gb=COY~<*!yBylKs{%hyEjfA<G3efw4K82iZw4}I^w z^{tN{s(<EZ&u_kL%RhhQ(9G1u@B77;-RJ!4W%qo$`!_mQUA6I)m6=r^JmcJJ<+l&D zoqI;{;&U%twQA||RqNmO{PN1SHG8A@@^@aE{bA<D_m8ak$L0Bl*8O?muKmd5;#=kK z-Q@OaXRa;NqB7x)1TXj2-@-BynQ*9Yyd0TG{q958Zu-Dk*M92L_q;v5>fz&$UG?)T zS6+PD756@K<z+W4FaF+RmmS!)>wAx!_Ueu=Z}0zF<<tMYdXHQ0Zk^aMPTj7^Zo6yw zr)LJf;%xokW#4<_!@u#N_Wbo(bN(r9g_qv=hiiU#`N_B3`N>wvL=!h;v!C4*7dJis z&)H}H)~tK-mAy1hd2-v(%sE>|&VA-LfA=W^*Hh82x$CD|{%hrrzx?a_elqs@wI|<l zJq<9@`d|9~xqQ`KCVZmapL_SFKg->m{ai0L0zf;bchvQJy!EX<+`J^;Wlg@%ia)sc zJ+m5U0$*sYAKWEtb4<bq56sSRP6HoO&el)jqsp^0-{1qy?WI@hb2Ej_`}ZHH2e%5J zdS;G)v0l`V1lRXTvG6-vhuZ6VYF{`YvVZ@fLl1i5Gj;twGoQJY`oOF8@4Z@o?V&fC zWvZK`uU(sbU0A>Qz3k3~^o4Ii>t}%}s3WD1sdcffCzzmVWCq4cj&mDQt;A)9D0ObG zG&jd*Yv<baj|g@{57r0gsAGG_ZW+{#*rgI*=<Yb_q$20Pq|*gxE&^>U|EJB!-wb~o zAi+sbW9n0AZ%?J*76huMr>Y3QMy`%jig-><Awr?PAd@7*$7(_oA<22@2$}FT-u8pL z=GH#^Xh~WR%+-%SUh1>2WwR%h3I${`r>C%}Oj4<8^}x(|e}_!ES;D6{_h1O(UM8FA z4YRX+g;(Zg54F9qON&n0TwAYRe0A6NtZ>Fdlck09#WFc|YH_;yX><;kQJK^`QiJt$ zN!yu^sn=z*5^hh|RVLu2+mT5Lf&4O=tX-Q<pMU<)P+>FeS>L@InG9+E!v4d`Y_zQ& zrlGBM(n-f1hfL;bv&Atz;{<8S*jP%x8sA<j4sMvK7mFghb|o#VOg3cF7$=;K0~KW& zjK!eT6?`dDPh@hCZkNDouMG~i?y9f-(&MFiYj#eChG^T@>h+WK+vs!O;1C{_iPZP( zd9iXp{`0G2V|udAo;~$BmC5YB(gzByn+HoAuAyHapPT(@xU?Y?{Z4sPCeQv>ubzdn z2tfDgj1$I)eqTE4?>HBs_;W_aIN5?<2ctL5K{kkGkT0pz3tH>?jd?veM%wr^4M`U# zGLd>RPB?bt$+{mWuf4W*?XF$tpWpFfVRJi>9V^wx_$>OF|1p`=YiJgg$>tAroG~`m zS>LdsT@T;cuzMdTxukbJ)@<8C>i{y6x^DnH$b=BTe@7-q>#kC%byxe^W!>nm?Ci`b zr#x66RGG}Q4%O$r(N2#+CLG_g8JUz$c(7RX-yLu0F6mX7Y~`e$M0ZuIVc67N+U9(^ z+v~2EU)}f0<G(^C%J!;{>NSBxcWF05?QmpC37M485gn!WGzT;3DNC&~rji^)cLkYt zWYW}KN6RFYnyYv0o~?Jh$XDtaPgyk47`p4sGk>-2D(QHUwR{^Nt~k-9bSYi5y|Yt? z@64{jQb&O?lIB*n(ck&3yw_bLJc7$%4TsChPoe^6dKi65sJD3l=a&3cwh;d<?pLyf ztamkicrsrxQ4elsMbmi_^%cb*<~$Rgba2*(f4#k~Nk`8H(f9(dfEx6<zlJ_%g9N#r zNc{@r*S%XKN~aN4SHl{=ZjtNLp%1S@ehvT!NBzl}EFxDWXSYOGN!N|6pqJ~}F4@WK zx~4nK!x~-f8q@Js?nI*BHmAK+`g#0jdL$T#WIboGw0*W!%S`H&@D#mkGXE#s&OXy9 zd!FYjl5<Yx&w6R*qpj}NHqtgzx8Tdw+W9*A^HJb0(z@ZU`yl6@P@z!I_~^R6rNABk zZ);c@XNGWY$&G7T!*%NF`6bsqt>>DwgxqLds(3fzYPyJ?U&8q*>;WNu`PJm_kK%P# z%Qj~J<m{0(jdM>l-xc6_{0ir$_zw<dG{{|3CgG=<j?0-M;f>JI{G4Gje}0PMH|Wrx zR(?p!B&v|(>=jMBt}=0B*CY8n?pe6YmG_ljJ;S?Ej4K)B$Qdt{ZORL;kzKOhk(|@~ zAF$KkUDevVO6#<en<XtvdA-iMOIAi4_$fXgJi8TIr|UTDg>z1JG|zfzwuQ%U4VPbM zGoveUsmrBGzsbAi(=%mm9MJPpqEDb!7`Du?GqY$t4~(}(&^&XgF~0mb(_{?;s*}}s z{`rHaGEXprGG8!<Nk^g3+S<P7I06TE*T2B|A|7UE2jzSz7VDfmSFeW`J(_FZ)sgB* z9US=1cOHC@^X6t=n@i4Snqe;N<ph$S{?uN$XAd(mq?LqUN2NFcN%g|ogsNVbe1nUh z=g>}G38yv<>S=SFT)^bYISr~9N&+M4Ztvsbfhx{vbq423v&o7}D~(>06sOJUHQSpW z*swuPO6tssPboSrD=D2D{hU-ED8h=KyLUVh&9;J%-fUXW+&lb_6U`vort1aG-@)Xr ziy7($-)1!g{vDY#&Wl@2RS9XBf7UH5YoI<Wz1$e7OteDngWbQy86n7IroD8q(ylV` z6gVKE{ruUnvAMZ-a1hG<Kh5Qa9`8<dqwZ&CckVoRuql&zUDsjCT|*|?&?;@38^)1| zw%THdb&SlfJv7DlgHmb}9MN|EG%{9Pn+DreCUs^Re=dmDq9K#^BV^K^N;CDezn0E! zP7iUO%}L4mZOCNP5-$^7Ljs&!GgMsL&bn&%;3ApmwADMd|7e-)mUBvL>)rD*sUwpD zY|QXGs4`KWe$_bn_hiDtfYyauFO$+(2SP55aij}#;;vVZW05^j5o9vRj5o+#hstDN zXm&PBO&<8SWy=P4cXQ98)8S8kQYh4C_A^cxM-7>jB#{Y6w;>Y<uyW!Inm3V2bPhE+ zOeQ*#+Q(9xy6duAPYYtul{OE;NScG!IRCG7@v8Sw{Q#Lz2YME>zm5~{CxP3hG^@1s z*Y=NX-cLYIQi_Z<4yIX>)x(XTtYKhiY%TTa*j+zdCiTr@`-ha?;=e*Yk;#S)=fE@L zq|Vtxn+Jw;<kjnDwU+X$WkTOPj3OZgjRO+h)!yFJUC4yqTpFYO5}A|+>jS&zR3?LZ zzcn*6SU;cR^k!zVtdEy$dVHD8G<DajubzMYOhYDmzfvmoZl0Hk*Iinuzu$Nh-F1Aq zDU(IIi}Oj^$GW=*kx55=v)-``GGJ9E+_^MhK_+uY$OKHUyY`Ru?)SQ@ArlU<3B64G zVJv8z!NGyCwR5G?u3fW>WI}gr-<)NH9npTe9#h?=?V&t$bRZMoHd+I-Iy=HtAIK9~ zOCnDwLZfb`Vb9pKON=^`_~;f=7vV)8ZQy@DiN`+iL`!<~L<2w7aC;hfJ>hCipSOSf zXxQtgdOqF;AHck82*6KACvV<>W8Qw4`$Qw$w3EC`%w@~67g#O(lSy5|yAn4#Ci_l} z&)t!PGp9A;|0Ti4Uhy|I9s9=%MsYJ8S<B)To6hVA1qadR!eLy=-ErIo&qGJUz3aG( zeE7MB+mThgKqeCU(6btD+AT)MH1M8C^5>fA2_5)uq~G;`*{d1t0|p0}v^>6))F>_x z)RM4N5;u5P<l}kRXT_1;z)OuL@nJk^4f%t2k*;uc;)Z{a;D?Ls8x6@JZ@b5Fd3Bz+ z5cOf@!$VXXhaonbHQXfJVw>RvFZFdrdcYX+1PwdGdHcg3Jzz)`>=2Fe?Cl1%j@UIS zzT&AnQG38#<M4drf&K!nPshD^b|T4d9;lAT6f=^zEfyET-X&f}7L_BE^RY(w|HM`J z#v=SApO425vVYvga_iGcddy>Zk1sW;B%a2V=j!U4jBvfr@)E;ol)tDafy1*oBB7Y! znr$E?4(}&?O5>8CC4t&uh`%i0v|#>WSy3#12{e4UW776x)@h1oIP$6R0<gD)=+K4* z-p6Q!{hGgq7JB?<_0=sIT*Ooh=4eekB)IA&cM`=lq0IYcY6R#t@mURmYP>7#_iFl@ zu1~Q33RmhGg)k3}@M+HEr~buYeTqajiPZ8v4}_Db21iLUy;5HSCJ>1Yh-jwxkOpic zhMbY+ZiapI0{Y?c3wav8rV}<j^KM|dCt+vbJBZN=T5VW<L<4Z;-#Mr8g@lt^Vcr<! ze6nxAl=p|kU1^j0r8E&zmMge80AYVsKlN1B6ZSJXI-wTc0PrJCiIg2h^5hzc8r7>e zVhu2!3OPJ08p)Q4h2#d-yzJpm^@jEWnm*z72<%2=GpFt~0no?rb6A=NqJV2N*1KRG z3C;8)<9}s12<@uxAAvDnYvSjJ`(Zdq;l9A6mdUk=qivHw;wCNSpA{@r!y}jk&C{#R z`;*b5L6gLZZMZl_LgT~8f_w>WLqfC6CaT8iFY@CAp9U7d(iM(uG6RnBC?T(L7uYxo zF;tHA*Bt5#ZW3v{bND5}Pp+g})O=Ff^a9?f_d4D~TK>SA|21){DwAoY5=-R{jcxL& zUt$7W7L2r5ryB*5_Ev0})*5@CNpdW{YC;ljyf6;}pWDa!OcE%O`N9_3JBiou;^thC z24s!qEX0l`RVIY%HpDoccAC%_f$B}b`L+cwrk#~25ejiA6F7zkNL*D>lj1_F)oK-; zUqVf{68IFxEQng1r@%G~g;*1f`kHk~*tVK%z;-a{`aFUUd*2AF^2hLz*<66D$bOf& z<Jp-}JWt)oPW2m!svt<Oy8?d?9;_lXg8enlc@GyYOD|mYSJRk4Upz~oF>U-JDv8?S zD>qL1JnjJPY{Zi0AsqL9N1X8UOt4vIn&(HoIwFW*YnO?;OfSuB*k>+PFkR#V9118} z$EO`ppW;*_N);6(avr}4WokVhrIBE-XU<Wb+y{aqMMcggoq`RpTO5vv8HRZkeWC%1 z_y96@(4Q1ghiP>KKPV~IM`I7C;lS6$cf3TQC`AvDa}w9YLEEz7&bcH$|7k}8JNF0% zO|6qC@)6z*gEcL;MwAf^>o%%PU-UxYrZm<LQyirvoiFzUxEki=2G}ZsP$&cf!%0d0 zYPFNK`qFF^rF9F<WI<X7Bx28@#|R92MuNyielbr}gDD!2j|WB~Pod0TuwtK(VI#;~ z_cu-`MGLOYF)#9DQQJvEm{6i+9`)*`LESY1mM_PmOuek3>LIl7Iz1)D4maTtl4Dbj z<Kx6Zg^c>Jqoshszof6qi#35vs!gqBYKrBzeSYH@TtILh(Kt}E)@oNnC<skUE|+7I z!AzB<Ad9Y`Y(po|QdC1aEfy)_k`n?aREDLDGG!&ykdaQBAeT3k1Clcl{WBV&C_^w* zqT_L{MW!c0=L8sGypGdBOuvRmx0$@lhg}ren|Jog^cA5SzLSoP`3WUhx<x0eh)$kc zVq-!p<#?*AS$nZ{wc#Z)PPrqKR|ey{5hgalm1T9E^cLAAJPm~~auVK2MoTVO_by(; zPi3O;Hh=XaMSYPEa!Gb_X$ZlP(;3}W-9hhJooxx?h>dNTDB37fA!B7yCMH96y_rtm zJ}abPwoza_kq*OP#5(Djrn?3q)b%H{mScTnMJiRPQpBX{l*lzFXLi@w0FC-q2|E>= zpIQJJq4|&k5dM|T6jZz5?NCD6pHQ2=Gf@OFy`-{n#gQ!o>s9@JptwvIRtr}6RCYjd zNN|MX7}C)+ts6qkLBoiF!eq{OAo_rb5MeMv=U_urOAo~uU(5tp2DxTOP#~}up^7IR zY(R*-We_Ee1hE*RWVS$CEi#IGz|4Yhi2;wFB~_bQ@rTum>m$_~QT-kgkFqFS6jb+d znm{ipMx%9yQkM}ufq;6UcJ+_?Ab7n$@BoiGn^Jn9D^uT}NU%xj;n!xO$HF!TB#N{c z3q)cXiJq<@AM~TNx|WOez2^t`P|OskU||yT9`6^&v=P81<Mwl{{zj_8?rr3olPR5F zP$AWhNhyFG>UZCTy;5attqHG>at6obJE>KL;X}O>uv%|FYtouXdl<&G+I-HDc<E<T zzdRkWdWxBI9IxraaiWRzb<x>Wv5k_81C5QGG$1hIpqU2+v}un>Oaf8jDbuSZKG6&? zwp>deFNBKmB{D*PU15B?Ff_-@19D}$LeB|B+cONOoRf#0xKUwGB$a`@Rv{rNu9vL3 zo=_-z?}&P}BN5N!T2=vTCMPuRj(wV{)M*Nfx8s?FSM>wP-M|LJfmBOGUWN_sfORT8 zN@K$Bzu+QL0+c&Zol>d5&+)cbdy~>;)nnABMT+A`PlDoAv83qogsYZtgKPAXnU=_9 zjN(=+nukKGT+94|pgQ72YW%3om0~La^<m3R+=o1>Fzj-z0SgBsR^}lZ_ujx?(>PJW z4|VpC=!Ilq#1JA@r+a=nD~E;UQFPw1qtrLgT+sz#K8J2KRUZnm<t<1%OZ!w@AX6@> zopg3+?IYsLLX-P0H`(d%WPAs7T5v1Bc1;R$>$UH?Ns*#c&U5+Y5|Q8`eA!Z48}P6L z>PCkMddEnZNFUc2iLH*JE*sy{V)~(J+2cn;cj!t6odna8zJ;pYs_PZy4H{y^RL7M` z{aQiVq-xH<j18g|*~mDIPHRzH3>2f27~4QombW~ebEmnA@3Q4so5=*(a&4H&Yw{Lq zr)v<1MSUs^Bp0$(KZa;A*<l|S3`lv5Q^Sw>;^S0EH5{n1==Ujod#R(~_^Dnb4W6lR z|LTe{>SGa!K~T9|)@E=ZT1R|k>Q;;q!!X;=jwia$!`WVWD5PV=Wm!$d(8_ccsIriX zpe;;NHr`8CJ4t8K1|*}l%?ceQSvnJp(ng*WutkHTc7hw1ci;o8(=N~RozS%C0}w{M z3@lY?OawJ_!+_fhVyCpa+QWa5t1A9vHcuwNg<@sg(3lar>?!d#Ll`tE1E#z@j3%#@ zoH6l8?;JIjuqGGLDa$-&)ZD9sJ0XGRWC>^l<tAK#GQnWDa%cRxICnoyAo6Ry(#Eb; zZKTRn_(~;iaZyI?oU~csRp`fL^?>CryYp#Mlpi(tMkrTW=uRc6BA*qRAkm1WbC~<% zR~}9oLm^+Q%<!dw971vs>@unQ%v&)p_$c#bG=kbHv*w+SYz8_Qu2CHSj%93sij*j! zZt3)v_MoeihPZ){Vj6#jJm+1YGYNAZsTTByrmZtzd3wZWD+#fj&eQO3DMouUp9B$W zn8P7U=QAt-oP;*CEKNpN!|&=~b(A$Oe|jZ|TlIXIaDd*}W76dBhy0QeC~4(tj*L37 zh>H+~8x{jf0<62(#?DSzm{44&JgR6#Y+q<4Fgi?C^Mr+h*cC3n(|m<0(&le-@r3Ei z-I6x>pm4M1bF|EqaX6GWOf5p~Gb4<zE<$)CBMSbiDpmu;=PaLSjsIpM2;Y+1D9d(M zvALqr`fXerm2g|zPI-TJJcT7Mh2%zA=;W@}^eXB_?8pVV|3rLE`Wb}*^hh#>kq~J| z)gXFy!n+e1z~_vk*x;>U`0I3MH2^e3D^8F2S*82AaKeU$d4#c37EH&i^2xyC%1X(I z?F=VEG+`z^?TisV50aMOWFXxg*om&x*CwQrbCcyO!(llub92<{2Ba3Wa4HtcB^x6O z(=Wk9HlkPOTH4F2!q72p-F=i2R!uzWM8^9<dAk@2#;DH@WVhw|TtS?30kvQ-jRsLT z?%amlIG?czN63JX${C~xu!EejEt4iviW;MGZa~->MN*E!K#@TJ0ukdq^Opj2CO;~! zzkh`Kv3|^ru&!4cRr1iZx%>I8iz?Ff+oQt91<4h%Qfy2bDJY{<qMVa9_P~$OF>KU( z4l8Oc$HqPChN`a0Vtw&{%s4Y>GD*3pC*ae5p$%o)vjhKSrk#IsS`q5%z~nbV2xnOO zFR{H+AVj*N<UA8ae0-~Je4Bn`2J`-wI2}1v{lgaisa+7VJY~g26q{OD@A;_>Lz+Me zE)FK3O+Pk}p*(+8;yRY&&d@SXRdQi5WU3{?6ji<1K62b2$W`$KkxJLllgV&3@0Y?r zs&pOYvjG)x-UlyU40jt2KZ5xtgfO)jf@w0Ruvp0CbGbN>lMD8&{_u;}I)|Y#17I?8 z($yQ~`a><GEAN=d;6JG4B4>?OVls=hp^`NGaevT-zKJx<W_yF9mc&{ee*ISa8F$WB z<M}<y&qH9*f74z8bB2#5=}*7~yb4n>Kz8(GSx1M}mJLoMZJ6(Z=JNDI=my%o2gq_8 z3w1`d$hlqLrw>8Os094nsUO{$=uJU-LQNyx_$53$3u{_TAoS~#x$ThfDXirPkB&lR zx#43tzBO4dFgycp6NaPCG;D-nA3J>X?!j9s_8%KYqvL1+r~!Tzh)dNxsF?XDoX;6N z%8>$B+sNX>^frlHWV>7tp=D5{a1T;HJzqW>)ZSLSyYeUD1cRpU36?dIdke(a0MCB@ zHkxHLJ?e5_7i(jzrmNCBd~H?BjqWoOWszQA#56_Hq6Kcmam@&8G@3-L;{w=Fubq%~ z>XFR*gqV<MzJg>m#@Zl9)+`O~&BRcU#E$c8QT7Qqz4J)wev2O)m1(U*=#u8(_*TdF zf5u@t3>Z9t-9bJXF0)G<&q&ImAImCr)ojp}0a&Q!?(5R2i?T=3<1h~qR)eHc(+)C5 znej+gSsPk2p_wv))bd)Q(NKhz^GzwzLrX0sUWK575o0M!|A&c7AaSh*EF~5V?O|P4 z+HQP>-hplXEmo=>{e|3`zG=T;pl!|?j=TE1?mCIVV^H)$Zi|X@?lj4rm&+kXM;WN3 zjsdEJVO?Zeg?-g3B4R-IEs3H8%Y-t*!xEZDqNu1wU~mlR$6q>6!0!y`P8s&!SFoCB zm2H|gb21J?4lP;WTeB=}LmM2EQIsk_I<rAy1B}eTGHj)=*UG4}5gG)c1w|mhKs0)B zx}#6KgP%5VEWaj9=oo22G0qv3R)>CYOgJr>p+u&j!uo_==lSUqS&{cx&7Zmot!&HM z|45>JoC8(4!<wkAjH?`oE-uvd;2Lh?1mJs|Mr^-gt9t8U;W!`$X@M~hcHYM%;W=hk zV@<}x)}nI~CBIs}>?Au0E4Z$L8M;W4(P2YIZ;705Jn>9J(YYi!L0d|PfD4oKAo5B< z!~F7O$Z40LIW%>ctW0LPuUDdcMDL0#S(6b*pH~#AIHyjM*NN2BuTX(d)EGiKJUqY~ z3-qi^x?3ADUROrJ#s>2uPi<F<(Mnm0cy_{swg54(&sBOn$1X5&TXxEPCD=)_ZzGuq zNuH3v7+lo14o*L+9bttiO5Eg{bZ;Gzlm{j&GM_L?Mi!&goY>g(->mZSg@(ut9w42| zScy&`v}`yaF_CUU-T3=&9lrqIa-uyYzh0!M?uJ~on9nYRHGY}_L}AM7t^xcto;}bV zB8y8ns`+}*4aJCwm%4-(hyz+mPX1F%7($2T2DI+iY8d_JNu=T^faYz%^2~exTOMAr za(a^|b(d$ec~03ZI&dd70}u+;P3oldn3#&aNnMJUcsJyPJ_u`C-Yu?Eu?YWnk|dLN zmFehu6K-6bkNMbP|D!D{d;^mTxM&jI7XVYiIQ;C=+}^*ap1wkA{lyQj4&}Ph=cY$? zl#R=Utn99o{7rY<nO|}B>fFeM*<9DgUYnNyOO<}Rq-(ug`ux)M16M`5dg#pc+3;%V zy(AlrjHqVv5Q-I^ruXpVQXB8eu#Kr@sW@rr2pk<KVwTuQ&1o0aBR8qvI=Qoh>R6F) z7G3xh;oONz?e{>!IxdV;0h`=i*pqX4mT*^^T%11x>9pkB9c`S5X(j53_O1-paG*v8 zf@H-KkuMraAZ~{VP2l2tZsW<WR43?Rk#Qi1(+Bj=>X>SYJ}U9*)g!^h5li45o<MPR zzho~>r6!z>q%Xd;Cl|XV(-M})mv&tsQH9=MhI!r7qczuU(FtV3C>y5q3l!}94^`oF zkCoQ`1;5%x3!lS<36>~Qi`{dviy~J2<JHyYMKmA`^!N5(-7gzYkO5PRt`DQ${vX+B zV^7?(EORBVekL-@Guj`eux6!Mefs1i%Pc!$>{At5b43*8XIlHi>~Px>SIK5_oyuJn zx>oI9gJ@v1>KrQ-<3t>jypiBO=EkxN{|%2{9x!lChO}N>fJrwuDdgqrkOR?L2}Xi2 zSgunq`*?Fo^PfL`S%3UHx7cjuQX5~pGl(WC;{nyS<>>w$^n$1LhIx$=rDxMtm8Co| zj%z%Eu;CZ<(R|=)+HbN!?$<=W9Zk1iCL=b;U@&R=k1_eOYzvE-%G8d@(Ph#qxvNZ; zg<1H#yAqXUCM3Hz%u6o<3F0sxFqt}2aBfE!-&uCfZU5x9ws1*Wx>ujFt#$cZT9<#} zoJZf<oqcO6nxu2l9+Rgx$BCnCxozXz)Xu-(b=$>1_}2qx9H<`n!^^g8$$WV8jlcMd z_a1!o>X+Z~&p&<irT1Ka`IgrnJ9PPnw|wN9Uv#g!>Ect?y|C@r<@fGbUHIsS>xZ@+ z+WPIkzJ7B1^&6^x|NK|?ymIiSFTZ&1L(lv;bIRXuUou%)omt-b%!s=!dquSK38^gG z^ShbHZo1FiHue4J^UnotV(R;uogKk`y4Byy@VA*qui2lKr=Gn}KKaE^o~i}8Z(r-C zeo%Av<7ZwrkXieO!|ywD>h@18kB>=j&Tii^aze3u=-%(0yY(ZFU$W}Z<rlSW{mNh6 zb??q=_g^N@@Biqb$M&z0OJ>`$yPjVYfBjR@=~+Iv(o%Wwu^+d6^@&@j{_*7}ANrG@ z-#0Na^+aap6T#Bkrhgp$`EwP<$q(+jb=`jrwrqLty3@9tS>18-w?1)VG4uI<c<c)w z?!I&=_41*;ZGZi_lW*Jq(vR<d^<S$0<^G#~eD>vXQl<LF@2+|Er6Bvp_W#~{uu@qW zhIcYVW&0<wmt1m->yA#@mR;W3y8ZrhA3eUiGfqXxI0+AzNpRa|e~_(w`wI^~vhTo` z&%NpMufFetAAaxI;V+*4`GErmA3FW@*Oou>uY0#_J>$^hj~+bmhg(j&^nKmS4_tgo z;jVXoa``>at{GW!`A1eBIQ01bM}FAWR=9ZeMc?|##!G*+>Xgeaf9&1wU6p<3si!hd z-nZiI1=%q*b;E}Ex%Hp^jBMVt<sbiL$8AgR+Hmq6>vv4a3*YX4c0Drr?B53}lVjVj zZ;{FKD#0h8lk8`o3Uc4tk4y@c;brHJ&h>5m{m+jaGj;Rw&Raho{7ZIf`q-Z0UG;mv zx8}WDUwGHpV_V*H_aFUyV*l+^Km5}5a{LwBe(=~`=g7}r+QwHD*R<rOR-UsWeQf-e zKfU*n$2RqU_dEAK_T!=YP44G+-RAax+dNxbwRFYf8-A_$-DksaZduE^Z}+ra^WJqm zTaNwFj!%7cTV~@&XJ2~kq0#Qk+q=K`gB!MX{@W$@)~C0;u;h!^ed-(UePhK~?-_sk z;0t$s=$%8?-Ft(4=7z#|f8N|r?{pI;FjM*{`~B}?_pa{4eDqOtLP_K2uq<NdWU?=n z@N7L*JpcUJ+16SbJGa@n!sd<_4_#i^Jj*_0cA(eUu34(T^2#e|o?q<SC+$SNqI3p| zdv>WCu?PL%es}})ylt4g{%>kG#8%@%y?$_D_r7G`JbRlPfLYd@?jml6k9Ir%^ys)o zj2i#s*kdc{GZ*8e)2U7MCwYI;p3Xw~AbUt>pM0`3*FGyxmS#(HgEOUB-5rdQO0s#w zDYmtCKq}>T&^O|>?Phcbw&yQ}Ehkv*TcM{29o^J}Ne){gwy+OEY;L=wF$(}V1K4m4 zz#=r*VUHa^Qzn#69M_q|7A==k8>1s-q8JIx&Ae8W*||An(msPH1-!nowbdI9uq{{Z z1!s}T{{89pef#R|8whF6fw4VRb)$Mm$6ww*pmp%|c=#{1y$>9y7j%1a1(|qu6x9RL znp@l_n(+&6@aqfy!+q_g&3fw(!KO?STZnXe)24$9GSN&b6Sn^%lR>qbN@T(dNczSx zm}sdno0mzu>{*aWV!L*@OsE7b*PAk7XaA*_s!X8qh6L@~DXY#YQgprAoXphbbmwph ztBk{ClJwWojP5lrU5rd#CL7}<+260dba73Q$ryXirL|Tcnw^`$LUGTY&qhN-+HiC1 zFlU=`ySy^UZteO|wOSh7P!}(gp|M(3=^&H(9ZqGE*fc!sR3-xh^+Jgrx2t}nOhjIT zwAyF<QeaAcb7*Hry?l=?+(*fzRH`BSnO(~od)ey^nbeyy(Uy`lMySYS?9j#Mz(eWc z_U^$kZhm}wYl%n7WKV+)Wa2wkcSkES`Ot^j+lyoM&4X{$gZe>gdzehrl4kSf?rz<4 zzA#Rb>dttZ3`_wKX);bcS#;Of*y4UVE2%Nv1<yDcL?-B+ju#(lYwE7SLDgM@uhg+> zNDuDck4)wU6PXliyOd>2KI<<$rMhbuKatm;VlB3L?b<|lsZ6wdwFOF`RC)~#ew9o* zRyJ{ua#eS&RAb-fI7u-6_$2B{vz2zGvtxC+Q2TYFyO2quySz*SOoJ4HL?$I<QbHyR zzy|rnx~s`FHSmqs(anhH7#zelm3)Kj<ClY5X<*e|;52j>y>Kv*$pupNv<uR85gyk0 zJVn<<ZBcFQdhq7n?h%Bq9C}D@&<mpWjIzAI4ZFH(zZgd{f~$DZu=q!Kc-7T==gtc0 z>ymi2YwWtnL}s}nXgbTtMXqkV@V0)?i>>FQ$VyRq;A=kY<CUJ$<<9GGoBku+E3h-< zE{-zux56v0I+8jKSCpoVTv5!m@JMNd`=q<YM3LGt@`TpAtku^U1~B;}Q;e@w9-8hV zUWk{5U81kMw||6>vl?}#E#a}jJNd212@zHA3V3XY{pH=PwKW}ndZO!PS{L7fjh*HT zuaF7&XF)#TGz|DKZUw$P_%^;VhaIEZ7h;14aoWT`CF91ga@NUF%tsczZJ{i-XH-0m z?<Zf=)=&Jsg3{G{25;ks+v4pa3G#|gV{39nO4Dokt5c4CQ)7-zT&1Uc&~{fg{YScL z&q$THH%V+Tn{~p@FsHVX*YN=j9(;zsi!CRGtyDY4t|)PdUv))gg1YDPSKOv<E&sb8 zt(?{$)e21>t{6<>)h>~`6|`<@|9GQR{*&^iVdyUBEk3+IEx-HGZJqtmQ#-Cm;`7(N z(_JF+%5tq^S5(XI@zX3hu=4WP#7u=L>ctlcd%dFZi}h9#PSW;tuy8^FWP7<Xk8DbJ zcfa`J=FP3G9UXJC9lNKVsh@fvd*DF(E}2ye#yXaN8_-EZL*40vyHp=$#PFe6!yYS> zv$<hEHnnuVEWkRfQPwYY>K*M;#1fBh;9P>e5R-SE?;+^dB~T8S@Oc-@uI_H;OFy-D zbb}ptbi-|F<^Y6C@*X80WYT92q02A=Yv0t4Jw0F5&?$4U-P_>(QVtdpD8=(z*j(7$ z+sm9k(AwJDo6Yw2c65)aecTby*3Dw9vF==)G@B~0_Ep$S`>-05!J@jm>nfA<qBhZb z9|k^c&x<UQRVmAH$JMdC+QfRQQc2O~yLYQhKJ~K7q*!d<HM{Q}2&J9Xu*#%3)_vx| zYU;Jg9$v0-gB|iTo9E#HN(+UlmSal(SIr*@FUX{hOh8`#cI@hy4Ux$pGEr+hW;G1- zY1cY383LzW?X|ke8L3QI&1ju24&F0ct?>M!UT?^xp2!3fK$Qu(ld}H3E2UuZhYdZT z-zZ>3HH$smOmAIfvT4(i`P;f*gmimz`k7B|tu<xRn@u8f-eIAjLR<t#wU6gC)v;m2 z?CimV?0sM*iS=Nb%FYz9m}H5^JxI9j)Kd>0+*e?n2o{Wcs9;@XGBD7=I4Kq<d&b3C z{hL<Tlw}e^ew+;Y8E_E?Z|c=?;(Jl|cW86|RNEzAVQGXR*G^AiocJnqbpK2TQg>-T zLu76Vqo+YPoj!9=hTsnqQocT-GAt2>;8Cslrkqetw{MeVoPaYwPI^y$vvI;V6hMOG zBcZh!vDwR{gYTPY%o}NyN#NHFO^hSlL?$n8V3|8GpyOm_-=3FKX$%=>QhU5i_?E~q zn@&BowRK-%=<(SZ`pzu6#LJ{O*5StqG{<?b&!28==B1(+iXSI1dsII-f(3$!Ou)^4 z)7u1NI*rLFu{0=}i#uL!UR5TvF7Y}}DwU>8>Ru)Rw+TdfnIMv(R9$6)FOdm0kw+K% zW-&TWq?DXpd-ym}nLKzjmAW4%N9nZCKplI=#BJI!Mqg}%ztl)i22X&!qQ{S#c8d2g zw`zU~PqgX=jeI?gd<}cU=*c6(O2y}UQ`bi(=}mjaCSEgqq|1_V?yU{m$7c4>s*g9U z76&)0ZV-JbahID=!y<Z~BJml2z9MzFBMC2GukNDr9KUqjJH7p4(+=@I><{ly0u4LF z27PJTIo{Ibr;*;sm!#8V*iTyJ=lhNHTbg*2L^C?y)o`Dl9M#uHsdvwZtvFh|HS&0B zuLwHd1;%y6uZe7dVAwi2YSjJBBwg(m32MA|z1?H1G0+E^^ib?p!wYT_S_ntAanWs0 z^LWkh5iaYZu@hZ_+oUmJ5?|AOfCt6YZ59^@D@nY!<%BoRbu<(t{`^Jo+|v*AEB?)T zo}=^UI#$Pd3LV&!3|Q3tf$F$l=;Qi~30>&<a9|UDIOnmEFQK3MdJ=AdjuL_&kKeS9 z3@mFq;uRdf8o%b%c>n+CC3d12`;vU&eD(!jQqp`v(-V1*YX;|CXXm{l_#IEhxmvvf z$yI$To|i~6c$`GmpPIxKu-0E;%O-DToDGR_hGva4zm?FE)vCWuYL=~S2{Yh@G7nYt zDany)R$o{>J)dF|pM^Dih52~UNpdot#5hiLaO$=Ej5;A6z-S2Y?0TPGY--*Mr-|R% zMR7-YgJz6{wt~98iax~K4(}%M4R7L@!$J#zaNe7=$b3*eO=^q$9)D4M%YXAZnl))8 zAEO*JN%Jzu;~=y|8Iz_<O%)EnJ**gXoT2kFK{8nN0+8Q&4;S8(E((FIpl@ZGU^RaO z683r;Au~@fi5RErCKx_Uk;XJoaf<vFs1i_t|4L}G>yZ?DA%`Vo4VOib-268RIjkfC zi}><cjtmpoD9$b->Ejl$5q}aMbe+OG=f!B=Kh2f4Jgj8DRf+gW6XVEng(h+VYy;EN zFKR?1uWs~eQt^tbi3v__5jRRCfhM+3=HAf|ZRTaHS!xRueQL9|Nq&FTCIQu$B>$q6 zH}it!Y3Oz`1=IMcKLOM9;L<KfFn)OZ*+saAc^9GelVI5VaacNZ&-3wI7I0&6ibYGu zkxQ!=u=>s)<)@55%i$!n^aJI-1*0l_8tWxYDv(Hu-3JOTYp4m?mBM_J`;aD6fQJTl z5=fAne($y#?}SbK!x9%0ZY02yLbH1(p~J2VZ8GBJppUc)#_C=P=lE89gF-{?6XQ$4 zZB&AEA34DlzhTJ+iYH}=GK83$sG#$0+~d>8Ta&2EQhz2}9>HiH{UL^MSRDh^fC$H* z0$1Iu67+=lBxb%bnry{mNnm0?i2*2|LA0sSTzqsV1*p=D0V1(yARhHUc?K?^w< z<<h4FkYEi3Lg<nj_BumIe-#L0yytSxL~PkGY^n}<fgIdj&Qr%x3mve4{u~ea44=4H z{`_PV;6}l4LOBTDmJ|ChEogl_X}+HY%{DEBMS+pX>eQ(jVW|coMV!t@7DWz!x^eov z;XoXLz`!1L7zW8g4c5uz{E0fk2fCGvf|ds)HB$tegvsU9=c-P{MMhfN$7rmi&*0+J zmpD0p_bJ?qT6Eepi#Hd$&}yS8o7RZ7r;DU&!{?R}AT!4<YL5yltrOyEF1l8pARe2u zmLM?N>z;5C>0}O+o&*I$E*A_)uf!j-N|)B3h$2a#>{M2FQWq7nl~6IFFVe`s-HdfM zDpp)hssuYYe$wF*2PE5VtcARSMGlG2izo1rbTt~@dOw)36hPj{`Y?)|6s;kjC5C%9 zsg|LMm(EuXLQFZ>)TJ8$CJaju_8>#4ceP}k?k>v3JmkWv$#A|&FWYiWPc9cS?ZTMy zW_9O`pLGcUquS8ZkPar;GNR2jDGrmW3D#W$9wRcoyW<ZVa-}#}^L<)ldZ1U8^<tt> ziwJrAC#W(P22SZ`ZdLm<>u8!0r4e6gUBvEgJ8iWy-Dj^XfIkX7Urw(JsT7Mb$yvDo zv00vzTjP4Hvag*mHx46GZdozX$!BZ2;?ZG8xY##6a;M3M*5*dohEy&VtqqJWIGj)n zU$qt$Or+Q7*2q!`!1412L>lmk-lGL15O!I|LNBxg9rbW1&@vx2udv~BoFohFD%GY5 zL9kX+LK>sU&J&)ZSmfd879<+nGWCo=6B#F@;P^%A0gUpZF@EcJs9qD%T}c86^StYy zw1w3Nb#1S}>WI*M%qN!ETv@|PMUyQ*g;63Jr}OaCmJ&R5zL2gGqrIo4&xPPbGET>| zj7{scg5(_O%Dx0TY<RrR<KL{qN|ACArWWKmZxn@;+5J?eWLJ$yVJ8$@tMFCWqGpJY zfPJ8W0}WDsQ5}tzp`=i@p6l#%R?H;f(7wrvBC3qUk=6~ql?7@*9pcp$-SrHou#}TD z?bhduFt7ru&p<!YLf_@ShUSD5#?&~*Q!?%HjC_oKfXG)_TgCrEF}}gV2zGSjI7L#l zP|H?W`hV$FbttjEsT9-a55_fV!V<Ec4Q*GNOP}OOI3i03#LjSq?zmoUqXcqD>v*b$ zBVn>W<?Ty25LtLo>Z+W>M1AXm0dV5_#83>jX`y33w%+oNE4|SSibFcaDVaP)OZ?MC zxijaS=F8Dol9CIJCc{tCw%TQ&2ss-$>zP31F?O^k2;ltxUaf!*Y~zx8fN9FnNUse~ zG}claUmGG%sy1VTxPn@$x||EiuVSm3pl0w}iHtXc!_RE$aENni=Z9~N20f@k7UHQm zB>a|hB}IMiV6c&(*$|~1e~<GmEy-2$K$)Me^s{)iZ9K9?cjBu)*JsnXP7APMWQh;; zXM}=urQB<M!+0XTmDK7B#%~ST*&GIw(#LIJ)t3}F?a|th)YxXMI@@?M11TUs1vjmc znP5jaL&M3Gb(y$D-{^Ucq(J6TMg#ek8z450+4@2)E*e1qs;8|sVT9upBWPf%-{{3> zjqvA~u(T`Nob?Y_p%JVM>@o&RQO>)NMW}_I9T|aCL8;0+9TCzgw#Yn+k1qo|o0V)j z#}k~BO?4?nm_~U{O+Q?tZ8bL?6eh{!avf68f&o7;yV=D^Q99B2%%+@3hv|!#h6BNP zSZpZ-&S$6^aOqe!or|6swpMy1gtqd9CV^^=kh8j)#mZE*nGH=?RKhLBVb}f^T1RzJ zi*_@TNy$4EAgBL196ICaI11?s^#+U-*G1_(C;M7kn~a7FldvD!DB~NOlW{CW(k6O) zQYp`ibD^j1I~6o+Sf1*o=@LlNoX2oZ$^v$^T#?mSBR=gozL(ApnBIzF_KP_3(K~70 zxLkE;axxiz+O=V<m{F!GW2Dst<IaqXWL2ghJFEL>(HBN5u>ihc)O0z=sR;MeQWgm) z3Jp=lL$jpEv@*Uz)~2mkLWt0@CSc?cVy!M>Z89g<oS2kBZdp^F1kur}K@|qaQiug* z-f-R-)>3zhb7bDutGmjjSiX{8B5^O*Ua3XkNB5%@O?0y}hy*b^Y%6YUtCEdULxPz0 zO0@G6QzPyR=9sW|r(=(}ks7rxb4G_q)peq^9eP%e>VRb<e@3-bLXcOUByb~prN&Ar zOT$WkA1xbl3u>C8qTPr`eba%g8HHbMyjn|!m#1>ZyK30}>?}{`tg&Uc7lrYxs#lK# z89Z}FH)ht%6O{RGGLOP|Y-B)8HYBL@F0!x|7^{BOorX7%V)_VqK6P9uL{`I~j%x>% z_V;QqqW=@GK${p+#gl=OdVy;#cs@|f_y{Z({~}xLk`daJn)_8A6b=9U;9w*mN#SN` z*+ICNio~gQV?Cg(<U;E?Dvz?lv3$CL3R3`<^i0^0v&p(4jf5~qlb$eS3oyob@&BGT z+!BP=MN1$keLUr194W&Qvb03$u&tCQ30hXo8m3+@K!6rz6^L{JsRYUKr0P~jW}(X~ zA!TG`6k9tU#gz+a90|XOS^V1(FwZ;2BPh^|n9M9OazRVk`6?+F#-*U*SQ+bN1fUZf z^E`QAP(#w`5UI`yl%i)Bhm~H(wq?g{ij$AA53&c|;Mj0UAO@3~a&=lA{fSW;^z2@W z#IK+|#qnn>$6QI)5~63dK1-`gtL3iY3-Lrt<nj=3pw^TrxzaP&>-x&v;CK>cF=c_T zYN2Y!A=YBI!A%<_n%Gz)F*dSBT=drPbY9K(N^az|*o;npO^QZW&&J#)W+WaNt!Y2h zN>X*~bGT!rGxvvL!@xuu?KDZl>UvOyC@b6A&&G2`x>&2#G^aE0&O3ny9q1ac#bTy6 zTqEzwjWQi%L{99aBD4i`oF|oYEfPJi?O~EaoQi~AhD*HwhK~AG4QiMkmNaU`2|QxK z9`=MfcZA`%$_y_54-!lmQ=tav;v@mn^n_Y^vg`+&Ngxnc!0j7}f)2{p9Jx+XTIpL% zuAL{cM3Fn<Tp>5gy!31+1y9O(($SUu?gFKVsx`fGOiwnZvasSToWYxlKcra`C1cvC z)LVGREn-QiQn6gG+6A_Tdr=rc*f|nlyi-ABWD~c9D`FHX9|l=?bB@U_GLV~`ubM|q znv9;C%ZK*vr#p;a@j3}jH3U;x>~e-a^(+Pt(38qa4u2%t@XRi<B#*Eso6du;SmWaK zXAM_eC^+xab%y#=@!lxzn7}{n!xGli=D7h5)rQ<OdBxwd>=|CjuB=d^@}n|~@F;&k zM*V>GS4NT_JG#Oxcj;Ds7iIfHx+p3A<U~NpiWl$i_4~CIbqSQHc7SpI!_mIcLePpu zg0%J-SknF?^qZRAg@7c89g`xdSQ8EeZyO+Nh&6U46LvP&iXU>01FAEsy_grspEnxG zfMzJ62#u=nh<M)(NLT=im)=zzbz-kl-O-xPxwn`R$~8KrmMarzXfcs|EM6ApZhnF% zJg^|G+Ip(Qw6Wkco~G=iaij&B$|Y{@UHD_jl10Oop^M7{RbmN;t?)TY$nx9Ua2+_^ zA5gxeF%a<plr<V*fPu@on;w*$CIo3rQWPH<^`rvf>B?l#+9AjCkOU$WU(htTi24FH zZ&10kyw%1zH$vHrBqQO7sp%rfN>P|BXh2vqWJ2~-5U@T<!trl%YXF9Efz#+pE<K_8 zn+z4MGM-|QU5IkA?O+eAAqIeHB*T^Fk}46S72`v>f<-)r7(lZt5>+9pIC2JA^8F^) zccZB|#g{wK20UKK$IgOn<172)0i!i{jF7NHm-X7S43!3UK+P#ZA{kGTOscA^e3rmL z0z#6jX?g-)BM=B8=Lf;ML=w=rYh=W8XB(JeP2y8x3ZW;9(=!ke$Gsvgo({|eEcKHW zE+~fYy6}Xj$||MTP^Vur11ZKEm`?8i_2srUcWAl5LDaumg5F-TMnV+lz6ZDgUZ>1Z zq<00#-7J-^sHZPxcu-YhObZys*<qI9Fc5w$4{3)avfN#w7(SM*MDb*ya#`q@Qeyv+ zIEXW{isb&Kz?Xc&;t0vv$<d-wUSrfF3|wd_j*@i{5(Zf2`_4lvGD;aTNpx~;bniw0 z4Bvk=8{qU3dNS&DE{SPe8MH)-e9B*;ya=Gj!>R@cQ6s@=nBfD21^W0x4s^qO$ZC2M ztWR(R9nFKSoF-JLMC9DbEt58s9n+{ajpf!}!A*OIbg5+7G4bbc;rXD=vrCtnoydc= zwMWv6o6z->NX;3VDxpM>TglbNNYE$I69hd4@5Vf(a^6SY#Kp;aUnB<C@faqh4@vrb zT?5x6rz3*lL@tO{kvxRX_{MEea*b%;E`~rN6>0)!#%cw<JtIL6&9HYFtIaU_?JP_{ z^XrLg;s$=idxF|C8l;m{4I7bhRFC+6BUXJ2pxM-lF=?G%>4lNi@X`f+r)Vx%zS`wK zAaP=2$;}IqhRIa&F5!6xSvJt{d1vz1b?S~MZZk!{yQAsP7oUd4Fvrj{gXGt9FA4vv z*2i%XyZuOuH$~1wTs@s1udQrpiF3!MxsPFF29x5fS6vPyg$Rzw@XbeLHHZCXGwVC8 zta>(H{h3Gi_vj;O+md^~#O65pRv32t=CfY+aT5tM{kohmsd9lH6*>IVlADf<OyWld zaRK*E7l!yHv__tFXfEj?4JA&To8(7N*c-*qVUwtB+z|*BMS3{-2e=HQT{O-^;%Q9t zOu8JKrAi#_r!AdzC!+NkebM`)R8CgsIs%>^l2Uj&l>Ev8xjVn2FU+Lqv#nwyD7IuT z_0O{L_T(7{!KF0E*{Q&_EtB5g5xK`mZg~g1I4$0)%Vh-D(9?U(rqJA-YjvbmB|8#S z<J|L-yR`p`aB}<7==8f|ZYFDCwjlA+><W;gdn?(U64?_f@%?`h_S!i6*<S9HgDRDu z&NRCol~kRoU}E#^Exqvzxi!(6czn&p8v}_ivZHZzjj!<YSG~J``m%9nGL^5K8|O}< zgi0>R7B4P1=^dFUvWm#OUa&IkXdrD}sj6lRZ6uL|!{YCn^@|ip#Oeg&aTG6TQ<YA< zwm(S|S(~i8;(u~Wm6{{52@r*yie`pw<lzA<?{$3TN1shco!mLYArp41ybbBLc8>UU zQ&gne8-w_J<9O7D1vk<f6#3TGlmxusm<pzP!@`CAS<6w6z0%*)Ca9P&4<Ap^m)LRP zXeg#}{qCgR)nK~Gt=fex6NTUG<HMYmJDMd1yyIB8G4a5j>5Ib3itZJw!n902{+(4{ zZ9U~XTYvnc$98W$d*jQu|KKmS$NBVqcU7jIU*6AlQpk(SWO9-!VZHO!{sVvf(5jcu z_{j2WhPE7h>CnB8{^E@f|NcMx;F_&nhpzs5y?)K1Z(aVOe|-InLq9ur^@@|$yg&Nb zS;uCJo36UK{GM-q{<-|a{cW#5e|7G;NfRnTH(kwMHQgV6bFz1vE2HviJG0N;IX>d# zKKK1{<(qCY4&BGVb4%O4zisDp6LQ<saK_<IObtgn?|`%J+a_*vS7a&^6L9KbY3>o8 z{I<nY*zLxdtSkvLDvV&NlTEytto!nk_=B6`a(?rwrC$zL7nglE^O<u;PR(t6sjc(k z%g>VUE?f2C-sQ&)oYmcS&X(5I7pz~p@4%C%{NmXOWU_WyWxC_G5A8eQq58`w-}dVM z-#?}PgZ|y8#HTMm{_H$9mrsPBuZi0}_5E<?H)ZO!nIEtI>p$DMx$TOV&K|qxBTFCL z_xq<Dc-zjWbFY8$%&li#QNH&xKhAvVyOrB&k9_~cXX>|hb+1~Lp%*>=y;YAbJ>~f` zfAqb_b}wChV|V)_U)~;%r0@G&F!k(mLw|8p)5|0()6IgPe)W+9FFgL8mtXqGp%0I4 zIe7V&`eXGEY`F03`~P6+w{Ge@>-DWK9ol{Q{#Sp#>hBIcc24K1XXjcgXC1q`c=1&? zZ+p)-A1v<J+mm_yTXy4fljACrC9bwN`_!~7|K?<vK_*eTwljQv+Me%r{CMj57y3W> zT-9c$w@Jq3Z`;0qQ~wL=dD42rrvCNom)(}VVMG6OFxdTt9ZRQ5o6K|Ty+F83MAfWh z`0NsQQj%#^nJDYQWM+9=C~Y_YFq?gUXZD+gEz47nZ9Jvp*zdQlXv_b4aNdh;E4HjU z_d9pzSAB5RDcu7nXa6+3^756JjCQ~Kr9)#UU-H`nQ&aaXyLCEu@5}cb+O*|;fApEl zhgNOfy5f%>KehMV<;#|zz5_eQQcrPx{&u&q)O*qTKfUYW$4bX+y>3(6^#?9GW%|P7 z@7h1M^`f8u{9Skc>nme7{oZw}?%lq1(>Z_g-OoJ!jYC_{UH7v`U-p}&C0=s&*(J`3 zJ9}*_8<%x+eaGy=aTLv3s{7)8z3$p`Q1_(IvbERm1D$2}^1gis52gl09=|=6Ds0xh z-Pw-zJ=tdp1y0yt)v5W|RO|P&vSoJ;8%eSs`A2jkzUeMt=+5q=_L3)LrSr=T&w_(3 zng-a>?jKoGr-X-+-^Wb~TzT+ldMZ#7N_+G(B}KYFOVLLM=@eCMZ_;A(Yw4sx&T|0< z2lwp3-p8*5-h4mp=FROz_H?&@{Bw6<eQ;a>Dy2)w7o4N^Q|Vdex9lLGv>tsKqXLEY zfq_IO2a(C=N<q-AGD-Qg!!9pYHMpyG5H)-L`D)##d)Q~@X6N?p+f_{s47PvuW5qFK zLThJtt4!D=&xuV<ndshPB=bLACNiXS7ehPe<LI{GO`8TcC+(k{S2DO+Wuo%%=e)s; zKh{Z7SjK98P7PG|Oiro6%5#u?=_l+W+>vGz|KQNPAd$%+hJ_n9se2$>Dph85bw<^W zfIlhC4kD8e%+bnh^k*0Jar@Zyj}^ecz@c-_p^!u-bYjkYIcBiF=jER&i8=P@tMSF! zwXb1aTPg+Z-7mhmOe$5yuhv?<Oxii}MUQGynH(spOoqlDcEzzhdpM$NZfy6iR~Z-B zqH4jKPyBxG|2JfE+&dEb3)i8o?5U>ZHr0o=(*F1pnG9{!v8rMHfq`wMy2!-4nDrr( z(wXh`AvKs78!VYBVU@`cGMNXJ1t<Ig8&oEnDl-*M{F_f!kEeI<*o$h>eCC|I=Y1-Z z(tF;$@0{8C#qBc#16!X&t|b-u*x1YUmnre(`lB!Jc}+9_?BCX|O=OZnCSG@`Ox^@4 zUUyaZw4%FI%ay!L)X?HUk&|Ty+Dm_V^J}lAQeGw<yI##cgKdwh0M8$6CiVWGK2BQo z@ylT_h|MMuCE9u{Nl0`T<45CxhD-(<GSO3Bfex>`kV$F?l%}pqtL_>?ceN{yLTT;b z;Os0CK{mBoX`}QWB@>U=jvX{INn_nR^zqLzK;HB2!a1{oOa=$tlTXgJ4<<4>W{@!g z3@3JjGVgK@BZOGEb&Ys}#XL(%k%E5*u-HxP8OaxG`fw$&E7UMcfprD)8?|@Lu~*82 zw{w)?Nws6-11oxdBOV?Id3U|$GjAgd5U*j}kz7%ijKnwbHLMHGBVAeg<b0ej&tD)H zQWqtwZgPesLFA`lHq!vyR-Coi)$<$C@BSRehI_Z3>u5yn8EJ!J*QnMZu|E{Gu~a(I za6+$Pw@8fV(Yrn$ZR5)!o^VeDo%bho0Vk=Gr=#aGYD~lCPOeMNHq1Be5U<7tP}6f% zAaN5rMQjGKXN=eBd5W6EIgQ?~Fgar|_I8U@x@pUY%9`-}<g7oxk=68gMd?A=yU3;) zc0M)<^R_QXxJFbXy&3j19q~<9R^7hf2OYRVAK|DSV#8%6c4H%+I_J5a)^zZ^z2kzb z=QxTa=QwsfqOQ9{&vR_pGtw5xd5ldP%ce^k>ceF079IVtHqYA?DYXUty5t5bXJJ2y zZRW&4*CVxj*Fely;#%bD!EGMDY0p?H-Ef2Q_4EA)U&ELO?aP3+y6R1Ax^DL;;>w)j zEQa&`v(*1#>WRO5WBp+Lga==FW$?l5Gp!F{Q1kfT>=~>-RR0Etu(fjv@!Iww=8^i` z7rvk;AOGwussEz>y_a`?MaO92`gi`;{QQEU<Dl$EcX;(7ufNW$*3ffW?g6zZKYIFH z#L`>8v?%b)yv+3;y#C4kOPUE}>(=()!ZwTVH^^&h_twtO!xHJ>PahaBlVeh7u135b z4t`G4zt}Bp(syeckGB13AgL|3*1MT!Ztmls6WMxKGd%C=S;@fu{jVXD!NFsY0Wtxa zc6I|QkE3MrcdttQS@$o$SigR7@ISvgD}$VOsW7-Z%^7saM8^1J!c2W_eP};QSr6Fb zqXAFHQorHF?>$@jN~zBJYv2Q07t4fYHMq$v`TFYv$Y^0**_?%xvp0v<AH8|};#mD| zfr8pUDlm-~x3)KAQkUXZ_!-+;8a!r<*9}Wb9VL~?vY};T#|(BP-`UVyhxm9wlWz?- zp;Q_}CTfiT=5VA;+T{<B30?09*tjVfCoSpLluAd(iM5JU-=o*tZyy}2RK%%&F$5<_ z{pH((w>%gOaVq>PuSiPANvn>Nn#{J=zv1s&7$-8I<D{<Rq#$p+BN-?4gLHd7tYR<J zG^NX(2Qu(u!LLXE$Hz&FU#l$U@lE`mcJutxTmWmx{kMLLQ{6YU{*I&=C$(g)>ol|9 z52GFc%`p#toCK%MhZ`Pd`PN@06U-8eL-l`a^apx|juYqZI+Bhw#tGkpK_<yKSuB$V zzB@ACtq)55g<DShjUX5rI`8jTJ0>z|Wt?!=f=uS<Wvp4&&JED_X>D#H3-aHVO5HF{ zSP;(+4D9~OwI5zA6CV74tLsp{D{<5~(Y4@wb&h0*@bUM&N#v1Hhsi{5L9j?hDBVNI zBpD~Q(&lvP81gOQ)k<0=vCI=mZY#Yv@Z;o7WWsUnzgi|^W7-ux5iZF%X|C&<vH!pO z|HT#<5T|`1FkA+7KLF3a6aTs*QU7Z|-13+IYgFVvR14O$|AXMbKRQ?8mr-d|75xW| zR2J6@&^o!`=WgN6z%?U}Kk6PI9uh9pRbRP@>)oR{)R@pUkzlF;{w+W=khs=6Z_d(U zjKnWdf}ez%gss+FtT&+Kx)>!v^r`b+@5v>>qj^6Z?U#n;7nTXeud0B*?KlEob1lka zG`vJFz+pVGJq}p;nqGm<MrspB-*2fu)reP}k)$OBHj52qWIhE?0~C`d&OVgnT9ndg zp#}xhNZ~%rHj&g#Ueh*WQs!_fKH4aj_1+^cjS}W#&AjhOk58HQvF?bpCK2wCJlw6f zqW=#dp?+juZO_9&F^COqq-#j6uW7g%TBv_0?g&m6(#YV!mv&^4&BVp7YoPZpj#R&= zX*>&uCEX2MNArFdO3=vlA1VK^j7JCT(NVHEZc$Hv6U@ew15(_Zrv9?Xqw6=lw3|l_ zNqn-tZQ{fYBDUfBy*s~*@GpsP(wa}6p7$N*Y2w+%u?tP$b2V`mqr92_=sxpiSo3j5 z$`U(>W?I9i-O_1Ctu{JOGd*z~j{bNQq8BaRsmB9(4ett%gd}D`-ye~_<ZUVuN^R;Y zkKZKI6pYWb_?{%8qG;r<CZYK&xs+N13_8lFKeSvF|8U5eB%C<SY$17c`;NAma?z>N zS~Jo694jw{BU{x+{)$VqD9u_Q(6`U?5Je4=eC*msPGbh)iwPWfnmSFmnMK_u3xOpd zPA`COJddXFixqRr;jPfjuC&#^a-rD?dI}ot{mtT<v1ojf7T8&!?BhYh4Lp&Cn%A6c zbM*u?)e)S;!`^~MoYM38xN4N+*C+;BlMx$40<lTCnk13qqMZ^tU^}ZJ^%=~8BJbC$ zX=E|v@X;ju#KSK}qboix?$_py$e^IRAGor)g8eW)%-&Br1q?w)x5Fwqy|U4O1E&l- z-i)XeqnH>DjxhFZU>Npij9aadrYjiIC1+eCtX-1li+~dLRp|JbC+;I5b+}7@9|3m4 zLnED%G`q=BbGIlz4C!8T-yG0VUthn@^vY5aolqbgBtGj~j|bg~!4~L|q(uK<98xgQ zn`q7clO;-cPcni>ttjQ>h_e<G;x<WYTpK0mfk+hB2sP5Icau2B;?0R0ZgR-xbQ<3% z2IodqZAW0~Vi!qBch9L(AgL&pWW$xJs5iObatNho227*z9&E!^hfGG%tp)(!Qxk9F znlB1{4Z<ErXH2UpL1JB{UZ)pj+J@=_gNc_6<KZ}=aW;5^9@?BsMkl*hT%%(*$CXka z;WrHdcHs2fi6&}*35{1oMwO?Lz(*5R)mTDhti8opn6SnYwq-_$SV{!bp&>1$iNSsl zx%}Vky$_gWMRn-A_CEWZ*?nlH&uMyw7KWMXwjB<`C=D$P>Ns89He*W&o&lO6bCGGd zUM>=&Xb6LdGu3T_hY_(QK+qWIpayJ>V-n3J;)O<xA*5w6(OmPMAo24?zr0**Gol7z z&ik#Zy}M5jGx_u0{l16a_g43=KdV-)T2-s6cI|zt)?Nlm@UMeX9709ZW4aB#)9k#? zwi5YQ6-MJafoGYt_sq~1iUz!IeK2?Om{}A#Bg+Z!T}wC%9{+WZW(Rvqnl@sR#|;6! zUJSZR*=RH8pz|i6ujO+jzUSn8it55;BVmyQ96z*ZL;FzVk8=8mFE{6;e8S6|kXGrD zI171?LbZKtz-2NU$!3fkoB2k^WG)EbLeVLTp)cd;o-`^l4zECfn+B=xlhjc)0}Vui z`A7vzS))p$quuyMHvL&<qXYr30Zms3t`GV$i0jOy_(){!7Eh|l)+cMoLxsX1F#H>J z^NEa5TYg_A%n&k>rDGY&UJhwQs$QTC+rE}SZ#VH$lw|&JtxmKWAjZFf(Lk8nEchBR zpp_Xv8=8Q@TZBlW5I*AEr4&kI`L|++2okM&ZHO4XE7Kq9_Sc5&h?P<4M^k+3IB+GG z9{we-XMm%uJcoc}XQW5y;Q^P?<OHy_K5?0YR!mw0)%6ZZA2sA_w<hQcY4~X`N=8G) z&A1o{M~wxYfer+OyNGd8CupRH-ZRe6q0kl#TkWm(C-7%-Rmy=8oiKt-dz?Wi4SLW> zQt7u5h?AI^Xec9BsYsAV6(;w@)~rs4QKSYKhkS*YQXn%BS>;qQT|JVHw)r$w7-_g( zxoOq~TlGkyA15<Z8Wx7Mw1&fgA*oJ`%n@;RlS_=q4K&|-pN<9JbPy@Ex-}^2Du(uq zXT}Y?(Q6c21K(@4e3RZ6KaD@5qfs<IUSJcvhRDeV+%Ootki@CNs;}Z(E_EaC;}Eb_ z+*hb*Ksp|z^bwN%*laTeV??&iS)U<i<A3h;XH-;m7qQ#6E|B%mzBC?u)FDeN7-Km} zqGTKbC4m4(fTW;-`!;AlGqnw<#sK1hBh-G56t_W_0>YP{0z{erANN!|8~O249g=Oz zhy|7RXp5>35REETg!Sw#Zk<k{e|epBdQnc)Qiq7;${-79^%W?}`!9An?^0Y{nUaUT z8v30l1lI~SFjby8U!P3-+i-txrOv}tLg|O{IK6$F6!VxK5mtf>tX~$^iDfve30fK> zgm4#^2cN7Fy;_)SEP%;;`sQ-Tm8V$elNyW=qi9dNI1XlX<bc-hjjO$qXFy1eu-(?P zNoRDJq$~|JHC%W;+=4Nn^vb|zbs3Q(3637%t^R87YAEN_8VIa1QpTfEvTTYYVE7Nb zRqP-XE@2<@WrMB}o$=^6P=QIygaPOAA(N*!83}kkrj({8&yzJ<&QyiUXGJaG|A&`g z3-rJEw-slQllhu?VEof%t4qs7$`&ue)}=+gxeZJyoeI`NNKg7Rx+wUio-9s2$fCt~ zp8ogcA;rtX8C~X=Od6+Jjwug&pKnO1U*e!uE57upkY38@a-ZkZAg7l*id4j||5CQF zV2UYkwfIVt#l25_89q<PAGQWrJ_QpGnh%+!{3`^a<i}-8#J9;Ievm!07n*$WxM83D z_9NK!JSMZ>S<U<?x91tkOOA;t4yfK+8*z-wo9{KmxUb)9EWWpa>39(OArTLxH@L60 z-&<o4Pv7_}fj&Mv^V<)Jzb(WIqrvw!G~tu`ak^f{iN}<yzBJbRC3Jjejz7`k3y+5M zxN(So^S!mLn*U{<zf%^gz^Ok8PeYZQgtS)waCq!*8X=)DPi7B39~|J5f<Nb8^%m`d zX7)jy+WmamdApxA3e)(EVT#Y~dZu#k%(&=0ANa*TBu;udyxh;*L>`+kzW8Cw-QaLw z4u?D}&gY-Xl9CsZcub-OUrav#g0hQrU-bec{O+YMd!P{;J6c<tfgsK@0ZDo>c#5^B zioW{s?aOmzYc5Vp5ce39;UObr#gr^P!nZ;uDeMt96k;<UR2&!wcS!^bk6~q`kObd( zP9F0jXCy4w?28g44ybl&?_Ya)CXq*~>qAzfMx7%;$lz0bFk84-#lTVhNS$S1eJszK z`yl-*LBngfK;g;MG3sOjYp@k;OQi5NEtJD41&~S~@^CaFgxLC*;}&ySAJRo(fZWd! z7KE-6B|{iOi*T5hKMbmsoMfd~wifj1ij6fyfEAO50(fK|S?;$q-SPxt$@Gg*ONf}= zsQ`}pOKe)p3Ib(<>grk(GXhQHTI{Ybu1`XfE0t8gg&+`4TVHFBQ@n@e&A@oFVto-7 zx@oa_d4YPVxGcLewpqBoh|2>(R*J~-g*t2M@?t~%Bktwkm&-@jGhCX7#8^27t{$U& zmt;DGsi#<UmdFB1=T32p$!0M`Ae4Y0riFVeK`Ywwj(nNS$&xnnJic(7GX|UfwkGKl zw0haaZNP`2Uc|TLQBuI<4Pbo~E%jvq?<v-_R<<?VO8DZiW<3;a3nHr}p0;$QEp79O zDaP$wYYUjru$E>#a^cSBRcDgj_%ceMq3!M@wOZ*uo>qK*^)z70&WC6m=qOLAby{&6 zikyEcrgZ{1JV$Isdogr1eQwYki{P7>7&-{S=!cZjqv_5MH4f^*!pD^j;39`4zO={? z@8wb%gdY=(592Ja@`#Gz%Z0%4V#Je$0=1(!R%ad+I{p;-l|&7LG}PDXWd~#vjl<nK zkVb_zfwPxNl|o_>BBj$BbjuJ#mdir~y@I~kQQ%jJx(rzjTK%hPh=*z`BQWFH_&Bh< zy|?=7to3PH2$}V^tIy6z)USnVKc+7<R6=5<sJn6jfi@cKXy`Fw5vg2#sZ=4EK**?Q zl~c4$f5_o?2~AfaJot(PUhO|ueqQi+0x9&IJkkJYjXKg57&ARFh%_4VxmBH`b7ZNs zS?i@!*))@;Tct_JX2GaXJyc~}zN%qAaEBptasyH(jw)%6xsXf1W7srt;61;{Y5)q? z=}2=EK4N`wu}`7Uz&1fXL)cj=VF~DEsLt6@GDFaD0g)K*%ScR*;={5$AcL_n=X+(! z6NL0Wj=ExJqoO7h^dnF=GKz-cw#gE`J)x7(e;h{)W-!=geGc42CaXFBQj`P<sS>gc zTkE_?qNP|v7Ul0Km246jB6@i!U(a}#<0_8EgM@r`bOcIEL>t^9weROlv1uiZOHkU% zgyu~qc`s-*r<1K3*URA$(ywpRBWz5^vLq-&`hP>>aV3}(Yc1_V;y4%G+QoHoy-s)~ zX~jf0I3rslD0_HInV4}XisOl_zj5d|nosX)x6%dlWtE|{Zbv_Mycf~2;Ble{MpC(n zMB-tHXu*pxtoK9q@;Fl4Y&`8>uGM|A0|z$gjt$%;;%CLGi73aN<QU&0{LDtToKEOr z&LpW^WKqpX7hmU~9IF#rWiquXIW!)}c1lnR(nOluo5^kP>nau}V++0G-K}qDXA?UE zsg#~@T3R&iD97^N4_q|vWW*+#Y|*AgY2ejD+9WP5qbUGFp)rB0lS@6uJ7Kv&&^p8b zhPfi?uJnm+KPuDB$wUaHNOAfku+Z`sW;C5205fdmR;%JrbM@RzHk~<Vq~rwo;O;6i zZYh6a3O3GxQ{FLeY13F=@1#8%Q*d152>?T-3ot5{^7z%!VSmE4Az#vYmX;Y$F;(B} z<W5Xx-Zvs(B5Py@Uj6Odct(77qx_w}bq-U)6hq&JF#=a0l%q8O^!WntoyTb?z>s>L z&>>><xR2D;Qcr^f_!rgqFDa2mEe=2vjOrkS&Uyq5RYI@DJPJd&wZMdbx1c=XqncE9 zggljoyLD@V1=H7#Te9&A7T^|oZ-{{D*57XZ@3RP{OnUg~zfa4Vpm{2$mLQhiTLbVN zFa>oziayrBz>HSK-@GgVq;&d&FEGOe9Xu>ymIuaw(bkM_tni-PI-8nka*o!Hm8sG` ziWG5PVq{RF$XDnD)B5<-w^zh8V(a<9Prx}RI=y*?nRfL#jN1^kL6Br?0SYx-9_UqC zPVt4<2Hoi}R;ddjpTyUp?|O|`{Twr*7>6q|$AINtD$K&Zx{5REL{tJ3og<n-qcpA4 ziuKYAG5N=l5WF@Kxxol?G%YUF(GYM&SLat8X76Y<sc%A?pkWcsxO7;?bzs3Unn+m1 zXYJy-2sdAEdMU9nT6PGhptHD1<SfbJ0qaxLiL}W)*t+#M!brH!kE@VLQmpek*9ghj zXi216Q4<-Zs(z$z1#w>RGi%bzIw>VHYr%UqES+iIXv$JuxmEcAn0*-`FiminQg!I@ zi;)UITR5=d>8v(=mQ=aUCamp@qI9<g6wzeAE*rEiHN}iV%pKw!)Fi`+K^mw5Ce8rk zh;Wgvj$+kgz{L^~N^hMZ8mU044H#%$0_YM%(O4R$H`QZH<Y>}uVT4eWyeYE$Mj-Yy zgEcASwn<x;AHXUaD;T9(f}y0&XmI@T{Og1s%Q%hcw7qfo-Pe<bzCd>o#s)1%%^4tE zI+Dx;x$o(fN$@icHb<MONjK?C*)$ky4cVJc-oq&&Q%I=D6Jof#%%pOk<7Vh4X)#7u zZ>%?Pt4aY0Q&=j{R4#FJ@}B;5k~j;DNhMP{n0YWZ>nCl;PFhl^d!aX)({<3^gnJ%7 zEf{O}<a>$)beynFqQaP&bZ8?oW~DKj>V(2?WTs0jj7AidjRQ@ylNhZh`^q^af-s)J z*U7|XbCZkv;&C^LY&Q-M51X1p{GvQssZ5q*jLpR8Il`fx?lF@Md3l43lOSe{@sqPb z&P0q@szwrJYbK|`_|ErxV?Hpap)Ht%mQU}|5z=6A8Wt~oWNVw@oMk`By89}@fC<l^ z8!JTO2%@S^qf+d*I>BNzntl>H)6UpBN5_}tW9!^(qdR-{nnn-vl0j5`>4j<j<DAGj zGwFuZbQkJ|PR|uHe?3-ElWyGbtQWao5Re+Er&s&;Ni7@Fke7H8MGYyDmg)*HmLWu$ z8rFU*a#o36Oh5++rAczs3}#XYh}Fx9vx)Oz!DwbI!*)30UabR0BBShClE4RuwPW6B zXa?KnbAXPVaq<=Y?m%xWkCFzt2bV6;t)q(&{FbS>G8ZL|c0sNcBEyx0AHs$_*FL5d z`wFtqwDcNO3w(r&hY+=0>A`uIbp#8%-<N2Z>eHEKoST*7o<0h?)DDe9W9XIB*crxR zklb9FnWce}hgFw!>`RsZ-W&aV?&8EI^~Cnksxg|MVTn)~GNbSXoR!FU->pO<%+*CV zMpJTy8A;YS7l~N@BsxoJ5YmcM?>O#MU86%t2u;PE2F^|><yTmX`BGwQba{gul%#{U zlZl<aW}ZmSyFpn3UH~7W1f=5I%?&l~>k}-a&PfNeR2$tVk`?v_o=-kph<Ky(0pqYH zgYa`F>aG=wQN3wiEyOhPT+Ip<jV7t5i3pDf40}r2FEzvywR*8lH#p{1BR(5xSgvQu z)u{tXRWJC50vHo;j_sIJo`7EHheV1|&$*Lkuqb7vyO(z(owdrgGqomI|G|!)ob&n4 z{%E6YM6HH9gj}GU0=qbt(Mmt1GTg+*M%wAX+IGYO33%$p5DdOg3cbAIBp!-L?7miH z+|ywBkum(}B=%dm2^Fya4zoy24#zf;_$$%}Ujru-OA-%F5im(8j{FKG@Juxs&Ppw@ zwo)K-(r;zeF;FB)zG(KX;B$G|x(gx`w>cTMbf$(#-w=}_DX|o(!noL)T5KF|ByG;| zp;TWbp>(?S1dhUpY;^K+EA-8n2B7_vvkTI+78mH1y%TkZN6N;wZ!j`Sr>oHKsAx(H zlBXV3F}){=?{bO18>NOK%!r}IdlHs#qmagVo#BS;2-W3#gFZggbZX5ZP4o#BsMOR= z`Gkac8Sr@PVurjfD<8yU7eBy~4;g4}D2?7pdZ<_f6S2%bBWc+1hPILwNsKC)3@R0b zK}q++&V$J$XNC%5+(chpHClgl%J0ucm?Y?hw`RmHvNVY!hJ{EoBaft0jH>at>rP>9 z=^LSQa(cD%mIJ5Iw3FDd^gL}&Cr-p;8ZW4dNl*aUm(Hn<n0zHI^2Ep<fsF_+Eaq&W zzy+?@Xeh%{GXK_68?tGmM*S&er*f5?6=Pd9dX#5H3~Ei#OFyc^aJpL!c{(?)>m>sx zE&Mh^4yoLv3ndRK9=ww7WQKaoNa||zE8Sbeq|Ca8&7PF!kTmZtM!YQCVoc?ggyn>) zK2=dS0NO-bgh$LNYir!osh(}?VHrj*M8kc&q2YeY@G*(2m=Q^enlC^adEemjXrQZH z)aw^YBaUDN+sjZ}zkf~raSPVUFe?jJO>JE0t0oKqOWtYUmRcyl2%_4kCB{CqP6@(m zbJVLL<SXNOu+GraG+60R=^#LbTv{y&c+CNz1qI6=khW>pH4>jBLo5O1<vr0WDhNs! z>Ateu=8oyF-^}w+y5=u?o%A^#x+@0biAnDj%*4vtskP#mS;Y?leSv|1^jcTxCq(a6 z=!vL?)5=)&fFO*@=YbmYnuIRZ!?)qTPla`}l0>z0HmY!{Qr3|MBJCX@MyP8o?Ty;g z?l_?v@wB!Q?sL8r7kE-E@8+m(9NSS)iS+asLja>34zr9SmR2}3afx7vTa$frk%w08 zGl|?sXe!d`urL^g)+XIqV_qgP)rasRk`3e3#unR%al^pIA9(bQO!fG$^wblUuS`2_ z^h+{DAC#$@WDNm=^21%mY9t48LahZVPdXF}Q6D4h7ww2!?zC~O4nb@sE|FmG@hi1y zGCS6i&c*nNb93%+;M1RQ38~OcKo{s&#wBGIi93%E8L1dY|I?$5xYrswMk9`qr`DL3 zN#saeqq7$Q-+pI9^p!}B^j`2!^Hrc&Y*L$(_=3*9TyVJhHc^o^=yc&08_J-Nc<ZV< z-&Ln46L%t$T>J-!j9r-r6`N(3BpU*0`M3rugAKeL3?jaO<EELCHy{W1FP{a;%VNi5 zVvcV&Ag1Yc^j2|^reee_%)YS50D6E_5u*-3gTP&tk|c%`3G5;AaYcKfpk(6KE~}Md zj7nRQ^g#+_BU^n-)JD>qXc>{Vf%+^5Do*1BonasveA*xVkSr5P4-=-Czb1U_`8ak} zx=^P?E9)ti@?&Gts27qTi^e7P!W-Tcv%%7IN;&E(v&4#&V+Nt3KEkb9WL(D|88gWY z5xpE&4vqjuK8?AyYXp0p##}_xtlmaHM`lA}+GR}Fwo2XG14Y<+mn#R`r4>udEP*>) zpD`Tr@JlgIirxCrD73>QLJ<}4p)wP?Fey1P4!Im+CjDtVY}#tE*Jgimk9qazWctZb z^1rM1sB)z|+_^f!Lb+`}r%WpuNv6}%+UmnH+9%x%-f<XrMRZg6O0gtY;}5rq#mp#{ zILo76L|y<CEukpBVo3W{uj&Q?qd4(RH6g3Y-{$Sne^TN8J7Qz}|2%}db6zYrwiL76 z=S-eg1YPba9#e{+rsqvg@)fdl{G_OK`ia-JW82ZXv$`(GZvMVhbO66tcxceFb(MXA zbk^ciSKd;K#%J!)MoFfei4#XUO~n=NIjcWcj<|pCP2Qi1bv#tNm=!nL-U~Zvo0`%k z9S_j*{z+bPxZ5VebN8`zoyd#6O3;;MZYt`kp2=&C=~vg=6W9LbxDcn+y<)IQsig&2 zOSK@^+nD}MmaiIibRE-~yt}LaTuE$KJzibEz^>@4C9-CuzptK*Tb=4(dm8${oOf-< z`nWEA)MoOSzE#O()yuW-p0q(LPfK6HEr(9q(TlQVTUs=U&XtK=DSeZ*6}29^!6ct5 zNoNf8JBGwtONb-U-rGuN*d01cx4rqXn=gym)G8mld3|TOyK>*&TjeWMb;j*ozn&Y{ zMr>)UugIFW@4avR8EcaGzVe;YyK2>0e-=+)R$eiDYIYOT`ZB^)`<=8Qu%p=DyMCnd z_ED*?er=jw+_-biRIL;1;Evg?F=0cK@B(dwp2Vku+RoZL$|#K9PXcR=iOzDl&*?K} zh3eQIy42b$l4PiH)KSUF5Xe!r4drqo8}@2j0JCR*`={HteB?c)^1(lT@Vb?o|NDbq zE*JK`th)D}{=1~}PVEv(CU>vxF2|**=xOI<!nqsESHFAjhOM=ewygc>+E<@jIpcx{ zuRdev!Rw#jxn<*<-?e4ud#``*JKlZCz;S0xy?6IJHq@ifUitIgKizQ2+SmVi`5k}y zy3Oyq{<0rm9@p-ec;%H-+*l@WSn-cPS@__6f4y(t_ip=1<G%m#hx`8VHn-PJ-td7d z|NJMDwg2(yPyF!VPfpfu_)K}`_ow!zzx|<j<!^I8nS6iop}P)ldFSr`^JOA0+xYm$ zFTdn{oBz3f*XJHQxboVcf9|fk&iHAv=icUrKey$KSDoI`yk++J-D}Qx@R_^5v}Mcn zgC{;S_|WxRj@`5Kh1n;6ddZu2pZaIMqBx~e`3x+97FTrMNcC@$J?r|fS-<Dn-7l<s zYRlmJe%}1uJ2&6B@s61*Zk(E$bd8CJKjK)jIGMX??2>0T{7v(Qw(mapR~v48&!^x2 z;k|!f`s_C*UU%~B=Xh#gdDKI9{d#<`CoO;GuK(-C-#NeW!Mktx^{HFFD#!1+?zojB zrBgmwNxYfvr_TMSFGQ=p@n`2v{q5gwe)p@+{n*+Ex2!1KS^ePD7iQzm=_Q@4=|U$? zb>b!_+>I-*x#s2#=k=ZZ+S2aLXPn!8`ni94-N8%#`Yqpo=TFc4@~**mzV?i#F5G?1 z*p`)N@80tE>#n=@`7<|O^57-c44(dt^}qTL=WjmY;wyjanzGq`^hsAH75e0g6<1t+ zbyVAWQpc57UtLbOb#z>jbd{xR#fmG7Z)KdEbjlBhlF4-J<c^shUZ5RQT%kINWForz z!Kb#oYv;~&e1G8b$L@OfYinm*7~S>p2cIfm^3;8|e60Lj>D&+e^X(to{mjafj~=}1 zuJ65SwcPd0?|ym9mff#B>T?f0blt1g9Xs}mzy8+l-RpL|hN(ZmtEI$ECa!keI>l2~ zB$wR%+H0=4@XU9<XXR77-+SeK2XFfLcOKmMw~e<QJ2k~PdB3Ge(20Av<A3gcaL4P9 zeg5wKk2l|U-NWDb9k=(6?a4R3vF^jiJ?P>!#S?D(<iWA6|MF{H4{d3F_w|4GcX!|Y z;laN-W!1K$26wMrnZ)}(sH+NAC)nk~W)Aj`IxuE5P)8_2z#VsBbV|*MWPpVcW`!l# zx1V2SA3iWwte$d895<Wwf>f~{-W=KW%(K-eoBL+xi^a<4<70~3;W2;kC-*n!<|@b7 zbDDDC02Y)E%+Ag?pWOe@pER+^r(9j?c+EK7=IrDUVHP%%L&&n=Z7pGgXm<9%Lch#n zW=Hd5uyd?=y5_@zCl)W&j%VrTKCFoY#bQxYywAd5Oursq+*GZCR%@T&tHg0JnpdqB z5-eM0whNUfwq*vqR~2-Py{p-Q`GNVdS@q@n_0NvY%EG`SW1kZF_Pae@=8RC4jy;tp z{$ydMq1JJ*@HD1L$<H!^;>eg<X<BU5EVQTDR@m9ZkYHwyw2qZWwY7sG&_R|0WNsFe z!yR`Bwv>Exd4|m<P0|80`-@|z{urIC>+RjU7o8mFpj`$Au(pa$p8eE9vshg9#jV?m z+fV4g7T726pP!psv+fO8**b7we*W3H`T6fZ`Q+S(-`}hUxlL?hl%S7s+-F#A;!{5M zSD$_M`F>1bHB~3ieRdvHrV}qs6`O<u1+_caHNI{gVHqf3ZmdaLH^+8u+EkQc$H1<_ z_OXHDrgiH!ZBlEWSjcI5oix|2D|W0~S4f){Q)Su*GYB>%2dV>JCs^4+8))Q_j)k#5 zs(%)Je3bk2{GmFT$Bfk}rz|Y|$pWv%<SOLMb>jQo>_BluEoX&JPe~sglaFeFO?2Yz z>Wq0ii&9@W9}9}AliArg=8H!D-g+3F9DI6Q^XD)#*WC5`7aEOC>zYk;B0CQZBLCRf z{{15}_{9Y&HrGA$_;-ti6R>f#GPz%7vBUP8d(iE|$jHnbZH-QDcFlU==zm-pnr@4u zNq(GW@r)mIvapcrWKf>p7j&|pOrgK5!*=IDVV&yaX&<Ir?A)`dNn1C)KUVBed!A!h zX<XObguTw~9$zKC35?Q-9I)lG+8xVbr)Q3(%yo*v?C03nr!dI+NJmHW_?@3nov7hY zkq@1i=TLtH)V_TS3l9*Un^T=!?)9Af%v<nk4t)L143Qm7B0L{)r&`iU!RsWmeaYN~ z4NyOK&Cb5&HFaGEl%a1jcRi>+mxpGvGM)v!w{NaEaP-$7cwket*<_U>I|~ErcJA!x zVD6eB)-gN3Y146E+WWg|S?-N*yySxWnIfO~o2#x@+rsGNKvSM%?)viQ^SR6No>(SX z=O)7X*szB|i_y7jVF5#?Tn82g<+J<4+~sxR=dN|@uyI?^{#ALV+9Zs{D?dKy|9-q+ z>a}BMHg{o6l`+=r7~Hg}%DBPx;|ZNedA3z2+1%CCxr-jAqvTVvp#JQ+J3pZ_eVDtJ z>*TEFX;^csR6Zj!V&@F~KiA2~2z6=7rZ?|ICp$U@w8a#Y*?4Uh{M?0oUcdGmYIHKr z(yvjc?g+Z166|jdvFHLn%w;wIP~F?c>>QfvYLrJjeX4lPr|yua>tXuy_C1LQ{H45L z@oy`9+$bF*qeq5W8?rj=9hQE+fZ+W+-r^_gThenneM;@cPUuA@kxnhAJSAIMcfEJ0 z(S1>xl(z<4n)!#ubA0Y&ixhjET8A8lq??x?M&2rw)4*vNJiCkX^Zu|rk#xQ>{e$7D zFHCiwmEPn1H>USM+wXbQwav6Gyq~B%;MoHZ?0ROtreCG>S-J)*>Rfx(jo9yuw_Zx) zcPIKX=Ej%NRpWPw`!(S!fl8xrZLp0QW5;vH#`c&tz<9huzeAV$4ohuRs+xlR&x$y& zt9La@neMW<e;C!mOFAU99NiM2Zx4K&S28Vrv^J!-Wpu%(koa9T<kR#uUzXMA<TS;t zQG1*o*PHo=#&dk`%j!yhDXY6yNM*}qpE#3fe6`H2IcJ5ezgnJH@;l=7d_VYNo=bh3 zs4vp!kK|EqBPFu^rCd?aHklhyc|df@z(jv{GKb$lcXQs(r~0A1l$Za`>m=ILv4amz z?3jO?T;6u$Z$d9s?1iH%Rv1hM9(HB6g>xh4@}sj9k45o6hkW1I&VsZL7RJVy%SLwX z+gIVEFYTl^S&T4W&9lsE?w{M&{uUyI{l#_CoL8Id|IhwlE6reIQ|%5H{oHljw~9NW zGq6;C;r8u%50|Rm+qvh<7{1m+ZFy^L#&%Vkg@FQbFnVA8d~>ct-olqQ0<Z=fB*Z-T z<dce7rN2?}Ul?FFGm8f#*GY$Z;mW|ghH1NncEA469LwSM+qL}MHuLf{jeT_N;`3Xv z2Or(B&^)-~ZO=TT*ulZ8t{Oup1I+q6(1+UE%yohY3VAEaSzagH0E;3I?Ax_x|7`nU z(;Ma+*)=nB%rWKWpsoj*Nx%PnY_~7;_cxm}=;TRsvT5D_E}f`-Zghfdl@p#*ot$y- z!tLC>F`8J_dpq}O?&;niP}+fJ$JkD6d!v(%?cDFtNmD-m{G15AC4)ijM&6cmrjx-{ zsAbh)R7ESSpMy?9Lj;{@R@F()VYz?1T69$v9s#g8y-X)Epetq_C)=>>sbSSY^+n@k zU{_{KbWtatiL{80jyWAC+(<PSH}ooZ)WY-a->JOrb?s7oR>#T00$(Qx<K%gjo2P>6 z|9hQasS?m{3t(HDVNu*)+@a4{JdG6e`GXh#{<#FA0d12&tG*1Vr2GLYja=27lfu$C z8N{YNR7iSQ`>DmZzn$7<?SQtk@vb^~{&~;;MGm$@|CMpl(Xo7-H0L{bw(&aI7Ur%o z&+*W4GO#PN*Se^a$m;~Db(|Cj24(iiCkrg|h4t|B?cY7-b&M0$$?2!-IN|X|#|b*A zG9zsI-=-6tkI)J2SGw*wuahQr)LBt6PQrSNT4B8t1r7xJ>K$VpgFKT+p`*|&Xb)*N zukzz$aqbG^M5m-hovh;P1{<Pk+*=(h7ImEXZckeToj}?=@;H&E>g34dq@!c`IPo`N zS~vJfT(+nrDshHS{p5?W`Xb-R;(rwAcz3CI&Hx6#bZQpAJ@cc?FTBVf^7#s}=7&Q$ ziwC+~j-3C$<#QfV`LGX{Tk+cRRx1&|2P!W2MdQEX@wYEehqo`ga(fIt@R5wy7kvyT zk1zUXTNq1T$Ly?S!g)p-khVJhyf%g>>BFgy=jR%;{Ku3-{u_=TPL=K>`FTdDm7aIk z>UaJAdW}om7JO;kThq9nBNT@}KVgVUe&#OTn9*ft^z^KsrswAxr7grIJI^TDdB+#~ z6F#2|hjJFv7kBVxe6n)<nL{n;kT~Zny`1sQ(P)J;mN0c0%`)Gl{2XBqlTLF)cEX4@ zi=po1T6V6{$M3D-`SXi2j#uKGmxSKwxyJF34tw&QbMMSJ33`6<X^qdXcN+KdIK0PB zRQbRMI?D6s8{6!9u)s}!AW9y87Ac&^EIEto-z8LQD78MOi>g*|RxELS$qmdAep&+o z=*QMKm#`PYD30iy`icKXm>G?EaG9Tbu@cP%y5;8-a|--OP8e;8svvstvqZoe_26SP z9(>$IU{{3WFQ;C_FAu~fi(7oX0%S!TCe~7f3(5Tc9dmRuqs=^Dw1$1#xD0z3?~r^s zg`#bC1)L|eTG19cL((#oC6+)ktZ9sP4~dVFlV8a)6y71hgd6g|20pd>_k2cW?6uh9 zhrB^V6*92T3$d)(eAwieKo22FfVz4e3N}YD?<_&Q6kH6AWFd>+O1ns)zt;F?lSRyb zAfTBK4^Es<IJC@od0|#ZGbk^?k{Fd`Y$NMg{o77nSQ6VcyCIJxZ<56nu!OC~gU@qZ z7SCv{B8~*p%MzBymXZ>?6xL9nTdJPeO3*uLl&Woz3rMiypa0q8!;g~FSr~K+_(ofn zrzOz`Ew1q)bwbUo2K&@`F=Vn?r8OjTWrZyANnFN<<io(?C^Cmh^r-2VJ>9~grNWCD zdb7}y_CpA~@q(E}QXk-_NpZ_jKDH?OVbYF(%8S-=4hvPk14A^qjg2OUrD;XF)`%ab zON{f78<)hH5Nnayh*&C)2XZdeA|0=H0)Pc3ygS2P%8E0*$->v3<7CFSNaE8oCskIh z5OVu%HPyGxxGbs1WC7+piZpx`T_R9J9v{bqeMrX@{Od?(g|jN5EOp5Ru3)t{#TG}e z07f}^uwtB-C@pbV077EaVG=yPa=^z`U>*qh^t-CcUxn~sGN1Qa8tn5?l|jo?A5Wh* zJUzbtgzN$Q;y|2}5h#3=EV>zvRIUo!FKnC*unCF!eNK2y7|x`)gbfj__)-}cC;V8c zsZR5IA=&aX;Y+o0R>mUF4ohfsyNl+?CQpjjXbZGG&ga6lS*`w8X#^IWg@huJJ@9bI zs&b#F)}^$TRW|VOt?8hCrSb3G@D)bYA+r~YV3)*3AIg$w)ihD3<x{D8r7&zMf*77& zu38LJ@l?<UOqf$A@E{LBU(gK7LID}Awkq30SOjQ^PAR#^a5P;B^}5sHkm6ksvBQbj z_ZUb$T8oc6`C52qY@L%{H%up2j#W-4^pSaZN~iZqXPwGuj@Wk^+}>z>uVI^GoD7%1 z*Bz|5Xi11-hSoIL%Cst*Vl7`6@|77@Ccc_Zc>%rFP@dy-0Yl|<5u?Z`sl~DNw<HUL zsXDD$*MyO(@{4608*ragN%;c0izv_Mn=w`k_xZf6>cm#u2y_kP;IXt<UCUJ*jjO|x z=-NnZoe^<oCAad<R%Vi}skcJnjDGJbwr5%GCM9+~87DyG?0Ed95qGvPBBm1$N1(l< z=?deqx^$HzLr``D33G7Tg7hO?KKklVZ$iT$c9c?4t34C!RPrVo`93;?<&PiCwHaW> z9&h@DA?TZ2`v;IOz}Rm{j4dXxOVbfL0i_tJTP2byiG5}GWx#2i(+xvI8sKRiy@=eP z_t&CKSh|rmuWme~g(w-%x-b@<c19Z8-R5+dlj7srI9l0IVHY(*C^a&U1p0?G@Df5i ziE2*3cM9HSALlBHi1j~l!WLG+5$VC}W*-zmT7m&#QJ8;cE)$T(c9LsC7^~4uqOIw? zG~{XpLnM@k5Vkdiun7`pg2O}sQ8NU)o#i&<OkzDOG6mi_eg&A2-V%!Uo0ANsA~^>U zxGbHWu*S8_IRU{0MJ9#J_o*I%v-rr7AzUVGMfoI(v|4oysaVunaSGZhce)~oIy4T9 zUfPReIcB`<!(YL5KI9$c5<qzYQ(QXMl&NlG6c-H<w90&v{+`g_I`(yNIsS^slsFKy zoJU|NfRIR^RoNT|5FD{qNDM8<{WWjWys0TG{AC)@c<QgL6OjW7By)sDHJeLdX7v{s zKsR11Ws-2dC+VAjjGLU9Or#I2uffa&Va?}I6=duhhlgU|3I|SJgpR@9i5bN!KPPOX zayoYSp8+Adxk7!Z_ET5ggO>ck+{S6ew!5Gj6+AX<jijg0UWV3!tTYqYv$sB~Hpu2m z?90cu!$ATjGQe?7B2y|8W@c37(<#T#O+}#>pY)n#965rqA{H%w#RFwot5cF+oJ; zNurUFUJK(#HHS}Y@H3r~G1^>$OSmzdSf@CykeRU4ztpu^!iPd6mOrJ5;&DwZYB!<d zFlw2+VXHbBO(Jl0VjDd*BwfsH6w*b!*KJcm@_P+&$7u8`*CEep1m;FYP$_-MF&%YU zEkNryMc34j*9jcluw#M1Z(8ppx3p6wnUII)l1VA}j^LDG9|U&#vN`MJv|;Un#_VmX zcw2Q{G$u5@4IG7nROuCp;=HeX0$5@9Bo{>FiDU|)33xGR8*K$jAZ@ktrzzYTss~S% zn?LT5zV5!6igmQzHL1CCuuEDn<TBv7V)Ylnfbefh9H<%*i!a(%!iTQQ#=vpHP0Bq+ zoU-fX9<QU@C2*8@!#$OoOiV|U8NW~2$z(jkT%9=fG>$4G6;(!UjG|aDB$LumKGWpZ zR!w`$1}aJSdQvbHt(*hEm)C`SGwPpA7)$Yua-lG|Yz;zg2FBCj5;wiFB1#(0P_CmD zKy4sdxs@$GV<VU?027aZO@)1zAbWLTJ9&0INqPp<cs!0xJfkEEJ)JO3925<i<k*~P zb9GvSe%OmR#)v~qGMHJ~twzL}234OEv6eDY%ukLw3sw?}1wwr^qzX|SVmYmlB@7rn zHUK`5lL%0T$~fXIc-`?k!rT>Om_XWxlRlZdZIvXXS?xi^p&KOP7@QF2rc<H24~sq; zIR1wFJSX2*&>50iUl8&^Jx6x`aHGDBBWM8x=~dtH&(;1Ixntr}=4zVTa9-b1D(eoK z!^W^-49CpxM$A=lA|>RY5y8@4X>6QfflB*%pa_O22oa|xje>16D41~Cw*g`~k>f7i ztW&sWj3?q|+rKxdyCGe7A?>a7S`!&^szC5i&({r=+NZT2q*{rXp&U=54HbKY3tFZz z(X<SVh$E<t?xurJ(DQVT<%LGbt=+)U!+h&*6zZGG&1lypu7~va93?bNy!v=l5_221 zn{X!P+v1Mu`@e)Sq1A)Ztv6bn^Q>8Qs-k;QL;tX;Pz5M})OwGa!CY%##AD{nhlXf$ zm96|I)|kFGh}hAz7FMksE#{o=<Y+qQG&}jKjTw<oNbK$rR~t^BR<x|5t8iQz;Wz++ zCOuN?87F>`;SIa%{(hsKs_r^57O=OM7#xi|-A;;(7wfF!ZEd*k3Ul8pB({E5gV9Yc z2`x$A<59xKMb}0<OvN%jCy}oSvP)a+C@=APWBOeVN}%9VL%n@G>eq*5Ix7ijRc{xS zGHbsEXLY+kwXuGPZQAMdL}WbLT{WY<@l@K+urVttT~{f`VT2yW&dD&Nq$b12Gb~h! z@nme5NvFhKMga*#zIb*u^K2v5P!X+vB3NvRakW>|!AVw7L<}!EY9mjr`pPva>Ev!= zdATl5b%<83sBvqJyH<B!!Ia5Tq|d?Bif7_GDGV&}?#}WE*hOe?K8o$`v{L(N4py)3 zO-mB>_xI=$+K(buF8oweaVkN~aEj~NK}y}61OpRhPD4<4^K_kgns!8qE?|6Bc#cjQ z<L+0Sh|irBs*Ef+--WzSQGj9U50y@ovxh0_NqKPB6rEiyZi)20{6f>koPd_yb_24S z7>jKNtGv3?)Y{=<ea5CqTP1B9p@l9Q*H&aj$qZvghGVwuTPl;jhGTcVSQn9AOHK-T zIr+7mc0-(zpu@^iQ<9KIAr}vS?f=rn5u@y7;5&;Pb7UGYh^)6<z6zC|8Y^{74W@0a zU2aBm#y_Z(K*OohzG1k*$(NibTGY9X?5qG}E`E&+vnAf}tSw#U)qUC+$x+JBIz}i- z6!*RM+QTz(q_;wCsCXy@%GwmPjIIVPS+<&FNZJ^jELIu$N~B=OqorFe#i<`c3_Cxl zJYo?^z%yoyFh$3!#*6a)3Nsgvg#~sm)>-%IQgCK&+9k(`^!2pMM;w`Yk(@Is&PIll zb!>5DWJ#42$I;PB!inIikMJtAHdk@<s%a98wjq9HCOHS+jEI?XpcIUE)lvOW0Tn$! za_X7VR;D<qs21DwbR%T#sXYxsqr1lF$0w2;MRZ`F-$(%}^3=4<_)$H4la)kX!v&;0 zl?jlk<IyjffFd68&!)&^XbKo{3{&oe<h8MW!Grs;epADW3~|XlG0(o-KTx`*t9QS( zp}jZix|Kd&iHo!u4D|M*JxVFPWFT{2rimyxFOt!qRYzr2B1%?B8*M2zGMw*vG%gRt zAYt5oQkpi5D>hR0UsZ0ns_~H?d0CgfG<me*I@t$y_2=mrQzku4HOw-zXsCivUYJbb zQZdqNVWow-(H^JeX7U*^iqBjhq`fnLj=<+DGb%-YCHf@THqN|C)N3WWj{*Q^Y|ZuX z+QBf6+hRRospyn1wM21j4O5CyL;y~4QA?}=%)p_YL&*lx-boofDw>MlFl@@bJe<d9 zI*NY3J)V}5WvXV`-MtYduc%QaW$imU@{_rZ9ztzxWG9JR%TQ4?{|czX`vvc|ILY2} zFU8c0u6z$8b`da3q2UHcBxp>R<15mcYcaMt(g;IChgC(kN;0C2FUQbxxMS)Jub@rg z8FE8+L>#@3LtUCBOf~K8E(T9WV4Cygu?z*A8Xo}4I{mTSkunp!BBb2kxY>cU@=>)t zO5R3e@<#1aNkgMD!Z}8!DGXAjox{Xwfmrb#MkqM|r5*xGSO=%np315~H7y;1)S;bu z11s92iSn=4gcpqTDUnHJ3}P}#kQi$|_?E?;T%${SaH*G@tcOB!!3DBSscl?y+j4?V z>F;D|kFNDu8DII(0yU$PJuRwHE}&CaTY&F`y&^^<?R_Tgq?0Hl944dxz#$W})72C$ z?ODG?Xzj1?^UKo>Qf25HFwA>B%8xsFR#@hd{rGR=aGyJkjCgGP)F|sxZ`{0{n;{t~ zg!CRIsZ+%asFCssywuKNfW`DIp3;uen6xR2Ln=_lvKoj06Erd>W>(R1_0&-TfIc10 zE2=oC)8ceer8VH+!wa6S<Fa({%fT&+@)Ul7)Xr!2lM6IKQwd9FQmd%Um6Lgw$Sd7p zDaE53OYQ9&Chz0Hr8wzIaoyOF_SI*UUR{eLW4n8el^^ldgGe?f=3#T=nNjaeELh_1 zdYx6_X;#_Ql6Y&mCa-;hcHX#x>74Z&Z4<dVorj?{)Tob+NckJ65hXIUB>1!*diOrG zK7&fQX>}sMD(#&W4xbx|-#x_V<<krF6Y~($1ji>O&9vHJZ_Y}5ku8@fi-F1E<lavD zVJYo#1!cPkj>H0aIf{w2>D-+xXUg1+X5{UzQYy#oF()p8^)gj-bR@LMfJ&y@*K-11 zUsIB)&ig+ErxjoQYQ}+K8PWC4G^s}u^5G2;B#}%~BeGEwp7%8fXrl*+dYHSMhMBu4 zKy~AzNB>b0AH9uMp))X8qBUDM=3lwUMU{SvOFI9HE+}BfYc4Zt+b_9zg{*mkGR}MB z=v%LsD=t`b8{O|>n_gDw<23urx0Z{&tZ3WfO2vGW`2Y=Ec3$nRm3Lu-B(85@BY5q` zl9XI2*?8Js81vtIPaLmFoQ;vIt9@mOrc}wps3myJhwr;{I<9mk(VgYvZsqh$+-P9k zg;syvEl;axeIIMA-H>*Ta^Jr)PDgZw+e<!}a3X9(s})~RuAR5h<J37yHJ>B}`S^&) z^G?5j*4y5gNN<}ef2Z@6XOR{&U|0-K+mYVd70gy<Sdvq3IzEc0^{hW#Yn<K@8A;Z& z+>ETgbdzZGCTlK><=Wa!GV+z=B3t7S0WC#C*U(v<+~Au$*9{qdYwyZyzF9ke+YNim zKP}as7@L4VRkrSnn>$b0-j*IWSUxUY(bl$mOKIzOH(zqsD_{Gk_m_`tKlTTEOIzz3 zhA+Hi^_DYsU-^!m*Y7-Y_w(0Z_oE$qcK_D(n}6e)7k=`&>(}l1tJhs~>*d#7{N9r< zEbn@EIbMD8hOQl#KehYJ>z?o4`;Ogvc30kWM*GU%3pZ?=-m&qP(wdcbzHxr{b&tP& z?KjS8i`L&$F0WZTb!q7yN!G4iS)%81>OU@XhR#o>ZU6Y-$EV-9Wy>|k-+kPc2Ya?$ z^X5Oi>#omTviZV0AGqs>N%`z;dmg;ysdwyMz5B8)`*uH*p1b?vqe|<O@@k0-E6ZnG zSl+hn!?U|ScJhU<TI`|gI`?w6am9-FfBBo~%7c5~bHY#V-0+q^`>XWJ`yP0H_aA<1 z=gQx?Yj5EL6H)PF*Brd}H-0!#e&};&C(r-Or|<r~wSRisDYri%N9`R??t3EoLi@@y zy2{n+)n{yZLe9MD*FN~ogTMZ&g@5_<O?SWJky~DP;-s#<Wxf9vr#8keDI_0|Ifo`B z5r<FcbaXPw-1RP3{wdF|46s}$uj=gBmNqJbYmbXpedy>*9$dTfSGQd9p><on^xz3c z9lh>nH?RE4cJtSNzWHx=U;e)Lt=+l%zh1KCpGTy5&6}_Nue<kLfAx32eDJkHyZ_hQ zcE5hhnhW3c>$_KOx@=|nytWOy&$#B`nemst`F4A7_bJz`dC!IIrSs0)@Qvw<H{P;- z$B9$hKYsmnj}Mps<*lldmE|>Ew+)r<simufPIy01SXpA_u0tl>``}%7?W|t1deuX> zto`HFyWf5O$3A}7T^FuieeB>Z-`l-<#kGTX-Mx0p32QESb@vJHIB)HR-#&L`>5Q~M zCq1@|PL5*ky5xO(Pq}a-13JJ_tEX41PS$?sZ_5`R{G_b?+Lt%1yXC`g`n{ig?mK%P zdS9udwDL32iX?h?`tp%yUjOsCRUdip&)$2%sed>4ky{?@T2ZZbebD{Z_S&{>UyR!S zV3iybUH@S3Gi&?)?pN==<R`ng|EKr-%kS-brnz&+ufM0Vmlp;MNpy0X*NGO-*2*P# zWOE3!1G5E+I|gP8Y@282uiuE-JYDy7zOMPbCVPctWS7Wu&ntOHvtys`I%Wg$V0q{9 z$Jd+5*mF;I@ag%12c&%Z=~7%*eeSvb{$gRI$@#Nc=^bDz=mWEQrf#9RA0D#{%BMN@ zr7!7z&c|P1`)BiD3<2d;*+_a|VW26!V`B$Ao#K=pI|etg`;-m9Yy}?E-OGr@4rtz) z7jgB}BdNF`!nsDy(kYGT(o@ldI#!bJh;X{5AVeX4oFkf&{+x+(hvEh{KeD;wk@@+t zj}F{1_Njdz-+%Y64=8>KusjB&zqT3v9nIvCfj6_4bxe0W@7y`?sYN>7_nT`&LyK^D zojjN6<gv%*XUWzfr|bS_bTXhiX{XHRe7XB*X^I;tay~NCiPWF$=$M}!d}wAi=%id8 z94u}h87Y^KKb|SQV?Y|SBkc<d-}~MiI`L;)5l;8?H=Ex@CnF=Olm32x_8`*<XR9)u zgfoE1K#AM8t4=u8IEYR<I(F(A%|elLb6zLgw|DHM`8gS(=e5v@KYpN<r%M5<lLO8D zipU|;H_;h6ogcMVk@&$=U*PbD{5(A>(@AsTk<CqZk8|CDHU|2~cU_w^2!7DX&lYvk zL?>%Gv-$`p_`;b-PZvsVbu%`H*GY5i3>_yIKK2-`F3<GJ>7V%w3+Cqcq`67YND%fq znPogQ_wO2P4(@ws7iU(Q%@tB_?B72>+p%xqISvMXeI0$hzLR4HP1VW3DYN?qW*ZAZ zC!C3p`wyrfO?mD)y!mgvK#Pr>mgz(jbeuHM*R#1!LLKCoCwK1I&KZVkVQ|l`JstFl z!96?oc%AHGqr5-K$mxeMJ^i>zkF7P)2?IpWCHiA2a#Y94l?Q&Y|3_NBLNPmsTOBKK zP*IA7fkD;D{+D}-pRG8mqfA~nbfA;@fz8cFnmcw~+njIiJ9M0c{o9m{%pY$4;*t3` z$1ikH`k^}URSES8uCfOXuM-)|<}O*(3Axb8d=;JO+*S5-mox_-+P{CGqd2hdq26AZ ztv6SUJ=fUZM3~w74$cIAUFV`Y=Xf~x$=|>!4?NVIeSp3FI(N0`#Lrza|J4`hfAa?) z4|5mw&vY_2mg_|K)GMs`DrbMZPB@pb6P*Y?axr)98msC|QPA^_Okq{_-Ajj`yU+>k zP34qB#}VePLPt?&!(!Y^`5e!ze8-pfv#6syuc3iQn7cO5KQi{V=8mx)_5UpOLv<3y z3B}M151|wBa~DTrfYx#1b;pq%|JWh9uV(@&W?0WNE-pYoTv}H<a<TA>TB>s3ck|r0 zHH$;<@fz<IF;Il_k@!l_D{dbjzSO77uo7@_UMwqzbd5u|$e)KhUe$$sfo~|+)A=)p z8rO4|9$up~<*C@q(CSm>nXU0a$BRJl)XASSj4C}m4C&d<CEx+a^B$ghqANSUc$@d7 z|J9varT0zpg(;}duIRZ%^NOvNIx+JK9`JYv$&L`79xX1u%4i7W=O%e*QOM3WA`dTF zv@L=>G>mV9Mc=w^l6jPJ7b)Epn5|HsCuyZ)-CgqZdPa{$^=i&BQhFL+8_qFqRlGkJ z$vH>OcWI#K!A;LSat^T(_)EW|ND<<`oJ&d9mjt{6SmaNns}lJ5^NgN8@PTjOpUcU6 z;h$*PYrfo-ons7slHxZe&O8*aXCN;HPZ7vA(XYI*hU4$q8diXxm(8D(Y>!vtU&Oh^ z)tv1-lLsnfDvd%Gzm*^FYAa;xTMJLzHd>nN<J{xNJp=#dYK~ymmi^jRz`%{v{V(NG z&NW6d*Oj;ZyQUhiq5Iy(U|cqHMHe<1k7Hxb?Y@K2yx@7@=uJ$+<=!wi&SQ6B&)`l* z^uPho%?FxqX+GcnW^59ao1C2HTvo^6?EDyKvKO8o=$LI58K)0C(9!%xb=S|%KcA!6 zdYc5snvoosYYr3{#Rr<dc=X%PGFP4c++$C25Wb`1;0rpwIe@39B7OOO`qzvc^ip?r zcJ1Zr)1r4^rC=w$hm$^IyI6YQa}|r6z1DMD1G~0w*LjY4ZID@wd%Os97~mgoW=N50 zSb!-qz@YaOs|UMOg0Y1Ih35;;&+qTpOw`M?fKh|b<qx2cxVQ5>+N{kG^srFvpCh1) zy!|jZv<#^}IXgp|6q(S~KU60NRVT^Vg?skw`e>_8XbVqY?&U54Jjr?goh)qTzy824 zxD~TPe#={ePF|-v!3xLDJwYeWzJN|-(_pjNXmoTO7#KKk{`m{=;x?_&jG_Z`^YWpw za`}M#rhW2RR&f2VEpw;r@96l>saojl><CXfLEIzjBxzsQ+_gu~C#qF~f$ApwP3UBk zKhHSES;p<ijJmk<5AM;`2RaE%>k_f9lAQzjy-5WF24$7^$7rRX6YOtr<PM#Tyzx_+ zPMT7m{YmdWnfLAD=~)CW)5)CI3Hs~pIJ{1RnzR@B{D+Jacl#dt-|b^Anz)1g1WV&Y z=6#=_Dp|g2m8uQG1DXyr*4}*Xxi>faSxs@Im&2tT(p-=M{COFhUnoj@^T6C-b56#d zW%Ub>Fiv(7dght??^nJD7Jl&yZuZUQ>COF&6DjOJ_+yp<E#pL=Pqch}CJFcDmT~ew z!BS_=Dr%3$wu+u><e!_pKhMZHNB=B?Wf^@S(Q%^oR|1rIyT~}nqs#oJR_MUOfhJa8 z_RlRGc;o$ylQ;Ttf-JrPSe9ikYKWJ=+A%jUzcfxVwneAoq%n58=4plAX9i|8t`d9v zAv)P}dzicS9BdpiPV{^q-MSgZ$r!duc6RI;oS&b4j-uew-d--Flm6GePE;qHc*b-M z3yrZSo9JXyM|(Ru8T?+a9tPI=QlC9ln-cZ*-hcm-@IA0#?X$EwkCd=z_80b7e%zE3 zPgKhjVVpe4!ARAKp2s~@C*?oJro^D0t>!soP^z0YZQs6W6XRr)p2cS2w6lQBWE&jY z#dAu~iI+j&8<w%t|Dti?PhhK*K_@z5_s{7#`NYMUPSCB66Inh^Qq@WKwC40Mm-#yK z4l{S56HmZ6@j5wIf8AnP<j>&Y`(L}j<nhdp7rDM<1BFkY>t&-ZyiM0SE!9O6*cq3l zd+#OeyuysDbeEo(`650pc|7xV?fMdj!h^3cUPS6*HE`)tU5t<C=^A<IgF{5SOiaay zxJ*NbrusxpUIw-$7Q(oRA}zZ~cmz`9l)(vL^8mhU2_G8sOM6ZCyp|ERMAjV-lSwY; zf45Kr?K&j|h`ooq#9}Fw(fqqTo3)i~Z_T3oTnj?SY5*1KUj>I)N;KsOZw|CU<UAkG z5sNUs81jK6L~V{WdEoHAZACAOEG4v{Gwwc3e+JIMgn(5qh}tS5NW-=AOVms`crJ?Z zU~%>0213d<dd7&4dB@`Fbyz4I7TbzkYPQ0Xu&o6DW;o~2QuEegUB)2ym+|w@nP8T; z#SsKK9NOcQ%Zm~faVYZ8c=i;$Or%32$G$VuNT^?nep)E)Qut2VW2x*Hm$*dv?+Jb0 z6SZ;)-%3$*-o2)e#7MI#Q6Ow(lI5^@`yYt|c$=pkCch@Ns6D4#^xa~pHDEQ;n$3w* zRF2bvT9TJ022Z5Uih4GN@*mf=4~8tp4<vPKODonx`qv^K9|-)zPLjivl@TxRq02cO zA*KSg)PvX}`^7-W`UWH7vML3P^_~SwQVT4P!Eq6xP4FTxEz9=S#F`giauEex$WNdJ z4#P8V2N%+Us~YPN7e1~s<X~1YO2DFWB(NjG7GbR=duagwGgzEe&PM`W=7v((h(tUu zQItBAw?v!A@o5SOS}4FRan_I~E999x??v_+;Ea=}$el=R2rgyOitwvF?vy4`^+gVW zRJv@yYjln);c~F{^n`Vj2AFoIeNK_OHO1m{IlKTIjmd*czTf-l=@qBVen?MRB()l9 zABRV5m68fj8D$yq6@fbbSGaDqx3W!(;+2(x4Q&oa#Bt?y3)5bxi>9fp_`$`W@)b$M zS!LxZAY$K#LPFf5a&Gy|73in}+wwf6x=X?+YN>vD#wLTw=|zF5U*HmplU@@fwmD&> z2QG9sh8S&ZJt(Ss()D$kN<_1hLyO?Zf|)in(~Qz-9>r2?(uo;=aD$MTP#TGzLVehK z>5Y#priZBJQP3xGzb}+8c(7YaB14KvZCU%0xG8ao+~6COEkt}$P`Bhc-lwV<md(VK z#V>$@SPq7_la`g|Nk~T_$l}Gpfk!gU#%rZFX_uvDO2MTKs5<2(E*pds-893NMBms6 zUjp&v_CUi27ZPJiy5CJ{7QuMI7Nai?xO|wk5z4@&*2`2u&gmSPjO%edu_PJAX(WIb zJ2VMMVu~2&nwDY6#<{$}E-y+<6d4y7Q1u*0e-Q*CQYu_>?4WWQS5?JM40@ndDig8s z+o@|_Xnzn(6M9rmNGZXIn{kr_z$iFGaz13eu;F<?F}<Ef`=|-&E;3^D-%zW>;~1Yq zTK}vCyywh>PgBQojXP&eWC_-tvJAtO)2IH`4%rn@vhzL?>2{zafmIGFb?9cTWC#Tm zxU{z{LC#FOGBnXrib}$tRSa$*G#bmmWWM&LP~q0>IhE?tr=GB@GGTe`&MW_L#|YHu z$tXcCh@*xctnWs&UL^?SUEe>lu1eS@G9-};88YepE+KdhW!Q|Jmd3vpgGU=xGnqY^ z@ve755qaEY0poq2#wj-TYTAZRVmBEnx@?(J3g1n1B&MWJD#nA-a9(3cE!HT$rVgOV zhRbmUEEuF@FCdLzqH?7B0mn1CNfP_XfM-B!<^U#C)h=OFvPD=5I&i%PXYFrjh|6(j z9|mK@?~#wivZE_z@03lf1#SKhEiM4<7MT#_b6r`$;*UBl&(F?^C4-A_4f)?>aG8y3 zk)b$4oru#yDI1Y}94X8Y#50s^WR&}k6N1(w#5m=qgzCpx(EA>#Sly)B<1|SN^#P4! zz?W1J$1{Pi)0VTEhvK_66#5@JG7*vgOk8R6PAT2h@KV&qX`7ax?<DKusOS)T4(gr< zoJYx}prhVvEr@Fr1-HZ!B7Y7vbS9HBNVsI}ll}*&<V=Z2ZDg>UqY}0Cg!x@1av;J& znch*gGF9+`x}mC#B1&E4uhX*=b&-q&Jh~uVpDmPR8S>d+HcT!b#*;`tKq;rPa_~44 zNe{V<X05zQIc3d4bjVtE;8~9_p{;r~-5+I_M1xXl;LvPSsK-O_*nkSdIOZ~Xs80(} zj6!5WNN-zwoJa2pI-C>`1l`W$>2wSO*63-r$h5V!5#ftO;K(}zEg_c1{m~E!9<&6b zp_A0f!afwWM6=e|3u=QwxD(E#4(naP3lQIGwN7T*u^p0Hy9sU*=mR>CGb*hpvr=ko zrEDWhxMpzRL6Y)-ZAu@Pr)7gvaU4jM)uc63CcHN-JB`+A=?wkWI3Xm?q~lPLz=Fd_ zzjA8mptBTkA6rCIZ4UlY1DtJpL91qIQ6&L}ynBd46@sc1U-#jn&1tmP`NfW^H&AMt z_1#57hXpMY3eAIWb#!RhYVXu_2ksImaTfP&wUlz0P{x^*6V9Vrj(|f%%P6MRBb|P@ zr}`rYAnip7O0pD~6+*#_znOpzP{*@AsC_?#i8HJfq9GNI<(jshuTvF-F5JB=nY+y| zD0R$Gs?WAm7av#LatLjrU5zXZQonLxMPWFuDsiA4&a*f%dC;dhAJd*>w0o#Wuh6bX z&-cSsdxr-j>5O)p)DI#O0Y5>8pfCnAI)6jbewaZV3MD-w$-F#$$=8JtP`+Gl@hcf) z3*;#b8-y(mYn_ft6YeKHrcaX5^uA~V(t02XFy7VBp=FtXaKR(yVMbl*#D8pbHpHR8 zY(KtMfdgfenxIXhl<MdX^Z>?FhSZu<oKeSvF&Q0d*44B!2v8k0#*TLBl;VelF1TNE zpuIH%r+yp1F;WrGF?A_(qEO>NU!RnCHd}3d{d9ZaF9TEzQd%+NqPNM3sNp|?ZRvGf z3NJyG1i@O|@_N;h5$TuMPMg>kqF$RzKCXc&|3$B*t|u>5=(k8_M4S#9M;M23GNT`c zWSoRQMZe@>d&|g4_%72Y>1L{mzP>2IoC6>4;=JqPT=0^vj>)96#u&fw<*;I>KcK8r z8t}_e4Jo`y3`2x5?-1H>;W+gsoHLR*Lcbh!=~wBE7#kxo273CE4Ye9UziQ+*l?{|% z0==w#7O?}^nBl=%mepy`*qGL=RT(}_alZuMR|(x|mw%C{%Z6Vrc-hOedu1bJT;;#O zuI{Sn_Iw~$#4kbT%BCly3+%4$8ar4CAwPQI_L5g*Dd6k((sT-6@>6ZaP#NiqyBO&+ zVi_&nm&IGhMHj+pIu)K&UYa#nlFI4&k>?bf_FZ6&K5hZEaVd>3;4Nz5NZ&7mQcjrd zXUWxXkiNiM=NivzobKi;ZhiDGYPdt3RwH|Hu9bYLg6W^(>&x66-(c5l-fgejm|pqm zjkB|x-#vWp#j^2o%jxI#guj=b{4J|2FWico`zz=K7%s$LSbhEQ_LKkk$}3*Ep}FO{ z;d8G}&)jXN+S~c(!1qfWDwS!*Nq@x-&8^vdy}f!(a>3ZDv9ZnXl9g|lHQkI8hKY`p zmohjNZtWXUhn(8a-1P?g{K;E}PagZ{)faSqYtQCu;&ZP}&e$E_oVMT0k+PRy|N6HZ z_tQ$^oqwD5{~L-!$B0VNcJ$$7c}Z8}Z~}v4`|a2M;RiqX!Pma_7eD)p{Xcq2<ZFP) z&wliSuYH%${{4^d{|moI_XrGLGU#KU(z;L;>hiV6f4cvf#k&0TNB{8DKlr-rfBcuB zF8UUYl~xq@w&9olKJN9?ZIt0Z75Dh`g8EU^^*aI2O4H{HeiS8zj6U;Q(l=P;A7_00 zTRY-8{ikA|E;nTPztPH<wczb}94X+F@%53GICvSq_y=XHGV-n~_s_P=;~tNBhc^x> zuk1S}`S(iX_7pZ+hUQlp5Apea$!JTy<d`h|nDQI+6|{Z_r8S@O)wtXc@cB1n7UQ>< zPbNcDx-`_W^yAF`{VD6nC~upx<9LNpC+f@il-0+q!u-^zG{m{byf3Z+-ov6l>Z4}| zdQrb6J-%sb)Mz{<Kl~<dCSB*4jIMCbl-2aYd}8q=deid!yrc4XmP?uMpi&_2)c5Jm zNi^DV?!5+tYyJKS2+{(+)mMB@r}%MESnu78j_;M+TT?3cn9uM1%c%q3T&aQf=`dH{ zjQh5Nj<}~+x|{AbhGg{>@4q2~AKwgLO~2WBdyw(yl!c6M&II4THCDut!Dx~?dSx)@ z{X-urE!YN^`eI!OBrHb>vZyw=%fK#+(YzX;^GN61Bw^<x-r>fam6vGz(6V{Xsy^)r zmr^~A$&iQo85%E7S;Q><SV0P1*QbZ_Vk<55y3kfU*9cxNyAK}fg#0;HOF%2jfc35a z^H1)}Qd%Q6i{w7hNTem!B40*|7oKJM@N=-dxv}B7$0{yQ$$gL1gp7Cgh~zQqD4pdB z^-A(=U|OM4+Af6*5n46Sx6&LYY_mc&$@p}uE)`vb$n$N*6pT-_8A<SiT&=5*ydqRS z$g;@8=g^i4nQ~wGEz)S@2w=5AaQwB5=5UR(#IaR4|Eq@nxac9LaUmMqX*{kh7ms)^ z=HANDr{;{5L#I9NzXeW*ckSWPVC$OFT3X)!vmACQy_L%M5ijL3tf$U$9>HtXz!6A} zh&EYD?i0-i+%hpTGVfV>L`-OL{O7ejB!_Fwl!dJ-Wif0C=@rID2<0*L1Jt~SSZ(gO zPvpq|h;RPA#Cg?^NUzmi4zU=IFnMoWO!oNDPK&rKpqn2EVq<S{gM<DW8<t*1IgV@B z#h%k>FZ$4}D$bHYT;|YqeK3pq;g1D6GMPm@Z*A(v=ug7>LvsfGFq=}LF!f}$pqTYT zmfR;cZvdrO1bBD|UYK_yFxd~`>wfI@?^BK9*<Iu5)$#RI@tj7uj7-8WXC;y1s44C+ z7vPh$eu2g*Ci`7G+lFealGQ}KA2KM0YYBWTfz8s-+{os_$n<z<!^laY3HTw5r`17@ zP<~N)`IaM}hY6&wipf+#Ad(_!x^(jU8&sZ96=5GA3`QjSh^9^1M93`dWf?^T>sV^H zOqTG_6*!loL$&n#Cez3l$&jW5q`!S=Q?aE{UB!E_zV?nNoR#4C|3-7L6duxKzn>uj z-S6{CRAeiLW;L)*ph_$e{S1ow{+DlMV!S*F8$nbqBc?Pi2@S<=j!HEdp(09Sap8sa zca4v5{Ur#B^cyPb#A#aHaztG$;fkHZrKEyYU!efS1y)0pYE>{F(Um-)G9!RPQiJPU z$$;S%F)5Qa^-TT!pweTrJ~Z3|1+qBp<AB8=s^_DNIin0!Wb`1zZkWJC$Onz7Sfl$f zrUi4_Zo-O<C)9c<Q#kv=;&H9Zu=Tt=8w67JaMi|&$7AJdoSjg^j)s0!sUX9+kv>M; z&3Y@HiA*#-EEm9^@g{Ck41e16j;&{gm)&$8cuCKs?vx-q7{9yxMvw}fLHa1^;Z{Ss zqt7`CAH}M<rpGSk=^%+;F=WJ6#;Gu9JB&5EVaj-!l-W7f5GWXOafO2aZ_?fdPOhR# z_&)c}oz9)kkm(tkaf~6UX@_iQh&V72kc~;r*v1hf+du}8MKWYr7Fi!f7Y!;V)lDaK zCWr$YSV2D82pUEttQ&n)Ru}<YbY}%zMBN39F7LiBj|(FZbup%&|Eb$OnFRLZ_r5iA zZ&jT-=hUe>Rp-{dT^|{Ci)FHr%hZ%y;jMgfcN0WnILDMJqWUcx{98)E;1wLBZLN*H z$*N&Bl_ebHRcgxx54{5C{c_kC(Q@V!%|PkZrTn}n{kY$RP$Px}2XCzfFes{St{c;I z*bx>Gf+U4Us0i)`NMFi%xK^AN%*HCXa`p3S6h~2&k~?KVd+>BpCMf3v<4jqL=cHzB z#sXvuIq=xiR3_*v7BRBUiZyMhkqLlQ2-@|ITxgLliGYK1R)xoD%{ym-DvuuSu`COn zVZT-9dPB#~+h7fW9ZIiAE%H<sChbb96-o{rL>6HbmCO19NHn1->H@@Or%YT%3LZ<t zq8nJk$olY{o92=1;jD=xNJ~ZFq5)q)J@Pa7SqUScT8t4_(Nt%X0t40Z>K*6A`Mpln z=2@o<Yuu(HB#q1bXs$T=DoY+?BmxHsU2@kDPJ5;PsZ#={f!Ee_KVNG#j8&3oO6RZ? ziP(@Eg=K<~FESj}xs0PE!&a3Be`W6T2Dibory9096I<sKOUj`Z1_SwFoB0;lo~c1Z zPiLjC+Uh4M!7D;u(hFP-M+#fDmv@p)8Bi`CHWC7(f#jG35z}a3fDk6ZX<)i=M8)iL zAp768;=BV*NpRfY8;T_(lTJX4v<yj}O$E=`oJOfh&S1gN!w!ZLRvH*#JF#@17zslu zb(J-Wt8ta3ggn~o!bn;9UEru+fXYIhOVagjH4ePx($F}Gs%l7+7f=HWox;YOu};=h zqEJtiP<w@NCixFT;DoiqC}pIrhvX1^ulClEfrt-JL^bR4ifI*An<cViY7AsvJc}}{ z1Z85O<>ttVr&>eB0Frukaxr0zwHR<jY|dd)03fAUF6KUQJAwyFfn^a4xtS5(rzu_{ z5ZH~=hn)n*Y69&%u~Sh4rF{riBm^KE?gbkZiPBCSnE|I&<7-e)E?{GBFgfUrN+-Yr zeR#OX+AtF9RQ?oLMzQ_+4dt3i=?>n>v)5>S!DWS05nJKuz?P#JTiD1%;S^x)RAwDX z>jDFLQI6`hK?P3w;7y!GBC5#h*$?Uh5=JN;=rjqyu(6tevyPlN8p{_U6Bn!+4f>*R zK7}oM6i=yQ$M9pXU0ejc2CQ+$(!lxbXW`DjI?ajvqjzY;P<CT2&rP2qzHPFICN!Xw z-6@JQJ01>w>o<ryVAKfsXCl^EpWN6Qr}g8+<zy#((s8D0QUDZ>F5$}Ls~9??)k$Q4 zLIJ*G8hU12(vBI!8+c%6+V=8bFhNbF<4iqx=v+dd8+|V6f=Q2*$T`OZG=vrHfnNTI z3LFT>LMcChfnbbc9qh>3Q_@eI>{5JD-bg_L2-KFB)H55`WD860S3_*VFPOrEsKUSO ztRayK4#z6hM5Cq-jOin06vc(63Z8R0<RbtGmI~7R)ETawAeQ4epW8)*?ux!ZR5Bmu zMoE4^lc*4I0mOkSkH(bMlt{|7OsA|kddIlo#3D#&MO%!=rUkUuT9-pE)U2bRkV_<P zhe2d3TM4U3e0U}VD{jR5w8dHSv?UVFJr24kl<OjWLUuW6r9H!vSb=G!N`zBMd=>hz z2hn!KrbNbwz2;GFEpH=R16H4niZUUt7uk*G3A~q~2W;%S(x*yWEr6RrW}9-bN%{(S zWQH>PsW8H-Kb|N36EY>|q-$H(4w%qZzz6YrW{Og5Mc2+cC*jg~O3LXp#ga4NLW8ne zCJCgkitK4iJ9MIy5DdrCUnN2UMF?#<c{a@FVIU9C*`=pHKElzpz*s8SE%3%E!Wi*! zx^r5rT&NEfX01gN@EoTVm)(dh8~9sMl}&I5qe7P2JXs6jcpL&_!>X#QUE?rcWs5S( zCa57uNL5#0)kmR~NQY>Vr_+{YTvdj}##4663E13hY^Z@yL*DWa!_g}!l&Up6l%?}8 zN3d8d{lfa$+Cmw2;W8zT7?Os8o)VXq6WV(6UCJ)ALb~0NHBPM2-zbcNB!^h24p~%i ze8y{ik!?Rl^XbyK71~M=o){h>M}%)G8;9Aq(%T|SWFDGK^$pRQ{Pt7C-a(FK<vbvT z;dZqv&L1emusB3f;tcV?fMv-Q*NW;|5rQO+X_gV}J%@{AjaF>pw0)~sk>duv#Y53* zJx^eVN3Hp>sES_uVtaAsoRn3G1KVYUZO7ujOjSWxmmkn=lVAyzvWqA_#X}AX!|EUz ziQ`4XGSXvck@+qFI{qNrt`bCDq1H7-09dt=%Y>>aAjAo)C*ca6|Bxe;y4@g*gtj{h z$rg*>!V|Y3;g!6_NDG!fBR!Foa#&NA)Cy8$X~|vqMwvB=j(qCc<($^Aoq5L`QxRsz zqJiK7pmc%EL{u3IhpE?P!xH5ejP<0ubguG{Oj-7gD|(@kIEJ4;9z;t^4yPk+oC&Z& zCL#%aqA4wzSg|x^9S8|61noq&C0Y@rq@Xe5C2dLvW#|UPOc^b{OQbduTh7H%PGXEK z92UbdG=_p-8kmI=k4Z=h=$VK&oxK{=_g2T9w#`6adUUHjTT^zSjJdBtZ5u<|5UvPE zzUE|ceYVq!O<5fDDuha?IX4^yTo;E!`rg%f8L+s`aM%P4hB-$iGKx;w<Cr&6ijA5F zKqBIz*m8glC226r=!=Taz(sP5Q~TGe(?SafZB0QU<Ggv7c52wEIH5csnKLZ|yTBG? z=Q<FxNSiBpF1%SWDAC+P#|;j+0=kLP5*5e7v?U(y;^ty8R%k4V(-zSufjJR=NKYlT zQX>I@hNES3h$!%sZ#*{9n6&bkF^=)mPRA^eo>oX|3|81Deqlu^Bj+-_M|HB5I3G|Z z6ojKntVlkqvw8CDop{eZ?pl*EKEVrM+tuEUpiLb)!={fL#qcqxJ<!XU&}gcmZ{fJL ztzk|uMbt>tc5)EV@)(wxBo(NmVA*I3@t_b_V4*BiJU(D-Jnt|9LNhfC{XFF1DSgY0 zx0yojf*_6uEV;$Q`qaxqeIf@>=3*u+F&z%dxE0#klsGw^0wi3icirkfqU4%=<oiNO z%g~NeDOtm@HdsStdKM&@l8l2$Kh~50Tf&NJjH)iAvZGWEXvT6Q9+D>Q2L9+PHpgd7 ziIWgB(E!u*j<?p=kR}F>>K;+#c*{zjSqvW_jZN6bs5Xu&l&f9RnVNN=JSg#nk*gwz zAqG~4C!Yo^mlh9^s2tY$B-69B^qS%?+kV8w4>Q#Iv$i~%K{Rtp9C<ur3Pz%8+}6_` z$Hz##4E^SH*`Jn13!^5?Pz|Bl7-Kv;?$`zzhO|vEmb+GZ3mb|QE2@Dq%y*?2`<g2E zIihI)0#5`dzJTabw9wZ8CQ<p*C=3CdmOXEG5%M3`3IsTkN3`K+vS9!cChHE-uvmp5 zgYy@6(GZ?@R_|25htR*<U6>rIn&zZ0&A92P1<oN77luDj5Kl5R?j2ZOPS=P<u;(ZR zJZ%k$du`ANOjU+M>XCQntqQS@_cb4ovISomB>-O(3i@!k)c9&yxc}2}_jQ>}h4HJe zm}Q)Qaso7Y;?P9PT~&vH1#EVBE;RYL8tUU~nTx}+Lnz)hwY}q#_Uy$DGD9fex}nI) z)zNaQ4_jlv$#ZFC7NO+JtiyC6Zy$D&jS(CPPV!VirHXXU<Bs#t7t7gWY2f-*Mj}Ts z!nf*cEN&bk@<t3L2nZkUoClaW-~@}28gD<^-Eq-ua`F=CS!Mcom*M%U)z(T4t08sV zC1_Dw=UumManMf$KE)LYZ1x@-?Fe@_nfnh3WZsM?c*>~L2d&XEwQbM{!7=Zd0ZI#w zYK*#~CKG2&B4g4XoYT7VHIgJNNdgJ9nUWIZHHAkHeaX;sx0%pdU4*zxDkU1Xi(TNr zFk#SEtD(od1mniFJO-Z<gc+F<{l_sd>J=G~$WfNpDg+n!d$IT3hH)MbTK>CDIa?ed zK?RHZrJ^O*%W>)4bjI~Vrmbcf%^_p~Oa!4<#%4J6b?KAL28;b0k#oaSjNF;#na7zQ z+qzuQWR8x4k}?CyD0_Y0Ei}BGyRiRj`FJGTyNmS+_pk_EZIYHflnbqny%@U=^mi$V z%A%$v$Xbr?5*ed4s;pF=o)H?J7*}n2*G}f#eVyS}6tpjVAe?W~eL59A$~rn$C6kZs z{E(7_rr(BTJ(l@WnzNSBeG+br;RZx?5o%M%O>n+fxID<Y<8DvqIr4;Wg6>pa_4Z7} zEtr6CYE9c4{y5CP{^rk`)SmI<os^c9T9-W_RkP0K$0R7FdA)rU#=SWSGHrzR7R1`5 zkuJ&{Wo$}D-o#_lQ4l)e81uB#mr}FW20WDI<~U~Dr4m^#10N1n0ka{U$POorAyPn` z1W_uU$n|FnLxrK^K4e)l+s&~EaXydKi~IQYd$P>*hu>Mah9iL_zp&4~mIWcpH}Dms zrQ*U$i*r$X-$Kk9HC-r<4Io-i)NXZCz_v`6Ed!r$TSF6dtqOK*by%>8YC4S6bf#Td z{KHbmYRKoy2mYdJc;eD^*>@3q@6B;;yj>pZxp_x=z@|s?)#@aN@5!HEeZz^VC|Y;& zj;psa#0a8KO6r=uf!)<sRqYk;4dRx0zRXoEIOB1)(wEbN{CRzko+_;+ZT3~$dDaju z=gNV#oxN4Yghjd7jRf|*5zcRmdHu-Ib&d|E7@h={)>Uh}Ck{H6-=uAqYNu%!Ir1i( zE@yPo)`ErJbUPC{%zfNawW(;d&5Q>Zf*i@sx8)yr&3T__i`=jI6=7Gu+mTJXFv2)G zekcfnOCH}7FZ<!H-Jcz!CziI(Tl?73{*@nj@7i~*`q;(i9`_%MSL9E8|0VqkKX%%x zP5rA*{Mr{^J~n(};c1uOySTh*)rs#p`Yl(5$6YkMGCK11a=Fq{x^heVs#Pl&Wj~$X zwEyKzmtV2*<&)pH@`Y&AgXg?w;lhh=XqT?*p7~C$fB6Zk=kK}i?i>2;kE`jW(SmS6 zJYKrt;_l<5TQ1C^6Gk$0ofQ3K@qO>V@BN$Zd!EYt_I;=H-}jc4PrrQMZT+j>w`$WL zj^BOXeIGKXJb&wbtJb`6@upR`Uh~!CuGsW1sc*KW4m{gd{Kk#r;Xi+=zj*((>6ZUJ zF<)+owx<~sPDJS+UY1^V<{OqBxc$I$@BGBJxBTS(+%JE<{)tE4^|w2A|IK-S{pQ<d zHhuRKe|*WEAOGfWHl23=-5;$!xcd#C`{A9>FS+HnPp|vKU;TO8os*N>zVef{j+OW4 z;_Gj2dn~Tbyv^7%Z(X}^{P=mR-n``fFJH6Zyf?M&IPUgxd*+kzTYq8CI)C#gddGYB zwZ-#}kXsgi;DOa29(i||8BT|1<ogAkNQk}2PIxokzh_F8J!U#;Qx2U}w!ZJ$T>s+J zMqhfzs*jCbvh?>KS+)3#OWt?IiJ8mK-*o=R2jBermyg}>#KQNV^UtR|{M%DjzUQ0A z|17=yqO~i|JM;Fk_LHrZm8(x#+#PJ`KJLI37k}ccH|{!T&;H+DcG^dm9r5Xfmp{;3 z9RA5O-w7}2>t6l$*MD_#@uIg~do>3vMd;+zEjJ8zAD1b_s*_<3_CY7QAa3!2h4)>w z{%_IA{Eywb^2BR8PJ8<4Tkl_W%10KTxAK;o@B8wq?&$fO?^|_#M{3jMJ8r%EluNp= zN_}$vH3#;$74Q0FHT|jG^pm5~^G}NOu{+KR3C`(Ezw}Z%k4`r2J8)pZ8*e;w-aozc z<hOoz;2;0(n|ro@{IsLLIsVLnW%c#%-}QfP`PnBnfAyztzU9=Xj@|vXWzQb{-Dh6< z;J>}M*tR=8x$Vn8E_M9vz5Vf#3%5NQyP1cKJ^R)lzyF5J+gH8zs8#2u(re#Ra(&nE z^(s!QPTJRhblG^YudVGhkxY)xtgJus;0@Cc&g`l0yW@@r*$baG$M&tsKG)Orm+$a9 z3hVx}{OMl*^-&JMI8&j1E7(Ey&g#&eZtDdOGGViSw!VI@UVPz&>6w?of8hns&+lK$ zQ@OQW-+4zfkFt&J^yGA>tR9RW>Zsp+x5r|K+ZSf)xq4y${(GNOAtu>fm>03!%g;Ua zjAHEDr)LfLd_6(ulFlVEsQU&l_?qq?+|tTk!h@|Rv42IU!b#Bm|H(7icbMlv%ixXE z9XEP6J*^)3OeeV~_o=;s{Pd^a|Gr?Mic%>2l<Zc{)Yve2kYhYH*Uuf4+UEM@GyEJg zpIx<EMmtu{bj(PH+1J{^7=H4(Np>)ns#Xt_Zk9F27yut-$7BV$nPmU!GI)a=iBR9% zIyzIV*Z0>)Cudg5z=NEq((z77-*N7PD+gD4Ce!TIjGLC1?|{hbL-QEb?SawBeyDRf zYISnv#Xvfi=nl?oVGd?42U(#$fKH~BPIW?=y?i3~dYw$xkNn5M)swJVGTI^Y{;s3r z{`-Bd?BI(|;50B$MJGT0F*=#i6!u;A{=R)PQ29c60@carMm+;%{vWllU%Tq0W3}pJ z$&!xMOO~(=^!ibM9!j!jI@>|d(aGRoqLXCb_e27bslC6F)$Q#*lNyffI*?-hx#ten z*Rxmqxznx4Gc%(*Mx&FrpS7~7lYQu<gEZw=m7r1PCf~<SZ+X4f$-N3O4V@%~K~tMg z+NjNyb~wtZ9rTmI!H#!oKbh%B<ZF2_@xR;JsyaE~>y$hO(aHXS_w2iioF^xrdv5ZJ zFaItG*mAudv`iN+8%T6Qk3lDslkB(cb;A0BhJ5$#ZYSSA_=6=s-MAT@$ddK-`bodp zz5D8`RZiVi`8P9kj)F|;nI27@Xz$bhqW#3{#D_IbM`Z8wCB!rTOZPr+VdMMto9p$? zLH2G3-Z`kJp={hdN?i9$ZyEgWbu#_jOIrB))KOBe)yVo;)k(ePbwYll)7t-4CmkH& z(a^~og2k8a8<=V61j(w>TG!)J4mx`3=Ts*Lz7bjERh^)OL?<w;Cpw|sPu3r#pU^vI z_RvqzNuwW6Cwrk!zubBU8VQ;@QQNhDAl|ndBT%h!HciXqy`-{#Jt!OZe@$y|-@c~p zDiYIva!@Co4V^4{a&*0@?c!{h6))|cW4q7^wyW-S@@lqg2JIx}(9&u@=~%7%%y(AL zK6}X$?I)uf=_l+xKf19KoeXYd=k4|A#P83|X(w~~$*bG0>F1)sr=FUgo|5A8Md8dV z4lSCQSx<YUpO81_4&lwrw08vav3!qs+l77fI(dCvZ5Qrg+iZs#Q>V6T{gIqRbs(}G zVjDUcLM?;XGi<)MT{HDzxhLX4!==2+@L=b$IqNbfXz+qc_G{@v{2ld8l>W|8a(;;< zelKT+_;X7l;wSSKINV4dW_W!D{nMwTvf&FVJs&br{2kiI$vz8z9*V(aXS#@Y<1-F~ zAN=8?{AS~d-;5K?aFOoMD)ITHEAbj06PGA$x*}^1_2Wjo>8ICR0PhAKzK1vS!jFdw zdLFmtS;>By7pDgbB9Hb)@%MP4zf|+)Jd{4Iv*F5~9lZE2-N1P$BW&|sC}yj@*?7&c zd}{!BZdeBNeJ95`Dpma9<Qx=auj*Mc)QM!jhTj!bm2Ye*n$Pz#=9H|3|Aq4CqLF#h zho8JR4U~M{eemJZ<$Qea{@_E^%NJdiy5WQ3dh}hBH!gh62(g@ZvWJgw;G@tmNtf^f zVN4F5rh^tjPkKn*C470t>3JwL1)ttcD9*z`=@;=+O^>AXyN7M?<5xuHzTlK^59u%; zA?ov6)SiR>sQ<^)a<0jtey$O3`X_c@R@u8~ZM!VJOeXMi>_0K#cddjcdF0?(_Al9) zOWnJXnKyC1apPYu)#H!l<ILqYikEX9k3SEE_T<l3xvZ@l8Bxu#_@#llb#b})b5*oX z&OzpE#`-!4Iq&47T886oFrfKfAX|N%-gsmEsmVzldmLi=Ky5gE4mAI~@zc|WUU(Gi zf*Jb<Pt?LWOft<gvEI?Kdv|@{si*eMOiy-V-HJuVWUDz!K-ud9jME<e0ab7qM{Dkl zM;~4A6G?P%_0sPKc|K_m=G=k(gM-u4FTN<8wZi#wFRCOk$8;q5`S_^bM@KoGfslS< zd2P_5=gM`qo`mIM{6$KKKevIC9lXzJC3?;ShdH%^*UEUU!;i}l@k7e2!_#Z1=~_e| zezJSL+x%c%+~{OSM@Rj2_xf`l_RYLlt4&RHbi9Ex+7M4&XGh1(-j<a&-pCPjH)^fw zbHFbKr&yfqL2c+5RYo1~i!Q2Glf%^*=E&xH!RMtIXoGY0R3{C-3Y_bj`cNMnUumPi z`C+}laTbqpIM$>;A8rzzv~#!@u3nt3H+8ap{p9rS`)B0d$p&|7Jn%XhL?<#`c;s}r zPNTu!{7$gY6c^!V_Um|&0YI*fKJb%_8Ie59NGEZSp$pZCp3%@rwPb$p&y`Db!n3~h zq`}pCz8s3t^RvKaJO+HQ<s@iE(aGp~#$~_<S9_hzoPD;Qw>8H-qUSI`r>(7CM<*IS zO~>fdf^KJI>XEBdP4yh-Opuk=$yp7ZXsxPF{24U$I#f-a)JLt?2}Nz>$D`#Coz$O# z_`T#qxn9M+LMMu|)71+UX6kKE(G0$*?+>m2z?n4l`fl2Kahh}b7*Qc)%ZroKllM;3 z{-yppCFGdE<BwN=HI+YD-}uPsL{uja{l#Sc-s<*29fr*8SLM&lR3`@y(TT`!zpYHv z!4LryZ}sNE4%JD#_iPRO6H=1#*T&5!F|y$>GtQ>r`8v9uxYr4Iqm0is>bQ&qPO^bh z`+lWIL!Phl79BYA&eKsp>RDQepc8cS%yYbb)V)qR&<SrQqoW-<en%%n_6p-`?I)@e z9lrUEEYJz%Ee=f4>vlhPQO4`!x#t8;sXI(37fEjNBGt(tc(39P*NJF8iS2ss=g-qV zsQ4Fi8)f=EIv(mkC)h4@QeT4YYI%|L!G=z}?dll)JvsrAIyw62jmadqNtt=c-iuB+ zC&$|^ZHX_wSVfn-Ozl&tNFd+eKcZoE8dFDa*oaODh0F9@InHdtcIo)5^`z1DC!NG- zlM_%{Q6TSS8}&RCY?q!tM?V>4yvD(eC`*}5J<?LwK6i*tR4?d+27so}$xKK6ukY12 z!Wp$)yRls}Z)oU*FT9IqI%HNSYP+<q8n%nx-qcCwMaJudOubGHw_WKRkCh<x*P#I; zi2gF{xA^i{Bi>lQ82u{LH^Cq}z5zFhPfa9r#CbeomBL=dYr^H02AuVZKK;gnbQ+Jg zguUMX%<I0)_gB{bh5aKiP<oHQElKbGy7w`)2jQAc_AA7p%UmyV>O*M@VG#Xe;?J%d z>}<s4P<ZsS!{Uz}7T=N{YKLD4JXXGUB<WF*K6R~TzNLYG{ld3uG;{syhWXem2jS^c z5h#crYrwBh4|(~%{<<@enZuYG@vn%lv+!H~cf24BJ}+Y=hr22x;t1@jScBj4-HIiK zK}g_NPABm2`$^odOY{gyJiNMM8B*b(t9oDgx$@e|$Qt#p#;@{`tbc#7Zh6Au^W z1y;wv+h(0yq~zl1gmsmku6(f*#n*L~O<!W<Zm@#q}e8FfS7{Pb&p7wDkV~O8f z&*;|`g4eP(!T0ML;T3#0iL+kOkj$X*3#Nb<R=;4@;LqZ}_Y3Aig*Wh6pI9^eb_w6w znEr+-(5q}$f58}H!8>N*c@O_SaRO~N!E2e`Vin%<@D^Y9A!XISS91rU#wQ!G{2lI$ z&L+@bmm6-(`_?jy^?xH8r;Y%4*Yrme1tjMMuoj^lk{MtwQeq~7SU?T{5t;J8CHM%@ zDb%awNCw1&nAXw*+&d3<5*dwIy(Mm{8Q^EA+2COTm&B*kb9(b`q#2mwdlps!bQ)iN zE1^dHOI|dWd^We(`Skzp7W@|o+7S~shG&u>HRWBy6LPm&@`8p4=w1EBJqZwr8Ze(A z*3)?L6F_n|uYdrznl_-fSGWcjh1>LN<|HS@(tE()K}UGNzjQW1${_-ph~0$Z&!tlE z;qe63kg+9ru&NSF&(20Rm7w|it8qA(ZGa#RjIP6EJji4A=lz4AR|(m`CVUgAGUioq zWDd|BnjXw8OfyN_)r61CO?A!W!&7XM5MF{G-IGMLl8jcgYs~6UuxX^(hOeHV!xYW| z5VVIz8?m{vyeb0bs-c{NHGLSO=h3M7!;+L{mhOL$*J0#7Y7PQ@a8B80Q%H!Cj>`|a zvsI$WW;T0p5@#%6N4ZP`;5~r+dpkvm=QyJ^&?trv_q5DOog18`n=Ps4K5I~XRl;l* zZ7%J>@Em*({Lj&6F)g#+6t_`U|9jLyhOgja4yNszQwezwuJXbmYOiOx&j3#%#*WQ~ zJ+i+Q{artXr~rzIFpj@QpEvl(XNlv?LB@(_`AT9SW1Z4$t$Dnpw){QtL`*!@gjul? zh8d}7AbSE?2250HKHCDtHF|3@0@9Gp&kjvuo|{pW&@>nZ5?aO`0AsF3rRFtsxI<v; zhf4%>2T=Y(ac%04C@!2lNtkX@QqY=7fGS*>n<LE;Qs=m|?`FZn+!wS;^g?uoaGIO` zi&n%soe||SuBd71KvNJ?h&3>#(})PRO36>1DnaS2%S>Ub^}U^OlwjnXFCOcqG?@$n zM6iO{brVR_St1Hlog;&i^TEI>l)uiXSH2}Ks_3T_9ZW_{=<~ul@a5<~@#xGMwZ0~( z9A66kmMMu4EkT#ZiJ(%cw6MO28DhQ}Qw_`ug3@sz12LRRBhCt`R^$3fRII{8fl=0P z<W|zu5{V-aF#*CO&bhZDunlyfE>3eE^2{w<6_Vx72^^}7KAM8jM4C>J*`Y1NxSdSB z9t%2AVoi)BMFbTCWRacIPOLSMQ2)_{9(@oY-AKaP2F$h_b0NQ}ltn&morQj!BG@h) zm=byfFXZPtm0N~$P)e76(;S9foUxV+Grb@MO33_V$IRa_gNDf`aUot*wj!>`1aUq{ zBC*KA*E4N!_@dT6id#0qEGdbsOo$r_vLs6@g{LCJ%o$o%%gq5UX$rGNhpz>wP_7IU zQLT)Xnc%=g(oEWhYf$tVA%i<ADc%_&EkZ)A6V5{Q`8H~~Gg~;LbI_KCGGTj9uYyL4 z&;~)hS#gLwtOZqf`WU5%bMQbe6$vaKin}vGuAG$%oS~sp3!Snw$n@PvJJH58F0IVC z46L`dP6?Sv!IeDNb!BhOv(rbrO4{J6>?e?iI?q6h3yj`;WAuHR7(E@uMN}P*8)doH zI&j24_%lI1;DbMtyqOt-ZL@wlZ%mqYnm#QbgBr4g)V~#Ee-^9AeE7sp&>Xck@JSvH z94}27ah$#b85av>MAt!xAqrZoH<GlROt#EqjhCuT*9wCok<Qq4y=^_p)8xU{vKq1K zE3Fqwa*@$6NTJ0i=P(P7)gSw3ol<%nWbE_V2srA%RL^k@YYwdhRAKyEH0m8;zS}n< z3CQ2QB;@Rj%FG+AgiU44VrkCQIMaU;NBx4A$YU#ho}@THR_hqd5oD?I;*<kD3bW43 zK*LP3_r+F5hD#d;wo74|Nbv!YO}N21<I&^5#N~ugGX$GzRy0)EB@g+Kk+S#6{1Dj{ zTCB0b|4lxbGKw2xM(sTasdI5NtSY$DlW2cX=lT$vV)PgyhPh}HoRC1vl`Rr!Co21K zj!s;IAXEz4l2PgPYTh-Zwm=fO2^=Biop}y1N~@xCLNBd~e#M|6*N}={gRCYbOPuGc zK9jiUO&T{w9Dzw+e(|lsj}WL1qjS)svep_R^5a!f1*tx{GRjj~Tr~Xg)a@i7EPbM^ z1=6O42ScsW#6_i8#>-`ug83fd4rEf7y3SQ2UfbTNi}7%!u-&SRnX+Hh8%9ndXPm?< zD2LX86YG3WBBYLjdPk}w;HbxR5%Vxv1{pq?Er<f8Bkwn0>Wm^uG|$9UXA#lCg3<?= zAA<bz#R5u%A&hg%Qvczhjt;`yAZ6_V4c&@R6(tAsC@H#5o^|}|dtWOS$X=K&h**iJ znJCg+`KQf;KxO!QQeRPglpHB-v{~AW<I$^gtVvHWRnDm2Kl*{`GigEwlqHX8WfGMD z%G;QoPo$&}vNjG+jS~|_n2iR4RV>Z@h@`~GiQY&I<x^U0@>h)j`AZ#W<X!&wR&Cw7 zk2sVrpoXX=dY&xOD#MkS&B>F&bM>U$)SL$yl%6~%q|*zotw<&^tq2WzHoGW-W$RHy zviiHMmxBa@lv-D<foG>Jl|NsMY#Ycb2u`I{SI{!}{Cu<l4#Zj*dS2Ys=&HvmMxZPT z406?&`J*(+8YxcuPOSMFVye%cDGi#MskPStfK@d#a7V{+p-UU=G7Y>;jj$*l=FX+d z4nLH|&L2frOI4vm%rvbGD%ntT15rvWZHQW+%hT2z>9O;@PO1~maCXE*$fz<j63*`` zVOJeGfUE7NF52H2A|_4SrQ6hZ`p1LLprI*JkVq_)rfg&QOM2KLLs_6F#qH!m!ZInj z8U<11<P7>VVJ)5B8HTxGm2Fp;3&%;sL1D>*n)3C+;0We9Mji34oDA1ux3g-==mE8? zj!L3sfWb-y@-rqZm*AleOX59$_c_ZELorv{-Bf++39&n#LM*hC@o*5DN;J%n^RtF| zXyoP$uRsO^kK{gwa6$t<8}2lz$VUwV_y&na<BHo5y0R>SCPPaXr422}6MeC4rJ_J~ z(atDGt8$LCKCU1&AW<#|8I!t-xLRbAHy>@Jie*wf8K$5tqs>rxR>~stz5^t*TAQJ? z_&Z^3l0$8g<||_qAS)&164pQ#sc~(gwkQ@bB~>j|<9KIn+>}{yI8Fm(j=y6_x5HJ- z;ZB|#HBk<JIIayfY=sU6*I}(9CsAPNK&o2uEGeE8BFDYmI?4kpm4$|83<jNXSE2<= zIgE^{x?^*)=^7i5%(rsLA7qWh$B<(NUG$MP1C>7QWe--BYhK!1#DR;LI3<O-O<O{Y zWAb=3FwIW$tV!n&SVU%3flfgI<A=|ZQZZt+FF`FSY?Cu%WEbgxrYlTe5C>@ovGLr! z0kGs>(ji?!wiHva0ERobaHiWqJ;04(?svVmL>_BgWU-+TNZ1jvRt|v>B?DHfv_T4n zs1{1~rMf_t_Rry2E~i#<UFO72+v*|~rnCA<QB3A`hZodH743WFnpCjFu4X7~hMC=; zr;rS;>07zXlrHaXb;m=|OF60a_TVZvMSZI%x`>;a1x{TCL&U7ldPGeFa*pU|>|~Z3 z4S+(mi5Q0=w6P_Ki+K_`YzgF1!9xtd_)0i3G}$ns@>aO?z03mJV7QYtozsdo&aBE5 zy9JTMhgI1)9c(HZrL}6ATQU_|jR0{W?<o+qn@oW&E-b&LnA#DXE*yccuCt|SbrDto zt<hz&Qt>T((fy=n^pp@5(_bBt*;3%3Dt0wAN`t%%GNz(?AbtnaxfQ1JkvGIP^0*ms zM$!{r86i^&GE1$AC=oekZ8m0orcIev**Y9JKb*_BVJRbAgBuJpmK)B|?RgF4DVrxl zby{IKI(knKII3G?>IK?L$pHtW1rayP=0gSP<(VroOsfjQB;8OAbUyt>tEP?3s|e1m zTIr0vBjp<ZAm3@p55BtQ8V%{n8^~bEEDat2u7xO0x%G+d1*PQULsJqtLPIv-uFNRC zN2@{Gt`U{gm)9lww=r@E>l&1q<cD})urfyXIRy*r6c#zwXwaI)@rS5krk%ZVq6moc z3-SFcjTVkad8R^KT{v7A!E1-Zt_)a1G7u{ph_vz}Jqk)i6d75|jiDbfjYfU%<3>NC zg*mOctc;yt`ipDwkeZ(Ps)?L-&cw}^YA#MJzk)icRP_xFEI}Y<B%V)kGNwX{X@j8` zU9+HaaglF_1jc-}1PbDW{{Y)cUoj#ULHG%SkXU-Kal@y>*UDRcE=WdctR)o;25BZP zQnNwEolFUi63j}J8Ih<)8rAQVd(~tDkd0a`<QW#6<?rjtG0F}*gusPT_ya^C_oF|h zamDtpJP$L$N(r?z<d2;U3~RQ&5Eb&2q7;4_n6_3@Oe7<O^};dO+trJ0;Hf}t9#+?A z$5GMs>qBpmAPTEE@);t?iK$~oh7DkA9n=z$f*Eo&zoDbB4H6t9Ed>(Pd6N%wwGFri zR01Q>h|yl587Ug}EgHkH1R6JiIo*W7F>uNqXT#7M^#hGH9m3K#d=<PFNiuooQ&b>w zC>R?Bu4MVGiCB{4i^(m;%GHOX3A%7=FeU+o4_I<0JO--z(qcGAh6**Mv97MxLZVF1 znq0&<S}jPpIJ9mp*)7*d=lzXh!W~OP=aS_nLmgr4R4s<uZZG-*UFTrXV4-IQ8p!!x zFn|Ese_M}?dV#n;=2<_ifeYJ1c`J_~HC7G)E~2VpDr6b0p@leKZd&my7i*3pve$|+ zu7(fT0p;mJBZspM(Gx7_Iypl?UDnYW`I4hGRCTUgd(>5{Q5t%?t;z{^_r!8_Rl;%& z%UZ>1*Fa1B2+wnelm(3u<c)X_OKHfKf$su`Fp4LE-o*KP;+LSa<&e)*w7E6VJFdi~ zS>Y8YSV_0kLLk4YA#n*HMv(?k6Pk#FVqQlhg#orG90@%xP4WyStyXpArIqx}Y^M|G zqCHHJR&E^nCKoim+P23m)y!9U2)f8AtNyFW2d(D1Lfhx$oI*7mqRho{S1uaTz4I)R zc9EtE^0ba>`4^Eq9BkKg-J8MJQBm6Bn?P{D=*ezO7NoCcU8k0rUQdBy^4Hj_IcpmE zXren5Baj+^h!fpl(r_lKNFVv2iy;?DN?R034g;WV-mAtCBNP;tQ&=deH4~_|6X$r^ zXKBQm@qjn!45SjwM7LVWr3P}IN3ni(aU`LkN?<g&@Dsv{jv$x_oJM&Yc0T8#)`)HD zn{R(5G!hRJKq(+<mN>-?GNwQCL7&eMCY9AF+HS`v>)vprcroDt<Txg`0-DV6sx@^g zniRuFi<rq3MR~M{`Az9J1wLhFqPWK}me^4g_h?8ateKJQsj}lt6CoHCBG)Q8PT4pI zETVQ}zS{KyD0p~97F#)GNS{>v_vaL?=!|$>(ET(XwxujXq)S6n3;T%k71?A)%#mYh z8$|87Vpu5g*11FSQ>?Z&X<^ef(F{veP8|1y25XhFYr15^?P25?KZ+gct+h;P^+XJH zL#tJqM=gP=BBhHQwc~;^x5%6-VNcfap@d=t<zR)m6a8XAzPhEwMY3%|mX~{Eh1?VL zmE<KcMQWjEioH4XLqhf)nRBjPZfgZbn?{W$BU8Rm3670Mg6wb<pDw|cboA+|fns|$ zlPeC4Wz13SoyB7?ga7j5N|%ZFusX`yMo68yi9pA7UGlwf!Xc<O1Me4k12W%UFfq}U z3DLcy{B%rSHneMQPmibLQ*4`);Hc2IoUzDAjxlA0nha!{MCIEhTiq6?Yc+|s{d=6= zTPr^FX>Yq=woPppABd}!BIDR-T*R<BT!jZ?IwzTRBhE$A^HAUI=jk_`%%yD)NO>yC z$33dLz=dzM5nnYjsc=jIQYyDgVW&{F<!eLJdoi$ZUX20@!y<Bf10~9sI1`<habY-c z4#Uup4E*3x8xl+wo@(SWny)3lij$Fb6k(mJyyGjGYI=ZyZ|7geTf;PDH_Ad<T#&6+ zQ>n;gqnqLr$7N|Ho|2K1TkX5~rWUS<c$l(5SLC9|Ej;y9V=j%OoAU0<a$GE({l9ql z>b047oVr2oCU4%%WQ^5|RWm(49&~+MSm!V7pBFJaic0#C$GKeOc$_TSIhj8m%jnY4 zg%(-c7XEx5BBtYoEHHBcE4Jx-Sy`CACPy>O@KWX2IWQl;HtmGx;Fro<@B93tx7N3{ z{nJa&e00sW-(QnH@WQ(fJhfd?<(=!I$uIJ}+4Wdua$9AlTyvH2*SlIeKJt^PkvH_8 zc=`F4?JwVcyE*yk<^TJNMI)ySUh%PaJs4f{uj@{E*NxwPwz}hoyPi1v)D?TS$2VNF zV6VfKuDt4fcdh+KYQ?gfANZ@`fBlPp|9|&Akh<!gt;gA+cYfgRmZ?v_K^|{iY))Hv z-g%iH?(82pXYn6gI_{z^u5uOqq+BXjT;=>rF3EpnakhF{X54Kp1>YO}>YaCPiU)X} zbYH)He8rL5COYq*y!Dz@SAR43=4TfEx;sCbz2(-$PjoNuPThCYs{7ux>Zx0PdHQd! zIQxqCd@wsdy(b%YzUEUmr7NyARoe7N=YM3y<?qgZ=J{K{`p*a6Qe3iX|FchTy7Z&( z-+12r=RE)0i<dnAPy5flV)>UYI`Gr)z2{?px9&~l>W<e06WuLOWWK-s8{_k3`}ogW zca>%Sl9vxGADmhCqx-(`(x!E9{9ydLo1UF_>=`b-@O6vZyRQwl|NY(1rte?Z_L=mj zPC8Pq<+r>Im1V`H`D<Q#%}o-OQn#er$M?K@@tvP(?|<g6CH38ZzdC*3nM)7+WE`E; zqS))CHd@|Yt-Mt3eKgwM>uO<q<tOKjEIai>AL+X67v<Y4Vb9Zh?z*Dqoc`Bb`my&u zn7ZcY>-x|8$!B*~ceLz!;K56FtQe2G7tFsP(MkEd_<i5l@V`2Larcj&c>mxfFWf#q zb=x=GadztbV{U)VkALu%lWPkW55y-F&Rh7Ev-(dt{~K@o+2nYo#ih1hiB1A^GQMil zCBa8luH1eZeRiuWJ^$3o`@eM7$S-&{+=5Q_bZxt~^ZxJO8m@YDPjLU1g};{m)4M-Y zUHtv-+*zrcZa(qWKR)Tn&;0VjXEz;j`A_nF^V2K3<Ia{P*J(dVRaU+8=}V6L*zXtI z_RY!rKK<Ou#rLdw`M^_GT>kEl)sL&6am>V`_x#I~|9WEo)zuF@`P2K3IO8|x2I2U` zym;a@<JYZt?jLtN{_bqaef8|}4^BV&me0R@$zM!f^8EL2y7eRHbU(GE?bZWlS0^6p z==sdzboWGX+i3?jzi0Knci)Omy3+dl>d!03X8M<1bIYyhBnWSud~o_Z-?`(CJD5~D z%}Tf%C#UNVKB&=~aq8bVee=yX-E_y~G;WgAd;Q8Uoovn0xVJN*e)>&sVi6whu9uld z>ZkFl-}u{m!b!Z(J%@2&Laok9)fIcYcXxE8>m9pAHg4<~Rrn|=#r2afv*Kq)B%%lB za;t@@qROXvC%_gA;d)6=%Epe3nl=dKn@|WTyOdAjMwt`3pSj6?{xoJyXK<@ixXyQl zu)f*LlYo=-vs-*jZ%kU2sTY6qMS>k~lp{O-ri1yfpF2~ftQA++CvNMQL!ZkrSH7+? z=v-8c=W3`{v$)I4uFvs8r^4O!H%_xMa%Dv(sD+Pzd~#B!<l{VEt#*{mo2SvaI$62$ z+;f{c8ExofbdF9mot0CQB2!Z;-6J|RyZ*~xzUfVqb9I6pIjEDpdtYvr-TMXBNVLyP z5>BUA->>&XE*2l4le_jOYp|kda;NEEt<%}Oy#DU#nZ`f9mhI<6m#UIB+R-uiCaF^& z^VVy5)WyE;RVO(gXFjl>zaBJoayEo4fAfiY8=cijQb!q`NG^g-SQSC|Nco%fWOwG7 zA1Wp~8CX46r|C5Fr9C&+3gS9R?O1K)r-|(7<d2ppJUHtjuajPl$kR_xPA<O42NiEK z!<yA|buu}5$K)s)RGkdc@+T(~oy^P})X7R*Qzz5Y+VB#c>}M9dv=0pElz7^BeH5Lj zpZsa7Z#XY+fz_j<s@Kjx{KG+d96IsIqw+~u-qoJ;ldG?u+*v~+1Wn4-D4j?Zootr= z$$ERo?7CHS($AyviDpKz{3+`JX^KowSJ=kQI$vJo0IS|ao`0U+!*XVln~o{-8a%tj zl79BtS`bZr@i(Y^)X%e~pCmd7>$}(2>xb&Zv+>*#ooK~$td3Z1m#c>`3nmkt9GKO~ z1m!wZC!04Pal|O=ca&GsPZFIZwky#|V!LK$4%)7jD-YT(7R9o*6+?#Ydh$scT6?iL z$U17T6SQzpCmFAkB`UF(n(|Ov^x7qV$SOf^yL^#GSrN$`^z=cUOimtZyR<&(1O1cZ zYP;$U+oj5S6K{8D_s=~ee{1R_v0a0!b-p|T)X!R}cdrvwS<}t-6HDttC#*Hp)WMB@ zAybY4pV!If&Xl6Onod|NB=ssXB(_T)+01IzyP1RE)JbByHqY8FggDH0Z5DK*>xCL_ zglRfM)vjug>5Ure1lQ2+um}A1P{~#e>ktc!mrW$yy2g+A14De>;%!CKi5rHX$-2g5 zjb3kbfnNuien8XHtcS$^n)*$=pc36^h+I(Z`WpGHk?mdOwEqMc7ga2!!)x;Sj!~e> zLq5ESuQC4JlAl9@&@rHn_@(T;La}GqM7>?edLy!Sfvf8lhn0qPiw&Q=iaq}QUen<T z+^;WG-nuzmVW`+M(wpraz5w5skqu;kE8aOt+?Q1f7;)&kOd<<1==(0R`!$<tp?c2X z{ROA%6?^4^#yUoJSD}o=i<)mQ^r6;)uuh<g*L9&xWY<R0cbT0yZ$y3Z{!-wJd>r`N zDAcuo__8!v!xx4hWZfZ;#B5_tUwA<?o~+T!b{5#;=Hl==pU!&7%Wd`Y<?Z2`CLX@7 zOO(qWs(v(29kbQuh~cL?g{yo@r|TAV9p&=&)D0I@wswJ6(0p0%*!?G!>hV37MLRAY z*m_1jif(3)PQE0#g|KAZ;ns5+{zBeAysXUHQFssU7qqvnel4ZqlT~<mrh0P1I~7Bh z1<&*OU^NwM88ZW0GrVOeAKlZ6wUn+$WyGf~N_at~I?!|3<5_8`qLV4sURF<T;dMpJ zs(3P(z*!r5GWy{cx2=5Ko01P!H16py*L*0;xf)k}X(t|SSvh@FeLt(#I#`vjeZFBE zoA$h6dz$ur_oVJ8;g=^te&E21KgSMXy>#vM&woBJ-P$4@#esp0$5$SWzkSb;*$gX` z7%}NUZ-CaevC-%2^xQ|LCa3p4tBG8!;qL4Vj&I}%Awm>#xj~lq_z1TgkMROePTo%* zIIvQGQ>e4Lk};W_Il~H}jh%ARNxEA>Crg1?DYvAvg|*uhtx(vrr(->9kYsdyPU_>z zq2+9zicl6uKlR8=$BV7JTJvNP`P_?B>jz(C<xai5^+g{3qjEhX#|IxA82AnJ?|m-? zsww?Jr#5JEQs2$7;<NTBIIE8B^lOAX>dLcz4gEe~s1o;Kth)M@Ug@o~Z`4`)RVOdb zoR#S0ERuYBqdqizNc>(_jPoE*YFb`+;jt;!qRCx%4GzBW0*_3O`)WF=Jp;{5!Rtf^ z69Y4aX+J2^43PeN->cX6KFgLIUc5p9u;!fPtwD6+VU3$^PnPIp(c%Lu$4NV|pONUK z>SQ&~_RhhR*va5**2$w2T1+PzQ+D$UGkaEVW&p+rpsAC3{lfz)Iy$MNlYK3@d46P$ zPU@<Yzp1zHgJ62Jp6KL}N9xZvbb{s%y3x_wRuh}d(M0MqtYvLDp7Dq4gp`+6C!YSG zPAb4B+8A}s_LI&3<9_nY%SnA5IH2qG*!-c<PxjYYVA~4gSM(Fb)2BTBq=O;xjP{el zY(H_zuKugv!KTqqWbp8QqN5A1Fke!yp(<ODNb1AE%1~h~^mX4)bd1|6t*yG#iuMy$ z`8N8=YPKQSvj^j#ZzK%H|6@)+S+}k@_$fLptL!e4XY2Q%-4@l!McPmHX+OE1zx#H7 z=RrRN*B0}Neu9OHlm2i}Qa=_xL?<1iA0YensuPu)ej<JxLr|4M^FSxlFS0go@kNR4 z(!6j<8`bb3aqTDdj;2n+P#bok(NE@Vi1G@ZuoDY9VZ_CXZdPQ^Xg^6h0~vHUc{!~* zVXF{dm{;p3nsBhB+E1jac6RZGV5L@Vz)Eg99Q~wYeaHIF!PdI&Aff$))r(#ys`o!a zCxaVxj5WA=u1=^3)yV)l*%w?i@7a6qnaZe6HvVR`<6dkR8BJebzn+z%526#=oUa#5 z#vFJ3^*3tWPV&{$&`<pPq9z|W%yyv@*zKOvPZFJ^bCzO6xzIoqM0^?4p$MayB>ppv zsPph3x<1t5M9^)LbcJhtj-TU4fFQNSpYzBX!0`2a<O{M_HR4AmJ|AOq<P$`Vc&fQh zvbVwSTS-1q*pQ<$iDw&fNvV<El(Qse^t!ZFS(0-SnfrWw5>I_L@tf-h<y(#TmP7s2 z9gTP?@l!ube0~!2e4$VHU)At~hTo*S_8?xYa%`K8uYu67fAsJhLyOOAh~Cs`S%RS3 zpM{Cs!RN(+5LPQzeYwl|;hnA<bVOTtkxpD={o%VSRvP$C_~HH-=`BC5*fA1xoukHs zhm*K)&f}OPVVJo37bo#BIseg>zYl-h?75I}66gF#oz@}lok={rE0IGq?jTqejC3CH zg*+kFPT~V=dB+!wmo=Zn{dz`~Z5lXE*BdHcb6sMKu7gx(61b0puj?8W-11%cAVcsl zafQFPf!E}BSAwT}*MKMgMjYR-Yjlaf25|V%l2KpA^ro&dqWrELsU&rK8X&81oHxeA zrkY^_jxf%;#G7;XL2lOnO*Ny5Z>{>tJxW0SZcPK<&H;kxG@73>+}#xNO*NVZAwW0? z8?NT-qZym^gUq6@&PJwu$m-qb?f<Jo5^{61<l$`S@PJ|-;@lyz|DO@-=?~AHZ~l=_ z@xOH?S!i6Zq|%c+(S|e4K!T*PghK;<IKK6~nnaCMYnuQwo7@C7{Gfr6dF}QlZsI5D zW)_&lHL9V*vsZZ2*=8W|n>lD~Xim~B-2PY0<`De1LH%5*R_@iDdo`fKUeO$A*MRl< zPo4gjH|y+dXl}sG!4NYiWiGq{woO>W4-<H37Z&Ca{#U{OX$>|wAHu4cxdM4d{ZHNh zG>^j>DBY{mnpcQ3l2lcM5@*v)gW)XTunZ389r3(A9CnbT$t{62V>XHY5AN=D-#rw| z92%Vy`_EGnq(jmVE#0d$O6=pIILhP@77F-p+@XA9e#V6$0V;FH-nwEv#QT7}Yqw1- zjViuL%z#F{cKM+-pG3INX+&lg*c2XWHlUgXCxL>O!SWfyb3-r!hD+xG8UJK~Dbv6d zWE}UV^Ocf$yczX;JY`ZWV>QJ#^J~+XGC9m?I$vVA8z0aK4f>hGI?W6?cU*E;3|t~) z!cMW(DTiRBf#4ypaw8+fYdYTL9F$yvf-|ncY}f|Pnr#18g3RO#%U@FtNO0UE1>z99 zHA#d=5Gcr6F6YDsZ6&9t7csg6uQg!f1Xf=TIdRs>LlRgS(gadHSwKznLP{ig?pQ%k zwN%Qtq!AILS!ieFXmEa!Bh2YFQRJt)^nBaT10_R`;3o^&fbW4eP-#@IA?4v2aaUH} zS+dbtIGT@^7dMooMuk9zMW>*6N`6O4{0OlS&%I4~cmkEAO@E5>;ugdah{(Fg-8($~ zZ4ycDOl<K11=3EajF5sczc$K5N105f6vdwfnyqL_msa7-RN-NrY5@VO^yC=)KCXyH zJ7$u4)H^RkP8VBQan5Z}%NEKzLda=GV^HRpRxr{vX06I&AaM9SsuAy8=slz2J2B2F zM{ZhzaAN1M3=J94nX}A0m9kbh?N&KkC(P7<9sk1`llT!;GHEuX!=A$m&e#(5&Y={Q zF&UvK)`aCKlgNc-ZSOW@@ujiW`pRjDq^i)o&?rvlT1QCbf{7A<AubCgPSFi*uZSet z1XUy`jm;Pcm|{ZEmpl_gVHJx{u1qik6{oqt!nvU8E5AZ1GsY)LPy<1y#j3lh(I@$= zX*@uCRT5A^__*4L!c#+ftf0a7Pa9*HK<gr>O_J4+y%g$nU2v-(#!aXNvqtrz5*t3m z8d?eML|MAX7lUu78TQ{s)|fV+tF6#7qA~TC?xC~Gm;@zltVf9}ZH`kSl&WQYD?dLX zTR<f4V+jwwaz#bz*hk$<%cS=>atf*Hab!uxURkLEO$e6;*Mpt^maicA!m3BeODM{a zem!V9;$BMPUF2PsOqThBbgu>ib!Uu^G-@{~W0QQ=Z={M?-?)liwc>12_r{AxWza5t z)lnL&wD^AJyM_<Cj6wG%DO>}pcED54W~5bqxXY?^+G2cT(1tUMPQu|7TFII|+de!o zH=I`ehc(f6Ku@VEZ8*;Kq}D9pxZ>0`6B0k=5hLv`{23=ceZrL~v=sxAM{p_0>S-D} zR>AGmoA_i^1Wlzkfe_3fqo+wT^HMc7>u6>aQ^b*tcSI~zWwo(+=j(&nLeg5(@A~jD zMix36J~T#fPWkcPq`ngQoKzCygAVywnCpo(y$Y_TR*gtaYLU|(l~N8qA<{|fWiV9E zacHsn6<yX<j-s%=#_vY@iUyx@MUj*j6ZXJ%DI*o7WX>3!B}I{mfMmu!QXie@MHp7- zQjxACQd*;zM#EI7&r}*TZ|y28OqdqtJr@9t{9Ss=F3N`y3(e92m6w&Afe)%iYITn3 ziH^R@FeHaHgh{wnvuFOHPww^0#`1`-P)Nb>PN#3F%TU4m;WSrqdcz%M6ChQlbBu@7 zB47)X!M1i~%eBsNgHRhX|2SvWPr@~P4XKSc>bnFEL8MI$x5eiPIP2Tf35n2&BudLN z94YDgeE)#vKtrlr#V&~F7`5oB$r_BPed@lXxltmYGatdo(S<yiS;7EQ=9=>R$>|js zlP~|U^~O$p0Ho4(ec$yE1>zD9GYB`}WrPhPhAqm#KPkO03^dSUt5M;gu__8E)}xUD zhu4sRxFwIzZ1ilhNRz17je<S0AB%WYjrS(#aPogX#*IRXI4K+2R8DG+m3*9|<KX4; zBp7Fm)7Dh;n0+AA?m^CzCIsM6;xeY~IzxBc879B0!8TtF-A*hs^ALH^2V|1CcKAvD zp#AZN9DGO1s~PJH0j^X0O4*UG%4lGSujO|fpBq&|=fYqdq^aun$R8AW9fu(7g?rAW zLzmPAC2=H9z^_`?=L(0{R*?zrIg%(PHyoBmMdjE9)-KZlZz3MLYE7llg5p#P?}#Vi zomv-3B?!XD<Du*_ipY{i1Lx4>^Q3!8Tplm46|1rua&?AhFY$)(D$=JN^(?HlVoD@y zbIIBh`-$CQuA0LFR1A$(+l0Ende7^-DPH2o*sBOUxclByb<$8_7@5fM!6Us&rdUb9 zR85Jr4%By17=^AT<xJTirjcw}Dww3O0!9Q9wk<SmdcvB{gU^O6uR;+_Aoev9a(p%d zM<RW`)O~~&exnQHol;ZjQmB1rWPFLEQW%m+TvnqMs2MJc+Q{uW>mUDWVZ!Y#&XA&= z8eei8AR30D{kh^`PS!#~Zd>E+wb+e|sq6$->39^CweZB0Qs2)kVPM7qFB=UP{E`sG za1=o`ql&_7Iv8mk_<<GRc*W@%)O%GNJX)5J@f%uL)Z50~FCDJXXO*1lR7HE~)yVO? zJy3Oya>7l~d>2+hGEC;{u{yXaNyPx4qFc9c1_+hZ)e<?xhDXM=LrQ?@#C#Nmr#}29 zG*8Vk6FH8a7k!X0Y{y2n*r1{V_@y8)_~5w^f!59s=I-jKR73S&3~KFIzl!Qpu8XtG z8CGuUG^<`aK^&s?W4IGU%hF0E`Jkb>&9li`Kb`xIT_lpJFxGMj4`%<eg>!M9994;j zqMR@fvn*P0Twm6S!CZEh9OEqlkOT-LET)XaRFee*T7x*FQuV3lqvU@SnMK19Zy!AK zu61I;qPw{qEUfm%ixptK^cs4Fa~=|BIE_X_&PdO&TtY2EdpdPOhCESOsL73BHgZZ~ z$GEApdP7e9&~!qtaYm6cU4g(Tzp&jHgOXcZcXv&+W?dAP+hw>*?X*6m4Xqe`($^#^ zN7^-5r<L!70d7c2Qt-h_y8(mQH6l}?r4EdESL3M1E0dsK|EN|??IIPCvCD0totG+v zL<Y&2;pTf^i9tDFE180r!sUu?K%h%DE6a}u6l_c%NjUJEiK5>HBBaAPuBI@W5m9t0 zWByKWa1yN{BWs-mH3=<-uK-0VFn*PWG@WY(@S{K@j4)+N11cWbu{TO*h+-*-HUZU* zahmiB7pm$83alFNNv<6DwFkPaq;GB5S7e<Eh1ZIGo37~y#7>w2i@F}x)!^i{<!aV? zwyW}uAtN!I>+tXV`c%`@AVSwvT@H=uxq3LmUqtUfGGB*jmXRqOhnN&Vb;nB+i&BfU z7i@SxRx(n)BzJ0YaK)I_fTnlq$dLlY9h1n>!+8mVJ|J5#)cFo(eK5Hh5vL*Mv&aH% zKE4P(Lj|j>WCCG{mrqx)=8{rGl&WNh@H~g)*nr1!A5>$~sv8P4+$;y1<P8GmFH%{n znhD$SXihjhW{x_AVS!Sa3=CzsLC-p+#O17Lf!mUp#P;t4d2@9L%R6>qpU;A2&{`BV zGf~aZgNO1e>Cl9<z{TkI4ss7IM&n3r8b_}t73XXaAyP{QIxUz}U`cOcD+-denlplC zXc>wTS&g<x0lPe;2u24*PFyETQiud<JIIR!o-Nk09ybbFAVfl*c0qWtHlYMXMKV6; z%-&{MHO=YG4nRcpYS`a2ATnT;7E4VAF-k|YDOylAM-gdAA&tMCN2+J1{1wqVE4C48 zKr_yZ>0G87)BB7cVLkM?5zC_id8<<3xh~E`QA?z@)jy%M95{U)b;>-1Ek55#jG=@I zl5l7(Jp(Zn*Q&gX%8i!a$}oOB)=HD<rH@2nYbJ8MRe-`M9~(uvB9l3W#Ujy^1Y=`x zKzYL?SuS1s#Y(0Mw8P1`N%Jv6|1rY%ESeLwEf4Dm0|Pmd3|t`;pn>uR4>53AX*F?x z{GrBtA`4Q$kq%pn<ru3_b(-#cKob>_hg1UAxH`UMd4I^?9e2Z{jOPQ2@)f2af+j)p zk%KpYPIIvNGC)+wn<|UQg;5cwwrQ$fAaDhbyU3Q3uyZbSt^$<MEJoA_M=pY!cjrK# zIHz7yg$W3Kw2_b2qjxSkN1|LW8wQCi*`^@nL$Xss&yz{*B2JUgOxJi~y8NAuTJ#C5 zr*Tsdb#7R{r)4G}rcdT0M%Ar!H_mhP>E~QM7V~T(ucZ^cGDQwW!bVeldLW4za4elW zvJfwWt%uw3vH{s$ZFS)!BLKEE@%c4wRM~`c;wIvF$}uoxuw!7{5GoLcO4v`M@8b%7 zg>yitZ3k;4x5NlA5mAxpEkwMOjaQN&GzwrG()1xlCf6#4fCdjbq@h$k&NeF^5rtAJ zh}|q&<ajtH(GY4cyyp|Y08S9*83jRuh1YtpHqWOv&>7OTcp=vDoLHc79YxaEL*-S~ z@&>JpM^vChqj^i2zD;!28ALfN9~7~pyofQbno?|irA5qOPEjbJ62Twm;Zc}pouiLq zhAn~9eWY=7_*@=Ar4ApCe6zXGjqpCqLitqtu%Ki5Q%uS$9JHQ+jD&J3#zMzH@fjv7 z%j39<(WKG!i&5B$5np#~82)L7<wdMA9#gVlUgB@X=#(w?qcv~}FQ=l)x{0)H(VbO# z0#?Z*qDfU9XXoi|cJ>D=vQfDZVi!yF>Bvc%r=gyt%RJL|xget83;zgd&2=>1!XmSX z_m|@1lHU8c$fGT+x8^Ieql>DM>&=`(bz6fWa%|bx5-~P#+SIo!Dpbk?mkrHKVEA)G z+5WP77s^ellIA@u1@>Sp1@VG-?V9efF`1x{-JdsG_%zf4Rwp~YX^lC{2MVkYLTNPM z#v`kUQ8YxAT@8<>)o{j^LEI|YE6bKM8tp`vA{yCldeUa3eauxJ7IVa#nG$_F#u1c; zgTK>Y*-2T5#4C5w$Rrv`53gyDqQ1D6;swecVPzP*5e4~T*5zBGWd=*_Xg3!ih5qP4 zy(pV7F!~}DF(`8Mx`>&(YU-<E(z@+T1!(-ZMg9`Vkz9*O9I)fe)NM<%Ch*$u2$$w_ z6hnxfhvkF|Lb(f;-z>!}qRvZjY-w~V?gcW=x0j$umKEy^h9f*<I}*q>1?ld;Dp;1{ z@J9q-rx$i4)HBgOdqRBrJ2CBRqXj3oXY!fe1?8^v85pQCE0IJ_o?e(Y!<SY+Bqx4( z;2p0$DlUx02$&9UpyjBXVOm>BYxt)Ao9ux5H}ve`X1rX}^qV(;@HQHV#de|2rmK`? z$CWf;UIOpAVOumYarT41=(GJ7e`aj|_{HZOaq;%bfoqnpfAf1~(H;E@jwn$3i3?m( znPpysT@aMwm0up|Hm61pfA6A;&fm0rQ-06V;})zs^F6<Ke8YJDhP#jG-}kt?^GCan z-LP-Zc=f7n*Zgd+3rnRfSGm0`Ym}{5eRjk6%$4Tte^r8S$!*<w>-|rEZNcN)P5<O% z&#_&9du*+me(CLZUU}VLr_+1Z#gF~qz7tnI@cmVpsZZ{HI=%cyUp!*`l!ZIu*DOeV z{l{BZ{rR6oUqZ?cZHeR0-jJ8aK5*NIpX~Yb-gAC^&4QU99eeuYpQ}{<<y*N&uT1a! zr`wjV3wm!oaLM8~{^-tSORpa9?yk0E7l${Ecg06`e)5}}?)uTkH=Viv$tPEx{Kk)7 zamp93slR678E3?qt!bGjrH@UYf5j&@|LP|%cWgSadGkj<k$(J7<F>!r-T$c@u1mGF z1j3nu-6?c(<%c)jTD+q6A9PNlLC`l|ex{f&4&C;VFQ@0dZD};Wcu!i+ZZnOll|2uX zcU&b`m3Dk_eCCF2KYH}0ALM?~zWDZK+rPYN{Nnfg?R&RB^u5&b54`!#c=1=#>9#et zNr!TrhE*q0irmF3cdlI=ofeIJZ^K0kQs;dnzo+}S)6(bu!*F)PxSZAAddcXD@jK6c z;~T&D;el~CFW7#;nD&#_dBI*~vo$rkw_F@GXT1hp(@(a}d+6!&Z$4#s`?CH`Pv7*G zCvONJa@CjCRG--T!?H}B)%(~Jdu~|qz|-A3Mmj!oUwZ!aTfcPc<f0oEbZ=d7&M&{Z z>ViL6{Clb*dp&EHpUB(OKXA(@p6=Nwmp(DR;H4*mGk$PorSevndvxo9@4fJ;<&s}^ z>!#x3{=e(>I_XMZxwZScg*&@bM|N(x|0CD@<HxUf>&%mdO{<POedVSvT=PiRDL*)4 ze(QDEV+lU~<fcuzGk$gFrl(gO_{jSI_;CEvzO?++=a0SWhU>1ub_GqH+`4boeSdbs zu7*w|xNy9D`>;HE?QK8rU$Efq%+<Gh&@ooJ;covqI&oV|JN}RA<oZWPpJH<5=9qJ7 z{`A4k$r`=P=6c+**{>dJtZ``)tIw%x-b>aw4c0lwN9TIt21tBLO@O-fYt!+iIBh3> zRx6X}{XAEl>w5Sf_+asP&q#lNI?ah_vum(CGk;4SqJ>dr8?QdEJ%MLr^vu<(2h$Wn zGR*T#+^GDn8Ep7Ef0#U2C&d!SQHWV!Gzu&!-(6?sa{rgTw}F$Zxb8&Hy?tkNr)M<X zGmM5olA)$i#2~YH%wQhDTBc@b3mLP1EucXtb~GZk7QFk`V9S;^&Z6pO5Ut^Bz!FB- z5n~dJ7-tQeN3z8D;o;-wC1hfdlWa_~*u>8-`<}DGlgL1fiTeG|sk;3&-K`OlFe03p zuB!7<r%u(Ws$2JVRbS@M&S6(8-Y}D%p}DPF_w0F*-(`qh*vw-X$`0YJd<k4s==Pyl zab1^PkW7C)mKOccAZ<gjv(B1%DVxE&fGlpaQ)bWRp*hUYls)aV_;g7vikSDuyKluO z+^}934luwU{Bf$PBj*#!u)VB~cL%?SHzTr7Qj{9P`(Xz88O?NoeKI(B>Z#Za55eX> zVO{AC_DQ&kPqT`ZOsoRD)TKB)T-=Tu4LS`R_#}guZc5v9o*9YqD`O}=h&GA9+RA6w zKA!z}mZ@9uLKK8B44XeMO-Ws5=h!1S2Z6@jnlAgqzUvY`*#Mu=#+S3PLSpL`bJ?zq z8#mJQvSsiIcM5>>3HF$G{9YZOWZ5S;lZRKr#HS^L4QhOX{o1l4`f`kuvQPLtA9h;T z1Lu>%_qpQMC$GnE&F&xK{`47XhncyHFNROBL!0L0?!K5t8!yNw*xg;2o=x9!OGBT` zoQE?a8*!*Zd@_hPb`|Y7S&Z&)VJKdVPm51BI!f6mJWePxG_-wV*(ZrP>k~ftDhE!` zxEM#-7iak$gGId43GaRauuuNC7WPRofpL<3Y~v3%W{b;~O~-I{L%{kZ``B4$#eQeL zPc~*>mNV0b_6gg8ld{eyPz`_Z>!a8wjpwcx#U~itn7dw&w-gUZwO{|fwGDIE_V_9u zC)OvR9>OQ>?RYOMo(QeNMZO~)%=1ahL+$NWH_q)0ZS1himgL~2m*VV$%w5rYkT5mG ze_>}8zLyoi(HKB9cU_zr+B%%be3om@bW9U6cflvX=g_z2FpIHI-Y-638HV>~w?2e- zapA31MV`B|kHvXv!im{fTG~1qC)ux`b=Kg+th;*d+PH_$HDd0<J3}!}q-@qJ{jYMY z(BxVC+#pR4bBv|olsrcudbpdGNe&6p@+}|HCy3wM=m#VA{NkvM`|)JPp79%{<k?uH zuN=j@2|2zB?=DQ@>>Y6a%5){pDY8+$BRIQ<+n+UzE9^V?@OaN3#na(;Q4TLpdpEiB zkI>6!3`hCgV~F=dG9U82o9ufYVMq7ftOvixdotb?$?sbn#o0{I{58mXZd8Vs48QY< zOy6g?GC23Rp38&{cM~bx(eU|3sRQmX`q38Mpi_V!)jpTy(O3F7gx*I{_fb3>*~WMp z`B_hzpNtFec}GB|`%yj{id8n`k<YzL5^7M6K+?q$T_f+VjEjB!J*T2=3g=?$72b=O zI~M}}z+fxdqzzTaooxjq?b$z^!7KbKZrh&*-pBHMzEHl9w(nVlp2E3&-jL}Mj|1Nl z+_i<way}2~&P|riM=}oM{p)bvae0R?`EZU=-Uo?od266NE_^=M7_{ESdKbZGu!HUG z0luEg!5!OQ_i2i+2veiiUAtrb@<)1l@jk_K&w#(S=^Yye;3IyIB3J3NIM0YY-KfKQ z#>v^f&D9a-7v(G^4HgENy~);<uDdq3tuH{I!MiB?0{#--tY_P{4esD`kpO8E=qqdk zg4?$o$1Er36eB$-4?byQmH@xIQr>;(+6pg(Enr5T9h%)*NX%_549yPDa5{?<^4aMI z?>&Pip0m<hF~{*+QD+}}xkv{N;P)hOSggr)BO0G<3Eo*Pokivg;1}YOi=)q%UW)Vo zq_0IU<%2yq>o`1&-x`2CUz$HRn09C9hKGk&F+Y<T#6_OZHwMo!-W8)5uI{*E3=drl z$kJtR@Z8XWm;Md$4-Wv;{hw1Dr_(YwqhOi3u1KdlV-HOaJ$g`<T71q-_?XMwwHxOO z4O_WAyLyuNEF;na5CIg6zO1u~v$2k0d2jM+!KbGSdln^j<CU~Sn?<lTNZ=S5vf~UW z4ol$`Y_zq&%@X;fNY*Dz!@GcMbC+;lZ$S-yzG5)*`s)wDF>`Z=<r8R1^3urpq<G=b z6e@*x9rKwpeQgIiAetMC%vIZe%0hT0?%dqx2Kkg6!z%YX1n|k+FjnOFUh8fw`i8n< zL*kPn`vgzrr3T23Us>SGq~uliZ&#d1{|ra8$`?)ONQh7DRi@=nXX!lVKOFg_n3Xn$ zw(M$}{6&RN?D`+;LF<z@I%<5f73Y6;@5W0;>-q#gRlpsNe^CMb5fyblu`&B+cqXE8 zgEfLmpTy(VC-CyZ#|ad}Cpf0+nr#-##CblMO>c+XPf3OVz3~S7gnd?qB7A~_h9Gn$ zQMv%sX86|zE}p%3R&thn0xtum?*C22ar$TMqr|XV$ylG@O@HhYsG6PC;uBoI?KnAj zIs3%JJHpqkrncfQkl8g5ug{2nh_^~}Jog;NiBO_(@;|S^S^%A*{y0Ikolgq#&O+P% zL$i@jc<$mf&s^}C>y=zmHB`=BLsXEt3nt=sM;11B;dEy<$ts;su=>QRwsP*0^PhAr zM1D#aO5wA!<+)1;oE^<<d;j8H5siI<wkDptE+m}o#h;zKtWVVa*D97xr#Vav(-8j# zND6Zo#>r#o8PUC&9vs4g1g&d*;$1Gk4Fhf2)ooOK4Vj%!=2#IjPT2M;Vw@Ct<rMj( z?-~q+%NzLw(@o?P%uPdCUqKn(RpR$ce20H(ALG0IQyIqu;=sw_yrU3yJKS>d;Kk*y zi37hry^j1U&E@Vd$#XfZw-jT^;RSnY_>mouZ2z}$sDO-e@d-9=eSaK}fkA(wnjZWP zq^kf@Ql^dN>v+L!KI~Sq?^VQm8(Getn?x+<8*ygy58@YTrVm<qoTn5y91?b5>4E*x z!l-S%RwZo2wr>6t<C@o3{-5AXT><ffIA;jl)8kqpE-wz8+=Ip{&{iH-RxJcRlZX7q z#rTR#^ve4kK?g4UX0b9-Zdnev$lF-20p|a_q(=iKJ}=2Jjp6sGCLd6ol=F!OiDw@e zfI;LV=De{hEgy6Rlw!|vSP3fy@!MS75#f+WTlj4cOpPHS?{@@__cac12D}e)fcZEl zIiP^!JS9hH4A2W~k6;Ka-T&@NNCS2Tnj^muthMpD)IBfTgP5W@D1(orDWjaKMn|ie zgbbQVoDrZUM36TYarB5!{!Fzz_>#v*$O+&AQEZFnFO#dmlteu{ft1)YNU0K5onX2a z5~toY)EXm->JksL2nAP1Spp=I5mFVWfoZ8nKr97tkwt1k4V*u<GOVT&D*2ijO0rdo zG)$NBY7seQw4UwtYtv9?HKSBQHMxY9nxaHGIteLvvaAaecm-Cb*Z8W|+z5~2C?js1 z1frPv+)k0){Mfc)E!}FV+X&cg!qADeO)c17R7<VIRV8w`>Bz{ce07Oc5{E`?jjWs> zjTxTtRBc{m@2v<@5mY22QdNv^Ypd{D<WTv`@>Wli3ZL@t%EHGYrBofQ{FQMduvd*n z+@|z>lDEJzGKU#5PF}f~qjV|F%_4@>w2vuCZ~{to9ec}as-(+E%_AK$WtOB=Ih69X z;9P~1c1Wp$b5Pq@^*4Q}lDtiXl_g3EmTn~C5M_yK#e-c^TsklSr%DA&YnG^-I0P8s zD-PM$L5{yN^6g51iTFw#jam!5wb$OW8q0zaycGbTr80qJ(y-Q21vjvMT(gh`LrIn} zz%;6jujP?OLUfH25Q{M%9|4cI;9=@Qs6pTl14@yCG=2{f_uPtg$<tt4Jihe?2Oq8s zr>rz7q>NxruB=f~(Lxm>7Bu9YjYy&l0NWD44FPY~WIfn<$Mx3Cl(0p!B-><jL^-iw z78zG6^f2foQ_=1u_9YGLFuX{z-|GiHQYCwlj-VfcIzrqvri@#EaT)yK&DK_bWT-7& zc5*uP+9(tZF$NTB(4wO@DI^;xm*!YYTDz;>CeAO=Di8IvpV8!Frwg}Pnl)>vV`=Ql z1W^DnFo#%MrEp7;&R$V_ZYi#bF^F?erV%2y2FmfMJ%Tzm0n|?#)@$%#@JlI+lh^@W z=-C|F{*x1_Dc>ODeHaZX#4rLseH{cS4-bSDi#kwI0<mYr3N0iPSdKDwyhb(jqnaAa zcRd5;yPCojo7E|BVl66a1U&gelG~SaL?0+n_@I(@1p3%$Y1y7e%#i#5f4ga6g+u}6 zIYvpmuY`AlMG#rZc(ox`!ts%-0eH-steY1MUeG{5NvC982A@i(EWgw;(!?y4Mg}eQ z)3hRNWfE$}B`Qu^Fj1l$Tdi(FEt8R|s$)evRMD$)l#mJ$){hTPSxC$x(&8E+wp_W^ z$4O=8_+tnbf8a@j9ozV@<k?;da)Ip0%d;M?ljP#MH3X4RRcy^zRi>EzVhZG>%#$o2 z;F?-h+-o}$vkeCkRM44ZIA9t+hB5rJ2jWSDT)4_P2GTWPBD~5-tAbg^);s0uubeny z+lt^DrbXGK3op3X-)J#zKBot=0gIz;IkEsZDGIDU&SxME@cuj*QX!xu9x20TD2I<i z;Cv&>UMcm3Se~bLa0|%5rCBYU9f0zyKt-4#4@q49xz&Vf?YEweq_|c&Ml@2+s4_1~ zL6eHoQ7a&${2A;c1Mo#Kjf$laLL3%rRF663hO?Lr6(WOAbicuq3FBo5B)Nh^?9?W) z08wD+OjaCJ0@|X~Zy;vCb2zqSGN_U<8kj+=LL;Dj@x*U*<}m&8IUdlJtAk90c$UCh zP-6xR<fw)Dlv)YkZidVtiPBLxmyc1(C&Za#ffq&**pzq~C6v8gmWU)vF>41Ht530G zfyo|PS4N)s^T4P3gyxqxic^LIJX<wfx-#I36_J!L7cJ&E>o{hnF`Uf;HuACwsB@I9 zq&Hv*u8pU#5<!dtr#OoHIgZ8*zK=Z%Pl^b?sg92ynlOc4tc@?Wm^KKILN-xdAcHh) ztaw&qnBc6FWXT9#9@{bvGZeynK|vQjY;xY^La87ad^nJp(-4uikhGAkW*bhSn@pkC z@HojEWW%}A3|k7iYV|u`iccZw<4&G1kEjZ@AX`v2@*<SGiB)bXu5{&`t0KAb<yX3@ zit<Es9KJ%C*qLg3a-<*G15x3zJuHI)(Km6@SW0rMC(*X_)9}EAZ;Y7&F9qudJA8Z> zOs&9BC&j8D8E{Z)CF$oSE2TJ;B&};#6{H>4MtMZA4k`ffsY0myJP0T?j{}V8exTuS znSoTELx7f$10^4RFCzf5HsWv!Hs<+9Mqyje(+2wx*tQ;Oy-_2rA)E(ZS}z(PJbX2D zXimEj^|L5sjifxRss$MHS^v1jopDfOEGKaEf?~^1P0;xXst26tR<ufh@3EPTn04W5 z!8KwTX)W8DQ}iQ4CIIc^MNBb}2=Mwwez9x-1QZEzz4uIkaaPZgs^k|1%+fj5#_~B` z2IMMmYq8f19u7kW?F4C|NDhM}+vsU79FT02{=-QIgAel&m0A|*5*TXJ5l7*=$c!}V z%e%;f?u?>f+<;UDSwkDaZ6$3PkpNc|;0G?27IZ{QsvA0S)Uz6bh!DkK8&j6C3u3aA zAXeZCv~`)FciivR*rkgT?cIJ%db{sy&gc81GAH_cjME(2GS1T&qWs2iPw{Z)qs3n? z$0WC+O-svGD(WsF_FBYFF0~ty_=iI^q>>pLP>7ILeBkeF&;U-cMIdaXmjg8s39-T_ zD%!=IufQjDoCQ%GS|f!nj_7W|Ql++p7$~cO$oCk$1vCbJwH0vE`_<Km@Z&8yrD-jW z4}k;gVo7&_;)xO;gi`OQa7G;nD?&<@Vgk?i3^)VkT15$NgVI+BsF#5xnt|_4SR`s0 z7Oc9mFo8+jfNU7YiV3Ysv{0d&7s6z;pY)RX%0&|LRDiCj2+yY|Jc4qa_)HF{ju2fo zKxq!T5qs2fYKn(6I;pL9%a0AxSP$X_nbIh{-4C@x??_uaWd9$oG?7)zs|00hauH|> zb+Wcswxc(KB8<xtaDzoHF7ud7X=$Pr0p=VBSouNBg0kDiD(V39B4>-oN}{9!!#UG5 z$Wfr-b&pV6ji3aYv=(xS!U>iGC=S>@hvT((XdnD;5YrhVx{LXDj0Dh?OEF4_<m`TJ zaBAeVm1eqzIRJ$+Y%lG}0qy70X&|CSLp6YiV;H76$q<Ho>|R>W5-LKrRxA#pVufiD zA4&qeD^8XO6`4>b=YfzPJt=@F(U?XcmqNw_P}#NkF{P3<$QN~1CFLezry^~rvl}gt zD}>#C#w)a)@w|!St&4be;2Y%TPXjQPZo~qlO+;`p=Vdq5(i`HCWhn72%4#Bz)`|F* zh$iAf0+jW)INXS}%EL56SWw$8tgTdd3CB0$$`AbtL1Z+N72pn4mneKpNkH0y;yG$( z^LEnn+_D2W%qiRrvwi_x`EKh_d|&OaVtS#i@cR?a%ObZkz&kBzU>`Q1+e1X|6m(CV zG#fNAiMFRazhoITm0JT`;t_{Em@vCsOGqP#zIlO>Tvh;UByK6sy8}mjIL_q|ArUhr zJfb&<U4bo;yu+iZLdpTJq>t4zD17Ykw$@+sktxt{5?pc_GjX^@8_ls2LA@4{f<p{5 zJp|QI-&2q=_~1<#IDU*b1!njnHITKJ3t1nbSG3@ohO6z=mk6)N3dYgQ{Chy?EFE3Z zTp&+e1}Ffv3gpVOj@EJCVz+vD0kCvtP*9Cb##<T=hFz+i#;g01L3^-m2qHkTR0&Qf zJe32D0n%tHcYqVgamE2=+{WX^#u08T!BJg)D`LaI(u|Wp>{2T*H~ET}67l=b30r&m zyS(^@b98dlkF8qjVaTLLlb7xEFJl8+;1k^I_xR&G(8BcqolC}?t(i2E;KmB`%e>e* zd`;q&5JQ;k&S1_lVHe^3kQg0Zw0M$R&%+EDs-@A-da!K&io45ARx+VA@bEqqycbeD zff>-pVQM&M-SohDDe5ud`HDJYI#hYgU4|{J0AqZ^bus)8E&$J_xwgr`0I(Oa+r_Ow zOe_q@;y&NXffTqn!dpvpKCcDHJNZ@;d5U5?c|gGO`Pd*Cd`Rc<OJ_I*4xgl-=zesd z69eU|ditfFj|J#%m?g#o+>3?xkv~e)t9mGYQJyCjw-RFwWxxfH-GFSYo^bS(do3e) z8i3h+!pq$p3~0I;FBt`W06WGNXXq^W6QiUHXvZneXgd3r(k{~$-#3W4s|;WEm$tU{ z;QTITD2ASRJZQx{H5m6-1Sn}@{1F2m6i#UCyfkQA_ZPo7_q@-4>|gI+Km5(TkALAW z{^*1G@qFG;i;>I-Fd3ma@+OBOK@<$;E`m=`<zz3IQ=a#wFCsRcchgPdzS(tR+lk{5 zeyCU`J!5XLayQ&WNTAq7Q7i>A0$}}w7*^1hiB8pf-wE)^(z9N>{@~-o*^$m)T>q1~ z%YS{*2T$?Lv-)dmF4?j({;HoErH4NCG3xy2H-<4zeEjAT+P)fk;`qt}KPL(w@O&R6 ztxsCHtN1vzJ8|>PH@EJ40`KwtOne}F`oJL0>f!lX_r|-spZ#wg>-OB(Zhm(+#>uUF zzp^)eS8j3pzH_HfUfy+5RLZ^YJ%8_+CkE^j@xAlOqO;rMTe9K0u8Bt;F=221<}bFz z&srDKe)!}d^?d(@;olrMKXK*2`*w``@JSG<<0imi(0mR);if=)7(~8|6RgKufld+K zbr)jLckFmLj6Zy0*m|eKUH>27QH}3#`1lU^1Uf0=?;ytc$8B6J{G8#4_2)g7M&J6x zMCaKjz861^Lp`zAmOj4veJB1r{)^AEPfq;c2ixdb`r4Wkw%k1Ns_$E$ocYl&oo{`@ z{l6NL@i>3gcOx(4=KI8_z3n#_uNcUzyPf_K<75EiWJ2KyNcXS*kN9_=jIS%)*q)#A z3wPx1-Fx0%x+`~U`@U~ZFQq<ie}ONbcK+Vh-hl@RqX+uEN}sfb>%8e*k4#W+{#N35 zv@v8i&+?vD!r35sAq+N63%wk;eV8ReH6HCTeY0_-Hp(C2weftu-I_{^{_4Q+Mv{HH zyrnopQ|_n_G`M#jVXORhZ2boe)~Y=OE_L0!8Lu4z@Yy2lUoExI48ML&-jQgvBtBjI z0=K1oALF%ik?spG?0{hT9Y+y8isQUHmp+Jn$kr$J-GSI=eEj*uRjKuTI4fq*R`sAn zEgl7*@VUrJpLA@7&ntW~_i6e8(dC!h_so^e$xe6RT_-KClquDzrK9YVbN?ywiG6oq z_VS;866YEZ6tQ>Q^{sNYQoS6h8jt$#n~kG#qWlqF8#m;i^oP9p`p`aMh1t*5syzfQ zb&bXex7ERe-sMrA*yhbu<7DntZrl1kDHPg%9_6czm;MO9F;d}^qMN&t)+eakapMy= zclpbT#f|WZvQ=#`cUhkunNMoxu0fpksT?PXSGkmE?&5Pg7BF{Z3xzAMjPTkxo_XjY zp1VeH=8w<tMej*em}~LAMnZfR^5BwZA&qm4O3tVW&hKS>lvBu$1tZRv2_AmO#-;Oy zYf8A4mvf2`pBF=)oNJ8a8E3sw3`6*u+!OI(590uLhLD#12G-=3mf>@Xc$Z_L=WaZF z#rZ}#$2baGacF?nq^XZ@Xy(BPh1wErouay-jL!%?WBJPB&@XbVe;d}IYeovp4_W^u zD)4(Z6}XN1N3BlO1?MP{Mm~GTAL-|OSOkvRx`p3Kl0D;h-80_RkcXb!V1O)}aBdMk zKp7UIvw>HWk@qAfasH4wSX78l_&thfwly}UHSWA&85ch24Pu-{ya??+8u61_&H&;` zwT+{4OMG|skfJk$<o_eNbA_95&JbrJ<=v4m(TA<)a?EET5%(r>o)PgpKwTn`Iz@HE zjUeZzt54VgU(TTaa+|gFz&1X|=;NH@xp-IPUE4IbO=`_&@g7HfD}5K<$G8p6<N6NX zIcdv9KGs%lJBRz%J!9MO<OI&UB<Mi$ldEyvN+eWL2MJQpW6#0Z+;u{XR-y7F&LB9_ zj&4s=;U_mra*_O-jHQsMoCv|0sDGU6!qGXbf!aSw^lh9Cf2g<>7V$f&vHGGf@kuZZ zGu*EV*n4pMF0rx}o4V|>Az4=?9<nF5@bWcQ0dRG+2tqrrcWve~QyWj|+I(PkD!zGh zcAC99l>OmPhom&{vChH@&;gc(|ACX4hpNw-aU;oIM%z}!#8Wuwm!YAbvQG{sPWJJR zt%U4tT&PdDSU^qNPsf>f?0o{Sz$dS;Pc*k3pLyMi->u-@!40~d?Gg^z6_-30scmBj z0?IzIc-beej+Ttj`s8KtNw(|d&2P+RS~hQ<7N4B6;fFt6Ex%##GTz{azWv!K+wfD7 zPb?*cr^lQM!y?{Th#}~F!f#f_Nm2Z4f*mIYPi_)qWbE3U4MOJwv;xXSe-IpLN5|XW zhq026$~p2lGQ;VQP&Dy>87gkY+03pJ@a8=`PO!pH6t%p%ldVf=a7yDua@IDo5HGvT zZoG}g35X5Gi96|<<#B>nKyDr$nu_BGDnxoHyZzht-RN$dpubocj^pPA0Q1Mmb|VtD zZkVlRXXBZT82;8L_-RK!fo}>#rdi<H250mjg}FoUD8>vCABHV&#W*31lh@!A@A}$t z!tW%tK1s^BLBDkE!_@ik`I1jcJm-^nE`%>on)69<^K(O)7Pw@Z=c#ixZ2$J^$C&TN z32d`4{8YmE#NwDJ2RRkUI6=bs#B$#a-%c$sh^PLr$5RLf<txu6c&Nzf5B(jYBZNZ_ zx4H%Bden}3#0Ap)k1tU09U!Kq4!qhpG^j(195aa}nAe&?tQqrd%Hvj7_|&$(#hG(d z!PM1u=ygZkY)m|9NhM2FBLAY(wf;Qp#(0wxA(z9yUi;30a_2naHa!N7Vh*X(N_6~1 zrJ(GutR%d3Y5$0eD)mg`R7EkBIL*r_d$hUSvF5h_AEgr5a$UmS`oscT?&ug+F^+1> z1uonwIw%popt!NTJBwG=H?Kmm|H>rUpNP1;TergId3g?xzz@(CqAh8xUS90}5+Q1F zGR=)>#BM~d&<2sFvjTqA>m3NChOJY#U$W0j7BzNDVsA;Psy8>zQI<chQ%C3G@g}tB z+Gd-lqrLw9$vinxv@mLYsai`K<42kwnrp^Ml}0yC%CvII+5}v2st#QQEM1rQkz8{+ z^l8annz*8Tj>?gibnAT*aR3=*bP0Voaco*(j9b3x1iBdeb1Feuq>c|OrH(<`Q<2gm zD7$8SL>dn#E~(Imlk2F;p7fKlx`nKc%F=JU)M5Ej9j5sW<QtaRK)#|>KT6Njk-!&5 zJj1C-!o{f?T+n&B>r!i^1|XzF@DM@4@=LZJLi}C!+rI_sJjY+EVD=zseE0p%0DLiV zBwqr&ts24kOTKGSuxz29K~L9=`uWvz-{UNUZ0TAQN!95m%em}#Qa=f(?VC}3kN5a3 z=qK-4U_UXopNu~B%WKo4eZ^W-KHK!mtJLvcvOc;MScEel?+nmV4IA1T&6|!bnGF6& zK2hixZ<8qYS8o$Tj@S&Jnm_*33tK@Su^mUQ;Dq-cxsJD&279Mk8Cv_wcd+&wg!vMO zQ;$O~pAJV^jclB6!Hyh`rHKo)&O4-B*(+9b@{l<i3pAP+8|PR!XXzOWmu(_>w3i-D zih7rI{Io!w`>C50%patYT}G;5ukH4_&Oa4)JVNqk$D`HnY@0hOp79>bnjXu!`B>Wh z%h28N)3>Hn^VSseZYgi87N~Qrxmm%&xBl6kZqP1`=ncf{lDlT=l8-~;Tk!1ewgo=B zyG5b>uqJumaoCIvOkOT$wi+;xB3U0rUGH>N%a6jDk%hF;+u#OyA!fcSb5vVk-t`an z<O(Ic_1C|nTCI1ivSWVz!!OU(zy2xyH7~yjFV=(?G~u;0;Vo*yYiq)bH{m6l@Vc7t zx|{G$Xu|7h!dudW*V}}5ViR6p6W&QpcuSk`8hr09ePVf&d=0(_m3${R$#+T<o@&DD zZ^BD8;hoxqx1tH}SDNrvHsPJtgg4NHcX|`vWE0*UO?Y=U;eD|&FV>{L1i8lff+ltb zP3#Ps*cmjjGuYL{mfcNwcQxUCsR{4yCcHgOc=t5neYr8Ot;u}d)?}`0YckihHJR($ zn#^@=P3F3`CUad|lew;~$z0dgWUgy#GS{^=>AP)B=DM~fb6s1LxvsrQpKou{=i8g~ z`SvD#zP(AGZ*S7)+ne<H_9lJ4y-A;MZ_?+xn)J`ECjGOkN&oC>(m%VJ^v|v){j;k{ z|LkhgKf9Xr&#or@v#Uw}>}t?I4S&7pDFm`tjemn)()w9M?+|Ez?#L@*j$FmN8s3pD zQ0HDaRH!NLh2KGAsN;8!vGZy-F&yLK-c|p0YJoaqs9nLpK^pz_qig3c^Lb^<A0FMh z)Mz{OcJ!JI@1jJ@??1+^ppLQrccA)Z-#zk5=_6P1u7-*h=s3o6S4C0BRq~g4Jvr7# zCVrW9JFYsxJJQ%XYciuy(?MGE2d%#C8&UjLHNe`VzV~+#-p}#Q08focMWXnvs?`el z=J#lpwkUoywY{x$>BF?<Z6n`T{w@EbZj-5Ql*83uo%=Ac<N2{e?3Tl<?7QN}yan1< z9A**6Ne48CS*^E|_$f!SYM5+Z6WyGB%((ou%`g23i+S_ai`_XdubM}B(*#3Duqb(x zb_94OoPcR|P9x$)F>)B2EuuuRQ0vVOPTuBf7CKF3`DCO!ijliS!<z;EtfZ}+r$nku z*!)3R3Zn$?H>nh<N;`S3jVEbS_2YU@wsHO{WeY`Qh_J9(XpXvaMn&W*`|5cn60~ut z{A%(%40290`=b2QoFbU`zvjs^p}O>HEYcReTe-r+qRUEWB(0?Enlgps$}kS8s=K3A zMXa^Pjw=3wkt3O`7hK2@3HF`0@a%PJQ*NccI^2b;{+B3OZo8V=FWp?~_T_%qpm`VY zTuWZFDgD{L5}Hg+dB+xAfQ2Ok8p~QvgGMOjUs$4XDf54XGSb+&K1wY(*42_+s*e}N z+S2hJd*BkP3VTX<Dy1r|GRSol01as^FuGFve9e(<Q9NJ5QXF43;y0x0h8(x<v38cM zRAu>=f36rOWpbTV)Y@e&P3uz{nH5qM<<=HZAyvyd416J44s{u}{pm0YtrT78;9@!3 zLaH*mO`m-2d>SaK9@W)GmwBOmT_6*BN_AD@H6=O}9@+8>)y5E3K-6|oO!eltl2<D- z{>w;CS5(us!jZQ{NrSFX{&q{2`@?+83`lhz&Z_y6hoW#P^Gk{-E|&fq(TJASJ2P23 z0vp*P^bpsL()Gj--M1K_v8jR>T`n(5A(q^hFG9@&mgdj9a2&P3VD0<2eA=a8zwF~n z;qBl8zF()?9!Ir|efc;twyCLb3HbJk7m%l$3LkZ*E%)fCJ@Fm$n=Wn)`3enlI3Ju- zi6+~IP3rciH#iqy*dd-m;N<SI>5*OTU9#XD?@;?7=lHD;kKA_#yn}q=u5hW;yVtmP zM&Eq57RRCm>Z}v*Q78!OM7*5$L+!_+%(q;pMu{qU>RVp!y9G9EfgsT2tG0=T0>>HS z%Rj~wr;YnxBl>r1h-MaG{fCHJuXSIR;my{Ef{?D==6^w?zK?KHQj;|Gukm(uV+1>r zAW)p!;L~}4Q||=06HR==Nw0m&&=-EVFrUQZ6uTQ$Hw~*_wXj!oci#<ProW;)@%{f~ z(x;2?=hHK1O(p}?SVu$Ubr``Q6BnC0tmBj9o>CpD@$nI8@OqxIaqv|90>+b1EL<<& zV&VIkQR`7(Ge$Xw@AU00+@AOd_+GFlz6Jsw?e;G~EU<pU@pi^X`<R!DUw}RAUMrr; z0ia%<!vXh4B*T8T)$@Aqk89=!Uv+i(lEeEs_PieO@o3cx?vIZ;oNW|b;+viP`{Qh* z7u@QzKB5~XL}2-}+m=WBeJz}Me4$D(R!$y4>H$S;&@+eu9CIQz%h=&SPQ4`JInhTd zr~a1lv7A~X@dIiN%JF}0_4~ItIq<qa2_9nqKR%$wI6lM0;Ywh@J66&d$6?Oesvj7j z0&HB1*pSJeVQ~r{P!Oa1M{OLt_yJ{rb3BEzIUcKs{S8*m|Mw3Jtbv^WLQWy7c#Z?U z0sR&$=jogRy8=)yz^~c^sVn$VvK}qsEg<$q#)kF9bp;t|Z7l90i&Tc%BDz{<8B4bR zX{ETNU7mS#Cd}Ytzpo~ZBmnI!FjEy-#D$9UFqF#`^ymlrD5y;9GzArU-lR@sYnU^} z>Kk$K(D&2K7tj#nKNgSvIBh?ei0Xs3<oDO-f{OX?xQ9w79ilSEwp7FRYLLBLf<7_V zK&F<gDhQI_ID#v@tg}K;l7TL5&Z=d-HF#sw>Xko=Zdl5pY=^8TcPN@BWL^kFGfgCG z-t$J36cx&097kzKnisLI0rKb34~w7<OZw2lwfY*ES1VSjSeHB^)MlcU*_x%D6{bQ4 zt%o-n@=Fc9+NMq{Wx3KN!l09oj}OOHADdBuD%X&Zb@89Qq8KJa4T?a(pd>`hi2;X{ zqN#{4KPdyU@=83HaC&OVhm9Lt^0<}xlXkIHQfhlCJCXsSnXff@WXK0De9w|?*2>US zAf{rB)tNw~M92tS!U|oQ3FW@<WQ3$qHa^ty1nd_pSVId-1GxD`BU;|mns}j0F*3~X zJS`Huvm`A!(_)XvkoG8vR1!Mn+6FBw4j93wASo^Wlq3RI4hjXGg#@&V=SLMB73H=% zP__dDi})ju9Crys%2LV%q>{$%lJUVeG)llDqmdGbbVWGs8BnI8B$*(|u_p9e)XNyj z+vRIK&t}jPB4B$UD{X0%P&q#>{wmApJO}vm5d(~bF7PDw0-ut;qD|1`Q$838Jfqii z)0nT!q<4uy?t&)IA46t5r~uy}-x#-PKQe$1VEeFMPUd|LSuUS)2$O`|%&7t9LyW-8 z*RUegM2U)+bJ%=R0@6X5g4;h|F#PP3=(cl&?_`8!T2Tq6ivdEi^m1~cDQgQ$2U@Yu z45#5L_WDWK7>+p%{}w_)sr8nwz_Uh93X{p9wbS#c;2ET+7{@CXO-QZ4TY*s+bQ8{Y zhNu@-Z%GUm=v7=xuQkoPSbX{Frd3KqZYh}EiM+OGa5&EbV1Z%_(9}Sr)GFXtrpfFu zuo4aQrcEfjRZ<n&H41x@cgqkNRjL2vXF$s1Bd(LUI<Q^LS_&Tkk}xm>J%S*#JI*ho zZu|x8C(A-cG`|)p77~aoRPY*iMF*}BU`Uk^VS5l8EeS>$B(A7m6&;9Sg5?)n>`hrb z+Vo+23maLf-AB$)cBzIwW(BZ1WVjfZRb5`mOji=)3Lguy6A?+?qeRN(RIN15?0gDD zAA6R@De!X|LjYi+e@gxlTjikN#hCa)0~t0D1Hw`{%@9H@m*K5IXzUds^e6@Ofl+Ad z!93<vo`(_oXc5pDjD!WsdtyU?#s_H})PN0uGLURSVq`G3w-}2%J6-*R7IB<_YZTk( zBuv`0E!|0@Ul@EmTq`4P?TC#zg;5t`H1BAKiYo1kWUVdG<`gPCOrngZz)$8}y(3wo z_Ek3Rtkc{;oaT>c$EDd~9_AuxHLEvh>A|?*$_}Ej?m%gibceyi8l*%_q!lGu+?gWc z2m-nc`B0QA)n))gKyuf%Qp7xTY}<sxkPDGj1XL>Sxs10{o7BwpL<N#h2yG5v08Zz) z4;WHkLF{BT^XdW1qYS7q%vPcSq1a%o4R!<qG5A0s>onS+|0Ah@NU0q6UcqV%<iaP> zt6kyD0U21RB{OiI_b{5Hes7p=M4^=FNe=R+I3uJ?$Z?)S#eK{`H!k%dO#t&#)Ds60 zWYn7DO5hqgfPN^6u$s6+u7*<&<&|S41P+Pl5f1W{u}0&Ye;<uz(42-U_>!|0m)T08 zHmD;)Wn0SQmPVRV0c!1fwXM*7lyAv*s!)23K~vyQ@)5pk$QPu2C9m+Xd;%ZWHqstC zfR}N-7G|`J(s*pVkT0Y{RlqeNNEtsr)#{s6tTm2pVRurzKX)IlS!bb$`*>~&Q}CoM z3j+rFybv})y##@e*mfbNR00%3EYB6li(go@8n_zO;6`LbmMm^=pDNGmHjniwca^et zeS;GZI-s?n!B*H0gRzd}birn`p{hhAU1Oe;?k<rvX_TZ{*3t?~k}VXFCN)W(GHB^Q z$~*a0th-`dA|@Dl4j#5r>x8q?pvkPgao>!F*Ft6r^Iy!%1WDsT0W`G*UXsSVr=cN8 z1|jCU)=X%yQ%{;_M6O9KbVtBNOyYyGxO_lf0fSVaFu@zdpjBN-S&mJ+03SkHpxl0r zP~t@R5ypzkLaXtti`h9?usSW0AsQJ~91w&93=mcq=XzFv3b-b*{;Tpt7hi@eatRWb z$!w2;ku62OW)w-j=QX%i%jJMk)+x0QaW|w4itJG#uKyiDklaD(L1b$cFOcHl15;3> zKS0J{wp;6I<W`~w299&8gE7eA(``7-ASK%*^EB?K;A2XLR<+|C)5t`{D;OY%@|AYP zW>Jo9gaf$Aur>`Aw{jKGr_mB<@#ODzQ>#prOeN|Y)Rb&R-?f!y2Qe6;C67r8Z6R$0 zB(L>aL@RKYGRCb^0>0(6>hhq$DFUW1sVIizO6cR86raWo=01iz5Ku7V;qq#T6dTJ0 zb-?{RQo*+n#SZ0&NqhlBf6~sMhUsOflc~%+f|TaGTr>lBL>OAKRETv0ZdHQ{hTMEI zz&*v;6l3fgbb48G3d*wj8Co$iKq2NvA}t@5>iV3;kmQm%N@5^r!wv-KQpJ5GH-Su} z)*Q8sYtFB|k#qs>V=*Yuh$18OVx@4sR63(!(3HnR7b8!5VGBWh1Yl~UF-fw%GC-qs z?F!YXMRZyEsHUJ7wxBEcSlIXjxJ#aQOJA=%9w*#JNjq(0e0XRJX-2M^!h%!<%6HW? zbS>`I;qa-4AvMTUjSrxEO3IfsrYmsVu_aGg8i!5`)Jp+qd|{4>77T^6SFF%N!MDcI z2*Q>a4FpZ?Q3q13SY5sZg3XFzFt(yeG}6!PO3;j8`deE`tVwfcuwV?yXpHbT9b1)! zFpf2<@+Lw!=04TP#_CeoEe<Bx8bijSqBCCE7h8;<3J27BqC%Kb`r5MG(tfAH*2mS$ zD5r{<j#ieFl#$Sby%BW60r0yRZ=`E$X89Ud%BceN<&D3kFcr$(Xx?Bp!@7$3@d;!U zdD|FF1f)Y>Q9t$v7+N}T34O&<%VEm|VWKBprX1Cjp(n;vs9!)=`g27+-#?^sgO+ka zXaZ>k<#0p+F36za<~^e2{opbgP#u^(c=9hn{4b28J*$u}2oablQ61-{ryJYfd2Neg zFdzpYj)Gy>3<9V#G9)yfg(Wqdg^OMo_{k5+1c)boyc#J1GO|3NT)y%#ZpqW|v%-3O zld!9T@Uk?mtD+nY#E31h=!tX~jy{haB_lMTpYK1U?iNVs6(O&EFirI*+wfom%^mh& zd4x6(2L==>-51sHf2{e~#ePd04PfeCW1m`~Xa*nW&Oku>zzWozXyP($$jCKZk--Qo zf#M}D6(EAEcd(Yw*C(m<B)>1!<K4~AzfE8e^caXh!!16~oikrCq&w)z<dWc$r)jW- zebParDu|Dh^-D0m3+0!-3P4_gbSpirL0%F5_aNCe$TTAi7qn1_HRHOdij}M*ORGHR z_jWG-X3G16{_ys2dX@J9RqEeOlpD+A2hR$R?nA}hjz6d=1-)qJ9!^-P)MV<u?{{Y& zydZddfOKEK0U00s$6hrYL2d%G3Hci86ec9ystclxO3>58-5p@)^rXtGU1#H2*v;V% zTG`XCab@ZZlQHn)Gvw#+7(DNrJokHlbn2cbg7Arn_<)a^g#~zG0GEjtYQ^w{&Di>8 zpcQU*`hfsW#oQH3>8@pd`0N=(^Y?O0_*3XbWiSS&;d6vwR32IaZ|6TBT-Em4&)aT) zvAF8=SN`erL#n+|8;p|y>UkutlIrw37k7MZ2kyJ#Jwcon;ilp7^VOoe7V-0WfrJ13 z`h$Oc;>UmSg^wLP_di^*?LQf@9+N-$-!-%gS748BE0}4z=?R+t*-OtFGjnFT;17~{ zK8bn;QrDid<E9nkBxxp2zjI*6)w>=E!`(OEcJImOKR$fLql0&K#&<1(7bbqTYa+LZ za{1fx_jRut*}wODj~@KfTc6#3?`^MtC-vxG^xZO^?_BldH_k}xXx-sMpWk`gUE>%h z`G9>=?)Lw-)Bo`Wr;e-}?)<?IR{xv#vrk}e8CoXdKTE51BW23bNm-w?=5BqFeew@& zxBvFvtvY?{+#!8pS_TbeR)q1MYvGfD(|Z?prh4&JQk>_mMLwN;{_0iBmvr`dfptpp z)q^kpVE79Ue)_h9t1jOn<HVpZ7^0=$w>}}d4eM2E)ikkXY=WlrnVE_F80M~0Begr9 zOqiD@PXFH2H^e8qZtlD{fBiY9e0WdaA9i-!x#$K%6Mt?dayuw@*KN1`qaS)Rd!L;8 ztLIic`@+4QzxnExbAM(1-p=5*Ge*@JiE-<beCKVu@;-bhJ}I{=eDb#!q(<%-zUK!o zuU<Cz&)~q`GO$m4bqwaNA{9|eu~>{1=O{5p4*?1P0W&~zNX!+BiTmd^&{Ko=&>otD z^w&5?Hf?8@EL{d?q%%Zw%%gkge#&AkkR2KVJ~M?<xm-Zo>-eoDk(n;gR;CnREIwAu z79F1{7FuEnB#Om!I+dc+vWaYhKzI)d9U{7rmJuNaw8ZFAtA8lVcvl>8F-w_^snpO= zHk(E%ix#ER*=%-}`H<+aF)ONs>>kGYt|`u5zWS8uO3pD&JD>1Z+o&&^hr^#^K5q`} z6Plj8-}+>F1Ej?#SgD9VvvkEG_#_?qWH;R}ZJZs-qWwGKw#~SV_FRIS8Zb?>A|pO2 zPS5knE3t&o(&?>Rv!~IJ^T|*V?iso;%RUkQE3rA}6RWsuqxfWqeS!)m(p!!A1RXK* z$*{G+8DbH(NPO}?;gj7@z4bm>l8vE{6t)hdKNV@uA^U{%?CjkA)7K1s65{v6lh!9i ztcd`hyOz5s`^3WT;vQ+QqBQI9#<EXNST@fmXslvApB%9Lek-7OT5)KoI0v2YDJF&z z+2Vyo_yk@8ymFuz`9#Wm%=)C5*@%2a_6d4mjMI@%UW|Mq^3G(AWZZ1sL%&3yB<7YB zvx7zILVs!w?32WXxee@-;$|6LcJ9g+f5<sMq{Sy2BQ5t7rOP2x5tH(PSIRy)1sk_( z<}P+gy}3(#QY>!Wno3P$?iwQT$!r4NnZ0nj<dc>IiK@BlF*|q7vQMJ9i+z&K{?Lt+ z7aPo7Me#{t&%Oovq(7?e5ygs<=VIj%Tt(0KVBwy+iU#q#+h2JorAZc0d`DtqT>kJR zQXXXuGRfh%rdW|p87>DIsBb;TiiqWadlRss8)+KFvzoFEv~pBz;fIBTtcR4%Lz~8X z9#w(gJ2KvLcRU?Fxv9|oC|0h$BbmOnDhQuUV|xqNDNU0;rewqqpS8Bd@BJ_h{_5I* zK6(*isB^XujTHLUTHM--w7>{;7s3E{GReM6u+R`2A|A&hg-|oUl6ExGo5l<04g|rj z>k2#iP^f=hp%umS^e#ZRkxLL|Ts(OP=h;LB@yRCkk=zVQOxH;{xY`Wv=)-lr2jvb9 z1i&fz)lK6}Bic5&V=3FPW&EY(7YDQw3Sf&|pX7dNQy~Z4Azk-$VcYUYdU})Bn)N~0 z?qByzZd(jCZzIm{`>dyLOR$S^s7-GhES$T1OR)DkLL5*p$JRDiuHLbfZMm9uu+1e% z(vA&W{wB0d-y?0f;WE;9B7vkf-OfHon!hfIWDhT%mGQf0&+O2iJ=4>OXE{DR9jJJL zW{5UUMfuWu(wMC5oW!(5$87e};_t<_7rST=7Cez0*23pS>FH@)MeyNy?d6xL`1<QF zzl_TY=6Jhyi{wi4o=8%0FcWLxBCy(Gy`^iyXUC~9R2Z7+cq%?c&(LgkW@v8cb=rqZ z<}*&0%uQx@;i;1Dip2Ev?x((pWkaH<AHiC2rh+9&vwLp)7r$89R>#68*{t<RWt-Jn z(g07-q7NrB5%)z&RIFn<=CT`$zXPAVSlm-}tyuEyD3A3CFtl}7m-UIX@*#XOoH+oW z;NpELE-_U;nNDNo!}SsOOnR2Gb3@ZZ@!~#+IG;?5Pqy!-20p>ceTeT9^dt3Jd;9J= zEHo<Hs-9F-yTge~;{@Y_eKLgcQd!Qzbj^0XfyH#$C$;0GIE=MX@zMju;)~g*5_U~% zH7_5JO5h$Rz0Uchr3IdmG1YvW3~$7m0ZZdzjZc#OFL$N724fvhO}7*^#tD{DXkyHR zzyylYX&U?F^3_;Az$ribNMvJW{MPt{=Pve1<#?>LqH+4g)C|@x6?2zNA*E@A62n8; z1I3RW*jVhU@kz(GBCXaZ=xg}nxr=>*$qb%q?vufdG4aXVrI?i}#!0fjka{eA0Cqi; z;gNy4ix;;c6lz<h^-1Ho%Z`&%;FDr;#@IaN5OWvCNx7|jExae<BUrh3y?^8I{mU-p z@9~mLTpZ!0IL`U_OrPZIxxvL>VtH(zy~FWiagFZe1vf_L5S@N3KP+IUo&jIQKcZRg zsrV?%lULHus}Vk%Xyg5sj<bOf2mJ{fx8lC0>ouQgI7fJrAM&@zYT2m7{mVw%fYXgO z4*tf{TW?%;3F_zt4?4N~BYCI$Mi<{?=>!~KM|(-=5xu^PZ(eqR;5S+MK!t1t*GY4X z3D3q~v~<uM_*xQCUH`z5DW^E)mCp77M^@ldWB3L$baC7KSmbcFPtF9=0AkL!*5y+V zh+cZuo$=cfoi&7hhA{~dtdy?CXZ;lCf5x5_^gm+r%NasQ`48eOA!6925b@c-0W!E_ z`>>N+i#^<*%PFt`E5k7pQXVp6Fe?9D#EkERZp5)!E(1F)?|xt(;}bZyC~_>%a**f8 z_&4C(qLhL2j!eJdk5L!U{Wgo6f{Rf{<^xw;I-i@gvCZ$JT*2cJUDyb6N>ZaJ4^Vsd zQ<K6UgLZ#{lmdlDTmfuM+vT<0T~)!R89@|>GaSHp2RcgFm<y0GZ)}9H3Ibh)ia8=* zO~!iC5quZ7rCq`_&f_F1A~wfpAt1B~+ZIZQ<zU7oXIx8_In7d`Fbk@gOf#OQpoYyB zM__qYrc5Oru#EkWL>C+*TP@W3q?X?hU$m)$Rds(*L9a+w)m2%B=12lg)VaMAYUF%D zB=E|~x~W6)>t!-E#9F-4P+cPnR9Du6*_wm57?$7S3S@t%g%)neuN7_R&!}Zf3w4{b zR(`TpA$+Un(+*8h5aEx7s1GSY73{{MqXay*HDjujM^Xq%I&4ju(g<`T#)9dpO0wt2 zRp=oRSm+e!da}~e^ZX@}(T>V;HakO=@p7uZhBCi-N|Q2?FUYWLh{rY>G5f@0f+65+ zY=A!tDzjOqvB!_8zC5zgh;2TRP*!eUx`G@f>()$xY9a}kR01t2CSWas&^sUm4y+WL zC{3bNsrf+yGNmAbm_Mo?ALVvTM!LW%6>5-H`1p3$7Bph@h0xMPkNvtDGPOIqm;^9s zvBM(PCYP=sNq>Nu_(Q#Lfj0O<sR2-}gobaean0uRO9lbu@Zr-;Ex^WAE{8P-xV-6h zie@y3SVWJATMX9Ih5}a1|D+i;Nbn~Du#;)*+-!kN+DncA6&CU$kKwJkfq{@@_cWHZ z5W#d17(}kw5ifN$LDJCED5}7EWRFXdGSII@hm(T!U8pRu92>5&mNrR(VhHu)Z)B_= z<!Sy$TfnxAr_m~mY4?>Ur9~l16Cspq0Y<YHhJe!mjoSlBSbD@25-RC-1zOaG8j&XB z8&50h)+iwdoRS(gBJL)%miD!e`fEc5G#@;S4xHyhKAbiK1;%<kC^?l(u?(A~5n@mD zBz`x8lL~>gkuhg284VR|7f0GNU|FwgG!tkk0$rgw2>MhPnvN9p;UfwROG`kD+Boeo zIaH*3gNzP{GX6d$;~QC>8e?zcVK4U~0KU9bG#0E4PETG0-Jv#dRCsKyzm~36;E&~z zjgq4p<+8T`I<CE4K25D<OUL{%cq;9$)oAe%QUz`wpGIIbMET<(qh~ylaSL4*x>c02 zv93lL3xhu5Gm0KmkCSh#1QhwKH?Rs#05ZEXpcchvXnnZK1FiMO0Jg}cXDb7%lBP8e zy-Y^~um&+ovyq@P*@EpmNm!nw(bg_+fOfHjr&|r|QQW;!U@~y|lHVRT1eNDc&KT+I za9^xIYzkzS_C2;ChaD$*oJmZ=X&&zY!p=&=65T4$9=2k11=x8K0@0))Pdn_sOiCNZ zQe4uV0e!;9b!3GU=e5BcjMq3uP!fBD7?Hj%-GVbY@VPnw-k@9wt~R=oHb2cZgJf$3 z$FE2eHe%>5?2&;S=&l`7oCX-~#$3E>q2!<m4Ko-ph;X_Jf-I|<A^>sG5(8bdI1A)# zl!i{03iAqi{3QI0rtAhq*`l#Kg{!#|p9uP7PrI|sSqKc3GU#4dqu3LQxH(fXHdf}9 zZt9o(+(;-TP#71in6Hl+#9|pt2+;I)uWQ&dAUS%1q=H+MDh)IQ68dp`Z^S(O{*mNk zrKC8BOUS8ez$qONq8YBlLwmyVDelbz#+nfrGI-ZHKl#X^6r`mD8U-KqL#`DN?11SZ zMps~NnF=7odB)Lv6ttYgO<h2(#6E9afm;m*sFA|q(v-oKw-72t3fr@&5Ks9A{WJ76 z)S#{IqaaVo9%S$*lGNfAMwJ+9$avObx|eNXodb#^I1N3U2>a!@Cs7*|b>P!(jN<7; zQP>H7UZEU{lNv`(o*by4Rs^`72iOz2!tk~_oOVJCQ81Sx1shl4$P+3UVy<PI2?HWP zcK&Mve;m@B!f9hori1&X@SKI<+LW6?1g{7TVOLaO3|~)l>0+Zn&hh2713ux7GmcMy zvLoNfJOWyabuvPqJR$=b7LUN^{c=G7ss$Y-SXd;Zs~=4m<d%sA-O`GUf~lbnx!BPF zlrPYN3mI8q#+mt^4|58QY6P{~B^Nq9F7>dY2XhyCrOLou{^bD*G_siYBLhmt1rQ4d zSDfKOp^EE?uc<Htb1hgMzLHYZf_f<vAeBsWUQgCUQW``YxRakgRB>%ZoAesdV^bjK zop^1eoVvhFabAN$QGbJ{Kit{4B_uRv9Np6X!UW_}{5~3c8Vuj|phv<+1K*-&fx&td z-G{tJTA!E5Wni5QRyP+V6#Q-gdY&mj1GE*`@DvzfD{~G06&s~!3R?#q#Z_wYjZ6?F zYgsge4ef~Uvoym-q3g>QMsO*qj7eb#^kbJ|K5taq7;MVM*fs+w4;d#Vr^zFyPuRB2 znRvtvuo$p>>OK@W;1`&cR|-<nNO`uCKW-EtANI9_R|2k8CDh`I0?YOgp|YCu;=_Ym zw=zp1t+_AqrfDQ-oMOuJJNN>YO6s1`zyzQL8glr@$ppPSJu%g37_)O}Ugs5eU<1W9 zP%sly1$A?3iUw2|pH|p@NHj|AzMn^#`5RIwGTDmu4DQ6-6;OC8jBJ5sAG%WPX6d5P ziVa$}a!RR?20(!_wF0zMTPlZ-%tJh*;QM}baa^HEdBIowprb4F=n)#@WA_7T&@p+T z9-3C54+Q9I*c_~Qk7~Y<pP0z6z$RvceZyhcb^<I>xO&2t5Ys$g;0%gIb_+L?0u@?p zEC!<4EZ90o8u=@s1A-nb4fPUV!_metS7vh&3lO%WG4q;N_;IX%vHma<^!rGU<18T7 zB7On$o0spPE;3j3Q&&=XSpBEDAkd$Lfj2<Q7#af<qzod2pxYGxR=`M0*Nr_UlS4aW zy`V95V!HB4AvEkRWVn<1Rq6)vx-mm}VGl^m3&3IM{0$>9S|>@9eEKsNqY-#+Q!eyp zI+FRxcIHna0Y9Vp&ACglZ1^B*!;3@AX1GQuberT3b&tZ&7U<wf9)j!f#MgX}ymhD@ z^(0#qs?mZ5Le0G7_?-q+N}iqc1Kg+GXvT3uI+Rn#1~TyZDEEYx41^*`DVO3qo&wTA z$xD$)Wo)F$gyQ>Jnrw&sW&@m-8bS4QVMkv3vI>FJC1?@=oP-v~_+{jRd=4(a^1xjI z*ew8$=ixe_iSKlXbWeC^U-&7nFt{QxAHw}G>`cBt^x`}m#Ad^#PzO9Ai9n&kp#sU^ z16an5QvtZdCw(=cv2CJnbQ2hubkQ6!QlcS-u86W3xCjAIECe9pdJzUezO`k5$DhGZ z79!`_KF(P9$rTX8DiCd+x)#Y+C_)y1k+F)9LF1yOu^_itozUi~!*HZA#3m5ySV@TG zM6hCnaLDfh!Vw&Q2NZ<sv6Adi9qI{4OqOa8_5&4r7LmtKAo?}qydG+^wpz1F8JOz; z=LCG*MER|Gjm~dSkx@+b9mwXV{XlCtRB`Kpz=M>o!a6RAdx}9FjA_<k8x%#o=M#(y z_@uc-yiu$KlqNsMRIM-sbP$X|7ZfUVUHra~tW3gg5U=JoW;r5*Y_JOzra-5>Fh!%} zWiZcSpm^YegX=y9I{X6M!@%qaHxXYo05(J-s;@!92-z^dKBzKTlh%Ss=&!{{#?%4D zO8MTe1gLQzZg;gFRVtH4Peg$pooZJ`Fj0VQ1y~a>Z>+@<HnIm>sXQE^K_#>Z)dV17 zMU<xXEAB*x8}rA>OQ9<hJ*+}6MBDMH%no`_njmQ**@p)C?hG0ZH@iPZIXt8Qi@C>I zKyi4cfU#$g;EN&jELR$~QwxfNd>$tGzDBc(p~%pKkwNAToP*&ciqLCd0vk@SDnrXX z(kK+>8pw2c%V`ari*<4s!hu0I7Ish%achj&;i)hfAu?cS6r+VWFYurcfUI>uqcfDq z`XcO%f~1H3U@Oh&D3Ka$6`?UMBZ(4;o*58diBmMF9w})HHn@KjWF__}@<~)6EWw}` zPWhfc1x+-G4yRyy(!*p8@F_JwD>5i$%#X2uSTi4COyRm7C`J7&6~PI+q7-60KS6g2 zajgvb@`uaC6sU^pz-^CbBAilaYo$2_Pyw6At{TZ_U@#9%8cU{_)rBRpPn<`4Dcy?U z6ZCU4V0_SuRx)@9<SU$Cf<^^0a+g4+SHZEks0Uo?!T^$fXmDT7w^!pbBgKPBT-=Ea z{$QbEMPa58&v2CF^NSG}z6rxZ8GSXtIT`3`>+Fs1K%x+dl;RM}tmFVn@zc0O^akU` zCj$k9@nDNFG>#NE0O&cioZOcraU5bTWJ};ZT1s+$e0a^O42FW4#PhCP7>>{QYI4#5 zd=3ns;n_!=DvWbzrV77{5G8TP3W7+Xd71){J9tft7<X=(V}Hh6L}p5bW*kc&RBa#y z?}HzKTnq!WqMOwsx{FK3;|>|5bv101V1yLOp*edXl#w{(*z{ZqycZ*PT^O#%%mGo) z8|V&F9=aXpMn5tx-}9m^CS(d&^Avm=<RDUMG_bDZ0O{wMs4zm{Hh7p+%<q&AhRNnK zTtL9{n8VqVNnUw!o5Tp)F-p)f5+!@6-Pzs`Td`RdGueMczu_2orYDYA>ShpU*7XFQ z)U79Iml%5TqBtcQ%`W8oHOOPj2qr4RPv%(t%RO(`y3oroe_bY6kEoSRD*;#B=i6P( zn03H78p^s1Cn)Ag7;Oyyq#h4!hJ1of_i>4C!{MC3zdMXC!7=sJdUUxsPJL=v802xY zl^aL~sls{Cr;Kg;j~L~LyLl3TSE<lys281wf?F`1z=y2664u|D8NK-T|I2lB+P<ZO z-y5Xfvpp<u@Zcy;pTY3L+B~Dq2>S{#&+nypjFt=p-K=S?9)Bt9=t6xX=(#6K@hHWj zjEq9L8g+ra{ulw%nh(1Xk6n+opv8h5meIJ#fQ#pm%IAEUr+uCtIs#OFlnl{Ff8;A% z#>TwWGw4P1-le1dax@qUkDru5#aEbk%UIZ&DDN?YZqm3Ejs~qcM9_h&QY|>+HGDz? zWuF)>l0o5vF^wTh9^;c|Q?L|kAyOmgr@0&p258e37~RvAn=n({bHdECn7c67PvVv- z51*2Wd*kGriTF94K07$E3SAp_IR0&S$~=fFvw8<cEk0BEw06hAzEQJg;Hmc%bdXQ! zHk_qPcHpnY|CW#MK~hBHMzTx?t5*0+{I+2H+NE@sd4`+SLhuonV3%mVNoo7_v8(P2 zdkSGsPwI?Nw}ru=zrxc~sA3FDH_w|iifzMcUt{|bs;w|TX}D9FeK~V0u3O>Px>ofU z;Nstlk9@UoOI*{}_G_<4sg4xRlKooe#ESIdft?$Yp9+-cg@dW3(C70QW(ec_voV-* zDaOx7kw#bnc}b(qFn6JF{97<i&Wst8GdJK`8sL(PzIE^U*R($V;jn%0`6I2rfA`Lt zcklhb<9~Q%+s@tReWc^RUb%DP`A72MCH*_M{Z;2@UOa#Ox;w6(7{7@Y5&g+T|BeU0 zWF|V#N%$wW6k2az)!We%<`Uo7yEkm{+9+3LnAvvk!Ov`b{pYV8-2VCxKL7eJPTBkF z{S#l`|DWG`{>k0fcdvfW6`kL{e%~3t{mlnsH}2>SCT^f_uRi~rrSI_<|F7Afz5Z{u z?|C68jqJoXqB_yUgbw!I;q5$l@Tzb8<Xbx@cKzTt&iwmlPH)@w`c-E>_R?1iw@t)$ ze0_3f?w)6VBmb?O$=`O*OFQ;W=5~(%<i6YX?a%Go|Kt3FH{J4|J63is|JtSR`)c7Q z-~GuqzVX6WZ++vG>%aGn{n_?!fAdqFkN<UHqIla+&iv;WAKdwa$A8lK$}4T1!{_gn z#egwl%G%D}&e4|M{k!S*JJC;f%=1aWVz{v_#6O=M$ds-p@Chq0<|WKs=B6F+i8$=u zdmkCzxb%f9?`?mixbfr{Uby#O_4tctzVO0b_kQEszqWk;{)y**cOtw*iBBem*VE*{ zgxNtcv-|HRUir)LFZbVeGR8@7aBJ`F=k>;WyfCqM?>%8Gw-d^_ya>#1-h2J0KKlFc z$+v&<&Fg>h+JD-+<%-*WXU*b)t4>*d{gTC3F6w^#d;8ApJHHUSH7|2l@ys*N={;rX zpM31kfA;!$=il)<R_g{`2>-DYBc(m@_AQqVtjpYc{ie^qcJXE3-+M~-obB7z{d{ld zb(e4bSDAn6?QDPTiuTNvOYSg#nC;oWuD5g9$F3OIcIA@J_x{%QfsxF8>;9kogSo=( zUpX`Wm9Jf~>cQV$`sr7T@BOuZxb1(n|JKtF?BBm+c=+=h&(H4PyK#8$_K*LkfBNlT z|3Go$`>wf?ed2c)O5^0Ble;^gZ0*gBhlx8)6Q5}K#2c_n!Ke-r%T7+-2%2hPJRQSn zKAhl-wR`~BU`wop@u5Vzqa#i90aA*k92CKd^1C>h{Nz*EW4e)OD^4>NSDW2SW{LJ} zj<Q7Y%f+$5;zLyAD@`_=I1Swf`!p9X9vtrPN50~gEi~0tB*iBg84`)thlu_%pXEbA zxPcX-1bD_%1K6#Mz0(LYc#i4EF2_D@-c;I=m2*=Fi#TLgoSVbRNZxfnJ%mGd*w#Cn zolcyb-Hh{!k0lbDHz(#cZys7bG>c*rv9#1vleR^jc=go=scVM@ajM8*F$|^UJ<M;s z9;IUkto*!i+EVOZ>v`O$O=+w3$#X5x^H@Cg$_KDNdGM73EsW#n=kPH5WKJo*+X@w` znV>p8=}K;f4-$#FIqQ?{yZL-sJ)cbBJn9_#WI8((PfZ_~OQp7kA0HmHK0zByVFUK( zh<1y~*+dH(C;yjMaNSj`JYSLbLY+EghtR^}lYQ_BS738`EgFtf9Kfa;pHPu~^1VIS z@w~Ob{@~A3vzs^17KgI2t>P2TDZoC##4<=T)+Yu^%X{MAh)3xo_Q^wB+}7t_VV_{9 z`3KM+hhI7H3ggA>*5P6Fd#1rB3HYQaKEXEg>c5wN%HxE6!gjs9`rzdad@?sh#fRpG zysvjXmKfT&wRm7|YkcFX?b+<#|Gn(FM((cSi~kItAjM<pA^0S<^$O~Ej?~vXm}a3H z>$Dmu;$R{U#LZ3NM+Wvqys}TA(D|f@{#wL1DPlAfXQ#y{)6-iEb91X#Z`d$9D?Y)F z`{$~BlFe>6$QMBK3~FhnLbO4JPdehai_I9%XuEn4`Rq{RBxQXPdj%6x*Tw@aADDwr zT4Jv-K9tzn(ZN2!*a6rOX2j<C<fq~jp1Zi*>DAuld|tWEIGLN8!Q90@>B6Dt)3TVm zmMweV`y!uQcp>|wfEK++>X*o7#3xxfWLi0Qb^h<QwcfBHv6_8C8TbTOoWzDK&z(GX zA;uZl(%e<y6P~-WJa=v0oPKOB!9KwR$a5Etl|E<ZE-6UU=eCMZh;;D{oa}~A3~Y?r z83i43?uumV`Xq?X_Mx~zE>dOi`+Rh2&?rt*@+^o2_6(rEW>cYW8!ojad3)ZF0-W)~ zgG-`MWcn^a)XV8g7{x6WZZc9h|9Cdyl^>>lE+5Z{<UXEomJpjU@Zf)JCJFjQSX=V_ zJ>d*u0hgdr;Fv=h+COAt@Cw}pjAJ<`h<J^|*T6ml8Sd8#pEc`PPIZi8!GpWf4#w{e zR7U{a`&1BqXC%XVxDKLk&B%E7qy0TN?+Cd{y_+n56gG=3XdCnZ*g-7EAUMjkbW1CT zBZVaYo($?@;c9!fE-h#Kwgh+K!GZW>)6E?Jc~7I5&-US-F3o2E`?mD-BLBI3_HWZo zg~7fpPw%=q$!Gk+^mW=GZX-VDh!Wuw(;bz~adNOVT!ec=KBs8U2<8THAhHLmdX`6H znLd83j+lMoZyIDe@N0K$kb6opOIZ%L*S{6%#*^%|L_eY}7tHjm4Suw8%LUJWZ0({S zt^7pn`ODTWnpyE1vG1Ra>E`6mUNH6y<owUZ_zdNbfKR^A7yM}X7X8d`U5xXwTWIoQ zYXe%b<pPd>v~t_ExotSlc-M6onDvnF-F5-n@S`=?{Wp&P&QId}BU=JP#W%1Sa{R>E z$T>$Oh0ZjFt8GL{X(L`c)&O4*-UJl0Sq$oI_T|mhd-ZXc3Ud{1gf1_v=6LglgK~}K zbQR#rIrPW>>Tfo0{?w;1U2HB=L1sknPg`GoY<kOu7${g%Y%Rp^AD-dm($?brm>sqz zG8z10g}qKYyAv2gM+t0O;JDV{#!8LZ%U924PdiN(2bJ_j>FMd4_V8(;|MLd>8wic$ z%<iY2!lin?-Z$x!gO_7Laxi;&QGTnSTx#SKc%rFKu+E&F{m#kXo4w|m&3iWAbr%}g zUZrMRUU`{+44`Ya3%*DfspJz-w?d5PVreqO42i33d=$dSCq?`wLIvPDGgLh7G*?~) z!zE>dZUuRI`sB^*lZQ=npKL$MKEXmr#!1(_abkaiBsx#Fd4pGXoZt$Y9s1P`8#ZtL z<R^KYU`&*J(nVV?Oc&CH%5jq5-z>m5L9asFU<eUyx6RPDtD-rMEE)NP1~Zk4vX|rR z>1n4`lA5M@oKRwV`rEhj`mQm^IN82?_dJO=IZiwtCp_-vjT8IZ3emaCCO(;+JqMn_ zI6(;8?b$%WI7wqPznFS4RXI+I{M#O-adI(N!}Z&ix;~#*&xH^opUe$c`vl`;`n1#B zecpUUN#5&R0X$CFC*QiIxld}xiLXV;jrKfYvMYY!T0ETVebCXLh%?^{{=ml3`N5z+ z&c%Dd`)%CXAHN8K_=eKP!T;y@f9CR0T!+lxiChk34Me^cG#A%cdEg<ZkFS0KcUXIH zhVUY^JI*4;c~#<pt~m~Hp3&-yVxo^c&1K@=6S_opiHoB=E%r<$-p4qKua~^wW*6Td zU&9&s%p`2^TJLvd{Fyyl*&5Y-C)2Sh`gdF%|HjHi?|+<kelfBGb!U5m6KrhX(};5Z zAwJ3q-OVsWBFHJ5dH^@(Sl0Z>0|T22xKI9nbBgDM==>m`0VMEae{5r)-(AS^_Uxa@ z<4m98e1CG9Vuk+f0|WT}%H*CwY(Q^w=NYd7vkG!bP<5J(ugR&Gn1J(;Ou*Se_3t_E z0B(?Q=On!kTYYBCo-xcr?~*@*O|Sv)W#qES=ktgFeorIE1bhu_#`_r6)r?<+x*9Tk zZgC&bt8TMrCViYsG>cL9XZV~W@&iXiQfI`mi>xht)>7!<N{+-vNf63s{6SYPx%gQp ze~XP{(4U4q{w+3FWvI1%d@}>0Mnh|1LRd*c0^Sk85MU8KsyW4=Xbj;?_%Mta<?^B& z(Zp1rgIZ8pC>+|4mKf_(l%P8-#vG>D4?lj_NZSvGoQ`53$>q<n?Uv><TR<Z_K8}u9 zGsZDULPaF?(Dby!nIdc#D^%PNR@(Sj(1<EOWvWf#@jI5|8nvm0nQF8tCV4fBNWh5- z(Bcu6NtdWvQZ}?iPS@hhVVbo~A;mWtmEN{lG*cM{t)xXNL&;Y`&`1XeG%G;h(@B35 zm4oN^;8uX<bggC~GJ_NRnJ2-tTBy{bOU!aAB6)07Nn<6yJ~hf$FD}>J)L|##e`}SM z(~wb4PL)!&c2>elSJuRdBQlb-p(HB;jMEA*bb*s*qN(7xWD<KeFPl#;aqF<yJ|lmQ zImxjxRzGtXqF4|cIMYl8X?`-8PdZGBXi%If(uFyMQ3ndKFKNqXyb)NrvL*#VOS7%; z|Fif0VU```edl{lpKhJGG@9E@Yt)iPqPl6xSHna!%mfQX)>OBfaU)~W0<{o4GSk8e z!9;6A5E(g<P4(5)=rSmBOBrE-F<h|_#$#+qLN>(M_#*TGW@ix)U~NALV=w+0FH9^6 zuL)7_=Ua8o?c1Xn?EKj0$shZ6pZf9ETW`JfzEySVoLhBjD_>pK7G^7?w6moP??j4| zeB7}6R(>c3c{Vs}nj?p<1g;Kj_m_w&iR)})k-7zLn=EcC!TcaRj2$2el-$}|@@pi4 zxO#sJmwSEyP7;aA4Y)e6gM4}L$T15+LXzfsqz2{4gxwA)Be#G)co+X`X0DZ@IcntX zGmgiJxIEzN0?MQuA0xcBd07g?H9!g~XA2eq9*%H;!y1mU&;(cTzT}1IK`M~v6gUl% zz^TlX<ndUui|ebr2`_h;jwtD@UQhxsNOLiI1}EqTMy46+8G%bH6tu-G3Fgxsz?d9( zGW*<z{yKC5$Hnwl&7VhDyr%bN7pTl^oe(}tQHqSDCcj>K#ku(5JH#~Aa>ExsESY_h zPugX~%?DBgB5ZipbNLC4NMi06nt{^#h`}y5QHst>0(@c@G7VO!%gaBlDv&tY0_$s< z!IR>lDY6Gb=aX<X3w=mqfz+V*S76p7cTR;`LI_J&Bru0UcdH=G-RCtmQ*!;rL=l1a zp8Y{12w<qKz(-d;YKe}J$RU7CI;-4-i}CHwBGDPwj#94qxQfHUpRA6oPHzo*Qcc!1 zH@RU`euquWnj4)6UW?l<u&@|x1}45(HZ=$zw*)LrJKwW>p%qyLTYyClRb(qqKmF67 z9UlLI(?Lcd2%}7+NnCWK@)|3@K4+cD!rc0FC|Ie*%N7-oPp~(K5Gz>2BL2703F`I) zQL7#F3t4DhYHrD4#Iby1jhgsN%`R1G3ly4_lcVNCzLY^u=`M&ZI*1yZ+lZ{38U%n3 zP%2GBK7X=pGc*^KWdbdM4_t5yIX-!!o4BijsjEdKTYJ1H5kJL;+^m3-lHlfH$Cr6^ zzEQqnzCn9tsZEkdIo4yg*%D63*PNOm?k1s`!7O8?`UmTduGjDRD^N`Jcza9#fUk?I zVLDC>f}Abz!jN7rP4fF}Om;>I{395z&`E|sW(MYLs&#}!N7zB)@m1M?TU>`tLse}d znhOpWPBTGIq%7fvzhfy~F|kA2t*q!2JUUImRZEdatph=t!xZt|wadoD0PwKQ81r!S zRC=u~kR<^;ftZ3Iqyr&I;dO)a%Bektu<TI00QHG*-Nu}vDV9QVRqy0Sk2riHc-*Rn zF<zlfrD*Av2GO7(kaz^Y<`-j}<>c5Y3Rv9>A{r;uf#jnq0`z{D@xVtBsa0O8#$b*$ zKYh;!g&E>v8IEq!H%Fs)vn|X>f@Dk!-7esLJK%FQ3e{hrW>t&VT$?X^M8;}41#N%S zw?dv0<6c=>sk$#sQW$SCjJR+|knhccQY7MH96jfhSV53~86-U^MW2zR9>S@XYGMh* zuX!$ON5dewN|D!#;UbHE2(GdNg|1r|3rQT6Ux1QG&#-Yy3PBs8jP!Bqgw$C}^15J+ z{a`C=2KgN>T&LC81)-p5fFpn7w5@e3`<eSJh8Z0bZ9uBrUzo?LQ)mfYt74h8kfrQ2 z*cHMKR4CCCXDyK{V;pPXtCEEzRcWPpZ|zDN?J84hP+p@71VV1khh`4F3pUo%D$+K& z+vu=kVXrcTE-v&gczHTe!f83=LuJTvhLd0&@R7L+2!U?T<Q+~n%hii#Qz#|U2puDo zCqB6G!mFUv=mN3`N?#`;{b!)EjZuD!Qh+~f?g9i159h#Pf_~B*NjG+pfFkFdy>X(` z><}fj$$py^nm6MPLoHJu37VvlRH6si^0&MIcP-y!>nQgIA`(f1M={dOuJ^{U-E7Va z&);>Z-;Y+ciY(+j%=PRm_-#`W#1DA!Zm-Ph(}ES6W())xgC|rNF854DGFvFdii};g zn6k{`O(UrWGAYH)g=(VLX5@OrxI>aZDhdK58iGZ_KE+i&HHU7r2$dj}81~{M4uoQ} z1zC@9u6T)o&0MsDGlEuzJo@Z>akTRyncWsypB?hxmerm@i1}PE^r9Zgm7_LN#G@?l zaedq6GRN+^9&u+rhZj__s<dp%4(O6K^!(m9Cu~mRrRaHUcgEUmX$<WD=rwfqOWqE- z@3&o^H>}4LHxDf`GP5n<GWzdeT5;8Y*?`-T+1YmHR0LUrR-V!eh*EwR(XY*e^=<aE zM-jp7p*5VKF5;rHdIdpSqayHis#5HRZYHiMLm`m1!^24evc|?wEQGmGhW8P24B*Zb z5^P_=?${&<p0DDQ6u7oPgB<27vRU$^$#$;``y#dhG!(m^>MA)4N^AxO+{DFo9Zha_ zOzj{;DA%>MFk4>PW4sBaO$Y0lw?T=_0QlPi#pVL7q?obyzwx*4G<L+ng652x=NfHC z5I}O}%6nyxak81YBjK21K;vP^wUxlY6U?6Vc<%GXV4!FfWD49B?qNJFL$Ol|bG|ID zoi1H#B5o$819zHiN$Nv8&m|^Ddb$Hh4Y@t2;bIQ{aFWA49?l^T<FI-zI6c~xBRhID zw89+qrw{}O6pmv{%BiMETBZ|i1dz>=(ql^{31WL1NHN|<Xjxu~-Rs#A*KEGA^m9ny zLP47m9u+L$g>s=a6XVUXdHnXXsKW%m$)1z1TJWR&{H2J)?36jhGDA_5cJA4M1FL)q zatmY%h9Gh%!HZ|t9?pn#dzNo-0GVZ%&Srg8%UOHw;W;agkC1~V=SIupV-m*RXwA{3 zKyDiEc>&MHUKBl_c$XcUTHHW1TkFsKPFP{~mCa4GHePE*aoOAN%G&T|T5R*o7`Y$i zQUijGc~{^SDlZFRRHn>(<_iMGgU;KSMOTC2n5_p=?Ya<57In^e-wz#%FWQjPs`DB7 zJ=$|>({^P{2A63dEx-SId%jiyFSzw%hAiM!5bA*Z`HqT26V#R_#xjLc-6rDTpbD9; zpzj2y86pVEKMTZj-dO7FY;DnVLy!=<iefihl}h{NMl#3Unu3~a-s&Pk2NF`~;kr8) z%8~trg;~R5n$goywQm+6A5T2;qYN>K{AJ-RFWh3z)PGzhnD<3+G-2+rW2MMynd@3H zT7gx<v^OZN<#?XjSPL?kGW4hgH%<>lX%j7CJg^pYD~*T@0@xu!9Cbl-oE7CHnV$|J zC==T{j5{vL#gv`1jVkeiit19GBy9hf;<T~6_j2bmXJTVq(Kp78c~~K<a)eQwGXi_` zgwIyqJyuS0lIV2KG>XPOi6Wz-O4~zEMPZ$;H)E!okHvYGwn#;3i#A<_q-V133@;88 z4T`jh{*KVpGJ0S35j@L2HC==W#EE?(&gRI`Q(r27wCOd~)m9gT;S%6W_+f~HHZy%G zIxjpqt5oHC`K3jeoNsQJIq(I%onM}8YGMY+(G_*5K**Nbik}@kQUSghD|R}a(zHDV zDASHCMro*J<xu(=f+VTU%1bOt_C7F#M+A;n!kM8Q_cZI~^r(Y`>K5#=|0JZ)myB}3 zV_UTbCSwJ!0tgjz%%h?{<{XL;+iY)~H_!+wJ$Z*!=}Gz_3zcPk@-Xg<INkiR9ykwo zX_s^!Mpo3pBqH-9O6bcKM5#Ri%9V#uZ*tjk>H4tHo=bLYLgTEY#4cpeISZD1NNJ)$ zo`yWY%XtnZsAlGh1rX}mXNQ?F?rYqN>aruH7o%KU1fv`r$=Gaa#YKx6XOu4)xX*j< zBbf)GVe2LM-b0u<Lb=Cz8lV-`mCHHm(I?|{IqA?6o9vx$S+*2+B#QA&F<Y$RTWbv7 zjzVH10Z|gU@L%EZ-#`qTc9dU!a^2Vv=`?vRSH_#=bp*Zj{me(a0R>DkNw}G9u?=p0 z@l8$H_ApVs+VTcKQJz1w%Y)5wF<H-EA2^*28a0%eG>UXsr~(Gwv%%(hCfGILQ3n_y z(MLHt>gMRK-v`odK?HHsKvsoey&YmVkroq#=oLQ2PIDdRrHSXKp-+o;>q0~q_}Ar- zwLs#H4ap<mzM^C|?VK6^39ToROewbW%{cKX1h>tlY<i&}1&le3%`w=lN(gB3!nq(t zYESh%Y|7j{;%L!jetj$<v?&z2s;u0rKR}mp5FXR<=5%*4pJ88gC1E+8FIqvnkKgj3 zu-&_$KWp_f#$=o0wxI&f3?ZVMWmhj}5IjMs15fvL`vron8&i~IzBF{2ttTd4e2VW| zY^o4X#N80}AeI%B%XG0(7mC)zde;yiSmJ)4dFS~~Ta%*AV})FggVuOnyFdty1UU&L zB3?9P6jQ{;Cyk;{a2OaKd@&*0eL2@_9AmPzr2?{qL_Mz}*8}Wb*5q?wcP_asU&rcJ zBm&idd3eDiurPFjF|~xV!jk2Fu5)^FNQjUho5%3HSSpWx1XB}Au#OahSg%+~3)(+k zF3qbB2y+yfCsuIU7^fW~J*SPa>yfW-Ocbfa0&NA_*VBM^b2-(>UV0~^LEfB-hIS~$ zGe^C&u{3e9<);EBE6Yb{*vl(<vGGuGnKd@@_vdi+dERF#>)Dj}wJ~n?=jiqu0y^b` zEjw)NJbm3Dzt*YMyzNT(91knqW;7b5Sl(n0kQGa_yNU@%cew$RPjK8F71X=%2$`iy zS@>p<BC<*EFxq7EwjX}tHDlxN|Awd_&O>JXm8O4rV+q0XBD3rF`;}RJkcwOhf;@Fd zypcQ2F6mCWQQ=Mlq!knaqn5UaT_nX2pvn{{(40QXPEQS?KDQgX+Nl{1qST%!VpX^D zdYcT~1X0iZh@4nJwGE0~A0H|itM-41(sl2c8p}#Q-MbR?a2sy#>8N&pTC}+(XS}z& za!<KZ-l~D8uxHuyIEH9-;D5n4H==5?<D<D9VOF#8WBt%9mqYpL5LoatePa>Y<%VAQ z<|}cy;@$R==d&)y$_uaOn}c7>?`a&*S_{D%W84h%0=OD?uu<SXf+F*<9>R|MmJSrh zM)U4*Uip2*XY;6-e$_1RndRJD=J3;3Q474Ija}o{ul!7GiZt1QTF3Jc)RQJZ7iRJ_ zWg1pWP^;n^Irh<`<*ps&#<fR3@{S$5HiR>PeiGL4qM?!N1@At3@A<_pd&bfm^YW|5 zFQ0nG(f5BQoWIfw;ZFXJ1b{Xf=qF$PO8JT}cJ853x9`V@>u2mI=jJasTAtH*+h6?R zQ(wBU(R}y)`Pi`|Gt;N8dg7YtT|e}$*L?B4CqDh1fB&Mde0%)fZ$5na#P1w>*VUi+ z_t!t~FD~4;{}W?(J<D!9H~+otim$HFPcDB)v8Q>?=rfOx9u5C;vO9ABqyKw<*Tuj6 zv6sB&=;tn*dGKBT&wGFUJ>7rtq5D_5_kSmpU+TJiYX9rL`m5KizGwV3-#P!bSN*38 z-+RSVUuj+U#q-Y{yTSIXTsIopV@7qX6WX!~=QZZx&h_<Q{K`N0txMnW?2EqlpTGCi zq2K(YKbS8YqmyNG@@xP6g@5?!t6%e)#!c7cqv!9<UiI~#yWurI_nLo(EqFB$Z4!0* zz<A>bHo5!GtN#2wzj{L}t^1U+D+rZM8prk@we!mz$Nv22M~`La+*hY#6YMLd{PWGe zOQ!EVaX!oA%db4qDCsB1%A;Sn;rJ`R*z0uKcIWz?fl6>?4;*c{8(O>W8@s1q+uHr- zoy{iWv)E+U`1&0`fAx2N>J1m%bkSI2|JdcFzxvOwnVx>jg-fq|?^VC{#CrMVKRfs6 z$?MAtZv6Tc*Zlgg-f+dO_ijvnVyrmdZoFjI=N6xL1e;uR?K{G?&2wJy%;R^pkN!)P z_b-0`x8JwxXO}<nJFjVf?y^fi^h>Y$KR$N!!TUb+FTQZ^u9t_#F81!;f62icfBCxW zkDdF}TW`4aXa4%!_cWe**52Q}@0@eboz3@b@OxtHCnMHjOvWbdZ-%3^yY*-P^>^60 zc>d(~{^R$a8vDfe{(65ScawY?@x*WK`NNy8yy^EEcU*H}dBb@2v8S&2CwKglpZjN7 zFx1zk-=P|Yu90!x-r49m@4eyc@A;KoANu)P*QfjAOWLQ%dRVQ?OlvbwCyDV@do%TC zwdm@^$mYtozdc0DKt2Qm9(zs<VV@Qp{ji?dc24-tf&P4d_MI$pJ*5TaZ2Eq3^VImb zeQNb2J7!M3^PM~4bK=AvmJe)x%ekwr(xZU(ZPhF%eqEtaZT2y(aTWE6lWe@2V1M80 z?Ci?Q>duuzpf?Zo8a?an?5}))M<{6D+<8medaJ$J?%(SuooU0o^0SRA@_}YwjIuLL z_5JzH!J2I8YXGa8&#z+FUANO7eRKu7&CkW;l)t9rbTtK=O?G9Dr*jMIq+$QSlJ+T5 zon(=rl4`0GQNAskJT|hqy3HmvVe$ty**e!_J3)#~e0=jUZ1R}z?3}mxStO`zG9sHS zb(2jt2R3=@kDtIMZ+Xk#{kxS-e!Sl6wEH)aeRWh?8?uQi!v4P1!-uzQvfB9Y%BjYq z=v_9kea)G-v`;mjY<zqv+62_0(`=GtwUV<isn;gDM#-7Eee5c$((tz1M2FjO%3!of zI(KeBS=)p~)|)3E9pW&ch=Bu#wNG)iw#XUi1~&P}%CNcfu}42V`r;Q$#>DBgECc-C z#wKQ8n_*;}kNpI@h*#sgo2L$ceDhN}&t-Eb{e%Xyy87d9-Tc{|-+Jp?Ac_41NveXM z`R@o~6YaI#>L;fdt&>d-9a`nIONnlyCq}<JIzF=UfnQr7j!l{i-yK~UJuwmA8Iu3d zfmElupQKoKV3QZ1WQI1|H==V}Q!2eH^&jvTU9@xaB*zfapU!BLB=fxbz{y8{zs`TU zKYm#I6#wS2>+rreN1HI*V3UOfYFNp%d6u#3``aXqU9!o@_<aB4>L)`sIdCA^#0EAg z_pD-*J#Nb;)=zcW`H$p}#=X#6HaWR(Up01N6H7MXt8<MWuO2r|HqqGCTp+F;+cPp5 z+C7kX);39gw`9#f^;JJf>BhFyYHkK1+CT}Oy_uVjKDvs%c79INWL}&P0~{2cpT{QS zCmAQs2*oXNe_~$kPi!3AqGEO$pNZoBMLu3V9c?0d$83(hd>?Cc*fSW<IxIg8e%2NF z5PtGO$61Grp5k)`C|88!VR|tohGub*6^>cA;@9z3g!e*4b7ktmBu0E5FOcKq@LgnY z;m3IAMBb5gx1#eEd9@T}vB{UXi5D@uzV;>V`4`~7>?hsxiBGZ)?D_GPG5Zs{jn4SN zMcXL&`E+*CK7wD!->*1Y)1)8S_{|hpq7Kw;*HV<lmX}t_Md`p+B$HkMRC_JipD6k| zPCh;_4PB*!n=RFW^eZ7NL^}+xw=mgaiT0bi>!eRjX<y^?ZI``6c+ufkd-0TRR-H-6 z{>1ewVU~-2@A&M&+kN+E_7?fU>7s1RnLS+N*{gRW(|hbu?>iKiEx6f&+7Zv6J}xNu z2|IS*bYZ)1?gs6<v^VQJXZp~wZTzn2B+qk^mnUk-X7e}s?(OnvaHBVO*jxG09fmC6 zwAM&(?b#~{EyTOtoX(J>Zv6`xDXr;OmWv(Fx#D)>{9=+f?F$$3`WQde4Vj~EYhULz zYCj`o-zZt$#B-U-AxJrjC;DoC;#M4dqDwk>QzxC{czd(^BI#avzaK1(=q%jX#@T7u zcRd7rU}6?T?9-s@K5ZkTD{#7)4n0JC#^Ao!34Ocmd))zYALzRczBACBo!Iwr;g^v3 zfc5*UCueE5BX8gL>ZvJ>9`T4?rdFbvXsTKl?p0W@wDcFuM-CidDBih~W0kohjWTsw z<v3sNO}Z~tQk`5HpBTB==3mGC_;tv!y7Ic!wVkiFSFEnFYa6<&uZ|2)b56y2;=c9J zsgw7tuAVsT<{`=Jv1|PuX{<Z%JjOVTaXRcfyz@ZBO>B*Or_&6_mmWpGcKG|)MAR#T zETfNqcvjWcI16&iCQlyXMDUCE{cUY>%1*{pEl0-xatC*VrMRzM3>kXonOCL}_|eKH z`VzuP<djL6l}DRg@&~8*-hc#KT9Qu4c~+ambLBYwdg;JpBNy+Rf8D8*?3l+g)N9RT zlb;sjv)ROYs^_U$COW6?xo7p2Ck`W`%GG^_&i!+hO*S{5BYmj5Z-aqN>h}cOQlB0< z{HXS>9X|B*lwr)4O`d%G!)z{mx=kK`^3dZuH!s$g90qn8=5TuW6o{zzk-yx*meL8? z<mAa9T+ow7Ws@J--2B8R^nD3z0^buB%Qo$@E5=XlOMBD?HhJ~FSMNNvdiCnbec$B^ z5hwP&n$XFe^h-{A2KH?pKJeJ17jMpQ@<_xP@~8H#Y}!xTzEl4z?q<9%pG9^ZpPA&v zCabfYj7_wx`^jlG5$QJIaP&CVIJa%c*+w%QIh@9>L&Lb?uttz!cyeU)u)eTB9VAzj zRq2n9t~}1z#i5^=7@Z3D{UF)I+0J>lVf!AN{nlg2CQIK+Hd#H{X!LF5N6cgs4PCLQ z6B>!=C%99euKCwCvDzm4qD@w@2~1@ZeMbT~d3b!{(TjC(s<!m*JavMx>!)|hCX8MB zw!~I_B7Nl`YpUm4^;9{q31io+@L4@}Y5VPPzB)Xx$@6Q>cE90?XJa$fxrz_OGYdK6 zu-eNvQ~4jb=oLG-Wfmjb@x`6n>Fa#8KSsWI&f|TR|3L23{V<kG$*Fw!MmdU4Hat_J zj6pb0x7VCsj{x=(;&YZ_jAetpgAb&*NV3_6A6H<#;T5%J<*!%gFn*xa4@g;&Uy#Rh z8Qn!Mk9B&e@{_Dt@dqhiT}JT%a*3Z+{GR5gbk?_~a;<SKfv3~|Qe#1U*vE*^p3(o5 z=!^3be(IM<jqt%1?NIv>duzOwjpr~LuV>kVw-!*0&K|rAzsp&M-O{@30VE#Uf2uPH zVbCHU)Z|k<=nO;AN1yaNx6W&<_^-PxR^fw=+*Y5%XxIKgjMw`V^9wYv3)bE1M8C#f z#4hx=UKX)B&(Q++{FkP<!WX>e2iy{=`Q_Bf^lAy36hFQeIF}LHH6hB&g5vCvJg%P! zkM6C`X(X;XzWt?DzVXw_5WgYWt>Pb-qKYr)HcAc))!s|(YeXj@RQP_%uYHW-6Mf}7 zNgT+(CRgBW(fa1C@WB%;JySE!Uk$zr%Htxczh%pb6CM1;XvE0zF%(_G-uD#*L_dI_ zkgpx*T^+9JMJ-%%V=#rir?L+#CyAaPbIsH8K9V~;^NtoB2n@h01f37~y1XLMAp-P$ z^l*X#UJkCuVgQ}br?^91!pAZ|0mZ=W$58DQXmtx>|J{mKq22%!2BGbNoT%H58pMQO z;epgw7+1+sMTfj5VILn|hmb?TLNyxjiNsaRS5&oMMF-w?ymYZ0v-`PoQZ$lPWk?jq zbsD&>cwE4;6|F<Q4)E)vi+yVgGe{zk4Mzy4n)!%Lwo*7@<qML0DODEb7s-?)vI@4e zt14{4R*avP$QhXK@oZfY)C&Z+=<84~29m2_2y*y)I@HVtTtyOF=F@4x#7AoU5L1<T ztBpj|-Gz^Sa%&|-RCEUA5eo^8H;hflEknk%Ap&0!{15eH+59XVPq%taRy@6SR0QlW z3^9!zd^l}eIFB`++lCvC6k8e@MsI~Hqn%bh=@-6NLbzDJIp?-=oIMo#$<lDTAC8#K zC2@z4!{wgdejIeV)c%LT4MmcCc|@f!i7u-%R+NFbW+Z{XW|8A^CQOy4l|F*|6sYuG z24Pa`nNzT98h4$<=L$mQxPds+2E~KJ0eaCjpS(H}`8v&sBDgJIsJx4#D@*vwgEw(j zBu8+#JHC!gUeP5lmVE`Asulm~uTP#nR9z=r$5=2+YFx9|5=NDvF0pQq2-t_Ef&i^o zVp1a~L8t?j51l9YNEOYAy_9Q>xaV4qeB=~d3F?*XJ`nc_r%)igvGmfQErl7Ot{o#7 z^a>5>LU<cL<+6T+7iJ+Nnyp*#>*UiKg3l5Lqnlv{8y*$d52)HL6iY!oR>&ehYSdR@ z^cP2>A`>aoLS8$XYtD6~4G<y7wW5#Lmkg9b3XDl(*@cA;p%E_8^EwOycvefqiG&>a zNcK%iDD0Ex;Rk@lV$_6<41~f)w{H_Kwr~%huLhBzfM=?St!E$$ellfHfDHk}oL3|3 z*v33bp6Rw}9UJ=gIK<TPSQ?s@naU3Z-(6M$VF7C~x#MX8U1aU&ZN)DbveFkKLG56; z6<!jW<K<<+3p;o&*i@cTB8|uePrD1Uxyx^{c*@!&74+W03zcNHM#o{Hx|`(PT@JOZ zBRR)r6`}t(7n?VO=wv(GI((wI)XY;Td+)|X<7u9YR3c-+T$`0Jy#8ER36tfD3ND&9 zBkeFJw1ss7WL%4jY~baTU8#2QH^mUfv4$>38-*vkFdbXqjc#i3&UqwVVJRsG{Ww?H zB6Tj7inOS683j`<I0vtsaFYyy>Z@Es6jlSq#-?|)$Pt1q63T<ewfma6S5%9@%sdxe zl|>ugW=gNNtBykQT22WCy)QOqnq@>M$9c%}8B~=m7H$s0$Sm3%tI%0_QL36NlW1f8 zExT~RXh60MMGc;$mD1jU;+u2bqG*-NwyshExT>Z@)Zzxvs3LE~Bas!u`HN}8F%7w< z2skW(0~xJd%m@_{hkvu4oCuAV`84e0@ue-e=7bXR@(A=v4witES(}eW!T7+WKC&zp zf<$?FjL~Q?W`v>(fq*iB_es;VRM-`C23uT~q+;&mkk~EmVzW^0c>GZ#Lv?_cL+tfd zv@H-8aWPfQ;3-nyomIIAsLCtAj}Tn~!6F-BVpd$RFcBYs!N{Dg@fTN@**rcovvCPM z=FtHDIUy1U5@K!S1yA>(pCv|0_ZD#J&O$j{79M#DNEF^;h9zmKkZEDoD(Na-+;mM- z1wHgvQOn+rPQ)PsCEPErlVP^P1R5-2=g;({d5~g44~L~<8O2zTPi!$q=PG(u4I)Vt zjb~vg7Zqm*f`%eQFPE!hNl<{(O(c$4`k;9rb1W#SCc3$UPW%DYEhymS1UXi4Z!Ll- zWTkaNYC8otXGgHh6}wpU70yGtZ8ydr<S2_4L#7Ylb4eAcBV+O61|J;vrpYhC?>HoE zr=SHYB>^4ULETntaZlhEf}Dn>l8eB!Lp&DY(jJlenvw^8t^r!w@`@%|BF3^ik(50< zA}q3qTg;cC(#^Tl@C--E8Te0J2)5Y*H{~YDCOB8Uz{U(7xjl2l+E9QHAX2cRqo_7) z(Gjd5*J#gLR_tgAJKl|(t|$#65V#IrSVp;$Mv)CAN)*cr^9`>`oCcK*!NO>zLo3M_ z%H|xI)Ka*MbqXJ|j5(7YpkGq_A9z@~<`NlTEsWA=5`I(y0A7kHVh&YDBTs}l?hpdy zEA9C}sKZDzrET2G1oRg?{hOb&%EGgECXRi=OJY5%=<-}OJnN%~JA`peLNI&8s1C3y z(GVI>_hR$jn(vG01-r=UCyrFn^?VdOXJME1ZQT$xV5Qi!i!~TJr|l~qjAPXujPoAO zXxTS5dM_a<e_6rkUS#0YuZtcc)9!#A3{Z>~9X2i<OfiexsxVUndq2v1o3l5-yY3pX z(@`YC<RhcONfmh@Pf)WX&@B*IfGOKV9kkA@$HBcI^S1Anc?d4j1Xo-u(s412G9~s6 z+O&=i!)r_j76j(A>?Km`gclq``Pxbz7nGlJ!}}HyI4_Uu6y}5kAD5Bx4d_sqgi&L# zHmQ3c4VMQ8Di&+{)`zqhR6^*n`wjB;!^`p5gdify4mp8*5@y}p!)cZVnt_^UV}#qt zGkOW#Eq{g+{fG~o7n!jkvu$%b_&Rzv>)O%@T-ZQpMoEj%0mVu;R2X3!f!5Ww;6$_? z7A<fyq%&srT3*vW#60>kYDKO#E!v5=i}|7IwQ|?Hc0>m!KFpfbW($<<51J6$7<J%D zg0da0g9W&#WsWl4*g|V1_rbM&`&us5F#>~6B&H*eSi!E^%z^i`q!lrU;IhCP-1dm0 z_q)<Hj_aKE_;OdI8szdUP+$fB0I3^+GS!2CwsAw2*Pd<VN1|#`448r`dj_3ltgX#< zk50`@hvk@McR3#G?$?M?Dw)KBzNK8|85EI~h%O>?)={_!!~o6Vye(Bm$b*eIa!Yq1 zL_Tm>hEIo<jLBvw3q><|w#5*$;|MmE*?j0eS#WgwJ!KP8bHT1<HcF;|gmIVA%dPD# zgW9VI2TA@=&vu0d^p2V3e#_j5AM@EFBg4ZZ{R^0Ik3Cqd6#WewdtXy?TaMYV`3Va! zNj%IeJWqjwHUo4oSYwngyR>}U`+T}flK0$}&Avc4l_=#B;z<rw+neoOexpSORPEVM zcnwRGw8kWI&Pe`3Z+V06`C`@#Q`(I^-~5#GGY5N%j`o32K3{Un=AD@+R`OScj7PH{ zPUFi+7hdD$*9v?yWDAotfsk8|!R0u|XXkzYS!@=f8AXAr!z@jhaS$<-i&o168`2qD zmg2AXZF<yfj?fZyjZAlQT_y@3L>E5>IJ_0PXJ9)*TLk5422gOcx@w(a(b<A{k+&J- z6-rK4=6$nu;mI5EVm&GXa%HTfWsb6L;kd1JT$Qdg1-)aTb1QF*<&rR<(xM#iOpne` zx*%9HtaG~DG9taS&)rI*hoB^~M}Oeij-MAkLZO^UJr!(O%l`v)AZm_mIrqFdb%4Sc z&Mp34L%QzTT}bl8zaR*vKr-cykTYQc^vQzBsY&MTb5Qxbd9b3$Lz7~Wa>hPNzdnyS z<Xof7ZErm8Mj|_I0BjIw9xTXN+OlIVb+fh)UsjeQJ{B@?LJ-MoTUUT@hnvk721zLZ z3#7$rsu$q70<AG*`JFqQIpPkK^Kp7)8Q}<QVz8hXBV$5_SVwp%4=A-smY}nDoZ6>} zZXYXhGa|~MQ93?xZRRMBnKaJ?_<~F`EJ27aoF;!qAzHE>ggvS)JW8F)TtQ-w6TEq4 zl)DQZF*VQ#;V52<859|HUkgMDOJ%Vs-e39hAX(7O1KAWQ^R{=>zPQvY76CkRhI1yr z5TmnV=QiG-5^+iI6)h$Mngqaz&os1~=eqEm4*eeu)ew9PJtKE(kfBq4-is&lMG`Vv z=5VO8&u#MIB(^?<F+uqP8aP7c+<g?!t&eNV;JxME=n8TA18xD4*6<_vB3#KTCytla zBMVw!o&qNfm^t|pxdIqz)NQt0R<!18ta(D2oEk=d+q_Q^o?Pjuk)bV&turtNS}5J0 zZYkCwsWqD|qtY$+>H*#sd8QGa>;jZNdNCM7RE3cU*I#cV!YnuE5@|~IEndBof0|;# zc}x`p+(t(7<(8T`M_HA3(In7=TgvdC-)VN4F|{cU#!F+7N##If3;x}P8OE%w_c*$d z63e+N`54v$yx?YG205^7z5{JR02O8O)92+dLS9g5QzQY*Jl-$%_7Dxe#rh(+NFkTe z1BEY(Z7am7gs>aT9xzn76l}^1OZr^Vn!!}-S`T_QD1NL?dAUl+#64K^9_QhYbPOFT zNfOU$1QO5$8!N``VNqrmM30_@)%a;rn8k!sFmjl*2D4r0TFxe1LJpCLLB>TXoszPN zXXekrz*%Potm3r5*S2PnvPiEQgjT*G2nuU(+0gqwqx<PUKFzHM7h)I&HNF>YawGT# z_#%WY{5QZyTIB^F!v++=0v^~TYz%L6rUtR46e@yvaG9W;JX&E5HKV*n@cQ#^r~<VZ zKv<mq98IPf&TVAjcnE<W0!=#{JsK3(`E$Bi+l39?@3^T{akz?cj#=>(iZ1;prgY<g z%X<%XzNqDto(Qp5xj4z=Q_AaO*66q-Kd$J*hNU=7gPULcj9sF2@-pp*vtwEZiXYD| zw3vWC`Hv#dYOmw2B@w*oU!boBaK<5X7RCGkub3d@Q=FXnioD_*p9oXRz|B-r5}%$> zL8S^}Jb_XHM@SP7Mt};`;kVoP;{Porg;3LQejqFhj)6_*FnYseut{~~T*g?}%Fnla z$S4!`@qe3@lVGjr(U~N8Bdg0Ri$Mq#8-qC<s=B2VQDlppn(Gp2EGA|nG^oB)xmoC} zU-HpLV`bg!kL+Q6UZY@QqQa(@IUHIHKcZJ38;m7gV`t?`@p@~X69Veu32FyEgUu2) zah{-*)yG@!<oRgGStQ!EhmJyWEGSWqBzDNVcZTl-FixyA%6=SBsby+nQ1wDF+Ved9 zK)=7cF*(a%U1evIPk5jX2rkj0CDjJLvSVJ%{FL?mJTGh}iqN8r{h{Mpc^ESe$%H_( zvvEC4P%U;S>rDJaMYp=66rBR1J8}5OPQ7`&=e2s}<ndj6;-WL%o%dkKsB{HN!XwXl zf^m|4TW{zc>(aGg20jm?ex99v=xDcZ+1=D>tlT@xs?MJz^AgvDI7A51m5hFFd^t9N z_|DIB<JNdK+eVne!jFcm*s;J0?fi|C<v}u`V;jrzPcp%gNV7ISS+X}({Agzm2aOfo z4ZFFR`=TbB>sw!>%|pYMj6MD>?uM?MQg_oN)%(Jhy7^waUey35L-zg#y^uGg#L7Jb zBOD3DrP!c=V8=TY=H6*-V!C@D%-(g$^zz@mt6U0vrhA9^WnQ7|E!5j6r{J}75n{ue zP#rATx|E+GJqqI<+#b>0Ohu|7O7M>yZQ)<+x}1~Kym)$!n~gPJRi)>9jxkV<uTSl6 zeD{UspYwM(tC`!8He531!F8sfl#KUiQ#RXdUXZ>17F)`HqQ&;|tM=ICKHcKrzZPiJ zS-9)%mpt{OS3LFGJHof0@u?%d`_?<J%{zB;Q$F^FqsMsHy&nE^_=zKL`{Me>pC3K- z)z&M&(0Rkr2ks6>*6;j}Z-3~>FF&vz-f;AZuU@|E3%x#?2}kbieItbR^&>~#{@&hC zjQ!i+?S@}D`cUVW|IZMpJK}}L+!NMX+S~fji{3MP;U&fHHy&*~vWxjlaqcajec|5L zO-DkvA9~>(|NNo9c$a<sL~H!#PHs&8)93v&aYt;syWQad9VMnHnDHMWNk6&SAND$V zd4FU4AHMbXzJB{Z{lU+??f35uCw}W~-6uYK<fFfG#a&0j@8A0ezjnM6^7sDQuUzqG zZ!7a(y!V&y{pB4YyuC3qcKohT96eWq#Mr%eckan;oqocd1;y|9#uG<d`SV)8)q2;j za~|P)f8**C|9E-N<g3)4lz!q^XHWB<_45xr_0$X9^4~k~h9^FHv~}=`%b(FX#(BWu zoS(R6_ul;S?C(E&_39V?!X+1EKYi;JuiN$3)}Q^r^ff<KJomFd4vz><6<H5=|H6Ae z`qW!*`s>@L{wRFsm94L=6tB$-#^Y@24M&SH29IO^`REV6^71dPOr1D-+YPNBxvu!r zqs?>HkBsj6gQH)5<&H;Irk+#2<%aU$b?zI1F)N(o`hh8b=bZOE@Se>>2fp~b=l#mt zzw#eAw~^EkZL)NWwHEfY_P(e!yL;D#m#iFZd~;X1;y+)0`8Uo#P+nhjXrjl%uDkx| zJ4fw?sdDN!KDKeq6$h9Jk)76dBrtZxm*I-4F<<;cO3Kz*Pc;_Wjr-fhpZxT@zJAkx zIq*vtuXg^+&z}FPSKaofo&7&_MQ7L4TZ+|J+V$66a;*5yp%>r&rri&OCk~DM?ib&) z9^UfG{3~Cyd(RykQ$IQ99rse1Et@=vO;(=Q`pqkT{S&)(?0WXsu73AFy?*q@L$yuz z9NTC#?|mTJWd5cfJn)8(KGDs-`t#>DrtW%%9SP_B<o?~CpE{@fv1h+#&xv2y_0IdA zy5s6^U30kglY8HN)lZ%KLklc;-wykB&NlYhMXb7H@1E9~p8lsb&8Op1Jgwh8bK2(0 zi1r3<TTh(UYmV-0EV00wh3~o#6ty(t?UkOLY<!?z&-#iL+sOyidaOZZi8g;{g>(8_ zNvm%)`_h*-ADw+{b92EsiRBdA=N{kGI%BrI)&AkG+n8@Jz0<lYl*d|QmdGa_`{Foq z=&<=Mp1i#|l-8Q2b-}Bf*IlPQTI_8bz;m9X;GsjT>CY1WyWgYs`$kuVXLT_DtE=Nn z6LHVoU#%WJ`fse*92r%)alOCD27pZtt?s-C{r-=&$)S<B=V^F7aje7Uhq1}Y)ss7S zjvqiB1Do8t@MPm8BXDh#n+|PmHtfkKcb?ch%_gH;_rzF>$^C=ML_H;&m~4VAqfJ<9 zKWvkIOR~vh)?HdrR%}vp_`WtN&)eh_Pq?P_z{564dr_lJDqA(8O%h+W08+y0>Vc)l zu!+{8HyZzjePtt0YJ<SjZNkZmvI)|&PvFdL{=cc89C}=P1Gla5u5EH?X`Fg(Mw{GI zYvbO9Q=_S$B#dmLCDS`O{O?D9v>4cqS@LN%x%hK6kK}*p?#=$;?)NrrL8`_keVHWM zr1EXzFL(Uwg{60XYeM~m9l`^fBo2oT_k9Xg@v5Jk2NT&O?V%gCNm^Kb=+N`1^XMi6 zsx|0wKlp<wKZiv|Q4Sn_>`__?&|qx(`<stH$%|Jc94;${R}VM#ZC-TZf3!_j9@ie) zGmc%d$v!&~$F6}*#@l+<`S3uzSFD^oiBM`qX_OnJoE~^~+>5=1#U_kh(I#o^>epjd zR0m_&#B8*Qot%A=Y_dr`b|o%Pw+VY3f~+B%tiVRT`b>fxMJu#3+C;X>?7O_OWbBIi zX4{AS&GEw%*ktF<zlt_l+I)O;RrMd(MCTkfmdc0ga~Sz5mS>tydmKKSFrLGBoEhdp z_7AcLFz#Q}#~^n>zb5+ac+NJ2uj7ovns4n>Ha3d)k4gE=u6YHe>1llF8+{(47R}Mh z^vi;qfgXQl>SKJPs#vP_1TLBQ+hiSQvima#%^aL>J$I%TRVSX0S!2V;pmlztD~jut z*Kz(l^FI9g9LGh;&b)2$X7)x>=;D&z5dta$Zx<}f6i<6PiAUM-B|F7dvUjG~yJ?fG z`*~3KTDSNSe>3|RsoTMuS9F%;a}KJ`F%BO-fuaYD2<*Lkb;0wG&S9MX=$EH%83~+e z*q^(lKAX^2XB2+sckYO19TI=(`0Qo3$>)sATSk5-(#QA!&)J4CJ-=*lz9Z*0whGQ| zH2Jo4vjx?AYOn`TPcT5ew~(Zu_|4E){_SiEoFY@yPt%UPS~jTTJS#Ow(1V1(-G>WR zr`M<bg-dV#;kD12^>?G{F6q2`Yxap-^N0Jdwvj)~n?L-8S6lXf<!}1TI=UimM_`LA z^E!9Yq^nqFy_XZ&Ws9lWuhY@xjgpBv-Kg|hw{P-C5<cw#-KD*toMrhA?T>{1;G4SP z0;-1XE2!@sb$sA6-T?b{o?tSz1?-gLuEbp6)O^%coichDQsKo<H-Or&3C`w6I#?an z7*;ZT(Es<%&&Pvz*+~7VPwBq-&UbDeK$d+RggJl{ahw=Lt@znNIF-K1UH(bq)YlsS z;mt?q+59z#*Z9-@lbhdT&Mnx=o8rmE@X<y{RPm}*k?P!B-DKXyxK(K(NfX&|)7ad1 z;N*8XvDs$l;}}X@<5(I8t5PcYhs#Xi+9sRZZE~1FarL6j*}r_j3x@N2_%P^wnj`R6 zOC$epfQgSw=kupF4__ST=PQSveDWl9l1<nUI<U!!EB+>%l-CT3s_MiY<w>@v4`k?V z_7ChkDSdo@IC)~EvdPw$L(Y%`yPVM`+;3zPpw?~DiS7IN&JXX~oIh}K^A!7MXAeB~ z*yE3%B)-aw{jtYtUPJjJlWk6Vf3&KHmMs{aW&5m9r{40GEiAK)S!NaS`R2dQCQ)vk zgUGJZ6S1F&D_h(Ukq@n${M4tkUzC0_uKD7{L;d9RS<*S}fjrVWdic_p9(!!_dncHU zCivU;lM``_fJtS)Z9_uM&Md@qY_qMO*maxFKBG;Njp!Hg-IlgX1N+oZKupN3ptfZl zANbm9id3ts+ih}~J%OtiotXX0^c|O){KMFUexfOocqgv%{|1=&xM=2AHkZ^-c)@&V zbd-J^ZK55G1DnKN^!;q|tYd?sm|;tTFWvpvV>WWSO=>+4sGk-%d7|zgU#V<!7MsXs zLD~OyHc>yZlk*2?P0ZtG5Ab}mk8zrQ^1bh26WU&ad{#pvZ*(f(@-$S|@t4K;R(g&9 zpN-Dk@;|C&tNs6885>?Y;^(l+ulY3=6aHoLNY_#ZLB5#$`gT*t$6~5kt;<HF(`+Eo z*YVmf{F-vyT>HG0RNU(LrB3m+L3)ipGu;-SA-d70^Ap|8+$y)|;dd4~mA{Qn@zdn9 zn^}5rOI>E||5wO(MxH<5BKqdqulfCeiwQShTBT=EN48e`O#Mty$1_KS%t@C9zcs~g z1|{F>c<p;01%gwGLf-n7pD@CDmr@d@?H38;!XM|-pOXvpc_~O}u@A?DiSd_#_k<QJ zcac!~6Hb>>#Z%z9a-0h5mWd0iKb{zz{6#{qjTG?d6Z3hWm*k&}r9dW{#8<Rj0lJ7u zp&0XW7tQ-z^aUYNMz@a^9;OU|<1c{&TZP7jbwrAx^ePc#h(Q<K{}zWH1bCa1Gh7BM zv|@tX2qVdBifRbF!osLdo`<ciS}0{ZJbhet6~P*Lk)fE2y!7ja>MOoAMGOrhgHkH7 z^5*WxV!QKX0oI~B?6^VXbSbFP04OolJYsx>-}38_61*+RJ1h9Jru+>F8wlZDd~i*p zTZkFtrVvFBAh4b%MS|rk6@^b2J^W5sk6a-khTJX8dQ4C8VO>;@&Y5yINzTbVoKEyK z2;1X+*Pjn^eE*Ew^AU5~F)6x{kZNajs0x5de~NFV*Qo<3wh(6s6%m)*)06p0G@^1` z?&Mp^VJN(Xt!N{!A%>^Z`qOg!?V{q6Gk(a`AAT4r<ohszDonDcehd6o&@4uxp|+ui zN$PNtA;lb?`lI||%OzZmO};3$LrBGLNB&pDZd9A}%22geB2H1ymDHoy5V$~-7I^EX z0JhEb6YvHzLs{Ap+Xmfju_{8jPRk$EETuKZzL1if-Xifk_I%_k96v0Vyg+d&J-uT} zVY%nMAnn~Eh$!NfLb7Zd-0To0q%?<DUqkYyRw|MeIlyvixo&NMN!ZuoQBB^WDV`wZ z&bPD%L4qN&JQFIpRchs7fDP-!$i`I29<~s}cgk<_V|=02{u)i%F@-U{Im!30nH@qG zVovt<`Dh+^X<vo}ln`(LM({sHyzs1+Kd(=S9UlB??fj99A8!e_?GfX5d_om~SN@;{ zaM^lPE@k;(EOfVZe5EU=Li)3ya?ibaL)@U}cT>I{jm&-nm#Zl%VcIG)l^Y0w4;wiY zf%WyOkK7RLDQN<#Ry=qwNpLVB$`r28B~W-6JgZp>Uu>b8ON3)3D%=!)o$f2#kmp#R z5Y()iyq<(MYr68<<{alS8q+GRbfl&B;<8B|a$1HwJ6z1~EW6f13ml*lFUQpa6qfY8 zxa_g+rQoaD>|>eoDggt}Ktu*;U55R559z_QnDQLN$56q#+Q5MQ)K%EX5f5bmAt*Ld zF>ERTW}z3j!iN|No<&+;DXkO0dh_bTu^;)R+(MDL_#{9j;XS9P=xJMEO^DB#Q&6b| z2?ETq?+poexI!^1kH{%V*qegaVL`3KXu2?4(nbPd#LvTmOBu9inpJ}ltt4fl5xWir z!4N9xfRFA|lfo5Fg;-nxqJSWKU$C3=L@MI2pz88Z<`4^6sESfeodKg>uDZJ9H^-&d z+Gaiq;4^20o1PBuDS$`<=)43RhTOcL$wWsx#96}}iWqN=lfUdqt^Y!#j0xgfdRh~{ zd95OBzBZ;3i+>d5thq+-l^LBP9amArD&@*4YE^WNkj;39yXNyO^8|e4O<+_Jhlp8h zJmLl!jg=+Hgc6Vjp5GD#DJKmr#^h#sedmrS@1mFJQaB=#GmaO?Qe<=mfo4y&Gzn9= z)Dob8^%&sG@sNo!#JJBr(V$7Jq>~`I%@K1ML3TQb)_Z(#g_3QOOBF_BW%Bq6t3A;? zQh6XMP=|{|fesRzS1P^|_WWO~=nBhRQb*!2oA5n6xZ<i19C=%1NTA#a=?KL{yfS7G zVh94^JmqA!)lkA97-BYLjAFWk=P<q$@X3=ajO551&K6`YqJ!4I(&v5fXmEKC1TaKb zV}w}fPBkH9p}NPle2vW?dA>+ci{feCfuPZu<KU8Hi5Rz4ae}3(4J4S;%C?r0VQ_gl zjclr5P*frjca#)S5rLy=ax5{|Ed&B>Vw2C<H6Ah;ctxwq$0~>jltaeCyB&0ImJSbE zcp#zJ;UWQ!=(6a?UO^}d6Y)qUhA?vkfS^<dUsI_`KT32a30kpSO-UB1jmEw!!Rtma zkWRHc1I#Q7DXC{@x6Z%BCJ5`^@IFdm7#_|QnT^JNGVh}X^-G|S!9Ycg6~{Wr2jC_< z=J2zQV(KSNek(#LF_x!(BFYy}Jd5FU#6~<)H+3M+x=2>w>^Sr?>NlA6xPnT8sD!t~ zqPuBgq0k)Pin<#oMyQX@is0doCGe@o@agh@6$jV=$B{UQW_Ly9NQMpFd>9{)a7G*$ zPQ@QU7Zs=+Mv@Fa#2O+ApAM}kC!^HhVPCdFFq(McMKcwb9b$+$0w4bgB<|e9keI86 z6?(doT+j9e%X-|?9l$`zSnLX3BlT<?Ih?b-)YRtY7`=6uwTsrm9vM?D!TeE1TyGGR zZVVPBJ735|D|Yc2qn*!M(F-M8=2P+90nl33YMI>=$acA9%zo79<1uG&<z7kSyKDqE zllYk<)cm!8tC0GME9a3VRn#up#T_?*Wi4xkB3qZf-)gMIe!(0fw^>S@gNhnBzTwpq zv&`W-lnFPYDh-HwQ7}tv!`ndap>-*5xXtFf{J3eCsh>~*#yv;6YymhhSz_Zd;_Q8~ zct3ZMwe6U9BKyb%DNg6v0<!K{;oI;NR#ZsO7mIa|b16<+95foF!Bjpba1$@4n=5Ff znI1JopJ8Cs!6seN49ckjUdUKZT^5mq)LRPXW-;ayYQo2CDMCJg>ZFadDVn$pc;(k- z_1HBinF5%->6;iaRIDhJ47NoR`wuKD%t|&I`415&J}`4k#EAt{d0>mQ@DWd+i@21q z5GfX#=f$i;W7k%`m{L33IGqKW9WC1l*~aA5p8Yf0s*aj+oQ5@g?xhv&)inXjOVhC6 z0$H3hw@6dbcMrOxu91&ddb5uCqO;U?T6CqTST!j~dyGLw=V1N>Pg-;mfL7NEN{CeA z#NTqfOhYqwJQ}LH3Ahl*3MSCM-WYqZ1KZF4)aXR8=;Oo31af;+N#|p?G+~G{r!^|n zAWu%JcerkC4<W^5231aD<V%%=VS@Ecp;-%g!GIMA10Jbk-C|<wa4}kzEzvrulpBGd zg&?Xa30sho>bMGyZNPIdA?wZcNRz<Tf$csmq3H(wYA)uUiyLVXJwYZ9eh31DAo09J zQ8ZH3l(ZlwvTAMD$21AM#%8(|Vu34i!jE)_)`U-tF=BEX)gfzjsoAGvO00_oI<bp{ zj8uAHh~t%%EBZtuR^vr;I!@^$p4%ADFNsE(qYTY}&!)pHs+;ii6l8p<W4Yp%tPQ50 zg!2R|*skgtoBW`M!kTWb4xQ!)Msru;80VQlO;5FkXhKz&Vnz=JiSknJ<_uUCpc}4> zvIX|;xyr_>5_!(sUUsF88P0pnF(P>!&#qlmf9A@x&{vWOK84B~Dz*6mVnHYYYR{@U zAfh_>ZL5n&f*eu`>nNm#LtRueamId?=~CK?Z@HF_VG<=@OipH2(518%91_e_{*X7! zkrG@?$|_bvbTQ~WUb8?rwY;RCUJOap(|~@$6e=O-BEz|Dg;7@Gx0MwhzV0Wdar3ld zn5A;nPaOSZiysIex{&Cm69LsV^H%HFAZq6yslphyZ?T_*h?y%wxe@zGO)&5Sil1h( zXTi$~s*9WJ43f73!AT22BdtvzV@l33EDY^Ks+srPMs+t0&2&SGl8Wa5+#nPKXXz(f zSpsBF>n9gZ9j}V53wNS(2$S^)Xa*B#Tavb>h-e|0IJE-$RWLSG)HwD2NGDpyRe__Q z)Is_Qsj;7IRoO*;48iZ;>L;P-)cKP?{)L(celqHG9)xqTc<Lfh*$JFHr?WpRPNnLi zelh@ZDm%4nH0^jLrDp^X7quJtbq<%wt^th6EeakIx7t=jZ*%Gg1CWWVAfziwprZ49 zj)#&lJpsBCC-U60OO-GsroZ_}D>^Q1KFWQh!!M_}-JOvRem?P=v|WB1KbQFVSgsep zx?EUM?s()lzFj`uCsVolrouLUcJV>0WP~R&E^XE2bXt`?AL|TV<f*bJpT<+F;`00n zqB+y&tMy1YfQ%4VoY?CXAb&04B27!v{RGaTXdq{ffgTZZPwR4)V-U3HFwcs$t~2QV zMypvufM!~@v2_cI#v2gcDCw4nR&>UFBZ@nqNP)z!qH~C`oy~w6I@``~khCqZjn0v@ zo!>=&HbgBM<8HEnj6;b-!C{_g>~x+(lyzFtjr#upWZwD8cyTV;^K1*~4blgJCOQMW z_ft7eGr#`&sS_sxK{9yHd)EjxJ*EgzwJu`Zl(pb6r@6&e)xkiAF{fQMJ~Ku*?vmBG zP&32##@Jgd$95Jp_sQH6-8tE=<{0nsUNOxD$fEnIlREtw$|VgIA_KsEhcRwWkDw96 z<E>R#-gwE#q4`Bd#A%MEZJBksLxk2`(IdPUDiS!Yf36`47)!i#&fILW!*uCY?Ak50 z1!p3857+CG1Gvjo@-QUPYfPvDA&FR{w|tS0>w}7U%DB<;Q_eD0=xzt47Na&d!P{0( zc+|1ko(Et(4yj6Mo|)ceju+}_jvFrb#nN++(2C`{A_N2;{UTJ8kH87gH4mkz4+wH9 z8>n2;7;4sHxkb~rmTWrexzcs<*O5Lto)N#LvBN8hW*t+dl3-*k96&*yvC$O_A`uYK z>xE3L2V9dXFqro?eIqB&AR`RsmLi+xR_ShD`6nK>+-#X)=(x(|9bp>1BJVRgkQ<c; zVo?&1YD#Ci=6$~Sp{sY@x~Fkv9M^yS8h_1g<$2fK#JHYDc88AUBi-J=bKxC7*tj~^ z{p5L9`4`?)UVP1O+Kxy$4h_ffnCs{HhxT4_>xJ;^_fDL+=8A<E-dgUy;wFj|$3$20 zyZo}(U)8uH^1I|Jd*SQLy_ehq#XZn+=rsI9H?p|*%00JV*tjjyUGYMH%}qP5zU0=5 z8*etxdlU5ts!PZp{?P7Au6}OgjuAh@QFT}Ot8Op%Ua@;Xt2$R@w_bSrCCI3{?7GA* zd3|~1CAZad>Lt}!<A3Omh1;&WgBN8dPF#Jfzx8@+-FAlt8q8D7uyi_ez32b=p2c#{ z@*9@-oM^p1+jHV_ZY8?4v?wjYymLeMllFykyY{qNUpcX!=a=o*{e;_z+HLv)f};E8 zzjk$N_jw0zi}#a{UhS{Gvbg-}TUBQKlO>^}ZQXS7+|@5Sh<uFI7w@+#Z!Pv-{a?1x z@v<MfukGG@)7;W+*56oNy?Xb;$8IY2Uc9%WOG1iY*1zez>8bq{zrFkYm0vD)@4XSa z4%cXGK~=f?r*4}&czY`MN`LjO#npRn8kB1n|1T9Sjnsc_@7_D64qnZBqSe)@{kDI9 zv3qx_i%O2Ik{?K^%hZ*(?LGK}d1P$u^?R=`_V2yD=7~K5MQ_kg#((GzyZwcC(1ll5 zf8!>9Q>&P|J=#QedA`HI&5ukx>=)PU^?ofs?!ARQmop(7*drFpG;HgB(!b@wJ=b4< z-gCc_d4J>WMsFO4*EoMkIsd==Ka&RLEo8`(IUME>yg(SaD1|+D)Qf+C_4uFu`q#hy z`=9>wXFl`kN1yt-*{1=s?`;0@r$0mJJKy=vUwtMm+W()Lj(7Qg=l_ek{K+5x@t>fZ zPoGIQpa1-$pRaZECx7xMQrG9d^XRvt4pH|u$X@iK7fC+o#P3_5e)QV|UH{d$|M=72 zCiA0@CVuh0lNEV&&dGXQ)0!1`Cx7hE(%B;Qc_-C*DA^!hpFMJSbp}b5kNgWXgly{h z4UcH>tN1^V;@lw<ex~wWRc;-x&S$Yt>Xt)(YaAp)R{TO^G4iYUZt)@X51|xIo_hrb z=c8!yA-t>8Q(1K#@&~H2|5N4v+sglihWKQ~e@Om-UnP58_5j};&|OQ$%<fC^bRLRj zQ@@zv#lNlm)8oHXQk-RD?lR-PY1aK<Zw<*ym(Dp^|7<${B>!wWJA^Ys;`t}x__ny& zFQ@q1mIuD*EYlevU1lgR`(SIBf9Aofyy|Qb(w$<QRpQp<S8<(_B0f6P<e=~ue31KR z;_F6<e?IY#e4xu&Zauo=vRElA*F_3Yc7)5g13@!>S&EjatdW;~(0v2U$a3WqUn7nz zE%_OEyyR1tSQaJZItPV#sOnJTV|gLcwOp_e+8^Zc0REqakMjK<KItPT6W<tDy5jK5 zZ@NsGE$?z4%snApy!p&MF3Sjb##>|(;&GMcbGbR!a*W3*p(w!!u8n>Q3%7_6KK+60 zLs6$OGa=^8<B4L87y|dbTkMC30Wk!*SG^ELj>%mTKTn_nFQ0^SpD<p~$5`T;f=V?X z<Z#LHJ_Z%-%dz-2ECx~usdoJ!$zBTH$F$nXV?dIiNDG6QeKrQ8ELA9_Q9aQzhdV1c zr93S?qd`blF=<T^Pgqw33DV4CHlHv9*Ts;gw26K#hBWmVF2qMH6y6)A#?|adSR&C+ z1RfNE0Lk6XB}u4M&&&^i$C!Lz$7hl+jsm$V?aTna63?BPAYxZ6N01`%if$raI4zH_ z;$AdlsaOvbpg;kB0CnXCh_eI+9LUe4uBHkxuu-dHgJpa`_K}2-iM6A-pu%pp#{7-G zKb-;w5?(*F8zmuBEH9D~B6$mlZ&kGUT)WRK3{r=yy@e&YjzHk*FzBZNMLCeed_==b z<|IXwW}7@pK0CzzQYEM6eRkTIk}_~RtZG>^;Kj;N&cq5~5X}$p8$5(1(4$V`&>o~d zJ-}0|0~=C`BafnHKDuXchD4}!;DUotSH>l{<lGb|80j4W=Up<IBHO?Z$F@a=6Jq94 zOQyuz#Uzc&Njr(PkTHc)9chADE?29vmL^lm-wre@=|WoOt(2kbOxaap;95igJ$y#i zv%I+?t}=57ls+%QB&BP(_^Pw{plgGxN0q7yV365+GgT_)0tG{#BJGe@9gI>)5b(+` z1j@mW@q`-$wHhbN1!nZS5uIDxi+&i8IOdmMmmGarI9hqOB6lK5MipN#O$>O&fK$4_ zk(zwdugxgM#dvdvPfTJg#Bge>u!5x5hzXgBN~tlPR2YlsAivuE28FATPPTv-!h`F4 zMTs|6fday4hu?F$vDb!$iL8HJllKD6xENrg$&A;IS-;twcw}RGrAxzokl%e)iqL$d z=vY6%mz=TYxDG9veZw+m3jwZumCKXTx@%`sI)R0C8B^f;%`A<C>w#ZeiYR=C7d!zu z&{@FA7)4M_@C2#f&i@-+geE`4^W$hBk{KyYThI+w@i-8Mn8ag#!EK3D&i~~@2+%Ps zM{-E{EevXJ9WcShSyw_-T!<l}3Y4C3RkJewkfKztUu-DFbET73ww7!0jb~P#h-M|` z(oD)XuP4`~Fwq#L)lBGBAZE)B-BTW`z%rYZ)xuiQw4UV`KIBEjB+&9vdI@avWsJSz zwQ$^{m0oyB8Lo}Z1;$gJM+BNg;l#PfY(cVng#wom%UDWdMTc)2(7XtbE%14Vkgt=N znX?YBd6x)@bP2B@q)V#=;@^zEXUYr3F<eK2;QSwer}DRB6v>c9T3-h5E%+C6`J6vu z%vUmJi<$FfR@fqPl))QXpyFQdX#)d<)DV0Va>1-rG8ZNb(t6-7rG$`PGW$R_J}T}P zD3Q#nb+M>?98Qv{Jd7R|JZU*f%XtIld-+0h6qb^g#mRiRYSyH6i1i7_oJcNfIF=RR z<$?M*b6Ad+LA6QESlYTnpKXUZjxBpXP4j?<#Fu#|SJdJZgAux^l29qENC#bwKo3$# zkMhH%w&2W9*`81=kNI+rveu@LXUi-<@xIra8EmP7iI0qEk6B<$q(HzgMvrnS?BW|J z0)NVRRfqzct-H*x2SVALwLA+mR;~?j*sulKvatex4RP<E4(6E^E#>Am(1w^hI62bV zmd4*^F$b5j+_ghRT+2_*3o@TQ$W_of(@*8=M#)-*9!frU%YzMEFD1S7_mhi{e#BSg zT6rqnw6j~FfnRx<OZ}#U9O4JZKww=eTM%XmXEe@w9HGUiOOZerfppm|0@c=s>tk<I zF`g?_fAM?66sbxChQX)>Vuer@1Y)5eXGF%wZEkH+L*NoeL3|01?-a=^xd{*tYq5kp zrsz8z{3216Nzez{&ukKl?x!Ew8-WKIit{9^U_oGrjvN%{>z67xCh^s9%TXy2)tGvC zsNq?{hNXra*Ol5?8F$9SJo_Q!mWE44+7y*SAVwBcDP%zwF=M5^Sx5I=Z$13rJctT{ zISSE$1kSg~!Z`-iml&Bo(kM29&FLf1<db%-n_1B<?CoT<_lI`YQjso;4GOXJp9OU= z<=_rZ79?VUVp>nuikySZlMfD8tcCC)Q8L4Tx;Mv%PuH!zrWsy9kPd0k_5G}BL-%4` zS`fn-M^W?9D03vRZu6^po&*Xx00%ckU$XNLdU8(c(A9Rdc!zX0LL!7nc<%jLXdGPO ztR<xsSzxe%$6O=@t(;k3RdmI1!hG2V7cQQBfk$$E8<Kg4%P6m&OmKt+IKa*GjBfhW z@;psP*|K@q=jvUm+dy*0F4rM{2H|<;P5;^Gyv>%`M{L|3(+9NaMhFNKvO(%Cd%k&p zGhg0pPJNRc+_&}9<cj*cz?H?M%PC3HR}RZ5D8x}g(5NBSPrtMH;ygMx8grg;M|lHh zS}ZB2OC_c<W4{1N`BIly_ZVd|P&7JZEVw~?8t3S)HKkIF*E-IyR<6-t8G4(v$Whx+ z$-Ml6AWCQM-S{-<LZj`51$2rOvW(^4&T)qI_&~iNe!+Q)k?-X_3m(KvxmY<pk)v-$ z^1P9e6Hx-C&yg02Tc}t!O6kLBnT@grxzNBnXA|qHH=vRLM;)S%Lr^B^!hu+e3*XuO zhLwt08pobqTqVL@nzFeg?=-W}4pzR+9x~@-u8~lXh3-i{b#zx|lMD=w09In5`(&Z9 zkUVkmB~|0*IXTkeORKIOdM^m?&)f8qe5Ab&HzpAw{A;sb^R*LVy90z>p4pB<QgylG z(z5qBH!GF8-W!9%l7eTxwkgw`(+pT6qm=SWQ+uVe8Bu$G*Y4+Q$ku#2H~1V)_hv>W z-xr=S!mD!by4F6DH#lJgwz`6A7Jd#BcN}?DG^z{b46MREJ%)F5NI%w6OLOf<GP0~o z0jSZQ!*I2sP|nP-lnBvCe6qjQg(S<{?Y!H|UAPktvqwXJcD~(&JA{r4RZ3#+31daD zjrDwFS9?tBI|PBhyu$~3S;;&?J=z(Ir(fPEcI<D=k&Fl(`u(|#RS&w+*=g6D-%WE7 zp1;CcUHLKAMk#UihS*YIQ0X{k*D!>a#Y|AEy~DZr;`tk+<)j{Z#*`f72)vWE*!<YW z6fo0;<`l-E>FZ<LX8`{krMA1)@nakGgT81A!*l4-MJ32}G^hFI97(Pai9nxC7R*H# z33bQQr@|O^LZfZ*fi8D371J}7h|gp(f6`!V4O#AejL!3oszQ%&^Hc^YZYk!+o!w$n zod%U?yMhV4n3aJhVLq&p-ID~v*W1Ioy{O`gp32Z>8T|@bj>&>O)F!EW1YJ8@Y*Ula z>@iS=JFVeI0=2~yzPCU()hswda3QT(jI)982sbLvMC7yc5afL-KR)K4*}uoQ!LD@H zW*3mBzwDV0O^$}P_buYF=`%NFVpERNl*`OH^VcrZ-jPG_tkLMDsS3(N!1otj^W@>f zu+fs^Ubm&f2i|xVCZFUL^F3;Qr$-T<x2bur;pizSiH9L|Iw~}6yJqLh(c+OJ^k68q zZZ{hxn+3^c%hOf_vzOQ-43hNPwaflr_TE3vuBtltUgz91H)n3HnR|yxh$N6b<A?(y zj1Ja3ie%4li39TZ-e4w)w3>lZK0tl?pkk9MC3`NHa2e7#&=7^TFi@;vw1AdcDhe0$ zwb(`z{DD6nBgoUYuSH*sjaWsR`+nEn=gyB1YWw;4$MZ+m%-KKIUVH7e*V@0%xo7Xg zy9#miEWLcW6kXz2mznK-7ExnoYjxFR+>E<v%^HeyvwUo0q@i8awHF6C2l2e%WEg<* zQIQc)sKd|{v~NnHukV8L`-qxZvy5Z%LqFnR<$O8_)f3-@(NLh9ppu#LoH(V`gT1XT zZIj)Mcg|)i?KcTuX($_In`fNIqZcq_5uhB#Vhw3_!#Iwoc#R*kGb_p?w1uKjqau;o z109q#6X^3Gr(z}?8L`UIub}w!js@RIPAyVFOOJ_Wpv!1FXGNxaAlf7a9zCR16CDEr zUU98UZ=ySr51YpvZp|S1#*XryIJQ*?5;}J+N#53fi8=q=9+u^mj`B+OGmn;RkHuih zDk~MARuyp*BGS~_SQ4~j!`o?a0^3V9j==Z2Kt~PZC?7FGjZ;^CNs;j+<$Ea5GpM6X z0|BM7O!O#4XM>FfWq&sZB)THIQMgI76jGvAz4bUs?Zw<@#T`ZJ<NsAZ2qK1PqH7j{ zk^f#uP_QC^u1#&iwh|4BC!sWLA+*R*MhD}p&U!e1P;3S6BgFygm88-vUOJxmB4jd@ zOU?|O*FufhCVCn3g=3na+q^=XtxwAc@hp}e-=)}CMK!M+OzLr+7pYQtY_!-t+RuGr zY;2>LM!n=_Z7@E3x(xmWWjp$o-f5x!tOmSRL~~^cnoMg6P8|jA>U~U?43y#3(=(0O z8H{M(yOTJ=vSfz9YcfAPot90hhnv4qPZ={3Xm+t0MN2!TlKxaiSNGd)E90H7l~<0k zd>d>wO5+26G>pl6c>;&0ZA8thA(<`LSUE2+_IR8XRUFjd!Q$GQh@L443=^wh7rqB# zC|l$L^2dEibc)uEmStd_&@P9yEK2m{woM*evcqH(9m|-~YHRvI*vKKkbQDd;<RHK8 zrp*ozSwv%wIZwOR;BG-1tb-i+UsqmVs=G$*Q5gqSm3;NCj)Vdq9UGQjR?<dw2&p<A zqTy;(dJJ)f*;8fA>o6Q`f)bLNPG$qNaznw`a7z1;@%135Bf+zZpq*9)lBC`!A2pK5 z5MIm$;(WSEY^{)6qJFYTE-IB>sh1VTwTADaB#v7GL-dbT8{=?=Q<StH7Qh6c6p!y7 zmV|pX2s7x}*bcRQKU!;qQznNc$Mdz+JmsaaR7-OvRWlC9r0E8TA$pNFy}C`%jpS6) zHW2LPUV8b2k$&{sEOw%kB8_GoO9W`9az#{iSq%PqdmpJINs;?i>OUM0_88u*GdjOU zv|87&B<nEk1zIisT|O^>A99=%N4J}H5>GgzqkgGL2~P3&)8N$)ai8w-A-)hl;dF3k zp^`x)Wc1V-qQVU^i)CvYDA_P2HVR_uuAL+0+XR}$Nh4%vG@P5Bc9V@pt%l#gj%bEL zyyQxgzASNVgM~c8Nd?+@b;eF~W;$2#rHaGla&=JZQ|CH(=jC&FtaZq#WS${@21$r_ z=8wRKWZj4f%%&w`MF%qlqtaF>#sBG=t~A1w1Cn&B9;;zMF>UCfHLcTJ1`;{~^LPSI z^!q03B!-*z4jxYVxPI1|QBKpdd~Kh$>+uv#vv3`be1PkbLiT+Xjbh+e<1oO((nxfp zMz}DGehpEDfF$b#I;du#71KoaiemD}dF~DzqNM*XJY1Q%PZ9IL%cCqzK*mQB_&A9! zsLxZuP{DcEdrYHsV){+&JZn>_YMP1)sq+--L?A;p$DBuyRGmR2*I9zS#uOpGD1`Fs z=^iHUQPvC@WJDtW6Ojx$qO?jUHaWN0vsR1vp_*a>W{Z=G4$RLuSY9j1C||OWIFfN^ zM0SfjrF4%9-&0Y%O!U-?>3T7{Qa^Hu6E~r{B;c<rJ|34U!`<nn$zv;r-2Hqc<^Q?O zk+J^|)5e4tcVe`QK9G<h9YlVuozbOSsPv$86jm><BeJfA11$O>?ZT<70Dg8+{nEJW zVcgoT3I8{<N<}7(rY1?@RLi>Q1Yz!BKStsgHGC&SxI+RM)Epi~I`8Y9im_6<{1x@{ z<dpM|t2DMph&(dki-OM4_wGg}p=B{SF-2j(*d*t1$2U+~f842;rf0qO#A@Y=9n-~R zp!>M*p8Q*9OQG+s<>qAT%Z|1E)U`~Q=%@t$Iz7E{dbi|D>x`}es3pUL^e)p=9LBp1 zR#FieBTId1YdB06BCjaOc&;H)pK{aJ8;_G^X=5d-af>w9VqpTbjyMq~ZvA)rU%mRv zS1udvGmVovfA**EK28RzoyBj-doMEY>UvkY3nCpNCLu?C*YELT*Y%A(wWp17*ROUw zJob&&IZvSyPEvm&-YWPJV;9Cm^$h#XTsl7KB(}Wz?6i56EMF3Bma64aRG2jDWw><B z+A4*zXfKGeQP9eS(Gihv-nl7XqP9zm@u%pH*K&U$v97b>sDGtmt%s)q)!sUodR|r< zE0?lprDG2CGl*SWipLG?kI|3qT@>{vu{8s{mOE14k5XBIvQ$H}-H8(&B%|7o-Ng1i z-{AWvjr+gZx$N=hE?=_io9X&T+z$&+)$jN)-$_~g(<cVjKH{F4{C@rVo87Kw3Vb&u zKjD5jc}LBC*tus4kJKA~=Wf1S@rx#I?D^ixGrzm%&AS>mxa+iDH@NS|d-gj3D>-?- z^}Q>%{qo7H4*&OO&V2ae|91Gc!>!x)Jn@&OzVL5XKl|L(r#*SkhyQe@-nHzeWHLQH zX4Bi3e!z4xebWus-(7opdiuWW-#qic1AnyihC&CQH9-Rj&+7YnCTsUCO(!C30xoi| zFHGLg(~@PoE}!|+`u@c`pZW*)^}pM+wDN`e&VO=^slU5<N#WV={CwTi?O&OE>WNeS z;DPI&IGDIv<IkrGh23>{<dS*~v%n9DU9R>wJ73uSx^o6^Y(0F>;m1DrohLUmb}m|5 z`09o%-F<RL?f&cTu6^~wI{jq(_IS;S?@oXB_g{Ue>%TvA@+Bv&+kEwz&pf;B4<35w zH?Ml(aMvZj_>B_|f8eVBaq<U#_s6&W?4B*v)!#F5;dJ&Hec1o}M5UJfc=EZ8y*F3+ z9?F#)PFh<Xdv?j`8wPr!o*zz*z5S$bR(md5eDQ|f4eNS-=#~;+*K>KHC(r#!&oh(n z9~&V4LwDud@s~bR;LAyi<iXt!Eji&`A31YZ;f7uG6L<6C$#0AeR3F#san*j>`raA; z^ADfA>gvPKfA*Jmf9~*YSG8`tXMN|+!+-dJXYPJ-+xJerdDpG+qI?hiaBvxO7X1X9 z?Ea&V{H^wrPu=k5KY8P4cerZ{QLqWks`JL4N&Qx`J~UICu*hlDnkAn7pMLqCm6PB4 z&~4+N*=fFc>9LPirgr|$dbi##ecN$|*Kb<4ZdKv$uY4lCaO-uKT(PqzubDZfkS>Fv zjsw_)lVXC*?&jOBI`Q<4>FL`}+kH6w%MG`0*}3!aWqt7zY7<Iy>vryZ;+r4;>iMtU zwJVLbU)S+l?_EFehslz^%zyW$fqSmr^u)HSufFuR-~3P2Z6El=^H*K{>Mg6j_j^}w z8++)zKYQWlZ#-wo)>riu;?vny$V89<huUT*)P{3vIoAJ(cpLv8^H=EiR=S4T?2q(| z#jdVsmGDzrYp<qVFg7-J$t`0u8}1&P={n<rk6tp?$614O!0G?3p}k|ALd!9@L(r&n zx{#TLqpyWDd5AArbRFbOVO;$q=NXFUb$QNGE*2@8uM3Qgk-|CQnsUh{>JkfQFt^X_ z)w7;?!Bk4G$m+E(yaUiqmqUj%*S_a<AO~`V!mIlDLdxsMhH{4}N>3V>V{&EOHx&p+ z(#q{S{y^7I*I4nMkY$1UYqrVE3jN-Sui%1W+7bO;jV=hWNsH5-E0<j|cEM%4Z@FZ{ z-Iv^Q@bj1LzU+e6e6>xh{*g8Tr8Yr}ZCH`%u^pfDgH1RO{UvOomIyX!wPt2oGcRqE z(@x{NCg^t7CYkb876sqDWS@R>5Wswed;1D6bY(Uvd<8q?%2Mv?`xmuI(h+ICy<J25 z#@?B6@EO|<(!hD%U-nItd6@aBzbf2Xq2F8KZ045$zE{)YaO$5NTjm7l2P-YiaoHK4 z-+kGJyLVsKb;c#PT(JAqU!R|~ZIhW94vMC~kOuGS;2cfiEpy?8p<t67pXCVsgetZB z34=kg=&9jWJX9{zn`kWUexhx=oyyZ2J=6VOJyTjQu_Dc*+N3#W6TT`^D7IeDcWDld zwXn$>S}k4w_x;O*Gec|gi^{5X&RXAhfN|sPzNGoiuh}MJEA)FSziRAKoABkOzDnhz zGnZU4wD*FK-o4?Y7aaWj*vutk{r_vect_gAe{p4jO^#h~UgZ3|!6svTl;G$#(eX;H zTs-vbv;G?gFJTioxModj&L$MmJYwu>HnrU5^WJ7U4oC-INICfWF2N?81+O-FavulJ zALM}Up*UA+ef-DAAJ3;>u!+pGiGCXdsHT=h@7#Az{5M0y$64ggeHX>!L!4X-(%W>3 z=U0ITc<Qq(e0xNaz+YH|`tO=78<D9VZms)9WKeIhPgLLYV+9`k9+hikSX3?}BRth? z)2UzKdnrc0&jLT_fYL3)jpZW*{JjM}-zVW=3S3!V#=87pm-**QDJ%QFq_jxO4n8wX zkfEVvD(Gz<_iMf`vW(4qTZ!s-PbfS6VkM+gp8sx&{~n6Uq~Aj+@O_qH|J@TAxzK+X zC0;u$-5;SYiQ+w<YH4|APw$BG*K)vX-L(#*8gG9WW$rsCyMJpmk^U>s((j`@9lm#> z-#?ibUyyzTo^OC`U3q`cGQL%!?=Dm>%{gRmR<??};1pirDDjOE6cBHf?Z>?)N!dQu zT<Q7ARNMb8^2H?;4!Ba>%JZ<rm87fBw@S9c2b*~QP((i|S%1eLU&<k?Ab6RI@1$sE z2~TLSjkjM(rf$))uau`2@Vq!HyJV;Io&#{lsk=3lwRY=ZV|7kCD_gCW9cvvlo$?y1 z2Y4?^>+0*K-$~*7EbqzcsNX|5CFxm4d=r)KdC#CzoA4Aa=%6$>v6c3$_fl#T+ChcB zGzVQn$L}p3*jyePI#86a@h!Lv_^?M;@c`dq%3y5h!9qJc-{&(OehluC!Ow_%d0%zS z;B)iS3F}xaZ@vHd=b1t-xO)Tc?hAHrxcN(-H=h?+!^csd8yeF7Uw*K>s&$A3OfDO{ z#&kYm-8D9u-Apq2dwx{sO-$8{7TI?&C}V8w74Kv7<xrO&Z}!TAm3v!zTQj<H<1+%6 zUDi6-I=IcL8~jW(X}-VQp)$^ctc=Ywirc*Ev^59+zNHmS3j5~vBez<|1iEYvW{zhD zY8}+N9Dn@K&<wNJgEJ~IIXfO~3?8f=EO+HLKfRZaB0S&KRc?Jo`BV0mBW==Jb71p> z*kp}-t@6{hT>4dqH4AOBxt%uO=d(3lw_P&iZL)dInxop}{<l8=rQMh9{;1CBc$eLM z`4|1X+oG5|?_|~3)zVR(Dd5?k&DmrI&^dl)CbLOv&L)el?eaFs#&U#Go3!NLTB{yb z++KO$EuTRBFXS*PHsSjoY7G`rZNF`k*82|}Rc5TARZY|;f=$!_h30&lWZyVx+hnLU zhD};q_(0e3$G2L~?&YgKEEURXlY0jT2dmW=l;P8|4VzF)Zxdx($tivo#*UX_xlkr& zkrq1JPxt|<t5}9t8@hNhaWq&#V*o-w+1IQN4j#45z4iW%j-kDmU9jQqp}o4w8oOoa zH$1zcp+T9^uhnSG_Y>rs>nGX$$(X)}<pIkD==m4?1^wjg_bZoZsI_?;_0;8EyPurF z9nfW$ZP~hI>$Yv`1|OYIdtPHN(NCgk=qEI^*58kft%CUZc`bTujDEszbhB$x(z{sI zsT8Y}Sl0Ltn?!1p@`H?{RVk0<3QxCae}ygdpFt&}4qF!3q&0T@(3*1L!B$s!P3zDR z{iNJQ-2#xlxgDPG&t&~%&5-t!ea+2-YhKDGzj4Or)h1_rKG@`p|K|COsZF$>=$e#J z*Ry%_*$QLVjK62peo|<8n`l4DV98dksnWJFYLk|Hx%K1!OL46s?I$hYPtG`Fwx6`J zeu58;&)OP$seV%R1p0l(uD>6vXyo~3yELAIEnV18bd2rd-dH~=vuf3|&+gs3M*GQ7 z)Vg;@$}hYi)vCXtd7Azt++hcsv|20Po>Gs};{^+E_9?!+n|Q?j#()p|4=324=+pDx z4}Q{-(MMVQkyJ$^Ups%6u2>JT^`6p?QjVX@)IXB0@K!4k&p6xsd@h{AFwPu(CU5ew zkU#%XewV$dV96CF69JbPWa0PzBtCA$<8NV4rM_EI3hC0`Tlsil#Pcp^`Tlx^)#+Bs zE6!5g$kG+(+7KV{@wTqovbXu%tTG~d#-DwQYtK`8{w&~ojya;-xqQ}_e>1L!k4=yB zJ5s0p*nl`b+x?{z8{>|>4{5-sx+l<;9Qzv$>B8PWr4#uQafOi(kG^zb>hX<`U(!JD zX}mLGyf>2NlM{W^?*(*O(0d=_p|1wAbd(u@a(p-|&jvoa7g5u5kD<cPf6T0Pg#TB- z#XC-PS{FxME+MnKj5-mo6+?Q|#D`w@SQ_P>$;N)hY2Ri>f)2!fY}$~Y^yzVY=z|Wt zZg|w?;6rk}1AGHMF8I1n@nWL!cSE}T{VbgUt@Q1DSzNIS*8f#z!=pY<yObs&y*U{1 z7+fbZ?!%f#l8`gFK06r6(ko*0mj>LB-qaLR$L;sHitrnofeAD3xV(+44IrV&AUt|! zL{`4z;xtes6Ti?V8Wx-P9;s4V`YofSIl_KD>GK$kSS?6>But2fyoymU*(EW#m6l73 z<Q2^<777OC!KDu0`Y@~eM9n!L%=}15j037&Zkxi+r+iVkO&t;fi^xZExcU~OlyYd< zSdA&DYq<3H%Y+bbaS|I8rKhdRS^m#zP6h92n&ofMqmJ%a?$Gq^De{_&;G}=A;8OlM z8IRBM!r~y2CjzSl6u$flPZ4qu^fB*+>e-AOl;KhfS)^1@4hvn6nCAp-%poknikB5m z85eF*5J*#w4nYh}(~|k)!E;8bzRkkkZzm`&_6epPK$L(1jT!WJKhgktI|+_ZjQ%{( ze%fuOF<Zb~ex+5g<Z>aPF7f(2YD?Hk>{GN?1<)2?v(}&(*A_z~0qik%NUWS4@u?&r zje3d0@z55p9be$v1+fsxGHCHH1q}QPldO+U%}2JYli>nIn_yuK?2AgvDkDiWR{^Kl z)v?~y7%;_5tQrq(%y>Pva|e|f()m#mH-Pn?36Ym@jr3(!ry^bi<vAzjOXhaD&v};C zyKTq$fOh0rnB_-c4ozgX!Y+hKRN4Tui6Cu2#i7peESElH_pv$RIR+V@;2AuwD8z7& zuv%|;^Uo*8CSp#E*i9E+8`LI{k{c}fN^vjBX((kZHTBrt;xu-g@^nt7@!I^Y%O2Y( zE^60!Tw{RYZ+e#2SC9NUk*ogws~R9ZxZovZA~k1Ir=@kzR=iI<Mb}PBQSdPzBFJB4 zh;UhckR_yGxU3@d${5Yrose>hx%{g)N<hSE+~-h_c_fi>4Z$GzJ_1&Av!-aYIU7%- zsg9ESe9Q*^iQ#R05L^ZnE|-gHQ0nj2yjx|zK-eXY5|z;LIL{?@{0Z4^r-s!s6QyfQ zPU=aLObC{<j{f4rT77HO`aG_K{6H_B@!SX~7_Hj?y!lO#_kEXJB)4p&qHISBc*_@A zz`DdpoJ638$->ECb_xndN(L4d5*hX(<~=5wLXBMyb!5qSUcuz4L`+O@YG_guE@|=+ zeIHH#JXGbi_`MdK%GxtQEYwF_Q*B7?+K~8>LFE!{W12<lobZ5EcmpAE#MK(40wwQi z2vAZ*M<`K+V+#ovxr48%fT!{t&b9s}_8M}>PNFI=^u)uwKLo{u4BMKGMvSppEu6~O z!=?3feX-|21dJ<HN=5~#qEq-MmS>>o8zJlAiD$%y)Idx9MGUVxc1k*`Euys6z-wBQ z;WeC02kSuvCj~A4{18ie9N(dKMC2mpB(?;2ul{QWW1A9>e`AW|mBErTu|);eN(@t= zrWMr009u-{vGt{nIGUDNP>xG{<B&q+hGnE6Iv*?K#X2#_dk2dO6VZkmhV0q+mB<K# zjx)3cd!mw?v#WhV@;Lm+mSC`CIC1>o?nu?EGd1lR60^R194BrBd{iJ6MJLu<5-yHP z)OQ)9{R2i6m-3FJe1rxKy}dEb572AVy9D+FS_e#TFXE{yK}SqsDtOdFVVKKW-)tu| zF61}K9eK;!OISBisdPu+2#O;_ybUOl<^WEi0Vm7Te;;Y9xcE7h+;=^^EGzm*94Z1c zic&&j8l{X&MfDiEkc%xZD6175bT-;E8nW|hZ;;#R3)2B&So4fND#ebwPmkjj02+p) z1TF>Lr>uTODvp<wCh8S)y;Q7N=}D42C5fD`Sz}wJ*_XsjY(gSIo;D<~E?FJblDMse z#9D-tT4o)>%44}AQZINiZj=BjAh;m_YsvQR5mLx_#vyj3Yr^Ya9^FTAoHaUWtU*SH zvTepZRKD&<HbDTtg-P=$^UNrP&l9#>v!E{k&thWGF)zq^@n=aE1YAs_qcg>#A*6{h zbCe7yRa4^aiIeSC%U69*t4VZ3@Zf?sj5e(XjdeF7W}+DbpnC!als-&Q(YtGmAWqpR zoxuaBjZvYK#64;%;=mXr_XDMqewTJmD@7CG=&G7G;*t^J?N%pBZ(M8z$n=6ALM|wS zT9RMav;;IIq=M?pcrj1LNuirJ$*l6egIhJAWJhpyxN54n3RXLQEY{{76MW1tSF6!4 zV`)08&0XZX&Z$V+x-EWyQpqHiZ$?qRg1(9FV5ZRwMacPZoR4B~><!TR@fQgC8S*9H z6Lpl0{#>JV(cLq=mZw9c&h$;?;F=TS)+T*W<mDox66xM5?TtuHYi5LY1}t&o9K1~! zMt$LJy^&7wPzrs>sVERy#Y$r9xGs7HvzG_ENeqK$!W7a_vSh&U;w|#%OZ>`(fVFg| zVN1WZ$mq52;8!Qq5FryI4z9%?(Iy*>6CzQWl;td|flpc-vHRhv8AiC2gyxVY_yO$M zrF8VM>s*NGRA~ryJc9d}LElz9Q(QQdF*>bUF>nc@W+8pM+L(XjG$y4~3hR9W8Tg5b zJc*qc*7tD}EDoKf^Bj$7MjTNmgU&hQED;6RjWT^GxfW<qO+`jxG|f5Dya&$5#$uI4 zfq@XUKp74CxH^Vs%Z?gbSzBYX@|0rCM9j;ZaGP{d?E0wXU|df#!O=VKcEV=_MVFk7 zRRq`AA+ej5{(+dMc1`$Ni4oCoHa`*C*6UP0G3tz}Gv%d<vGcj^>G*YG9HW@yTM~{j zoZdPvDLWWl+Eil4Wf3xt=}i>ZC`^L^Vsv4zv7YfU-}fl8rZE^2jnka{(nJ^y@$cKV zXGRz-I2>M0g*lteh)|>baUG?E6g^5nDH10U6;}F$*A-=&FnN6YwonXcd=vp89*m!w zb_2E+N8|2JW9Tm;H7=4;<<LhVePnUqCe!IoqhkaqK|=K_yrh~?E0$4Grv}M+I(}G5 z`nkqkvV*M$BL>r<Ze2Ge{W8GpMHcC}2<b28chZP|<4c6M*Qjz(XtxI+X~zxC(;7!w zI^GzJ6Hac0ogJsi8|k~j(2M*!QRT9U&GOp%#RP3qZ<q;|uNVYbys{I@m;5cX+c-30 z*eI~;&{;`2vcr^OOT1&m$+Xs9TLdba1541w@icrU7`5_5qlqatLnN&G{evS+ktd72 zOI5cxVQ&UBNx_*$ao9?1o1N&gVi-8dFa1bYm3XZkv2jHk8kw}EO&9vK50ts0@*_ww zQ7U!&^WuZ9z_2Tdh95_dzD}ZIA~}ZaCTfM7i$@ukT(XH8!GMQtA1Pq%Whn$K;TVpF zr(I;=VJ!<hd}zGOp{>5U$U!|a^}tl81E1m~5n_OG%nT{t$nl#@Vx9);2vwJ8bi3}T z^)%uzq2_SWREN!Q@f{-76IlSmB-}&FF)!4c#3XWx(sG%rSRYxPh$vd&tI>LDR^fRF zmqNsqmx-8>)Lmm)SJXh{tT<io@URm%D(%78=!o`xAN^S5DY0y|y(k*&);6RTaGnt| za>K-2;M<a{gM-$FW;_@rY<02RAI5SvW#$?}zP|V|-AvoAr}v%8<<;{T0g1E|Xaa*5 zT3>Hz<dJct#K|H<im%rs*Z`U$blPsIuyj>kDp@Q_+6LDS;J3rUhgtYfr|KkD^<z*@ zI#}HKUTTEudg*Wh12uDI4<$?!Wx>s94xvy@29Et>b-fs>CTcGg>==Y`zW78BTmYO* zaLtD$lDEp0#vSKt!?8iSQbC8P5=VmQ8ex|>7ao7Aaq8(soN9~u6)fdZoc`A0G%oRY zdd{FJN6CqdAu=M?4{0?WIBN+qsjU#t_A_S~dPUfE<3;n?S$>in&B<5`s!dQxTs6?7 z;-H|i?0F%elvqzg3U*M(9o@MffSU*(bkMn3sx0xvo^!J}<LI(prwvz>##}<1g5zB* z#akN1i1t8QcvgT$(r>ltw5NGs#4<5;6R{dc7uE>30~+x_K6(iI%oW%tP3f^QY5ysS z?Jf<M6BqSak!zZznvLlysr!Cy(=c6%@vy|p;$qN^nliD8;io`qK%d(_GB6eMhL#H3 zr<8K1%9Ah;b~{!Y+NR?HYohKL3VCg3hx6?$NC9S~R~JTx5MVK>FT&7gr5e?UDbROE z^+$`y_$@39oNA&pQr~v+Gf$_loZ@LT`u2ZpmDAC{f+vwoSt@bBcRt#X8%^os`HMUf z{bfGom?<eZEFx0##g8L`MNAML7GIKa$>I{DgsGP~AN6`*+nFRrE$MTOs0dcc^sy5D zMRHERN~RkVUb)gy$JLHSdeYD9SSGn>yZ)S0T;6Ngbi=@KlkU8OLxr1tFK7fah4?tv z>F5Y<Q2LX;<t{(MsAK6Y=OK_6ow-3rlO-maei<tey<hS6_1SUq=X!=ttR)u7NH`TB zo~Xu3;`}lGq*+8nZUBD{3_<38O%ISDpf|fltvU<9`WUVaA&th?o|8tyPU%<27gjrr zaVCleguz_X6<kzJ99`Z6me4y`mIB&X6k@9V2%@!R^hjoQU$@w583L3_ovtkuS;z(l z>jsiRPY8ovka09VT^J!j^-;J}e^^1sPR#PxYPb%*^f(Q<L~;3(q(IzojdGC(fegK& zQ7;M8-?iX;Tcx0GLnN7~aiol87-QxcESaLLHj$i6il%yC>tjwn;g@+DCnw;nxKg2z zeu7EQ|DfgXf2iPmh<&6%+a{MGqGM;4-x2(e!91pDnwU-PoOE+MkVC*XJO&M<NgM?5 z_E7A~FVzaQ0)YungEOh`?e58919bNSOM+7fWDtba2t_*>VMC))&(o+GxZDI)T*=vG zQgk@Z$@<X|i=xS-xjY!}TJLHt;DGDI`h{>ns~ZaU1jJIV^Wk{}^f=SAE*3v=Xi|H> zVvpl}JxMnqw-=oWNAse0t@b>?;9g(808g-B-{L&VUm4T_oKCMt0oSYA@F#1L%4BTt zT!d_@8iiwP3Bfp?3{l)3zMox<e&OdC&hD!AOh`|4;_<2~OD|jvP($Z^miX}m5wK{h zu14&6hYFQY=W%=tzfKaTs?~>GnKHA+VWkahS2G&cCm(*%mxL4wE~%okr)V%K&ec}! zlR>)VldxuLrM08?#7#QUA;P(*m?Va^FEcbI@l8sP^4UXLVn9Y00g}s4_N}UiRgfR3 zK_{uzM5oS?K!u%hPaMk-&FTEZer8KI?wDlkj^$60+yP$u-7Jh7M|im#rxWwuFSmks z#T;G(F3D(mew+I&6@6B=c>UMolM*<gQB`~DDsqY{l(5HAIx;w05DwXsQs+P+A2s`< z?XF<u^y$<U3Z3$fP2zrc=DVu+?S;}E>3icwPqWk?7psktX(yfB5SEaHKhKiMAbHbq zZ%g04$I2ViqEt_*mfSyj71er<ldrQDw<-5w{&urCTE%8}(&eWq9x($<l#(dzX{ccx z7_E=tjxCDc+SBaMvEZCaV%8Fvbo(ymqD7QnhejKuilKwat@-tN<0C;Gy}|enj!sG1 z;Cw*c5b{x7eiE&-Zo>w)=dNxxW~T2zfi(jGH4d=j#=#$m=|Dk<YV7$tZi<VAsG(y^ zR>ldxS1a5}gQf~ekVbmVIMQopQi!77`-B@5rJytD9y=xq5^_tId{&Zma^iYddR-iu z(%7z<&TBSQqyFh}NbL!`dQ4K^|Bkd;FGxp)Mbo5x^DE?)z0&U}D?)%P=))6Yy|ru7 zG2NHgw0F4X`e~<cO|*^>J>~c^Wzn~NmIfx0*TyGzkFTq~He~={s~ney8%}t5apAKc zNyiyC=_iZmC*%3MOVJJgaQL53eE8exWxMK&<+|;;zbfwB)tw%5Y|mt27mvnR3kRG0 z>E$Qidg{hS1K@i+UJ4iH_w3gJK>v|s7MzA0piaUQL=xjE=ccZ8Qa`=WxY9NDFa6Q? zZvD~ZXTN=OX|i@YJ#<#iAARyuKZplbeRrG=yv|fN?D+JjmlUqKfwQaXS_Wz^zH9rE zzgWWUAWL2>!Au>u4nO^x(@wgc#PMj4i#qQ;{M2jyFuI#Q!#_5ObFN0E&sw$fu-m?_ zbnjKS-u73IzUo!Gzj52MFMIfBn<nm=xvcQ*Z;#&Adgz7xSHAk~4}IsM9~N3qT)*)L z-?@0rX@Bs!-<`f|vU7L3=={9Ax7N4r=817?Ci(3j-2Ck2+kWtl%RV^yiBsNnYV|j- z`$wu9yV?u47G8Mblnv_^C-+SbtQ|OM@tfcEffKg=;$L4sS@>j}mX1~ZDE!&&f4$|M z%f9_>;~UOI$F3VDU%#>Q@pZeO{OOb1&U*Y8CrvS!UX$Pc;T_v|mkQ-YJq5Li@C1%i zq{zSH*)wm;Z#eM|@QhuN^xWWHzj44;RqtFiUr2DAdZ2?%NFqPKJ2j>zoZEh7p>}00 zwPQcM{mh@Hjc<S1G_c9Me)6Ngy6*?ydi^`Ub)j?P>jtXl@A&jzEGaCyfqtT5s3fJI zs!a;<H4~DAws70~fBO5|p4k654dkhHZvVPfcl>nawy*zuE9L3`gtrNgP|jMl<gm-H zE3LVD=dz)%zVeRSTMs?|n}74OcievFL#_93d&lF%4{!eT<L|g_+pdQXY<bJKc0co( zXItB<|KD%lf4}Qo=AsYB$$NLj<!fA%%1vy)Zq1s*CvQA_>1#iD+ikzU@r+HsS^uZ) zaV<`+dG6Nk7rtJMAL;D*x^!+Bh_2dp`3HXgl6O4+`a=BIj9s(sZ%BAsQp!3oF95J? z=Bws-KbMy#6`XgEbjCVl@67+!uJ>z#4*0aPBImJ07+wXPeGygO@P_vL^kbU?*{YlO z?$wab>m7Y}l!tQ4F@p|`@e1ogAfIR4HI&=;@rYM^n_UOt!)xmugE=Wo7YgxLq^mIG z_d<4!b@3kcv&x~!i>G*8>`+q3-rX+ec#l0Ho>SfF&dXw1(|7~bi!`>;k5$4hpwoQ5 zBb>3W74R$XI|3~$##&>i^;HkG#&R#R*L>Ood(|dCp$@+uXa$>ST^9hgJi;dWZmzxu z+_p)_|Jb`%jp@zd^B(LN<6ZqZn`}7a=r(~*W|Q&(waM|1t4&b;SjU>2toY>S&Br_` zU7Lr>e3Xc7vt5T;Wv|=f=Hh1F*GJY&+_p{jJvSsv;rdGzrt6*9<bc}bTi?oT@)m5u zJMjnKK64t<y=6f~iC`1>A9%4%*!Ol?-{wPF%)bW*_IB-^IrM9^$s5o|YlynO2oU7Z zERvla#LMgr-m)!2{xf^i(7|5t0S-RD;S9-juyzQ5O)kjiqb2S5oFDf1vA?7I%XQMV z=Fo!=vPWcWsP!B++5Ftl(38igO%5D@|3aH!OMOW`d$&Ea$$@>(9V#!So&VDJ18lNp zflcHs2M^2~n%UQSd+W5^p%>d^sI_7So4lwmteBy2*_<nvk1~+VRYt}-*eiSJ*En_s zoA`D+uNV!Y>p3ys@CMbxe4CuGlQD>1=KIN-ECb$LKOua<(Z()x>NAZE?aQf6PJOz} zSTjV|e2%f}ZT~nl^p*I?v5RNEQY`4$<@Z<zdD}M0jU6f{%m=xl(-45MYmFbfP;_W7 z8xOYFUfk-!CZzkaRo*t3YI+5Gi~U}_g6_c!`xQs#;r-q`-G``ovi*sKmXBufkKkwf z8t2lL-uS(3N;L-+R`ZHyZ=g=hGDnwMjbF_11crT(N~iHQTv%WJ-jqFe>=kU_kC6X- z_;f>;t(QBV4*LZ)M~16*H=<HHq8RUI@wN;sA+%1(i0nVT#G`Zar5fi(OtXj))eTVc zE{>Pc>xziV(%!eYT4lS??{oBfI3Lg)!(rdz+Kc^u$9S1k{eH-`nS7K}TAk5pfnH9H zUwFgBpvc#IO6<kcJ$R+F^nuOOaU1`hY;WGyY~P^LX+FvJ`e`~5@@qay@%fwV0fZlN zD=vWZx&yC!4A~19Dz0?82e3``zWVkHR$iIh)=qEZ-}8kAdje&dmy?B7d#@n(H_HP} z@y~U?V0gNe;fC_QF33vRPscK}9oe5|52ZU!%e<7b2NL!@%EW49iisbm@oev<*G*2E z95bN#n=-p6^NQ`7Z|!@o*zWf&ZY96+L&mMhzPogV?oSkX&){}s>s<Dp`o!wx;4cmP z9@kz7enryRBZCvGRSncZe+OF71~osuD*XA#JSW4S*5}8tuN}zEt_R-lsfPOQRsZ!} zN8h(NkJHhEgP}ifjMz%fcI7A<%h7o%9j&si*XR1R_WEfQqw-A8jbU?4<9E-@OzfVE z|3^aQElTz9!>xbS(+VE+YrFP@{nGizTB}y2vSmxAG4>g;>%Cm9`nYD}CDpxh#TDGe zwOaA1^uInXHaO`LcW<SlVFhx?7Ws$8QS1Gx%P_9_l+5|nHc`T_9oRR!9`HO`LtBDP zT1VfvIKPO6Va9A==unVlWAq?9LB|eY6Wp-_!6tKiuB25SD$Eo)r9)bUnL`U%`B=y3 z%*@sQNt=YS)h1);^*?SC-N!o5ChWaz9oW2CWm8f5X8L9h&a|#*wW3yQa*f)9sRX5a zo3!THq$U5_d@PMx<_hM<|FlimGfJCn9V+MA_c8~4Kj|9l^XvcD%b@qQ><nI9Mmr_= z?LOe?W*>lTq@MsC9WU-DeSNes>fP7pr_{p>*>(TP%uM5!g}7f8o8M1p>s@}oXxFdI z#cp1a_r1^CAhUyCCud>Jzqe&AUMr4^c$^8Mu%e@@<I8jGtJI_-zkHK;n*@7$y0)YI z=J9N!+vRc+0=mK```T+Xw#))uV_Skv4jz5q;w+yR1%f`<#hTgVLA@ocL~0Z6d0uRj zG9$ozn;c;hIp*w{nJxcGn}o94{REq+EOSbGk<0-x=4~?9PrBG}yr7>n`Wo~TtNmnh z67xydy_$1uMaR&+Kb~X%zW25IzR>*n>X)=hDDMAcclzHKZs9uZ->3br)c?}Je@+8~ z{4hk0$lwf$;dyUT3*obWXu&Di%(dJo`7jGdp7zA*TK;$l>sR#}7t(CzI-=y6OCzYM zP^<5`_~2Z~=d~UgoP}Xa`p9gmm88axHCgVA63=-Go2aij_~c3WRge^GH4f-a-;R(P z5PCnN|KghSrM6(^fz86k`(~cx9?_O1B!hz40&qTNXLS<dqLYp<JUANja3p__aY%X5 z($gqjf8Cr1hHxMp_ID!6PUC}UWj=!l+$>}T%*K7ddbi)R*Ki=xkX{*pgl}xyaqrJB z$0ORw#>a4G18s!$fq7NYU_knm7v~7*;2uS1^OIi`M(URaGe2ooP5*8dy}i-<&FpRu z-~ae8{2oa@euLE7%vH`2^Pmaj^I6%v<CI##{{%WmACOrISp;vY9c)w1lTlG0X{XQn zCJSkSK`2?#H|2}h3|X?Ti)#z)k;rBw)u8dz1lkNVxB!fg1$RM$*8qIHPP5|Y5wvU3 zM$N@t8#T|L%EI&0JmYyZ8dSQ#$!YW`kUB*sVl#zW-&5O7YPPyc^x^`P%#$Xr9m4nE zGT$eMoYn^7EG2k0i?w|oGyF}43Oa6!(k95_4vB%Iu~d8}R|w9z{&~z?bV2pz&{^ok z^_?ZLA>=Z|EFaBcGvu7-vS1&+?-OE7hEk6=AJr|X>$Lg%7r#7KQCSbck9{n2Tstz* z4lMBJ|2`dg`Hc7y7ElpUrY^8Hz7wQ8r^qs-#)aVV+@Hn{N>AZ^hr2)^k5#=>&AHaA z;aT-SqS-P4Z8WG#^PC(36UGjHKsUsWT7u#M<MViZ&X+^;%@r89c>3TtJe3YVN^qS` zN{XBR_5xT*6vrz(bzFXLRv|rC#k*8o=`mhjP!eE**A3NIejQHqbFfU)iqSVg6iaMQ zCV#@QrYbbK9PD_A6hv@d0ZNUS4i9kN)j(B4Zpz`V_@IUroO4JQbgu4{BrI15BwBU` zL3;0cdaaQHjm-rc_^3Ko3@+;NVddn!&O#j~z$PK?-B7Nl$;Nukr_kd$XsAu2;kG7% z&O9d37u&RNLf4BbG+((ypfsQY^Z6A$+!?8yk|+AEnVMYRaYV5Lyprt!&1pd<#E1m4 zu!{3F_bKy*0m~NvoW~p1g4ydg-b83GV~0EtMj^MhXC{MwU5DkpsB^53^|Ouvo9HWC zcDAx)oj>MlPn;)e!jr~MrG_SxphRh<WhJdQ)hsRdt(`-G$w(QvZJ_Weh@9$$1IevO zzptRK_w)lZiPP;wb;$Ac-bw|N1omN*;y5W{`0a=4E`)I_`W96TVT}}G!|YwPkt!k@ zR@z}guHAWgNyPPO+B~qNk7V%}A7Rx~UO;4Hi{~M--m`QjU``ZvxhR5M4#@-P+f`ij z+bH9t%Roqw(_RQFf}7Qv;>h9$a72v8y|_M1JaIk`Nc<|;`D^XKPt)dP(U^w`t+ld2 z?{-+jk^fhu0%}If#)m~;*It?h9p&i5bW6Ns$E`|aS$&V=0|Z6Jaa<Nr-@!Y824>sZ z{N@xWO2#Uk@|+{nNC1at_?rjA^TJ02&0JiI^d)$4XE}_|r!ehEtSUBS@nU!<WY$hA zKJz%=XZ{4~8n;{u))$ab22`emY;4UKW=VmsMoPmtG|BE#sWb?BShp{Du$hY=4F>jL zcu|X8J3a{~ofV*sQQvX3ORz!VI?x;yOr{%hT-YXv!xj=T{OJq(mX7F)G*;qtoGc_* zz4=toft%O;2<a=QZ5Z_d3e^PiEd-U$>R^Cej73P?0Y}TYq#b)eKvjd}It>6S2s!W# zF<+t#7)O{G15Cnv^0~lCDfstl@LJFpj6G0d77E%h#D+bwiL!(?sgDkLS5X1o&P|Me zODTIa%@|J?SXd$&XBZ3!mD*n!6Jm3~w|R&d1h;cY9~vN2q@1Ae6Fg(rsO7zWW|m%w z(QApXANi#g!vxq-Q~)3tVh;N7tYdXFFd<5~AWm{v6k5f$3m;YH{3{0oo}t6ySmStU z+CXMR@wjlaxO8=cOL=}DK^m>&x2%a?<nfxae7R?@a&$iGvwLbOYgR2?q3xR}#MSNe z!MUGHbvzPna8W#5swxv5L%Dag$VUoa;?e3yo{IUzm@w`c=z1w&04k*ejnZ&!$wq{U zC9nf*I%wI#pzq;pjC_`SCJ=!!=$#a8;TNTSAVOO=y#r>oA+<0H1|naZ-VrI7OHB?g zMeCUiXxr3U$JUxrbSja?6B(eY^kSMYQ5$5@6rW6fkkpFzGo50cS$dKBEH@%+G&QAt z5DROskSVglYwuh#a9+oF);?9PQa>qj%wLg`81N|pz;#;X6w%cJ1B-9LSXsxss*HH+ zQ=56L1V#lOP`r$Q8Q=pTFyn<tGp;x~uMyobqq)E<yu5*P9Nk^*cD*HHtidInpJazC zp3XvRJx)8U0T*jb9pliP889SR#bpp>8Q6Kp0Kf~Y;AtZ5Y6M!V+q-7LW)y82tvHLb zK;iQehiD%7PB1S{(1vK{X~Ysnh75>=0<=K}U54R+a=etDu7dfiAzQ?k);w3MJ&El6 z9|?ZKgnka}TyQpI+m)r!C@+q+Q|Y`QfnHsW(+NrT<Ty!aL5lNM(ySWdH+w?X<GnMi zF1X-iDOTeFeA_VX*Z^iUao<3!2+S&?t;l;$J(i;C>CKR$8w^g`Dq-R<c1Y874MBj% zzfp{b*wcn&QVdPTXEUS{FzWkMTB+vrSSg6ysmVUwc(hL>G!2Pj4D-oUNKp)z;{ptq zO6aqtiZub1rTApM?*xoGfKi9tU&@a#dntX>&Z53(@cI{Msk-0$?6SC)rT-@E*HkoL zcQtXyLCh!PHk74;QKucz@PEPoVHy|=>*B~D*Pu=jaoAWfD3(Fty_f4bp4@$BXHDjR z(vFAoJ=<qsa#p3q%u!M<SQte~F7tac|HGLdXMVXboSKLm(k%Yhg<;LdryfK8j|M;g zr7SL-y{QAmwS{vbc$rKD`kp@y>2mWC@_abNk=yf4{)CM=Pf(`H_O}_&k7hg{_dKKI zPujd@eV<ou$>>eyXR`L(k?G>vc3H^hA<*T2lJV(2B7S3re?0i@a#e?9kMKtbsPD_~ znZ=Xp;bZ=MPk)YQqbk=tHXEOR?x*C=3ukA>zxgpEDH+ejcMJe;3x1^MY_hpl8u$vA zK|f0R%Qas0`88jBeV|i*IxxnY@E!D>lna4BYm=zNA2Su@x94L6q`L_l(m96|`YOxD zAg4bc)XT&AVFhJUR{U;g0Loa%)5}cWX5f+g5@jHRj4M6)^t?@ge4Nu+mD(wx49d)O zp{WYcQD*M3;Ky2LjjIlT`0n8Qa=^#mA?`@uOL?TLk2kB6xwv8#bO|E#nKtM>u)hyZ zz%$UpQyrFJ{IT*<u--LKX{i0DQ%4<q;?p=JpT|Z6?E2TJN}~9t80d>Wk3OE@H7qKV z*Hq{dE8@fwy^9447{zJvKGcLte9mTH2*FNl)8eM!rL^cEZV2NmG`SLZenI(UVDO!f zSJ059j0#e<VUDhineR8}M+lpuQB2Yl*c=5+!07{&Hna(Y&z7H;0#dR><5vsqj7o3S z@nr_aBR<UTugq9<LehH^t7N3{4fD89gI2l3ad<4IY)5=L3by+4Q~(Q817WXRtmGL| zJ)@6^a%hdPRc3xk<*fwUN(3Kru=z|qd7Z>^+{Icxe;lEpq4UXVtmKGLICyiueI2+F zrO~{GXKHz9NcIpa=!1y65ay+22{5r<0RJpYi);fa1alU}SlWs;KCU8VFw1=b*IBG` zRmxd<E6J4B+@;{D&pb-cF~rriKJGI2e6+;Z=@B4+g(sId{s2QK&cHv@qdljbJeWpP z^cz|?<qtSdK(VxYo|Vpu6zpxY0=+>w7b6H_eI9k}l1JN5Z)b4?^s!^gR&%YP)r46= zSWRhMOY^><!`Z}n8qvgz!WT-}3n7b>eIZa{26JqwormCOEjh!@c`st6o20aX%?Bmo zsfgnaM@8o;<!I<2N~Bth1Dl9iMUhU{hV*`DE83$x^g^e(Bg1X1$7GJrI^tdHQC4$% zj)qW4V_Jx>hp#Bba6&FQ;KrFEDwVhsILn_U3?U_q<Pl4Y(yR(b@4$K`GRk+DTu?w7 zK^ly!L<<0lh2V>yLs4{7nFPA|)TGRkp|g46EErHnns$~BX_3lJtRU~6Og<yi26<DG zw2u!!9GjZDOv@gtSsVz!aMi^Bc(3{>MT&r)=d2`JY18>~{1XG8u1=@Qdx}0z^S?vr zUA=KMDNC6=j@OP7fzy_LMbxJZjHW5{b8}&|DV(k`2!WMn@o2%JkYUZ`vHpHV$0*EU zVqIziRikbI`l!*-tPPHs&&jbka?!L#D4!?xd8a`H#`nb;je4jUZo(AlGDPNCe7(kb zn1C0uX~6L3!Qs~Cf+5t#m2RRYI^$ZYOOu6-b4pO+aj4k1#G*v%rDjeg(ne4hei@UF z-eI0TMNut<n9dQERr*wVBg<m8VVg1`cbD`L&|{IX-j<hRY^g_0Sky5@(oCR7`2gdd zRX<_OMB~=MCy8w-mWrx0HW7=Al=56t4MjI|+(9O#si-a;=bT-w!+!C*TxlY*cEY`k z<1>)F6nJYdnj0hx<`I1yLkUhzD6Yd13t*|VhI%b|>lFmz2#WAyUV>oBBcwS`qld<b z7^u;S9U)A5RfW@M9-fPK(wHb0Hv#B&JrQ^+ByGn(-Sa2|MF!nTY^j}<J}H}cxs2-x zaHy5SBI;I<8<QmR0>HNs59re~&5gz+nnse8J$$KYNZqMK6lVC-R0Ex7r1WYpScYea z#uDVXA+g4{l=C~zVLvcDDN>xNiC?O4jF5#R5gHp6k61p~)L4s#qrBv;wa&saP)NKo zPeJ6g#(ABPH!3B=<V7gKhm<5cu97i^jqo_d%0yq)qDygdzM{p&aOb2J9-2ukce*=d z*!F2(>ch}v<H;h71N8FTD{9xQ>;lr@#R(Ng8402$7m8R-!m&E?jH^kQ2~Y}dS{j9l zu^4m)Bb*{<O9eE<U=q;*tcpjooq&KZt#igt2@yc~OWBSmE=drqbUou*lwMonIZ(tA zb~G(L<m%-#cBm@@1{%i#%H?S}2*-1~m~eDw9zh~|zP2ZYwc;x<feTws31Hze5Y@}J z?#qlK%eHdr>4}p~qstSt<H{ZS2vR>Yt#dX1$GyfAv>jEIZ%gzOWlJpS&(nW`y~$E_ z7pZCnNYZh$4N=33fwpAW*qZ09<EImAae#6DopXV$n?_IFiWXyU#Z9Arxs}K7NUzf# zSrXGHdHfEsSc*eINSWf&GhRrKMMgC+2hF*l?^%4x2J>9%0@|tGZn9eKcvsDkJ<+4K z__VSX1~M@kAV?->>Nv<C2ucScUFr3rVYD|05pUA$5*4Ies>Nx|m7Gyh%`jpu=F@67 ziJ?fU+VFy<O{v=rpsWj|qcXa3)K^f)SXuOG9+sDwqznomo`^W+J^g``49;_>Sy+U& zBnQwy)o5d)3~P`J0;_FU44DW;M&J~SFB2S3@>Nctr>tZ&m-8Udj^Pw5-WYhaEL@DP zgNFu{Iso)AXNa))Dv!v7)dB+~i5gGhQGE`G?=pOpcAv{uDuy)dN+XG_7s_&OoaYZ) zR4+|et-&m|I<zPSyzL0p^VOo@*i$nn#RdDaYW_}EMUJULCc*G-V>8;H&|b>xN3Bfj zWawwhaah5gRjNa}rbHEDC!QYJ4vNyW5rfzoFt*PPAoeuMDas;j-><Dms229<c6rhe z6UmH9QqwdZ80wi1o$%5}i_~5kP&YiC^54^dCHKpbTu;>YqFqajV-4wZ%8EQr{DV{V z0C+eno$#mW8LA>;#oi$_EK4$1YT*ePA`2(z;d+7mLL8nzaDC8cO7w?pOJ<#~>8Nx) z(Izw=MdtK0CS);JBww+ws)(i5glm%56Ths=$yJr4jGtf~?kKI({EQQil74Nn6AqMG zjY`a}oO{}#cYv<LM*BN-;_KH`)JOh`bqwV}{4{nH+)43#|C$g@QpKuD^%$lH4Xf(8 zn27NYOI1I49ZO$gz;;M2X0KbRV;U|ZebIeM&$W!jVsvVbnL{}vsGlR=Von553Oc7% zFVS)cQMxn3(Wv4)`1XSREa{_AL`ubFDcytfQX!1h4hfZlod%(P@FI305Ld3ls2Vy= z0wQth0VG?8n2*%rfN<hmgT=|HOtKGekhW!PtWVM%b%CT$U-lqZoDM!xbJR{wuCtkv z1N~|u4qmRW2@7AAOc?)`3a2m@X>9x^X~n@~x?rwx`ru`W+*FHgqs(%PB*t}Z%!&3G zhLosjtg8`9<?OqpHeu*rcP4$#!RBN&e!9oSDLdaAae60p;+6#|r>}QpQCT@x&5b)L z@C&N;gg7F>a!tin8!XK)PNwL|Tn#~jr^L9b^yMu2vS}YD?OHi^(j+OWK`ta4{er~~ zFEh#zqf&y-<a8<KBN%IIgv$5`Hw`8&rcTE${nrv8s*w#Ep@ub;0&xDH8xV~s3~%T> z#tsg56gY*fkCtW8vNckAL}E&Cmd%^)`sv6;jM5HC+!W&38i#<g^bsT5`#(?nT8zb@ zFgagXGA@0XMF8tZL<r-af?*lbCwg>61+kKIM@Tn}9uQ8R%o@mq)_S(&Z0^b^=`a+a z+Iz}Ur6Tr=#|cy{$6R8#(o!CF@!RdNbBQA4xZjKWWo<z<6*?W-ho06Rg&QCx0>HW0 zs0N9E8%Ui|D#u0KS*nuWX}A@$HNrK?aUPI$(p%FEdWnHG0rYBk<WTCE;?6+E!PF&@ z)01;q|M1|>Qc^R~1-+#hy32*$2xKlLglJ-zvv-ujQ#)Fdc`!|jvFSLNoBpUb8Bfo> zrc|==D615{IbE(5raDWdYM~lisWQY$%DHoN8x_tGZFcJSu8=TEmVn@-6t|3`mXxA* zC##W!qUgq6Mp<tqb`z#^Kb}o(nMO7Ri~6Ftwsd_jwn^g&%lLpgU|}2NQw=7OD+$IP z-0h|+>q$#^^j9kM7IsK-(w=6XmOz}}yzXFJblS~?RRdZ5y_#A)u7h`~5MAlibT`2i zEx*q`!dkN=<0ep(F@W1~XNQ!{(&f?1p*MG>CLdLq5Nha=&aS;eZ#>I?fdw5+lo^@= z3LL<%jP#`R-yqpnp8Sj|rK!G`G21w?3_Ys40UD{3_y(({>C_DazJ+KefG!rB5kuXz z{wjH$&?CJ#cTi+x=z=3?4}p7R^?RY$%9^lztdM&ph?RZDO8ThnK-y$G)0}d1$~+!~ z0UAt{>$L5kz>}3cCA=$t1wZ3+JSzhTZB>}I4d-=6${KJKLaCHiuh*vcJCX0pNGj)m zmJ%`g3wLeg44{fx`oE*p9|u_xYpHmhUk_=c!auq~n*@l2JWlgPiUAxrCstdIR!_ls zH?Ka^TUr{4N6Vcahtrp&<8h=fETJLgpJ&WURDT5up<9)vm5Mk>%trH8zL7?_f}?%` zv6#K<NN7X4CTjz<u{2ZXAf<rlWtJ1GyuBe~<s}u|sxb*it0G)N{v;;dSXxYM;^~q; zhow#So8{P?Ql>eNY&jx98X(`1l%c6n50g|9BNYQ*xu~C@*k;-r)6iHK&{}-mwEKAF zFqmD4Q9%|Un3iMiat13d8bH#>-bvp{Z-lbui(2*DrkYsF7ZwuzyaAEsQeznXI3|5O zkHBe^7E6(%;{~xKaan*8e5g)=Dz(#ShtqxSu%GD2H_dEiNT~?#Xc_{+!b*)Uu+R=| zxXf2|jq~J3ji$PG%zKy(pQzRq`2kC3sVYe-R#zQ!psg_uR=_;bg@(h7dy)}P5Zfi^ z@N5ZF-syVe^v=<S8Mv=lN{UpA*RBlHUZmn(o(z{|!1j|#o#gD4BumU_pX~3*%F|q> zI~W!dQut4#F5?4`jnbh8I!Y(^Ch0Kmv&wK1k7AV^74;BXgp^TdXbg70;aLmzb<6K` z`XIwVLTbl{q_o};==J>~EOIqL+mS*EC8$p`^gcn$-#NoRd%GD%N&<{<XFXM%8s&-6 zE15f!*9Ek?7jc<jI7=|jYd^<lc+jCKj;HoWJk4SFnyTPfW^yJcX&%}>vQ1O5QQD2o zl7e?Rjh^Q2<@3bdQxs@r#L8%VhtljY-MFkAl_^iPB56q8fa;L6*e@%k=ktk8WSV43 zF@jNeU98THC(rH~!If0x7Uw+-F;05Xl%h*9-XK$C#M94Yn8`pKW2CCRQ+tdmiH7Km zs*FPYp=EIm1v^qY`YkK1L?u@1Sp>Yx;h4zrI$yCrLK4gUXvAkl^yz{g-PW&FC&2^# z4GtRB77RgbN##EP_%s@UJbsj6Xr<*~P}5Gs*NmF1`GcuFt2v4uTGlK(W=I*Q2hD=@ zAst}i(sa$~=rRwST+o?*7KH|Yk)HRd5xU<VI$|2vOev{T@0urRYPZx*5}uy%9<mm$ z%UGPg9!mBI?gWYJq|m1cK=3%;kbpxYOc$JA8q!n&3{NvnPZubiCTW#{*swNJc@V>= zCd{d+>~WgLr4Ti4P4pniK}z<fqZp!}m~w%JtQo{Q?1wSL%icE`i)HR?GtbB<+v$G^ z7vEX+UIILyMDQ|+k4EPfB5CBQ=0h0ORdqJCr{H$2^FO64${!x+&--kj^XcW%bJ55~ zMLH=HDQCxIB(RQ*9Y$L-W8X;yqjdaxpiFenBp(LqfHZi@CNh4&r4=&{JE!M@UO|vN z9y|}1MUZDH>Dv7isy$A6-Vx{nMvncCW;A2$1<;&v+DrR5%2}h1l2yP7Tp!c|n=Bt~ zoGEnLD2-D2GL;HGDrE-qf{IDnc=g+9iPPAeCPM5*uI5FFJOZ56nYdd!Xs`Df+5u(P zoYa4(P$(IRCS9{GO{mk+Dkrj^o?4MtPgj$Rb)-vKqHv-&`p*BwD3)@o$5H2K|H*~q zxVr{YxqjtT3_m#bm~?rXE9G2LiQR}8W3*4k@kc~<SI;-+$JM7D`lCa#)Lt8>`6;S6 z#hK|U>@l5@Vajy1n#c`wuIlu(OVcUW%af2>n~QZ8bi4+DWuY!SGj0%aVBFRurE9z8 zjl~Q-a<0Cz$snkOC^+Tpfq-*_z*HozJ`zn`JFCMu;!7%y<LDPRqsA0#xK~Iy<YK_k znG{9V$%^@1kx$Y3o`+r;;LDMPt98t@_@Y-5JPiWQyCUXfWnr&FdMY>{#)plKwAH{w zI?}Q(-MF#Fd~tM)+}?=$dPROTnqPg>K<PY*Z#;7c1600q(3H~k`TmJ=-_AbQkrbB4 zk3}!<>FG3uWs>mtp7lC2-m*fYuP^S=gZGhM5|>^OcQ4;`M)H>U0eNMVoT3xG*rd^U z;l%e%d1+`adAgPB_f?sgCR-(%zQ$@aNynr%&bf>En8!2ycAR#p(}DgMU-zN&^7oto zS=xQ#O)|<n1?bxv(uX`YiI+E=fQ+v38f4D$8S~f34f$HtOh_Z4KO>GY;=DHAwxpSu zXn!uc!17q3-2;>@Ic<*oiBmmEo?LuOH=%WC31VbmSy5u=(TpolmFjUMjsO|d0rtb> z8$9T6aiK)CjTZMOt#kT+{EOZR$1#W#wQJLxfBe=ZFZ{vX6E{qLfBW5Eiyq$jy{q3> zUVZovPu;qEV9g8fT=K71-*fu1^w^iL9z3qFe#vV-^za9EzVZ5p-~7yfd+**az4_`@ z$A9Lbhl<79Pq^>oN!F6fcAq(O@*4}QRvuGZyS8@cNHRU}fx@SjUvTD<C;Oh?{r=xP z_3GM{|Fqql|B1%ppFH`)Q(Cv5wB)|Nq_BIkQP_1C#|?Kz|2#bvPk-^cPh9iE$)`?z z{zrFzBb_{W%}J#Vled3w@u|Q3c`sjEsc+Y%kK{h^<9FY4_{?ov-+k-%e(y6qZ+l=( zWAO>Uo&UtM1DzLb;Mu{cCokWzD~@Vz5jLs$r*5&U*JS%O^$+F0xc}tGAGv<l&6A8G zVy2y|O)R>0*}k1mZ~yo=9>4we?|r`5y5;3wkvLBj(~II>o4c0tG;0!1EGaEp64TgE z+_@y~?#$i1c>n$Jz=j<s<?3~)vBUnm3IjLQW7V-DCb-o9>B;hs-xyf`&1<$Vd4jgZ zdN9E4xBX7*gKz)j&+mXfa<%JL-TvH~ZQY-&-aP5P@y+O)`=8u-@-y!rxboSn-u8h{ zUHztKtIM7{bLZ*rI-~35gIBD?Ca3((-~8TfeNSHd*~^c+`uTUf`OFhubLKr~7M9+= za@WXYo%O-)ReMf;;|a&EShfAcwXr*^|Bh3x-teh2f9s)qYuN*b-@m2*aN*$38l7v4 z*Z*1TC$0Bw+_-7UZr&x?T}T(#`wQu@ot=05^!}QA;+i`@yf69iH`o33&z~zy9(>}Y z((c=z+~3+#xnk3e{=CurcJ4$kXkB%}vnLFUZ<y&m_0!$w?Rxp`H%u?xXs`Z7=ZU|O z@2lhsFK;wTQQ|tTDRk3o>l4@3^dVjTnme({`F)Q+a>K5h{Q=9<hQ~J(*G08wm;CF- zS3mOj4L2UX`h)KqX8H>7=V`vLCufhj7A7^;3LjdAP4bd^RWZpQE4gxX@l)wZ8}5nX zdYw+p;jTSj5ZU#KToh~)O?Z=t{N&YzuYV)maNQS|6h6g+)!BZsLdqTG96y?H5Q~<` zX>naE^tj9H+?K(sjya}W?&#?7XH%9rJf~RXe9MEJku=14bFG6s<%~E_$qzL0<CYuD z4T;?6e13QgRmS?5lJzvH+&Z{ctAm4kTRZM`g!ROtR36r%TXOcBhNfp!0-YsYB^;SK z)YZ|EYvnc~P)qifTOD3TPc_6DR2)9)%khZl(#9<x+UuYwmp5t-M&jz*rGwIW=}$?t zZ1uZZm+V!4E^mu7!8r3K3TH}Yc%%<{=oqYUQfIEJGy$sZHtpp}zJMGato2^Rp);)) zik#S_Qcw=(+{{VtQ33C<q@0G1=3vEG`QGxr0*BXxTx0NA!ErE|T%tA^8tUSZK#JvD z%UpcVJ;j_Hd>@EbtFI*$*>T4mnm17;<Eu>`X!`tc*;3h}Hd#Yrt_cS{!?oD8!=ER^ zDM>S_Yw=u7Z6fm2kK1xloiRO25Sz5rCS9B1_t<=!aQJ4|LYv5@Ew|i@P0V1qg-yD~ z<lu9eO*Tu8b~Cnl^Gn%ei?>NDI+*ED>!URYHhFGvORlTy(0rR5$|O}fNxslNb0^qD z4_7S~=h<X0HrdPBt49JQfZ{sBCankWJ+N={oJ}}GaRq04szl0S@G5$O&q%{;t!m}u z@Zql9%=2gwo5ZcX6}7{CElCokBCPb**GPE;n`o%DQL(LRYY?0K5fg7;E_d*GPpCGj zs7;EgszILu2b<`LTo}{a<S|**dV`lqDbyx8PC#wT$#Q-1)w{d)ssuh0=M1S$IO}q( zy7?d{jJ2?do)2}jeliy7_-_Z_uIU+1WFFK0%_*N-_F<FFKFvFukFbfJ@u|?V-fgvt z#p3idagQHr?c3Ml(zFEtZ{l1F&9!3Y!HxsD&GW{tR+pabnaSmCqIK-x1k$0QRjYDc zVeF#rj9oEfmujKJ6>L&`x3`J)`6)AuT|Tyv#}nQrd-r;qv<d~K-PUZ$lS&!JE^m{> z(lp@7kmdV{2KFwnNegkn&a=s}Kbn}e$;OS?c1*`E&Q2byR=rLBy|K&NWbePVj<ktt ztktomvX8NA^PE06FBrQp_fSX+Hj&pBi<Qc~_kzo8f{xJPT>dNsmJruMo6I}M^8u5j zUu?&N->YX?aw}m_csD*z3bQjQ+wlr#WRkjU4!>NV#E9{9aRPoh(UE!a)tb)j+yhF5 z-@t)?TE(ZkEZ+pb?u)~jnC){%kxNs1p$qguzBmbdef~=bYgs_%R|C&1o>ZiV@7YRs z`y9}Vy$mY*@=<QM)>g7t1~{klZ%tCrG6(hS)3#0m@1O(jz(;s^u@xLLwa@8XzKQq< zd{hVB_%uWHeYwjr{z@Nfz6&!QNwu_G-w14jp5wXv{;&6JwT-Q(B=OpI{KD%Rg9Ayk z^8ZuzHt=y(*P-t^XU@n+gRstsu@N7(w+3Mbhk&pkCfpQliNOd;!x$_~(-e&<kDrsK zDPM_z5PQ!coUx!pa0w+eA%jbbaq@sRB)>H24TAD|Y3f@iE$Myf#SQ5%w>L@q5)(?& zLc+ZN+UJZc18I{b&DmdTuf6tO`|F&u*4g^~&cR4ZTm82)Rez&(mgd#4ZWQ$UoD{P0 zM&dQhUfj3K*4dVR)AF8cc~nSjNcioQNW*FVZPL0?m-B6+-`tey)|^X379<&Q6er=e ztp??k;EV5n_QBUjb`B^%+p=$RcE3NW<y7>ZPvVodwsulC&l_d-iqg!<Un;N41Luyo z%a!(xvh#Ana_wyEM)Jb@&SME~ym7?4qTlH}CF;4CHaLCohG*{aZ4y1lV}TU(d!9;% zI{31;5!Mv$V6`0o?NN30yP;Y5^5f~Zw3U{lb?`3blRvsg(tISn4i?AJ;qf~Fd0Aeb z>YwSK?idE(_1B4P+SHj&$J>-lGj8|pUsGE%GPhs*Oy?&`p(9^r7j^I_><$>4FCQev zkKK!_Gt5qVf6y;8oA>S0HJA18aPYI&VwCcg^5&!1esnrI%V&_wu`BbyHZ;`Lm7T*V zYrOx?Vi!xp9(=w--!}vo*t>Uhbj00zb&A53iBYeM;n#Ua0lwKxotAuml)%)?NSU?p z@QEnR`{uNc`&|3$3$HBfpBX*7A9!6c%E6oRaq-1`2l3zJ-$qAgI@9{e%2Aa`KHr(< zUBB`n)8tEK()u5yM854?opLIZy#L;4Di6h<-mJ|~fPtCKef@7Llf(IcGntG9i#WOS zyR>^AoZ5m+I-?u%qgzNH-Js>ApkWW*J@kFUG%w++W#KTHC~`MXnsdpOud8=D2Q+W` z?M6mOO_QrLBQw8@Ogf#<wGS*5QkfK%FJDqb>iv&of}+XjXqD3ll+WuaUl*)YtXpyg z9VdU4jT2~fewGp(rT-t?n-9vQPqBwczp;98Rb`^%<oepa&YQ{PAoW@TuagNWqdLoX z;m|md%QVfewtk#+G1Se*Ns_knp>guLmo$}e@?Q`B(&I#P9uABXt=#L!y(0Y_`Hu1w z>y`bClQVyraWZ}J*l9`>nH*G^jE<^I9(znXiN+%nuBl9PoT$9?S}re@iN>Y#M0cEw zL5Fcd{OjkgZr@WX!0Tm_o0^d^zjFEHTrMpSec|V)ruxT_NyOZxJTQ-TAsZ))GU<K? zG|kI6dD|~DPG)Af4LG24&A}gJC0KRSaq^4Hgh@vZNFkNUl5vvR{AKon$z6-#)V{E5 zlQ&e*Qim38@{aOhw08;<+;*eEo#hL<;mp0QaIQ~(D#edJlGz3pkDE~43c>D?YY=VC z^2>4OYJ5`6YzaMIfh4zO_;(d7^p1>fOX*?%==1k{n*HQ~)GxPXw7PkoDqINjL3DbW zr{~*?d5I=o>xg1!^l%y<eJ-W5vTNJfr6-}{!(5i%+frh)S<Lcv-K=e*^;zArc=@m0 zu;*{lzLGY*5W<0c%v0y`aJ$a#2YbfUW^ZCim{@N=*kTKzc8l~pZ+qwPb?q6qJ#6ZE z!cV99;|an937dLMK?{dmjqchZg6GQ>@Of(~;YC^gj1S^de(Vxg`83!pLO1u#G#~s_ z$nS1_7Pb99P5oUv#;zTrw~=&|ft_O&9=zQl;jSHFaDlHIr#Is&?XP+|g1zD)9<*#} z7_tMTyR>eKFW)1q@V%5L(fp3`pjYjtw|K%o=jl2Jew~*}OYIyD+4XIH3c68Nu+sJ6 zk2EHXx^X;Ti^^#n4^&gUm?zpEFACGVKHX|Yc{b$jhevA?axkv0LK&(!{sFp%eJ1sI za9n*h5<>FeR|My;hMn>Pp+(SgEupF`h;=+feo~VbESE!M5BW6F-w=gj$RR$jMiKN) zYt;|L<T2i-4Cv1u!cF6~fXL1Y?LtJijCz(xW8n+ii^0reybHaaZVIjn%>(gWFzVr9 z5SYvv;~D~t-Vi~z90p?3S09=uBw9nMpSK5!M2JFiVLr5BUOfNZbYC}}qLgt<y5=NP zIPCR5gRmNo5aa~}Z^TFytEi+Kf31hy64>#a#xP4;uIl5;s~zsjEWY$#oq;i~{8{|o zH-QU4-FlDGFoLq8@j-Arf2Pb7N<9U7!+K4VN%i$h>qtPsF1bczNyDP$iu@Ko@2iHy zt1$s{J8_3#!h5x|E7&Zb=2FP6siTFv#c1NZG8_u@+y!~if$IgDOS&v-9TY6@lw2^6 zFVAH*i&kaHL!kN%DJX60y}Ulon8=c{vRoR314`n3BX6BCs>f%#%&4Z3Wc;d6X*+(a z^+lS(<BP?0yWvu1Aee&7Ty^wKdKXEn(AG#g8ozEueMI*{nWzZzSWXM?R<7Hs84_)m zk~CRF>QXKK6+rko<TW9s>Ub<5*4GbpEzRh4F)Uo6{iwX9zf?m5byZ>@Jg;HZn++_b zS^i)~N1mqhFmVB=3VVh>jZ;<<hp&$uf#*BB)&c_!J~pR0;iVQha#S>zkMY;6^vMP& z#HI-{jvnMb0_h(e1Yb3+`zI77tqmT}X}49Bmv|r}5s1+N>lrj%I*PvpjQ6KkkDI0` zwn8_TGaAwjX$D4JZ6oz$<#}H>9|0flDUFCwX|#CCu)SN#jAL}OLf^8=o*_|*DUc|2 z^@w5Iq=Uy9&$c2s1kp0EstrI@`2U*ENR;Y(X?c$CShxty`nUjg9YmmPjI+*rAv+YG z$PEZIh78M6yR1^<-+v><H;^Nw#8I2{@7PrnhhXxwMeu=G9&vbDsif6AuKS2WHy`B6 zsy);oA8(|nO)ENC{rY}i#1es{L4(H^saj4ZouPb^qBtvIJqzJDP?a&-=0Xin0S6Wo z(eY8L4%YA})KxdiXW?r2Ed|WcG&s&r*@1y)lop>#w7Mm=t~wz^Sl)nG$rqs>)OZoD z1Vv7FTrB_kT|?nRd_2J5ftcdcRwz&AC7c8W^f1Yvr>NJ6RxF13r;GW%z~xa$%8#S0 zh8ly_TK9zZs01BeTd=BX<T-=2RBtH?5}R&7&_gJNl;p_ev;;WnE8K>{*Mw8<0&Rxg znAG!vNk%CTzu;WUP_UwGS@p6g=t1PecpVjvh$gR2WLD0#ih==$qYSOGHc{$E`V~Ts zCAFF(qCqq%Z9?@B9f%<!iMaln*jb%$BXDP-?@bXy(EL}TlA`h_+Jr!QwXtP3wPcyI z^}%{kjv24Hgu9M{!Jsc#%h$64BbR95lTI65^4ftS>cxY+%3#l+e`;)1`$K}}X5|RV zb@4x~-^VNEsMm3GV~Fc*OETGZb+og@)$0wWr=%Xt!hbkC9Bi#?0Tx}ztHRm1-Lo;~ zowsXBBiwVJ*ZzrG)O_3xR)?(wX8b}MRZDf-;*qZuzb|!H3yrH@U}c+GX<alyf%Zgh zZ|-R^2S{s3ngNVzti5~f-LrZ60dfx+rRZG{?RrWWOwx%T90)Bf6wMD4$@r;Q(E(eg zmh`E}8UhtE*xeFoOZKYuFJlo|Oo$eNxgY5^tidlrL*XyN3Kx+cTi4b$tqD~2=;DJC z4|(}1CtZj_;)NK)^;j~67V6^!v=9k41t#Fp^TZ^b0wB`Cg(HQ~R=0M1QUZH{oM=K~ z0?FH9c#_yUUB&$qXog&rM3acAR34@nT0Jqas&$fblNd*f7Ke`+bP?av3g8(O@{0_I zEDIW*q<0Y>YBCP7#f6Q*9?t>1(CsxX*fD9*@V!t=r8P}Z*IlgwJ4eMbl*^x2q#BHC z!&)qbFiI5(KOTdtLmAB@>)W3&dmX3M<)N?gq+X+rtLufCX2@zhJr&JSJkquh0Jle^ zDQ*+yEik6pm_F#F*h#v(jP6z^>Wc0X#)Z24JTUo*AIdo7vp9w!R?!J+Py+mv5w%zl zTqJC=qUbJ$loL8V`yrPy2^R&pNet43h~aq2l<oWc#OWw62z+H-S|2}B7r-5l#cQ(# zl~CLQQ;Zd5q5-iyK4Znq7Z~19B}z$H3esv=k)0AvjGLltEE6TA+qh8qbRLPLN*@o) z2cuyYC$inDRo147^v_Ia4p|_9md96a)HMPi)!_*I0v4B})wHXp&~<G9*(G4{-_CCy z0+l84f_bgzPy=fCtpAD|YoYSvtCX%SzTq@R!PrTzd3VuMbWrkILLsaeCkj<UtZ$6i zLYteRc6-1$8c2r(^L@oJ6_>SCYs<8%GrCb&CU-P)vXhPzmS^RwQfr)GAq5G2(GfSL zhMk?2A>AHvGs@~BBat&<(3VTWp{S)rM!H-ZCQcX7VtTl-DGx`XSsMl?Kno}@Xu7$i z$ykzceb?epsUl&#x)KTNly2i5%PD(38msq$bfg7*%hiHU3#ap0E-i1Pvs{abBy<Dl z9?C3SnO;M-$H55sgrT;gqjNZ?RYAIWaTS3F<5UXz+UJWJqU)?vaRVHer>fZcHwh19 zY}30nfuCk<6BlT>8;PH4qkV+z-E1$bor!KGvKlmdCRJfu=d{l<8&kdLT%4dTZQi>H z?M00mu-2&MtrcuPt>P9@zAo94oEH_N6PaXoQ!pdO(mHf?cu4q~Wzl>`Y_WuJs?2uu zfpG~gVQ+$zs|&-WYAKQGl~g6U17`0D24Rkgnkpg!NLosGr}B6}icUo<nNo)>{5 z@fk9qRFSx*C+-_ea>qxZ)5J-*z$t|#%_KvcsgAbN-C`waSEI<qk<MZCUx2N;76Oii zX(c#LRrnei15dLt8n{|K0J#(_UD^kd<aE%rw5kfvYGNE3)()m!<{1oj)PNE-N85m) z3LG4kC$1S7HX2U-mS3fYDQwwD?+FMlC`({AB`3>J`*9>HX1C@KWt;99NgruTr8TKi z4XrGMZmw;d1I?kw-rz>I4Ne#Z3-U_aL@h@aA}%xn3R5h8*<%<<gK^t5mDp?xd?arY z7q-NX$b5O-{O&(oXu$BgFFhEBWDVz<1}ARCE!5}AjJXzENzU<XWzF0jQfbnWzq%7+ z;22JuEqQjhj^z#JHD$i5K+P<eeYA&TC_XzUa;3QTQO@nv{tRI;cRF9WFcfF_t3c1M z?GoCul%<IvLF0=6%mQ>#qXCK6#clEqp*>D>IHr#m7{UQpIZ^s77?Wi(@{21WApk<5 zxm%1`S5He$qg7yWHP((3C1(ug+=|5X(s1*B<ONVCzvYs|Wu>A*ec~Y`7)d=cePKS{ zZ01)m`$SHHkrPOz*@hf$B-XkxlBe*~_{ouBbZ+>xgts}$Vl{BGVu%VQj-@-Ikiqe2 zVtD=OVY5x%K1Xk4rDi$P%sns=TJjlo0Jy#9Cp4EW$pS+wd7&n2osEy6F7LriBn-@K zZ{BKuAJ*Ot?Os#Oxt^T3%~EyZq4WunX9lbe0P?Zr;u{JzF#{AHOBq>)&0#D{tu_`E z;G!=I6jkvRAYU+2GlLHM(#nIjOx$3^S2zHM!UQ=&ewAcW_y1Z0i0A~hN1E+8tWAzH zOd|t%CCaKx68IS;g&>v-<8iUBB?}E!>zqS)u3`4jc!CvxRPNLa|1x(`JiCssJj`Qr z<no#w&d@`q7EHE13*1w%hkgWO22*g-tZ3q~tyUcF4Z`rdA;w=}R7N?L8$CzG2MWhH zS-$Xg7e3C*>2i?V&9c=y89q{Wq1+~|CXszIaUPHJpD>@s`h6H{hjLpRsp-rBUA|m# z&1!EsUI@P=Rode3s>aQ;`PV*VWNi#5#JX^Pj`>zx&e5bw*4?TNXC8Wx<UW28KLCb< zmUg?_T7e8VoDJNOsH5iFM~YZ;tf43HCoq39oNkjEUq}>LFmyRa_X2!<+*K>O#!rH# zYY5k1x8$1fcNY5SrR512SYU`Ty4SU&%_3u&5cHNZvLG))e6^$hSu}1PM4nY*ama)& z8;67FsG(S_NWI5iG#pfg9z6^1X28P#J=_$MXbhw#`0e4xxS(s%>QPcL<M3KS_?GyV z;X>K$F?wj4@N`<kFje<4O9%C0WZB_6G2uKx#66(XN6kpo>-iy{x)~VUNN3nS_K-Bw zFl|Y*$ua-OwJxHcID}~?LMtazX9+hKV)wWmp434gv!<-`F1chGYZ1#nWYedrjvG8- zG674)vmiFX+Q@~bIG(UEM$nXzLg2k|KA`${A(9iKV_O$$ho`%fr0bGXd2!{jthIqe zj*!gyD~ROtV>CRG*qBNl4(_g`w`rHf!7eR`->fzwUq_2&l14G78a6B^BUFp5Brupi zm#hr9du)BVuIiSG*drHAW1=mmh={$4{C#z%E?fgURT+|N?Nc&R3FD(!QsNRdbVeph z+dvO$5r{f{Ug`VFF3$rAe9>T%OHep#-uPfFbz!nne?UV<mq)rvN48E$iJ}~JYqcta z`D8>_bTK_dg+p#Qtnt7$vQa&V#5V*I5&d^Dj}e{kDKE^@NWNrn!oX=dCCzGS?49@! zO%TO)oR9}pzcoJypr0(Rw#U{2dzGmLj@C$VxHgztjkIJ%2t6eQZI|aK?Qhd}qCjAk z{;LTt`>7vb^F3q+NJ$t6Fr?eCYM9b^Ds+8P8v=_eQuoNv976BU&x@%CkM~6rZ9a9C zoIxYRvw=BM*hnTL^M>0z^af&fK6Pr)clxlF!~jt$^H!J5ktSTVqrN&EXq%e$C3f91 zMRWW|Il5b)bJn(Kx1ectE?~K(kHwaXu#b6$el9(h43VFM@?X_N#w<%XQ3ioA;gANo zVIJ*A(TQh~po7Dhh*1&@(Sc3iw;pk1qGdhnJS`E6SR~FF9<W+C6RVvSHv<Ux-tnw> zhf47fAa9}z_)u1Fj)JZAm`xMa=k!KT!7?7Xj5E(v3za5IPpMx_q<zBj2ZVZ2$VJl7 zSW0}FpY0j0<oZm3ZI4M{7Z`zG6S&$nB%9#vEsat^%0ORVdR_?i)@AHcVsXpT<VfV8 zZD{#%x{BEKBm_zd2+D|-x>uEhmZh%4aX_M_tccx3WRQGJQx|L)l;L?o#x17LVB0{- zN&>4=GE4~HyJ4?Q`?8;AW;(hRn534-k<h9zE8YX@WS$E>F^Ph3z9=+ph2=o+hLuKW z49!`mWJKqFRg}kISXqlMr}ixw*G-1Cyco7j#8go%DCB`vs)Vg<FKbT=3nUpiHg6!{ zNO38W4c;1XgP}wx`9Xpj@*sh6VyFXk6`k<R5@CRmLLplVmP_<mm4XjD><2^Hqfh_d z>FrlpB$~8jz#bG=A>=<y;=){*6S*Yjxr}@lVG$-JCmL_fCAM%s;UGLdT3K=mQfNhc z;Kj{}x;i5QR?GEaHy1{aI65kO1x-H`51)>yK!JA$%0Tiqe7K&(;0xS4cwcJ!n22)) zD|=>HR2jTNd&S}X`iU4oFN~5VvV>Y>HJxm8Qi@Wqc74slkku4i=bblRNVh(AVhG1( z0lW-7jrWw*gFqB4QlgC?nfIZT7072HbxQnS%S3w*Umv(M#7>a=nN8|8y0(B0=6QO? z9i<E!nt-kl>jPfAbT-XTVN6mf{?c&7miF^vN)a7T*sVrva7)gV7*Lmkch9Ai>$HJk zyyS#<$}PZ$ca;xw4R|YjIHS-0nGEED5#`3$#tLn`;(0a=lF*~!eZ?k5HhHl_G&P}X zY8#tz_<(8tJeVN$4Kd>~n2eFYXo4c~b2uwDalxy0k#7W(MrpV54eq*>GgPKUl>=yC z_@7T$S%9ye-kJ}*$)(Y7Ioe7JMMHOHHG7Ub23dujqfAICck*NvlDXuf$Q>W^xN^2m zi&(zb7(Fw&Ox9^pRQF^v6bvOttgHRA3>jmtkvnQw;~P0L4#r1{%odfPbOdudj}4pm zk5mdw8v1RVu<CUCCYvGSZgXy~)Pj)``=DUgt|RK>Arqgho_7og7JKkDoV0m*=i<tE zm8V@{srj`9GQ+#9__uJIp#z;2yRWjJ;>I{0zUm((yhJY9EqtiN%JH^7!jl|ss%Kj& zr(=4V1`009Hy4lzMm-YT%QV)Cn0}r!+*jVNtI}=sN7_Ii%uy0Qg#sILY1VlyN8Isu z#^yJIk?@3%A{zKi!MWnDkhYQlt~@kwXLVclF2oVsVB)-z*3RunS)?tYkxH1bw$9Bz zBFV>f#wakA6JJ6WG{IR{+#4J(<};Nm|1lgHl!7g<jYeb}pXD&eSAVVEJHjYHS2|8+ z9kPul#ZJU$QG;mcX&I<pUVf$?8XK5GY4vj0rTp34?24MWal61~77Nt5i*mA=H7csJ z5<9kX><r*}Ru}FCCqwkXo^e=~g@_fh<s!#JmSEn<0|V?ME4o?8>6Wi}*C+0tTW#jO z?CdGE2buqnp_TZv+^SqP&Rx=x1I@DkR-%M*4?7-rXBlDgc*@L<Bz*tn{=Ei$jv#1p zLl{hm)Dak6aK-I&Pbr};6z+jJ-A4CG<V+Muy*4>~i{2=>>%yUAoPxE5dwP=Savq6W ztxVvY&M9p^w_pno21AveAkLX#_WimgIUH_(dG5E@{&ZR8_D_A~pTj@>_^u<qwf%_Y z|FTBro?Lxp`N{K7e)lb}ePP*jvGdsXUi<#a^*8;u_ty5Vedoy8uRZs7H*Yxp{lEJ= zkKFvx^1D7%`OvNpedYF3fBM7T_q^qmD{sE}v+sKPuV4MgaLwhv@msIG`sZ)C>BDbX zJ$BCO<tu~U+aGxCEsu6?Jb72=AHI9)PZ!Let@?xaZ8>%GX4kp%g-8F}-?w`I;8TU= zPkwXPu8)3beEB0UI``!LFYJ7DQ=>6A_d?GjU*`)v4>fyNex&!$zW1H4yzPo(Ke_j7 z2j}E(KYc3Sx$A%6l`nl|^y_~%*xdiX<f*SdcyjBZ&i4yH_)+g`uk3l}Ro7q8bIz~* z_$zDQT{x#Oa?hOneyi{+AHVZY1`4x1r!{|k$Jx&vz3#M6edY_tmv(;qwmoxKJvX%R zR)-Dc&ek`+j!eEC?s;kxjpwxWj=1NZg6wQ9`|tnK7k%R`(KmkcwHt5TvEzeBJ^azu z2M69#W7-7Gxt$w6-gD!ne^fiS>}J`|y4=TH*xqTjJT=$pyyui3{_N!b&z~vbO3us$ zS#v<z!|#9Qp0RJO{GXdv|H0!={^rr&{Pi`@J+x!`lFrwE|CJ|pOdb8Xn{K}4im%)> zR(szM_iX=u&)KVX?$~$p7jC%ml=t26*YAAnitW?a{N@>@%Z~i;zkOiUAK%m2vH8`@ zcU-mg#~*&j^B+FviEDp6wd1u9cmDO-FWm6EpLu!pnsZj)`H|MHd;ixHoo^le;x(U` z+WOq|WzXOA{3qrv+7fOK@A>?5FL#dq@{6V8&e*<X<)&|b>Z9M;v17~s`|qoF-1Dg| zJzxLYibC+*mSEGSx%r3Yf|YZ<U!1w<fz=bIuKNDLe{IIMt~-q<$G7~$Yd?74l)KLE zyZ4{ZnAvgJzu)wU-@W0-AGqX-%R77D{pgOHuHC!(!`J=EIq~WT!uCUR@x6EE)_nAV zvj>*XN2mSM3p>}BK6T7-_kZRKg~6S>@~ycSo;&%HZ`||Gh0kxPd}Py#+i!n)Dy}Wg zU5pcC;=<<pmao0lM2&NPaK(3beE975{^X<XgO|M}8E1}i%zPi2{?zKf-+W{;H8eZx zux-tM%(dDdF~v=5Ufs0eLq~t$#v@KTGmZzA_co9T!f1Gba6v^ppWBk(kS~w+GyZde zZm>_wq02jk8Dl^Cz+o|`HgxD3_UEUj$`Z->2Rmcf!4b}*qlr#vKL3)8VXcK()KubB zp%?|ugL1IHzg$idW2AZ}WRrvfr>s<S$=CW1ss-IoREyLD>YfMI6d^gvwyl<{%BuXx zr81Qp#cJ}9zHPCH9UU+=lk?_$Yu0Sp0^|J0_hx>kvSM+nPjS#K#ti_npl_e+z%BH< zdiEWS7tOay@u!$M!OqI-YQ-*csJIG+eu_{X+{~VhuUB+x&#SKL;V>#EbtA0zbn6j~ zO@+H*Xj<!){~ukXMYlhd$z$ayqKf-vLx(m%P|HTfkV$8%e|oeP9zZ78RCW5X*HW2` zp5MVrO>NPprc`!anM{?Y&=gMO%roU>RjNhtydS9}lY`YWDStGf&DpfgP^bP*>oZ!j ztjgF#s7z=u;HBFB>b_O^u}crWUM8K+*ba15j`K3<mm=-i>EEj|S$UF|$*AOW*pp55 zO-)Vj=pXCwoGl1me37Na%cOV!x2XEV=r4ErQ<-4@xN0m2QklH^>VGPevAyJfgG??K zsj^8q1XKMd?M*{f>)lKy)S>gE9}%NgX7bn%Q=CKBsp(Pd1@jvon^9Y}1N$)<+|b_{ z8+-XDXO4}|<WV!8?sR1`g|$ORX3G6hCzVMD+Y~HB`=_UOMB+^bBbAAPVd=-V6nhBR zD37i$?Cs2)iO@&aBa_bcGP55^s!YtHNYqZHNGFC1WHP<K+FAAT*rjT_pf&Nan$ndC zvh2|5``2`kNheuD(oejfE|8-)OpQ%#nce};SpS+Af&Ml5QMG|69*8#d_j{Ra2s-_* zDoxF|Y7FbeP6xZrUq~kVHJ|2C-om*&HiZ4OMRlK#&o0O$<GXs1kIL#nqcTn|y_7Mc z>4)V}yv4a|M(3^rIYtRQ_xB%s>C7{cNnS>E6PnIl^t@>=lb(I&Gicz5F!EEGNWaQN z=dPKV#~1KocPj^{UdB>|xl7vpUM5Mx5S%(!s{50#iJ!a3<N5Aq+{gi)2L0SMovV(n zdU@p1{@0I_Vn^pL%4Y8BV2@0DZlNf6U(=Jy2bpL(T9H#TP#^2>fAPg2@N*V2Q5Tt@ zVk2yrI;l(;Ys_8P6RAvofw`+s=Pp1EV&Q-E%UTCNcU66Sb{XxQq?3=rVKR|rDih4! zDUh@`$VB(C!iICvW-kkO<GJnnq7vmPFApT>3E1Z8cAZUJ=IVx%oA_FM4Rj*>X_x_| z@g{S}Yu-+A<)Ly&rQEF<{cip=ok!`O-U*AYVk>?%vk|0x&lk3bUo2GPr)lC>Qvc9^ z)Rc!I&7eHMd&+ZK2jlBtyj`O{C)YY913p~y_K6#nPH*XijofXL{{$X@$2Oy<=rWFt zr{ZtrPJx@YjpHrfHc_=U@I{>rN%uCMnoe+nu-b|~y|p#)#lmb1e#%!hvk|4qLh!Wh zJZ#10FOUUUv=8itz56V+YTT%Z*Ls_~Sl!FHwIs$}uJM|mTZeFKt_A-KrEXZ#aHw{X znttub&cRcn*xO5rJXhM5+c`iyHiaquZKEEqy2wXnXZc&1P2skjOYu{C$Z)H-hlDR| z54jJdPRBl-`r}YWJx}oFX?mWOSDv;EYMb$?UT@c!q;`zHZroahnXTo@)SfX?d&Uv9 zXOygL>I@H*dCg9rAKuS8{)XsYY(58x=B;&F7q!8pfj6c$nG*6*^BeUp*3<1PZ@l*0 zhPKHfU)!*6tVDE;Ul5p^blLsUC`-0X?O=u;Ww!3mRav}qa?LHjAQ>s@9QY<MI@3RP z`snFzlJcKKC2D(~Q_HdRPgd=n+PiO`?n7RmbCkHL(eg~cPxJO-9%oBu6q~T>4I(Y( zkLk`Gd*y28pm$7F`^Wl6v+ozYo|uNS`BwLxBV!{Y2d~Ev>5NC0PaP+<pbuNAEPXHY zVeO#*-h!6ro36SqTlPI&o&0`zKqkmkep<!mZFEK#O`Wa#<348QoLs--7p3@R_6l!` zTa}R)M_+u?r2i<ge~nfrcj+OS419QpQ<=PLX{9|0wZvq-J!zW#4tvYvi1fT*{)l@% za#4W4y&aXw!72Jl|J1>B3z#Mze$Co^hQ)YfY;3H9OqOkUDC!(nI~eruePvN5j}|-6 zA6&C03;QyW$s71PocJ#gI&N$<c#6Hi43wwF#(u&+h?*gosnM#~bnW^D$Q1QH=S^T{ zW^61N)fwfNhS!ag@Go@_&p3GlA^kRqA1CZxkO}u7nN0jwS#+H6SyJuCd;32w^5^}G zlRkFLKfezz87HG-lzF|{GQW0(AZ^|!{HQAv?u|M%UHemf?x#jyKSBNcGTu;&r4jvt zO!zK>j*~GRC%(5Klm4old+}1t|H%Jk?sMKOUBAEb)F?v#C4p8Z$6<5V=cMz#_aT!v z)N^KLdfJZ@?xzly$<lG6GV$Z2pK<aF$z*19Y>a<DPDK87^WNiX?I5>ACXY(z`K2;} zV-}77bet3{h(Yu*9+%?wm@M42%{$$PkBqwKFXjtYU*;sc)FodR?;}~hE?$s^yS9T} z`@^Vf>z7OO%Xr~J7zlc@GYWgs@&dW*@ceRXir2NLOzjxqqga@Tl@Io&zwz13|F>#G ziJjpeq_&jNr&G7|r&;=gX_&JoCw$$zyni|^6MWw$-Loli#}#jh9b=lF@z}-dp4S+C zGR0r{Vn*+ig^gr*!+DI0_@B%0K2Iqgu(@k-1M=~;QYY+f`ZQi`^Bm!&=PzpfMcFxx z@5$Vo9<C^!KcA6g??O+0mHce7u^sg3jSC2~Ma9;Sc+zn<;$p{WNZ@&Oir36+0R_87 zqBTD*FF*?5Il_9jq2}wuZ4v*zhfQ5K^A}R~r|^?Ud8lg{*j%cs=PmwIi#&>}G^kTu z!+j4|+O8%IUxvps<ns7hr{*0g4{8tTd3ITNA$7w?()h)59iPqOpHJic`Hj#EpMSUl z@9x&r_HxmV(x+1n_LH7|ZpnR$ZjBE?_R@}sibkS|kpiw+^x<(P3p@Ni!E&9|$4f?o z&q(C|{6lx%9xuMHi!_bRxJg;C_)`w58Jz{GLmVxsG{s4{Km%Dq3f6IPmIwK)W?IyO zL>8YUYyMut5&mI+eSt`xv;!0TLiJ>zi-*sXhA9aBAJ1_bv48^y;2aJv3!2cVFC*#w z8di9-xHM(S)ns_aV-wPPECB|63Mn;z4Ozm2#b^!j<4u#wtBa;VyBOl#HrhzM6X&gi zV({KBoS?2bMjx+N7R$`}>?VN=quwyAhx*Fh$dv);w9PeKQ?MRkBRGmT(c^>o%9<Qn zpHEXfTa<F-MKGYa=n`ePA{N{z^bQH2`g&<HU?~^NNcD!}aHJlepQ}l4>+o5hyL(lP z6s+}b$Q8Q2k-B-b!89JwGF}H&2`y1tUw`!!eBezl<`9;qXLQy3dZ1Y?KfMtHQu@7c z0O-Z=TM8L#ybRTzYrCT_MS%o*gG$4t5lX_N5a;Rp=q21Jp8gC!4`^a6*XJc<bM*Nx z{nQOo9Djx4A}HxJO!4@;xl)ACm$0OaL#!||fs7RXLj`CYWQqF^TThe0QtWx}ee+97 z*IxsFO`Cw{-tbEV&%2G!nX$r4`ojqYe#i|GiCG%YV#hrJ!;_5#A*a<EN8C~=(;1C! zZRW+#2364!16X}qXq0|%f-fep>GO65(>T#qh)_g8`B1;>-30^q(tn>OQpKe(tL=S= z<8EF>3Y0H@V3&#rSemF|s~5od>!HiM^?pT98*FLDV1BW*jO?L|WeLn;Xt6aHW4uR4 zUJCwbYVkq61UWWhss4`d#SI2%Z`p+LTqESuy;{xCQsoOm=byGPxtp>Kfz|T#4A*&8 z6Nm<5eS+f%>r)x+LaEwQU<YYt)gHVH?Uy}TZ{Cz^I!{Ox%CRF^{hoR49lU=wVEEFT zOB#BWMma_zs=G8#7?`w?4pnbmQ{$-+`4vn&!qpe#kPAP+DVxTq*F(q<B!ib~c1;tk z&uLQbh%zbJkQgQ5U<IhNKXDY%4%1|zt?&^ut3H^C8#sqUX&^w`gE*8*qR_&EdeQAQ zwkN=5J{VGMsEF9Q<Dv$&K4jP&+Q2SgBozs&kyMGS6T0Fm9Er&EuVgv1Ty`Osn2f59 zF10=oG2hT)@3Jyp3@nw!_}W)u+{%M2;z$K7&7xnO1v98huvrG3=1THndAfu>pmIy4 z+ydWC{gM-tSY%IRf*#JSW`=Rqyok<I8YHL&h=NP`)hMb5i*N)=pc-NXl%qryay&%U z)UnDD>K48Mz_!XC^!Vn!mWm;VR>Tn*$T-&w4@4;P)1q;>N!3mnMKyhWScjy+Qpjf@ z44^YMo9qmSXjK1n62)6vJdx+vAy}cDXKmgEwr!oVA7bn1@}_oIV{PoB3CbFjd^-r6 zC#vd~b+H)=LWg?$gsq0o^3)d{0!kh3LDL&tHz9UF45aF?KG=Fl&`@%y>?LKI1kMT* z2_`2l5ED}8An8#JSrgV718Q+N8Q9*-vPC<xrLgLDM!RbD(C}<9|D!xcN9f*5JZxjc zV+<-7t~H6u-gz}+11M=5%6*K%2^WrEX#;w#a}#%=d~PR(>e7a_XqH|TGGmi~8_+)_ zD9gl9P#3Xu7CSH=ny}7*w-hqaThy;MLpEeDp@OBt5t`XXJCUQK%fv>$H&Km4+vH>$ zG&M-Kz3o(Ua90mPSu3h=0c2euoNdp~OVP@#Y^38^QmoEObUsSuxig(uA)z5rIBnIO zc3_jr92W8r8W1Rl#|BA*rYYl>#Lhe3nxL|ck>G41MZ+gv+6+HEd@(98A{>e>N|$OW zIt@8#!N*UEM#B)S5HTFmr(Mv-{>p4S#wViWjbhB!5-KMRDm`mFt%W4Ed;>gW8*yK9 zBJp&q2g4IL+rlKB14R*79p|SLsKt^3pN)1;Sk%xIDgskT307nyPnruo^leAOI}-I5 zhz&*C&O%^9f)M3>)t=)Qslv{Mn2orIFbJY=XN))O#cC-wj3wi0#8}>Wj0a^9gm$SY z^`MtlbZHqHuYQB`P@k&R$ud?6Wm+b59ygLSX(wcTzEG;YFK8R4TFXqN-PUs3f%cf^ z0#zUzPR6^HMQHAl2-6El-Mg$u!V8GuXiHz18-adfem8bku1O7Z)L=e%P>+QOZY?{* z`xF|?g6jfDz6Bj0rpJY|jN>SD23@^yK_<1F;2EYPP-%mRaq`>5P(y8NouF#83u(sC zD{*toEm4FqlBH`oO8&q2A-2BAY7>OU5u7I`;CBeD$I>ulGf=XNUgx|Q^(JaSnKI6- zBvDJ?3K=m``1FXNC#`C_c%{lObX*KS1hk~wZ8|9KBErZz$Pcxi78i|6Ln~y{TDgg< zlCUrt#{TYtp%<!Lt&}Om(r8v38oQx^JcrTD#(JF7+hrJCzP2tZ;mNGqPU^IB(rdM~ zn(z?*m6ZSmEtHbu*d~@v<Fp!@gvZbq)Z=1`pi|(%4pfkZqbD;np@&rlFO-$h@}w<5 zvBzL85{6=ZJh>S#AqjpR5mwo_)tZxGVl4TUHO__8RE`v)z&N>oAZF3idb<L7RS2;& zhL{wbGNmmWqgig%`z-7zgt*pJjI?>YptW!k5TJ>gzAh8x5Z8!az;X;5@-zs$MNwN& zQfQs0w(x<At3w>5$eGe@2^!1jQdSpiRTZW^&fUy8D4a@WbK!)D9okIOT1Tgg1kF>X zoAE*wL5?&~-_OGi{m_*tIcWz+q{YP6__|&scO`NnO1ri;?rWHbUQ(+ut~5L^<~!9O z5_*?&<eVt(vq|12b?aM*$x7Hz6l<7B;K`pC=tmFajQMCi2|Gfxs`~=XYzJ^gK(UDN z0{efHZ`Mh1Gj36C<I2k4Fh+@v2aL?NMi@8=hkDPz4^_CR;FF<{CQpPZS3}anuS!(F z23UurGOKcAJsqE?E?R)7dSJSl=%s{UypX6;q9subw4~V3-esLQdSkTP5O2jN;{#`% z+%->EC`+=pS@1p1MW(VY*NTQ)Iqb=rs>|7U3%pb|B7{M1Jwh|Aqd(rwkZ~@4K|eiZ z9GxE9er!70RN@$MPfN*3jCR@u*R%%PeAk3JCMSp~%iM!vz~KS{TdCMRV(u@P29d;u zW_QCH$ywR0s*v>clEGv+E>uQbxDM5C^u+1Kro4O3GzrYLWI}Tu=Ll@mLdatbRgPsO zArf~^To|<Ll>#d0)=VbSY#Qt4!;9iu#kO4qTg|XA?~DXu7zOZEX({`L?SO*>MCf@8 zcNZXWrfq2#Vre^+VVSKNF<~fmvn_`=Fvr_InvAs&Qkqqv41xJjeeJliCr)y4St{>p zLmd%O8-ThPbww-!3yMs#CLY&LC=(!Ap9G8WC~nr$^wg9UJF1&<Pv30st&W?&G7Q*= z#AVb3J=uaxzR=XZgQdjN?-t6HWucA2avVM+Q8fOvF0Kr#S&14h439UBfr7c44Z|#% zECVJCtMT}NvaWqM+XDn4#mtKPi}|PjT<)uB_tBBrf>j9zT~LkaE(*tfV;IBSChKlR z8q^P&_ev<M9@H&uqs-Q#uMthia6Cx;Oq@Ga4ZcH3W+z~If=*@mG=u13#<nb?wT6_c z<rmSQ(4#Fp1S&e8APv-X!(Q!Yu*2A>fiS5^P8tUOcB-(3=TCfEcCKiekpeQN<1!tC zZSz4m2vO(h&Vo&>2b!}|ck55kVhqu(Sl-JT&|uTnixhV53N8-~1!6UbGPQBDwKgr0 zgzL1knBBX2<!*XIc_A1Y4i?PvsqolkEW)cy_}(~iM(#0>9~s>$xs^xQN-{g|Z3&H* z)ZXRpDzr_3PeT+K8w*CF$!{1_b`)hjdvu;=yD9D}RK<hc0fLGu=iMe|FB+bq!RTVs zh_maz8V2TRqw`VH8jL3z(u0(uQs42x=`k(S+uJS~+Tg~xxE>O&!F127L}v+L5+~6$ zcSwo4kYu>I+f!i^K&Q#iF*mtza>6=mEM~pRlEJDKV>+xk<&?Qe$mo|MdfXlvrl5HY zG<(e2_CxqpUac#`h56nQ=iG@+h7xULY5n=Y23O{-Q>*)s*r-(yW0#@qUN&%)f%@n# zI_*~}748P;E>R&_kiWkMJM2K}J~vHP8A+~;SQTp*7GhS9mJD13XNHlYG)hs4#;grC z;nne*rx96<;|2DLSAh^==UuQ#i5$v}*=X1@RY!|jSCbeU$0L~kBoS#^EshZi3qd)s zr>H+sHs@V0w?0lRUw^?^Rp{K2!yZSgOZw{nV)3{17!81(-mH>l`^Cj6$+j31n<~Sd zI=N(6g)eE-aQ9GUvQktm*4jh^w7rMSiPDhv4aTDMzAeHeawM$y4SO60kt?2J4d1Eh zWn(~nwONm~BG!(xeu|DkWkgoEcs3d=FiQRO!p#9=;Ed=5P3r=U$G;_sdX5j3Coz$c z(o@=r3Q+|CUsRu<RmyT%P)*hkM7AZ#wRLiM?&vH=7+R6R;rThdv>1;pXjOfDHINwL zX#=L-1KeNQvXl{htsoaKKZ~b^5BZMpZmAka39_OOq9MEmBD5cuKnCpQ5gvimPN0tL zH{FQz&R^aKv!=BGg2hI`_4@BXlyXMJ9Yu`>31rnaZGjl_umI@c=Mad&l_0cXyGQVk zgQ11-&-(!g$Vx>38omirzYEfU^MqlOHa0XS)n&Xf+zmGycn72}U&;}y8%_u&g-Xe- zg=hw5{6Q(6$9flGR1h|awZZ{bT6-F%3sya`oFz=?fQk6zLl9n~6CdT7n7GREy(Mn3 z>}@64N#|xp<m;X7B;LuhkzPKbE^+;gklD1t0P{pRj3XH|K|_xDgyW9L@z*TDH3iFT zRFBMUBkUP5wB_D|IP9loT@W(FX-KPL!B=<HN!+NM^gbok-g<=j8<KbL&?(1oBhFn| zGE{N?o&ZEy@j_a-^f}!o0SqD-%8RQx4HCgGz%-T~11?&t-<4rna@f?NOn7A2M2?R= zfTqxOtymxIhNC=tKi@n|@3732u2d<Bjr|a{)W=B-W7?!|J}&mK{z+t`f=;4LsIX^L zhGO;%M{zuf0Q(v+H>8CqM6o`wvv}-Cpd#3&yMPr!|CRuAI77uE^F&+6fwJKODOMN> zCA8^ylClm?SVBX)H87G4MacpW_9)bXI|3^<Hp3NTBBw>f9Jm~fK#?0~YpFsznhj=W znGE`1($6G9sgzH4(UThc)cAKIaRn<Qd{qe&60lKC?uZ@qCLjxg#Okeh;hQ-F20(SK z_YkIm`tV!r5OgIVkW@rSr9g$C65J(mCBpU)N`}1}x#<{|piKBHp43gRhA>3Sk28b0 zkW5F?FZF|B4<=Mvn-M!#kPHtnDgjX@=+jzpU+2h5FxllyGrTBj^zvy%Z4PPrA*!hv zK-clFKbX`BlO|zewb}?Q!GOiD5XgFHGLLwp8SZ^r(q!xaDIWT7^a~;wx-v_2ACoss zvZW1;ZoEX7yb%&;J5XA}S&B5GI3Lr{t3pzhNH+5WB7ap6cHDG7)`^;@uhm@O=XR5L z<iDpifW(4>o|Cw}KpK7p+(~Dd{aj=n^&q?eGn^VHwiW)3C8{;qY=Rl^QzuH@#fWaO zi?A5>^|w?;!HQG17Qw2I!iZiZs8KrmDRB3n73hO$lwP~BOo3SnYnSl}exU>*?Se$z zp%_KB{1x>$GQ9IFW@Tr|>j=i<A&-A|1*R3pUzbL}*a-9{NyO^Klhvoo_s{wsO$-uR z?#nGJ=PBrt0YO%S0zKTsv77ga3=>75KO-W3>ZWiECR~fzebkV@(rux`v=QX=evQtQ zW$^SOF(!?v;ZeGp?EL>Cp7&6@!y|N@9RiqP5?Tz?5QktEFD>YdlGTJmc<Oh=91ey_ zz2T$i$P<RnnG4O39g;uk8DidIHe;Ap`XUnr=8}_(eev8N4_WM6+P3<ROQbY>78Pxo zKIhM8<_T{+Su`crYa%Pn8(SANN|Q`tPno8e3lUaa+h#TnhmqMCe^<hqjZB?V5$}D0 z<BhR(-PVY99(zg>_DDS%T9?Fpp{mWiiTz+W$sJ2o45NWf)j+xScX6N}p_0BoW*j*u zxdt?Jjt!qmtgrlo1dZjEyvpS$_EpHIH(72b+F6V6q&!N#294t@^xmTy4l)hjczBc) z7wO@e0-IEW@03!ZpxZx*lC$`>ZlPTn)~_h#Zs!YEy1W1cqjf`aFYY}eA2Wp)&I`s9 z6Q5G&u}J8u66V5Y<r(3W`Lv7qT!mReJ0oGS*~~3hes$lv;gao529~evW8<0(hVSpW zh(pn?DGaVZ=iS`$xx3}6>dEgJm@l5nGoQ8b95+UgDE3_$%G>+y<MhZcSVtXx{pKgm z<x5g-a^1>_ZB^+tSe9OO37?y}cWyAAT)#}316R9X&0pE@{+?l3zbuT0^Bg`Ikr#-T z^SGs19qPU2Xq$Y^M6(>)IWNnL`I}wXJma!~wdYl2xMb*=25E0*g_hin4P68obK3rp zaFt=xtk`gv+S8`KPlQ7@=(DEnny~1`=bLI}UwCBV`{6cIxNor89Iyc$#KWx5g%{V$ zrJ-J7EiJ4i_Kc(sO-kNW+-Ca<dD`$;Gt7%8FDKf>tymXHPo;GExs22>jPqn72T%Ay zId+0JJAU9gIi)7~Am$nL$x1tg!t4`aeD7_jd(Zvry(anZW1rZ5#?c$!dgkABR(@ss zue|m2RTsX0`}TL2Z@OjqSw9}T<>s+px#NMqzh%d1H~q$wfBOF4{O}bspLp%;*KRrf zOV@t#j`yxU=cc~<{^8g{XKK&NyAJ$p`@f$14<G*NU;gl%E4IJqH^2TnyVtFM@B1%V zb@t`2egBGcrnf(Q=bf)zz4O<nf4J?vudUsF%9obif8^(nK4qYGThq-34w?Mjy$?Kk z*$MsE&0MwNwKLxFtv~#;FWxu*(N)WK+<)^Qp0oXm4}PE$e`jT7^WE?L@sH<%=k9zf zJNf_b+fO_(*Sh=GkG2=+=#AOtKR@xpT;*%Gp7P>^a}O@;eDJsbsa81oZy!GLg%@6E z+&=&4XaD+t&o__1=aEX`u^+#7#VrR<`{G#-d}aM5M~*#6cER?ofh=e!r!F_6-bE(C zn!?p)-4SQ}%1mMT8PPh~wBnYVSD&_JdHWsP&w58`V%d|ur8AZnR>sKW_;shg@0@@C z-Fw$BFZ_P%$d8rhUig0=+*G`!@Q&>lo^7{$^6#!&ecB~+Z~aDL`DMko$Va*|IbwE1 zWfI&_h&Qh^1LvP}>h@EQo_NcbXHHxF+RB;utm6ysfB!|-ZNKrB?KhsY<CaT4yyebU z9^HQahkoaSufF*Hci!@@wW}XJ=icy|zudFtE87R6v3>ux@`2^AUHZ^B+#@$_f9+R) z)cNXxZ=SRLx?65|>g`{7*Ol9^zV7(rZ+z`bSDf<R4P!rk<(2KPOzrsAl~;ag_0?y; zZOi?~JhWx`rC*<Qbn3uGt8e(h>PM$e>;LJFEB^SEYku$Ev!D3v-M96B@?#(RqhI~o z4_@)XQ~&pOo8S3{x$Lra*Zg>{ck`*kOzu;+m(E}XU-r>&*P#&f?EKytH_TP+scXNz zd%?A>F~7a>g>Y!gk$vB;w;Q+Dmbah&_P@@>m;RpI{Ig45>ACT><qy33D|?T<<n;fN z&RxMy#z$j`OxW9NUk+qVVQnQUz3m-0EHAum<B)7xbMuYMk2w0&M@~EE=C_@-dhAo- z{MzL%k=s|STe<nNQ@7uA?!8Ym!+YbrpSy;(eEsA*Pr3Pu<9|JT;EJ2W(=Pj?xBj2L z<yUV$x%OOFCKwD>+0W{@@;b&-OhX##8<R5+{!H4O{)e_}p;$vhI9ZWAsb}oTnNpK# zaW8l|OzD19kp4A0I!7J+;Sb4NyuRjXqu1<D`MSOj1GfG9!R3^~;=Wq#1K8R{QJ?JC zF^Z<Bo`IG;u6n#Y99{xm2cC28uw=q?C%*w}LvYYM<vh74LYG%{aJ?QbW=sHEy1yYE z=E#x#ZP<|8a?(kfi$AEKO^xbs8lIZUacYOx<%J17Ybcl7uwe^ktfapld^t-Z)v`#| zuP2YTn?k3&`{EsHd)B`u>_?F|Cw%GzOQn8Jn(0)hYVf64{Bhl~1)W;etKCO?9nhIy zEWbtQ$4X6P19kTJ!ucU<wEZ{@)60ajkfhd`F4i!^Qkh(O>1hAdnfq$ehfF#Pe}3SA z%4B*?Cp&>orn)jg7xyu!dYQ<6J>C)%Ex4FjPxD4a)N^Nc5aRsCW52|SOb2Vzi%j8B z9e6!^P-QZDNG4cw&CIM=u|y^jeFj@to~?X~RhhbP(3<<dOeU#4gvtbM=TYn{HC1IY zy<=>wRwIl|(1XroqV4@UP-;tH^vi|L4}PG!$GMj^nwoa7MC+$lA+0bRt5)|TlY^c8 zo&8=WwHj@l@eKO;=c`OOg0H6f%JMaS*YH~eWHNJ@OvaRTwIb}Cd2logRVE{y=`T;e zgxzVr)=6c8@xa(9GTFC(-@efw712{hCX^CU^7Yqw;@6G!(Pgib>rgINJ$&dKJUF#) zY;0ydwi3&i%4E%x*tEP(CObMikcvWpJuxjd!vQW(n(oYWo_sRglD0aU^c%LIdYwO$ zJEfDRwh+HmCghu$(UX?Q$Zri-ju70S;e(yrrPG`hHa$(f)S_l8cm4*_Kl5t;n#IQH zdbG`#fXbxPQJK7y$)t!(;I5{YeaK{HMrFd0jGg^xj~}Q`*J$H?FMFQ7O!TbAmtK1Q zdF{yukqI9dS_)G+@U@?%<xcf?n7gK?`o{XbOtQJ_%hQ~$3eIE9T}TUKk{M)@@52_m zE0d$-hd<28m%p6Jq^5HhJ*TEJ(K91y_JhTJ{hf5~8Y6ae3}am8t`(mr@VdEcjMne> z<0N2g<To(GYWY}DPIVrA^w2mN#YXY5$CUs0d$c~|WXaq`%azNiOf)anz%vlxz*YYG zb?%y;#)r+Omq~5|v&v^)^>Yd6OW<`f(a|tEs&m&%+HRPza5m^qI3>u>T|esd&8S`T zrOaG{spq0hl#0rhQgnRyxvTi%&$PUnRWe<`J`r0x85rjtU-#j-daJKn|5oPS$Ic#a z8V~*+w*EX9E)frYYv8^h!OjqSMcjdLZ2C+zGy$EnNS@Gi+G}H$pMjK~Wmv^7k&Wa; zmu`lqwts31IMBsczO)?5)p(u{runzRH#e0LFF(#mA|7q>$Ylbp0Q_sOlo8^>%$4$$ zvrjys;R$)-9>u*<G%RZ;W(nux-C3QL=Rqwi<stqHXodtMliE-!?;7s5(MD`EZ{*?c zgxYFqJn5QW@y}A;G`_bqv~hfP;67|F|6(ilj6rh3#;lyEn%Xn2Bn&=%B?Gm=BcOM< zUIy2CyG7wf(Vv?rTfN;Pk3={(QM2$gZF2C5QYj%`Z|<?>yF86|ybV`6*camJd5s#r zv9^=+@<!+k4)WfXVl*B)t+NzQ<9+xVrBe<wTflBS-%LUc3E&LHcX@kApPzC$TT#z{ z?9$;G-Lp4J^Vm~3Z&A-Z^mc~0bmY{IFv9K-p1Gq5HLu5mcV273v*#h~);;AR+_){X zGu)b;0jXi-Me(T1O4`oXp_{K;$E}<#$;M32gB;Xz8NK~ue1x}?<m*Aoht^rssdF#q zHa5;8Tvgf`q;qAFF|6xQk>L+u+QiyNM1O4*t888OrjEEc`BwkM%wc>-p<LE^0$_S# zmoc?hrbdb^8-1LeO!Cw;wmve#RKfQk!eu!<+IgzDh7l7Bs}!9g+M!le^zLHfNA&qp z_@RCoqNAy7S^Aly)n&%X<@%?wt|FY@fa}ZUgl@u&|IXD{r*p!}cX>CRAr8N4z0#Pj zt24Ey&s9y&r~6jrH*DC@KL%=wqZmI2)Q(Aan4{~dFg_{j*?Jfl{`7j>bvxk8=Pi$x zM{Bz0>QtG)`D2q)q$x(u#-A_B%Rk@)P{8PD`9~iZ(e-i>eEv>RSo=5@ldo%#g7G7V z9FHATnebHznt9dU&Jh>Oe$FC(37N=@?jr#<xBII5J1UbgFB5id7gzTqlg{YDk(rr; z)u~mxA7<OdH$L=eVqRm7?%v(k$9E4{C1*B_j<U)!>+d^%FEXL*OeSMvYdl}N<v3g> z%*DANP<t_s;aMV+(u=;{hf5<3y-_AzENyw_{IMNlt2&)uSSIWo$jEw#eLZW@!A^NZ z+hSxyWl|<B_mYOoW96~h4C{NRI;OnQ38xO^_|8FrJ#6yg!S)Xn3z=-dwoAVF#Wduv zsZ2O@8vEGk&Kj)!>77&hHI*@{%yQ1IYD!iWrZ2vD1V7*Sh&e?Q-*LyCbdr9yfK#L4 zr88FK3l3xCLni%e63Eu3s-2lB`oew$tTL(2=m>rI;b>X^@(y32n2Hj1VeEy<o%8oj zv)}VyyHKviSfAD1fy>tkI#`Ah#-;7FCo2T(oeqtY6<7USnXp^V(z<R}ChAh@OeWCO z5$bVOT0akz137R&#^lw`OZz$p50u9g^#|ozmSc%bM%nCiX1q)^@xXpAg?wklik}^9 zXR{TyqI_@TjWXc_7o3X;?`yb`fG_Ssbl;8;y&d9|>?=$kaRhVM4nKRmB<w)3%-gHo zoYU3$PL7OtnfQa<bI61~%&_gB=^&F_KW5J}I(J=kQKz$P8Lv$lC)(TivIOmdFwf_f z1o3oRg3YyW6OH7{HQC&SOp2p^cbo19myQ!`SeLf+;r^~Hx~{gk($_0pE}OeLI(PZF zG>yQUL3i%rwMDK&OE7n7zDy<so)%|w*GPFJox5hzxeFY=Xd~>RnY#|i%hmpL?z&zM zeqe-Kw+spv4_^|_Z2f*eb5GCQPp59rP!<j|w~POg!|}SdgDbzC#!I7cAq6OXUk)E| z_TjGmV$>+um~CB@*+*ihnBqz5F53Lv>hbhU!*QMs`!f;A7id1w#~n|x#(ydGM}MBV z&=wx*Mwz{$1R0I2Eb8R*VyijsY3x$h+eoJICQV1>U(@M58Gd$tWAd@IE@~G^2DMkj zkpP}kI-guj_wk(jn7SDr_`cl5^Bw<y+#Vh_-od7>uAWxvhJTG4vt_}i&%3WnS9?T_ zU&Qa?b=@wV&pvF7(%nWm4wv&74NhvOi05|f8d>nef5&Z6ULSZ$H#-ASZT|cKucY>m zN~fxP-IUow;ws(TMabmzhb#D9gFH$>yuBg&$vCAM{_4XOUxwx-IlM5%3kS1w>>Rc1 z>?}%OccmRX-RSaU$fov(72Tt1+ZgBFipFEFXw-#gpDx%vYPz0LsXTkTMNL;c;&DA5 z=QSFlIj>Q#3h7&{FiJyQ$(_NXPg9Lz=+E%S-rM5sKBkHIxH<tIR%^2Q*rNMH-9qWl ziZ~onH53sB8EpM8`*=-tdLcufQn^#TmQC0KNaY0rj-P**MS#7%LbTu<=}!I2Zx>mR zNtwW}rN}eZ;VvjIlodfus{FKE_3Lkf-vYk$_0)oo4GHP53&wjaSL^?LaOuU<dt-#5 zSRWhOF2RsEpxyLNb}{0ly)>fhSH^Q<xpvv<x?p79viJB`xMgA^-~JJ;hB`l)Lv%LJ z73v*s48=}B%#sxmVi_RBr#~D3W{K-UeTm;n-Q#j2Lu^h_xJ9)%GT^UCNW9*=L7AJ2 zZpG2L`<GETwEC|=3O~IXhG*(<1vF2ZnudKT8X;o+)o0=Dr{z(r#@OtdCBB)HwLY}@ z17EvwqWNqf{`Y2?%%R8}<5?lpOP84X6?~+>5P+TsMuFAi9)3Oq#;0YJ{RmU7gf1Zx zeUi<}^T_EqL`gHtrLJaxC5y^|$61QMh?k};UQ;^K_dl~3$Y`y2b$<vB`IoSCD0!(b zV_~s0yEO4|e@g2R?ZZ;-h@W#52ZbA-l+7-xt8)k@@LK+U4j9CsugX;x!C}pz8PGA} z+UN=@Erz$;QlU`IQ02;eki**^%B0{ExETL4nP9>1k|ACKr&nJ$qdqjwoOiNIVATUj zDPxjEPM7A6pkn7Kl%IsPj6=mu>5of34J^iFDWT?1X)HlF<V_pR5MlU>WZ3%-rN6<a z4WJbLpYgOcr}!UE2G+YS3#Fw5Mq4d|pzFa!4@Je9VlBpd*1L4ep1zCqR(!<I3M2UZ zEI^9a^a+gi&iMZ%E+Y|RdV|*H(vrmSr{J$K*YZ4}0<Wei2~3?YtZE+wCScx!EoY=b zSY%IdpXk&Dr>OOzZyB3(<f)QO_;#k{unz{sK{o7d`8>uD9>>cgG{+zBSfK|f9iYk2 zq(=@o{5m6dJH8Q1Vw_#O8Jy3`)%RTk$q<DR&neg*LS}H<LDx3`j+7#*hgy<C=>PG= z8WCCn{21t#9P%zhidCXfMbqA`lXyD3(6~e`H1Iieob>q#h446*bK|&<q$BfafI}jp z=$e+%8w_#W2a4BRsLv%>8#xIqU0P;|2}&144wbB9$gk>J67l1JE%ho`eoi~MMH(BK zlna*UVDy#|w5!{aAB3L7yA(C?U5TK=vga-O1Dm|~k}75MwsHc5xVUMYx?=F*qJ5a< z#c@;%q6P*$^GQ>l(X0Va@iuG05tRTUxb^+Y5SWF_UP~9Ch38QjR)j!jA>}F6n$;*@ z6Cpm43s53l5a&qcAMIN}an<~zN;66bmW7aSWnPJr7NGEx)?H+nnp<JJ;*{z@S)!}t zDy#<-p^UoVWLPuhU~;ml&y$T#66moNh7I~XgWHOQp-8Ag83hq`Hmvr&;cZowjw)$L zSpsdkg#FLBE!W}25mYi*1Htk}*6Jt;8z!-)DK;4jN{(-=u5bxlhGnt&WMG#TFgd^Z z0wdIIPS&<LW#{e_<6+s%aur<=)lbY+dXD;EXwy*}*)6kGiir$4#f2?PX9;_x<S5bx zB@D;{8rLa7ny@OaV#FGWq+u9(QW~ch(iIZA0DM4VQpLrci^_@Tm1T%)8_!WNmXooq zQ%WP6)mrn7aIRwbsa0l3fi@b}D3L4O<$Pj&R;td01UI+2L{c+DbWo%dIl>-XVsHbC z)d&@t)yJmRpfXJRMdPqAAUmN=UKfQu=x8Le6;rl}VLhDTLepU7A4mN+*fT-jVaAvo z-W^))5n|Um2%|fvg0T2{JSQu4f^0)DVYU($21=0mV>#3!*6D~uaBUNO@eLxfi@_8Z zk3~+X&zsoD9+`|lJddVhsw_qGOlOYS4_ira{it)=KGI`cli^wq#4#`-O;L;<BOBYX z)uP&j<A&C*kOY(HR7F}>sgopP$Pzf+rx-#&g4qK3(KolV^mYrMHNq8KqgAnv{gw;x zYIYislN)^?&?z3m#zsM*XVP*M$uUyc%Dk=sO`oGzU4>W&igE!cJ8?L#o+W43K;NQ5 ztoDiRU_kZ9<y^;9KxxV?4q#=#(6l{)!TK6HfhyFfFeDt|LQC@W7wtKVEec(bHfkEt zWLI)6R3)u58Zxug442-+RaH4rd@@Z~=4h_613~8tZSRJg6=~6zwEX!fq`014K@St> zyk>;34O>iOx){*wDQggB0V8*Zv{BO93>hRw#7eZ`EF=+q)|^@fwO9()vkMvtN<Y%X z6o=1)l#?Mi(CM9cVypNqZp{0gNoosIe3`#Xl83ip)7N93C}pyJ!C`NI8JOAt+>Pya zR7JgdFO{?<Cu<8=S@h&v)hi|5&4l-~2xnMnUzMm1E;nrB&N21u6j^Zp7|><t3~tuB z_On7SJY{e=b}ZMn&5sV*mW49sGwN+(d@eMg(?*Mb-f4trI~Gz7L>~V=8`r51tz=Xm zl?m(u&}rjHEOiRj(W0zs#M}`%W)V61MIGdVt}dM$)%Nkk*;Di#7tn)P!U+lM%aQ4D zB(vZ-WE!3#17U!oYk^K}{YZ7QMy0L04j^6-iq>)++{S?%VL}O<Myv;;&CeOQbhaHB z+CzXnWMUkKrV5%NV#4?N5WZo77BVz~A}ST#F<E@<SVl;~Cxk6w(Vl6I=4JAzTRPGZ zDfy5Sa6zh>Nalx!hBZU5sdU1LH6D}ajdnlXd_)TvQ`?An%3OraKeD%wPqc(`$zCuX zSS=$WlJD^cj*!+Gjw$yWNoiBnj&lewi7+Ay7EicY`NM|NB#C{{k=OCD5ZOGQFbX-_ zks@l~NgmZlsTFTyg_geQWb&D48L<?W$8x*xwn58HLJ6~UtE4S|j5tI!slg)>SA%)| z?s$nG5LIT`6U;NwP%*}2iDV_EY*}t#1HDqTruvyi{WL(Eg|9eRBjCr0H04U4FfK)o zp3ufE$&lnrdCM7)QDl#yh(_Lmqht(@{)+R82}Bj%UGCOS!R!I-=FCLd)!OL1AQxhK z>h3ybHu28--7-%#u3>45=7vTpwIu$2*|zB$aa{iX<KXXU#vv>0vvxJ6PLqXld#+Mw ziED>tw-@Qe;V$>AgH*-s2CvnYJB^V_WzOwwJ9C0@!EUKY+fcNTV6G?h%QV)CFj_7b z+E-GR=s1vqF%1k3+nkCh;U3v@hY&9V1JUVmyQQ^qeNCshjlPIAzTWb+q0GXzJ)~Bn z$9L|z(6TVIXLe+$XoqdnUlD=k<1+x<4IN8ykb(>$0L6DhI1G%ARoc-JQhP?Hy+0h% zaRo`0r_x|N5=&g$x41BF7TT4N`Zx(3@$2{j@yaq6-GLb&){E7Pjtm(Jls7W->m_F{ zS9U!~a^Bnp(bvK_91r7~c@NuwvL&&k>t|_rqb*Kc$ry;LvTGtR^F|`sX<3v?mf4w3 z?9PCk5u@j0q_k^cRAVNvoN_|xq{7fjL1!DU`~P`+|M0kq^U(i2XV1z<YuP#yvLkF` zj}`%ILu`Tg;Xr~$Vr>+co2;>AyClKFp*)V0Qj-`=xnPghi&+E#ks3nNUb;9WaYTyg z4awyREfIv9P_A2(TM}~ngoN~xJe2l=Q*fIvq2BN3owF<3ko5MC=8m*ye!uh1`_8;G z=bU-Z%MTZcZhXfL2P{SzuME_am}O|GelZS*vgOgREeu++;h2?m6sEMV_5}4pxiabv zt+m2_RxGeam=o-}q^qY7imx;$j?P0{xW#ojg<OzQCSinM+LKHLw^|i7=v@G&>8uYp z`VewEbc&oTPjYwQpwp$?9F2n71e^a-Xx*6JhA0_ZWdl-G!oe=F#7i=WpcgvZ&DSU- z7&2FeasmqQJ&7p*Hx6<PhdfM~c8?~=zMxjY78V=1D2#5^74=lZCXC<p0hgMzI!Nb& z+Bmx<g`Gom=OycAO3tF<E<u>t2XUZsduvw4WLCj(b3oCE@`lRg^3?q<%%eOh;RGMH zF=4+SEM<0H5Wu)8mo>6!ZWW1_cQWV~aLKq`stYrG>$C36M0IK7V&UHWX6M3q(iwW` zz8s_N2moY2>&d&qp1^!2Gw$LVQablAn3FC+p7wKSB)c)Foo79y0u$22CXC{|#aiM% zckj&XREXRTzy+O<LYX2GhQr!#0@cXjL4TxaI3z>z28rzS;w5s40oYhAVqiPVw?~x9 z5j`KJLLoq9b9fwLP?v@oi)5EgOH3!Lk?diZjENPuSVr4`U*iX0s9Q9fasd{Wl+77N zm9S@2ky{`xK-1r_5l3m`?{h-Kw$4fqN;@FUKsR7XVawVELt`K*WBJ<S=~4M%DdsH) z>YdE3c2F{mI#IO>&nQq+6cM4GEFVH-6@$KVqU{`Y@r0G9#X9zliS+6Xtg8i#qL8*q ztE8)qJDkYo^We5y9%f`&(5*%tU2$AM#xVNY!cijvf}NcAHt~xJhz?rWZLoBaFc9sz z<g*O`<2_D}Z=W0qj34H75Y2I=Nw>7=W9wE_uRtZqMlK3dN;#4dXW~q!u-H+N6yo0H z<%}glBV+g5#L93XL>oj-<PhuaGS8Y0+bBl0xv1kQ?#3rMa$@S~s26KOqPc><hw*Zk zjE1wE@hO5|kvKo(8f57OHFhUJqthN0cwjUKg7<Odo*~{~PmY4KZIau%+3=a0JuC%< z&@z4?R>L8dD<I({nmZ#wwOUoRd-lcg%5KRc3{aU5d`Z@m0(a^OeUn+A@JSsmBd{E$ zhR!${a%~I|I^#^A^giW^)iYbVIXW_G;wUa%L_vv8a1|u!lt3m>02pAL2c<KZU6v2~ z3w9ALr&V(7xs<kH$RoB^8q?yAg6cP&CEpM^p~4^lrixgx@@{Knm5u!Z!j@8zOAzdI zz0yGe?~E?<1ryKFR$9TL+uz9`!x>A+vz8RakTAPq3OOwptW^B96(m0&pJrpB<#f8l zMiV<Dwsuepov`RDl<N-fr*HAvB;*l5r|V@7F)p<iw>pO3OjZ0Y39s&=VKApC1Hw`W zgobTckjNzlkrlD~R$*dXY}i&9BS7H028>o&xI+<Wu}FtPl4Q$}PH`E7uo8)z-HX~D zcZepaC1Y$z4DH8-l7v=K-zt7%AacL1@=aGPDj5}k&a==2NNEY9f>*}_Xdev(TT3*X zmDZ3V)?=-lMvO!))@0FJ%kov8NI|sR%tj{q!rUYp22fnsB85Q(SjAu|_a45CmYe8h z+glD{fZ;!mD=O2<Y(R+WZD>#{unUa3tU>Sb<hF2(b(nP_b(y%+8pL7<>Cmg}g6H&& z`pk8_XmKJv6IC%^;Vif|S&L`akjdQePgGFjLas2Dbq9&Vf1st16&ZzhFa{7TQ#Nrd ztqC*Sl3-+b10=eFCGg>S@kECn6D&~CyQDtmCJ4pJ2}y7X7S41jYNJ~l3h`ZSOZf7e z$dwN21ddrN*;|$O&UL9mXcYC-x^;UEfL4`%R!0SHvtE4^pK*h<3-*Fp5AUm4e$;k( z6sMDxT<>h?8KYlwWlZXL@DAELT7r)}aYK6Er)x{F9HA5$gYsB>ce5jaPn~tN?#B2z zew7G6=BK`<P5S|(RVqGSZsdh&enq5Gh1@mNU|m}yF9It7qqpAZBpuW_*ODf)8%@&- zQW;_o^$r)<0&{RU?Y!o))cZo%cGdvZo6>srxoI0=L>o;<nLLG(&d~E(>s-lhKAx?R zI5-$Z3dF-=(~`nwe0rCP;6_Ag8~A62I#^Pt<HWB*I-tbydz<rARy3W@XhvDGEwh24 zz;@8*q`T`h2tHb+>1YgAI-MY)_mK{PA)XD56<iRStme-OmzYM}muqESYkDAg^&=*j zFQ;W1&Y7q{P}_?dNuD6kOx@UttScf@dO6lPDB8&gWh4Z?1es}l^tPb0Z#LAV&c8N3 zgUK$>dJsr4?+0*>|7Jy27}v;2=^NNl=Crt@MKq+vl{ij=&N0k2-~lz5!hmC+3JSiQ z&pq}+Wv6lG``Ze13d(iHSJM^%ikh4c_{-qjsCV53*Uh!;5#kIpd$2@Vcn}znGJ*)D zyFos-z0?d^f(~we!`8AXfy@xPa~?nPPeHec&)kFvqM#F=TyrIRgM7TM91gD?VjwO5 zBD63;Je0JB@$lm0kE5`(qy@TiV`BN_OLnRhXTx2HDjJORqFuV>r4wJg_sLjh7wN+U z=s7yUmQ{i??IqeRmivT05$Y(IXv%m0gv#2Mt|E6la%v<A;<C3>PEN|*iFw-0MBr>1 z+|)}=7O;3C>6z1Y|Jq@THp2h3NEXI0bJ!D;o{bY6(+K~eI{_Y8=H;xck=;Ny%k$E) z%CDMG6z-^VPFgEY<u}4?0vSR<pQD#BY@3S``;(?53*yleT)dYt8vHQWP!!v`l>*)^ zU^`e~OSPE$HXct0A2q$@cm%Td2IH}9&1@<4ju*0R!KeWTH1kIz*~NL8)>V9NM)@&X z8ZEmyu*^oz*eKi_tsQ6Oin)W~VSHPj$0IF;UNN^#N!VGEv)7x#Syy&N#nGXmwlj+6 zj9Ehugn(WW<#J!#YLZ^5Kw|hrKguj*e4-{cU5t}6=LL81`sTT73Sq>AE8X69VfoU_ z+S+CfPx9DX$r#yZN>M1bvNUD|=hHu?t;LpO8^o<~RE~z(?cILvx3^8rzUq$|WwKI! zG@H-H&;@p-`MptxDVzfAN&&Kiy1F@K*^?m{7*W>nV3l_gZ5_k9Wnp;4b62kIYl|uq zZ0=hWW#+7-r2fRLbv(Uc&W2+=Hs?02MS>G^u{n9PH#{@0EQtbXtAZ}`E;hIKGN`l< zsk>?6+;ewaaNWSi_Fy~rv$thG`ja=x@$+BGY&raA<AtZbeEP?~`@neNvwwQ}<`>n! z^R$nC@7Z0gx9op#%b`8x#ozh#sV{%`rSaNlA6&BP@FV5I_rI`Y%iYh7FMj^Zx!mEG z#tYwnXvyWzeEkDAURBz$|ILR^J^zPizHj4}^LJeRzRQ1a>yNIQedCU$e=%#*&sy?B zGf)4>z~3IZ{*+7WZqEeI*mk>2R4MbtoLdh6#Xr9F<NvezM>l=$%s;zt!R#L%o(vxt zDXe_%FW$G~$fY-YVnO?5k1vSVE<FSJ>Rx%{ja)GJ#bt{gf9t<3SlfPj+rsO1x0Tu- z`cG#pyyvtH|8wAtKR@#7&R@*F?#RVk&RxE8!;gMEZ{g!}PM$OOAC6r8%G#THkNi#{ zJbO=}<@=D<LE&J|_&7Wn3&sp9?O4m$q6OV+KYY^s_n(wKzvT{|CJ*e`arXN*-t*|} zdp<K;%C}_4sD~S4p18vI9Y+)&&c)?Bb2GCQcoGG_f7xaEWjl79{L44Lvg3?J-M{-M zyBB_u`_9(4{$$7HHxA!9Jx?}XxbVF@c5c7$<6Y<G;uB_N|KZwg<#>H|M*fsj#^ZI1 zXMFtHv&ReTXPx%)wq7Z$Xm9!0oYJn~g!VhPtX(_ax+Y$>d1Pz3I&$)|&A&srOWXEu znX_$t@tU4xxogoST)%YL&dDu1ZrmY#fAou=&7FJMSI*h_$?GrNe)%Q8yW_~^OK-gT z)VF4>`+AsP+k5(dJNW89Uw_lUm&W%@K#vwD73N7fbIXw*|Kic_|MrHZhtKbM;fL>A z`@NrU*|qkbLaB7{rX7F%$r~2To^|!(NwjYEUXhb-cxCNVVe7MZEWO~VBTE;4WYM{8 zS6y{qyl&aP_ndLv_|BcLUa;URM}D^R`#W|VdH+9MSiHRD$d0buo2%db***W~#x4K! z{q@(s`O}wkZ+yS7ZY4t<93+@i_B@d>Lwqb8d)LCw;;N<dH?%K$@4P!&;?{F_T-Ueg z1Al(^yE{KKJ8TIV`jm6d&8)cCe7`np<|B{f;?M4yDRa6!Pv+;AZdrESg-gyk__G_< z-}H|+{?Q*V`No1p@rm_M-}s6Aww=FEo2kPbTNVqAlH~|2j$KTKGurJkzrSQJ;iz;y zFUbjdCR7g8k8tP`i(Vw6(Kf>A<&MWX+BnLJCU$QANfPg51{d4&oN6tOALQ(BF|*$5 z2tE<a^4f%g4vuux!@X>ECiB}fCrnL^aFRQNj^s{sg)*6}+9E?39d)S1<r*4GdS0}7 za+LF`Xd|JK#3A#IG6Hte{;iHhr0&;3oH6zKbes`ocTKEaQ*nxHaNc<%oNuMB_7m5E z7F0>UE89HJs)@(C#yM6_2}2|fVoSD<S{aJe?N+osIg@>Car)2U`M%OQzFvtuJx|T3 zISD<$uZrz|>?EoGKr;RQxO_I_ZKO0AK)FMQQ=VXWk$QTDKRW{u1C#UnH?oQ<PY$I# z$z<wB`rw1wZsLS|fJWDA9gn@x_WB|48`wDiq&hs=d#KpHeWd+R@!-K1+7G~!Vlkhe z^;b{)l_J^BdGPs=WyY6+){oTp{>#bz-<{I4x8FK=_)s05w9n9^yT|s9M~LUa6M7Y; zbcvgE%Ww)FQ;eL>Zt|q<LFEZ2n6bZzou7@WZAN^*gd5RjU9$%BQT^=$^XJ18tk$*D zi)o$!YvV=+<^P2zjHr|+^~1^&bkCGNkyq+F9&2Lo#KR-NiR8!9{CfR@$7E}N|4IFm z@I+54iyY2uB*3|D&o?di`oHvp5jvLV>3Moi7f&Ak7wA4vpI_gI#hLoQqCfcT-_(yB zQ2n<f?;Utkc`|wANZTv`zINVuFAThXD7XEEf%f*3Mo!!*U!pi#5FE9aYP+;?lKvQ; zV2`UlAJ)(KQfB5zJ-_|)UwwUw(b+d+hVo?lS?!T3w;t~%&tsZsV#<^0v5fi|=ke?H zkN_vVISU>2*0HmQiUqa|v6i_pdm5Un_4@wQPUD(2s_#~>&!3M@1Ifyhj&=+}Drj73 z<NhW`-i|`E?Nq-&uD!Ou{V=q`mL(dncIymylIqGFi}@ktiJS>6c+$V$^Mpg@_1vWD zE}m4EA9SF`z?752uWxK?UzA5cHC<*#Kgux3uJd#vwYTTwkm{GTtG%v^K6obBZf}Pt zs;z_UYU8-O_Uy<JnWeot@4SwI{{CG4g|-GyX0&gYJcshPj~@g|+fmsyqMFd?Uo*at zU13g;UAz9^@20YxWuGxasfRwWWCJg!Qsg4_CRKE0#r#U1fQ`-*f9hW3o63{yeu<PP zUUo6H)$l6H;5f!&R(;i|RoR8Eb3=A%Dm<C=Z8Uk`B#`=P8X68-TX~WlP+OMBMK8PR z?~q;f5#`A_=LD25m1JbUp92HPE~)XfVDh8`BR}XsO-gx^ef`7AlVc<{kDc@Z*ATZ5 zT|5}gqZ8Ql&u+w<e($fzKdyXJmr&uP-AZ)?i_-OnCe@qt?XmIp57zMYzBxia@=<n> zQ8CpWoZHlE1V6o-TFLgB@x_Opo4SJD2cmS{o3<02LLJo`e6j(PWUnVU?UPR%>a=aG z>tQ!w9)%4KY*IzQ`Buf*Fxc6jd;LWAz$;ZRQR8f>Y1>4DmF`Oo_7}At<>y}E?J<UP zseiB5rya(df5g|V^#?_DMUA9zax3{d;0Ch&7}Rptq`GyX`Xq)))9*`l^_n_+*YjNG zxO{2kqvy!OOX{U@wMn=r>f-6Cqu(M|G<@K1Xx1k=Y^FE-F7zL-4|r{$dXNS1<^a-V zTK@XJ-D<mVCFy<mMb%YQJ;sJDMfjfTQ*t-)1J$kMnIH5_+m?pz<P{A+vDNF3!KrI= zs-Ng#9t#`Xz1||e$Ki^KwykYyU(ru|dhB}DR|I#ZC3h{F9-_nPQhiGD7d+x=;QP$Y z&2nuwt=~Eq9nE3-DhfyB+__4F`B7_Q4EveYk!7`%y4unE5B>v>Tn=3_J|MhOmq)-$ zd;Af;J-1&*u(REcdJ;P>#do0n<dft6RKHK-4S69*Bjo15fXX!XWjNK5Qd`#OQuk*v z$u~weY8;tZn8rR$V1uCFpYRR=&3dmAxZm&520S|GN1|0k9y#p8ynl@JjN{`s9Qpo{ z^|XV^nBQ{&*y~H_HxcF&@%`|v9hHAa(^540<<<V}0g6_aw2^?~6|xI$(46X2PB+GW zWDz_;U+g!-6P^KXx2$%5tzW1>wz97pnIY)h)s-iGIvDmVx}GQKZB4sIzmzBKn07;w z=aeU^-KF@$6Ey8InK|EV@?`FMsN(;4z@)e5Xr`t-;S&<7Vb7j`U9s{+eY9!$T7hzk zb+O;`gf)jf{?Qff9X#n9xmfQC=1;1&71YdnGQty9-+u>B_zns!<+sxrK4HP|)DJ?i z?|KJM&|rio8-G(g;dH~s!PW32ulu?_6e1_<GcwAP$;ti!ohLu`W9hu8o{xP<dy8xE z1pMj1POcDnO_q8)cY2<X0#DY=`R4YWX@xnTo92nH%i6wCYjHzD*(~3iP+~;qb(|Cc zk)46xhh<2C&-Khm_8hccwd6S|JiWI2b)^|cL+;{(2Y>m?`E{?Ah$gA-WzRelmjAnW z!go*_Jn3&QN@hEse^8#h{?^)DfBWoqo>ix=w2|*doTnC=e?#+RGPg14$h^KXulu?> zJLJiFl*#Vz-`?cOK~Fc&lgAEt{XUObgC{yqMz-(VnZ;nYmt82e^1`KK5!6d%SCc0v z+9pp@e(D`w$`hRz$`hi@ijIyzQl98OER|j8;(FP|d`Z8l(V&i>2aPZ@en8f@x5E>( zchkg;lxI%XC;weM(LJk|T^Y}lA|HQI+4XvTBsZYrtl3b`5(=W5r{hB;U>GAD^eI73 z{a|iSqnzY?eiWwh)J`Jxzm*$`({kQ#>OZ!4+lU;rrJm-a$LRfO{mG`DWTSrc^G3dD z_-Cf=H1#3TO<bk;MLoGeh1ayD7(t^C+?u;cac$~Uc6}|i!`RfVj4}<F4SS8~RsxFh zP5X=uJxFXy`aXC44K0w*nwXYr=rJCnx9HR54^+p}>oKPByBp<CNd05%Hv$)0H1g4V zTtx-d(X?rOX}B*LAGr1SczF95kM2oB|4@Ce`{#(aHp;7xATG6&2;gD#8#Uh0d-T56 ztCFt%t&Mz*Q_kx{YI)V0#1EcMebx2z<!?{pnoqWtFX)?REoU6{&^@%k#r9%~=PLBH z&`>G!LUKg{8WaqY8t3P1ia;Uu{U@6sC~w{VMsC*LY^bsj)craIO)jZ$4f15J}&q zdW%k6c|6s*^!65khn}NPcUry~SGbLKj<x5g1;W2==sON;X?3AVMP@^AHsxo-=L`a; z8hk;2aT4jqn*YdHO*h<rMjA-B*H8Ii(Vs`Lr15LP^NyMlIW7&CW@tm!r#OEta5bPs zSzfFVsY!*Nr_O~>k7~#nE(#B4{FPgPMb~PGwmHTlGG#TuuP70i8d`a=QG>*W1O9<# z*!xp5g|EMV!@;lP97O6B<yON@H3Rx69@l8r5i`DL9-WYIBc=h|Q3z?AYeqx7Uc?fk z1dXWA1Q!36KP})J;_KITXvh=ILR&jzJEIoUM>s^^kkVpLZpmxC^u0g=I-06AQeR=I z<1^8r)qo~TNh|}_q7x|@?Fxx}&)E3)bl?YCzCH-jN*c>6wwl`3lES81UdwTtrlUo{ z7|lulh!6sGuIYP3@_(hXiVIEwfd5fPyErg3diBKO+Hj<al7XuqA3izF4Xr0JDUH%r z%kq1>)9mI?^ArQFjaslkBI}cmUYc?BEd^ssKO4>mQ-+hK*dN1vdUj0b(v~RU_~A4w z5eqt2ue{y&h#6@^pF!ieFwODdqkze!V(ycgtf@zHd_lcf{iW#>8vhl}zAY_9HBB{d z%X@ni$SJ2ZBW&g-O|*T2!n2;hT#s?b$C?>O!TA)wxo>bvv-t6EavC-q>`#+KdbD(k zK%W^?x)|;Z(t86fqi=y5WnIH3H)^Jlgme1HL7gUR%)7i^Z6ndpwH}|`ybN-{P;Z2N zYpUQwWw-D?ZQVP(>2RY?8c*{H`x2qPDE&8_@p&GXV@su7o(9F)m<FQLg?wXXI@%0O zr!<<?_;ecKqs})GzHMx=OlY604VpWs)nh%GlyH1W{PR<R)28FmTSTUI!T-gsIYMdD zs09ZD=zG!PLW1?U!~ig+%(Nq{izpPw(SrX+YKeut#6qK2tIQsIgd#EFwv@KUh~cZk zzQHEunVS@jPpaZkg!3vulTchh->aApajJz!g<)RcXjvS^93E#*xvD5yMG|qBj<=GA z`Ejj*q{o;DkQ6U<fahA{{W+ed1;dexH0%LUqmarh#YJK9Z}@k_!e*MnOY4xI7?Lg7 z>Hx@p$@(B7Nu7U8M?-vfnXBuz9I#t)wzWoVU@eDEtppUaDMX#F)=@B0Ld0X7qX_I^ zWNAd{k13-|k}Soug6<X1Sb)K?3=ptgnyjk3D!;V1I?rf+DA`KF%Mz{TZNHT#)Ywvo z0k~#z)p=)`Dzfk^fQTx3=<3-lY$Ttoz(pKzLBY_UW#L@MATu=rck-YD4F%{Q1QuT} zR?lPyXnANhE^%P34N#**0B1uB1U*(1DF&7&jo~OINYzAb)XFSCS|Yfp)pAW}$41n! zTA)v#Rw{r{F(BV#LNV`jf;d4rk>j;&lC&B9rhN#v#6_15a?-RT=?r=+6$RGa3RTIZ zP|iX@rF1^%uH9o$V6?)aNk`vM%5pBgw^-^d!Psu;9SWc#NRK)@Cc}x6HI9aXLp7Xs zF|dgP<&q<AqG0Gm9TH3mT|r8nHA7?A+K8_Zry0qx-8-x$`Ejn9gNEn?VR|BGZJ5~B z$PN~r4BDi~SypFSMxCAmSzCxWQHgV$UIw2L=<MhuXVUcC3o)gR(g*wysa#MXWI}2x z&&@$cq?AqBGz8O`g{_jOd=RtjM%s}UV!Cl5Y&@YH!K7M7L_l_k1Ho)h)T+r*>sTOW zs3tlZIb#FawaX&La?u}7!&Czg^75LiJ@)7SNU$1}b(&c!Tgf>It;eA3dK6*K8Ii;( z!j+u)am7`Goh^25iwt(#VHlWjUeckFR?KnAa#U<DLo&RXGybJ$tRTUqG=K(2JQ~Xi zj-}{e7hCnMGU71X;OGhl9Xb#)PK=^Bgf1#WC-5^Jq)t588<`F#!Kw5_580hKwn@5# zk?7(crkNO1VTK3_tvxg!MhKh4nV6%j@oG_G)tn8|C5B>NJaIUMkkgp-5N6CVsX|QY zDTdjhP8W<$5E`ZgldJYQ#!ZjZi1b35D=M}%Fj90njfW(%qmCZYSp)>Mg22q`(DJI^ z03ZIyagJFr?Nq2rDWt!khQx7COE<S8VxNwuNN@oyG@Vth1q>4H#VQj<n|#iYFxYMA zhHb3hj33lQXB}7VBYCRuD^9G&rkzDxX@1blmBXw7fH{zpBPmq;oHcsG#LzAWT5iHs z5vZ1sj-S?#f{Jed5u$4kMKm2d7hIdp^|}DUGPKFGk+@Ok6edual;A{%wee#d^B|24 zr`{6pk*0L$6XS=u5hJC@`7EWI1(^rL40~ddT4CGQ<#S~?rb+EhAN|rKdPSp+Tt(~b zQR<IcE<n|D`mqYuDI$eI$FW66MP~%j=dzkgU!$O$%LA*;=mGX<A1JiOhNVR{;7lYH zl-^DbDZmkK!-xcO9A8PpoOUAB)!IN2xCR}f+e4a^-U_*)ieqkX_Qv*RvP;e};?SM5 zJl%-Qr7VawAxvlCi~$b8+MpfF6Zives9qwMdlXDS1i+@Q+%7|X6`68c1CsB~tF;2- z{8qoO+_ed&Fp|k~EfY^FdXn$7QUsn6oC7H`Z6g{QMUq2)hGd)@Lf*3ra%JDx8si-E zJgiX0kgeQsRJCyiGKP#G5={nh6+QVsF3uSsvIP)Rme7jT0_ujKgCI)bw+5JE+8MdI z#Q3sNMFQGZWyeu#Ewl+s46?4S8CF(UZLx^H5^{U^#7JhrlNR|Xz>);<anTANm#(u{ zX9fYlsEWud1~Li>Y(zvy&!tH;6v<-R9pokH>QbU089|pK8dd}r%(f0v5dB}#qxzPx z4k@+*I*Aw_tVK?_FKu5yVr$V>GVbL;<L%^}*=gK=Q8x18iFFLsgP_yNi7PN`$AX}3 z6;Z>CCOD+dbl?ytTNdj=kkghLuHt?4b1ccoF51-Qonr(Az&2^QBx$p{FHW(52Ycu> zV>I$JAkdx-Gi?<FvZVx_1Zo5#D=0?5;(3>RqDN%PjAFZt`g$pVt_+z%NShdAAnE<g znb~pKwYn)Y)2Nc{K6#J{m?O*pjF;2`<4n!3lvNYT19@?`nd&gJ<QX=^fM6qR;;Cwl zLtCiyn7r)4;G$U)P-)7E3*8uPDPdhTFb0fVVR(WCrxu{oRiK(ls(UGC_PKHu93Q@; zy!UCLPA!p5hG90wxq}A^qp?h(k2SAaHdSi^#m-c8=UdI^27Be980A9;a4}WXyG$RT zrWkU}E$0Oh#q)tD0&^Fqvy1W)7zyQM8xq`0NUEV{*%A;&E9lcX<EoC9$tHoHPLWVc zYjx&rm2UxHN74wLBr%V&oGOB;urcE`nI!c|V~iv7IA`H6KbnD)<eVTTkRitk8bS*X ztw?h30VdLKB}*8QHcL5{tFi=+oJ*}8N`@neh}cf6n7#i8(mRH%%I(b!YvojSjiZT> zOWd<ouDq1`v?#g}%7wDpasNPjQJL8|w3qZEP@I)VLSyBGyz6F1lc+e=&Q&^+U1Dtz z676FzJRx&sZWx*_cMW=W;Y2w2ZKfZiJHw!cIA}2r4w=YZ(g_zb9hL6TCcW~Wpf({F zg=10<t=L^-5=5Qy2Zj<7&^Qjv9e)A}+TRx$iUCY?v@M%m32q4L3Va@=INCQV!Oc_{ zjV)WbAObtJxE)OKX#g<)0VlEf5Xy5p#hETF6YRAVYG*4Kfu^`1GNes;Rxn6-jtit! zUk=G3rV0v72a|WX$c^#wfMFd&p#_e!lrgUC!sW(f?Wqx8Iml*Wa|*4^u$6eYx6R!3 zc@t!xVb@ixWTkJS7;%Gfzna)}G|q7991~6?v!9$N(I|p>EF1S4BuHgJVHVIu=@2G- zkU;XQo|U|<#@1zd-d2(&L(0hxTT9{=?mk*oAxDBTdJwm=bQ|A0wnMHb&<_=w+Dih3 znA}Fr@P-f_pK5T@0>h^ghf&mVa^U^vtfRw`(}p9K3kR*+nCMo3?vjaK-3jI75r^c; zn@*XNSuA~Jwie;Al+bM4HF0Oy%|<x)04IupuqiZjBxGRddT&p0zyZ;jvD>=~!d7c8 zuS-usbZcxE(j}jdNNMAVQlQb)IS)>afm49V4;rB?x9pG$^Z1@j9&`(#sjB_Eus1?n z8jH%2gpnUeHD(f(a{jq25;C?XK`zm!E-y(4;ecr+q6MS|NGSy@J>z2NFQm|k*>Eu> zrLB7^NeZJcMnlp@AHu=NKH5To*j&Q4B-l_Tyy&-`i(&>}qhgC9$MkJPy=R=cD9Q&$ zq$cbb%EB>!K_*TFW~eNmQM86~SZF0p!g5*@u@<o$5ozTxJQEccCSIAT3ihLU)nJOk zaANKK)Y8sbSHq|6p;d|9T!cQNx@`3J3~gb_6;C~rw^o<;Ji{W(GHfst!KiGwsDf4t zNlkacYz~JIZ?UqFG}CKa7#-GhwsB9fif7w)b2W;yQISR42C^JGN^!}`R@&0tB`sS; zt0#<X&ajk~L6=9(&Wiv=9YPi?q#LD?uvfPs+@A4Mm&pY_E@l^i)MZ=t-WwUZhp?b) zRAs4$K5X~&7K@Cq#7Opy{#=Z}u$lz;iiw~w&b6_%rI+j=zzt`lb<nU=O<I!LC_p0C zF=`C6Q-dQ^C2iU<)Z0LSrgX7pg3nL@bfl{YpTZRqS%)^5Eku&*nm`I^QHYk|4%PC6 z>0W99Zz4HU(L76vI%}b6(lb>wt%C)jb_>lP-GB$daDY}^6N7st7z;c`Y;J0NCdQfx z#)(WhNV2?R0o$t{lgkF9wB6OqtmvWptqculp&brrAblLOTql7?5Ff*|3BAZ9mA-;D z)fE`U3&31-#}hh@X$91HwS;FbonwEH4QTt`5=lWkGHM1X=7<z6WVG5?j=3%2ryPvK zMUD%Ew4%i@xe93Max=1y(^a%Zqc|h-kI8TMMM2EOPV@$n7U3J|)V5qCv|R8q3>vmg zOx{4_c_s`lpg(=WR0+d*e}Q!HAWL{*$Y*k7cu>MZU&J$tj(ymDQ^msDLvvRb<y8c> z28jdAAVJq+dgb0&ZE;OICo)!T#v<v}0mx`Tq|pGDtxelkiS$@{xX&T%#P!t-O`IfS zbE>f3_)bC_OC!!uXN+GT#L-#cM6(qVnHr?&nLeheT~C;Oes(GGe33q<yEJtwip3Cy zUkT4uoWXW0(P}GuXK|DZq?f&@iG)<i{Z>#*zy>6!XGxjjhmKbm#2{lDI0$l*x?tBL zg$QP@cv2jzEw&&zikHkvVyC=T<UEmv2*QABhCv5hX3U_DBJEJv<HmaEDG0dEq$~8* zn5urj1HzHg$=;R1HK<nRX*Os;xd07MB(MQc&<8>l=X&v)j&@UeH50tg5buf{K`-<J zbYhz|7%p@HXVXhXFj1DE4DG+z<f5tr6Z9F`8-P>|Y}I$tNS_s?`OWmyuhg`jmh<&k z{@S#T|LOQpwRlhItpp$pgrJWhp9=6S=2;Qho&QWnLdHW1)7PH>m)aTCDd<5wc2kO5 zBfml&oaKhn3<1>0$3*?D3czPIE)l-bu)k>RIOjRnU1WMRlAC^{MR~{9NDjPz6gC+u zg&8$PS2m$)iv3$o!DtgH1tO5-9;4t$Xdp&D6Hp=gmW%`j#%V0|dm8$Uv+@OxGV`2# zLwS?6ltVW!OL+!*>U(|2F~Bf()MfpZ3+<*3Y@AN0C|wHg8WV#=0QeYiAUE&hA2528 zVS{pwveXKDz>+GI)S<;x_n=5f^L>m<K5%IYANtX>qjKGYNe3o?o#@vbe043Rg9WU* zS*d*=Nl1}(ny0Sdn<fyleNG+I3{D^_HPaeM7_s*1;)qOZltBY-_Sr{cA{$(;pz}(? z^SkuR3k2eAru)*B>!O<2_&+W01<r!AVpEWKv{XROCTwkD3$9?)BSRfmN?{1ZSNFC` zI4dXH61HraXmqu0jqQ@2!N3Z1J6W#amL$T2bcaPw2ALo*Oo1TdxSJ>5WJ+f4a+PU| zBX<e{Ya(jvk@yUiKEczSu@Whk(OlfgUP*$rc^*giBqlco1Q$f%PZAWL8Ba<Y+^GR` zfU%~1clTQ+|M?7oB%GD4OhvU!%(0?2gT%7H((=wYu47KnvPyU`$`g{=-JQ@*mwX0G zkh>$4OAX(9E10c8ac|zmu0joNk!ret$>P@0culx&816-4rb=tUAsI!gcYg)*h4#{` zU3~t`YjO*2?XtPk=;gGF*lkF-dOFT7B8()NSBl1SmH{>ue=<aOlt)-?%SkU&dP9)q z#zb1WO&C2CJ~Nk&JX$EVlpX!pM-fEklFeevJ%Q9-Ar07>Y7~_jak+eNG;XpOoYhI( zDVZ(3;^H}{@`8j;3`T{`++*{wjtv+yfJ-c9-sw?G5Ki<x`P(+Q=BbI@mxjE-sdg30 zCYZH6JS&;tQL+)4|MhCcKq{wOI&HHeM#?-V`_su&WZaZy%Vyd)tChzJceW(%z1*mN zA|IPm(cKK=uekCv;pUcii*X77-f?A{6~G?+!83Vu+Qy6rExO28SuFR>rPW4O{D6+x z1wp6}ttGH7aZ;8Ltj;R*7UmXFL{8XN<#-82e?)$kT&a8Xq|{lIma}ck)PI#Yf6@eC zz3aMT_FHmlxTYEZ&*QUi43~zT^DevazS(P9dvjAec5J_J`Hml5e#TeM|HRCXJpKtg z?+5SOdEX;TIxoE8+NEdg|J;u*|Iarr|Npjpw`IJx>;C&+x$6z|8Xr9U<-;%Cw)pu^ zKlsWUdv0@;UAO%G_g=cKF!j*sAN|5p<L3EKpT6m*k5tV)U!M8O*IpWrKf6Ek_fI@B zR`}K}r@wsYP_??}mi??dV~o)mi@vdW;pr=vz5AKNkNm^$KRaXR;fXtT%<Ua{{x5&{ z_;~H2Max(9zwx=&AK!5XtMB8JZyXw5{M|3i{>lB0+PCcf$s-Ss_dLIQ|0`d3WZXRa z(5WA*yfJS6=FX+g)!dh#z2nP2eEjhX*FAgnYqNg#vpe=ZKR)xd7k~6>?h|u&Ix_}0 zTFS;bohLKyp6&Y9F1D-R^R9*S7PiZ-8MAX{Zmu(!_oG#I{f!?w^TL~capMQC`n!R< zbK?&<lv}sd9(M6P<;?H>-u372ylzK*#q8X3T|M6|?U?h)r3<#1{=Oq`ZCkgabm`7R zmmfKO`@o0qJaXjxomao_hfN;z?Vf#OOYWkPX8gmIg<DphA@5qa?!x<)tSg+c<GI`o zJ3jS;8=n8s9shXax*KnLbH~y>H!eDF{FSTYJFdTB%i3?weRJ-0x%d}_YuAmtVo&9j z)#x#<3zlwKz3sN1%)1|YWgGX5Gcq$?9x2`y+hxl>eh&F7W}P;AZCBOIZD01vwWaZR z<g^)oADn%gTr_Lh%cZT=Lf7JU#-h%X%);f5E?m~NY|h@V_5H(dzxA&-z4piV9cjs| z`|r2Db;J0+`|n(S$A8`Wxx)GjKf(Kx`(D}eKzVU8IsOyo2^;#|Kk3^x-m|W-fAU&0 zUMRIM{n*xRxx$)UOE7BPmj{=+_r7`Ik|k#y8Tj-A|9t1<MN4OXv-;4Rvv1h(yV?A% ziW4dKG<b4L?v8U?mdFzqw7`>`oRGoF`CL9IvFNYQ8ru2Eo8I%G-#xPJf*o(}xIb5Y zWKSTUy{PtZS?;N{y!$<yZurkjcU-<Up8e04F8=Q7jlqJemv7p4<BB7XU%htS<~2K> zJ%7i{?HAs3@VS5bz_N2c^3^5}R9o&?hftmL@nU<&EcUyZky1ZeK1JTjagtNc5o{0Q zhs&1b>u4zk`F7-E9%F<U)jNd6A2enjlXx|<u%8Di$q9n}I6W)cF_NJr)hD{(0*;8* zrB98e)$6anj#(7koC9(|tpHVvSl*C_j-pf_$rIrWD7F(<u=?}XSTnI1(x02(&q>p4 z-3t?W*Ntk!%%AW~&$aqf^b{TG-`+k@`fx{w+MF3_qbH4Xubj#4UxejDw1esy4hM6B z)t{4X<fmb6OiuIHez_Q*GrS%jUE{G0^cZ?r*Rlu(``_|~^y2NKU^6G!K4L9)QuM|E z$0_#qVq2C-;~Z+{f}FJnCiDNqdF^8y)H$YY?Rm#zn%%y=AD;A|^!f<r`PGulNIN`f zKc~OA9iAYDsii#G-agrPDBr(E?V$VuZ2I~#ldkG{a#)|xE4{UkDNnX<Kf;;WBS$!= ziyo%ttzM0$9d6En0eCVpvTs;<GHIG9X6xHMPukm+Cu)zd$&+?;D3vGY)mN-gHiefc zPo$r4+9#m451e#TI|f>g;fbE8Cm%j0@C5BKc*1#l@Ikwa&<J~&M*SuZ@WhYP{`$p? zE6)=juXD!wt?D<vap>B5DI4r(<xkzn;Ud(@yw3Sx`JjChDx^F?PjqB^+u=Sv8|+Od zl7GPSM6KX8Yb(w4Z1_>Pe*K(pY7AR{kNwqD{iN5?3uT6EXMktxuVDoc+k^E3xfx3A zGv6oob5?zT+%;-1NULqzmYFP%mr5NSBZp-p3D95rsFy?M6pMO}8J-;Z7AKeMucB>O zRQ&1(Q=S|+fVkjrwo??m%fOSiwi(z5U0;9jwf^(;^t)yZ?Bu-t{7LKvomYSDHPun; z{`ex!%h&q}>mN<4I|;i&13NeFT!YRd2k7e~1DS>{Y5O8YeG%vA&%-}hPZp&-!9HW7 zzDK}cPV;S!v|o&`JV|lL$*TwYs^|(_3yEgdekD)pDNhb57}a0gK5%#dG;pYJ!jreQ z9+Js7(Ew>jC#T`ig`Gantx23+_j%~=!jl6hW%^-rmRTc*;K`wLFg%KGrOZ&-r98pZ z*#NeH)?imlEX}Iy3UkbZgCoeUXa7cy;fXYOq8LpbLUz4M;6UCJFO^*>PZ-HZ9kPoz z%XLYVClWDFyi=a!=BpNVw*KIQ%7fW|syv}L(>zJ_7^iu{j5<bk)pdTV)h?A?{q=(f zQrX2A{S~&GPV*SS6Zmng?3$E5WLN!KP?}kLhwMVzS`OK2m3iWMg7$iQaUeVJ)?01Z z4Z`+Ra6xYCR`fj%)i-9ds;iW`!N$|6W8(U3MNFzcC|7u$z<h)b-aftmU<X$qm$=tQ z95UErB#{TUst>6)5WPO6`e$=%bn2#tt;A!}DJS_x{O$g9J@O;PgYnO<k&UR=5fnC$ zUG!0S@1MM<0Y}?GzRkESZA<d0-?Yy-jgz+J%NprBM`0Avr8MXp;$th)!`8mgch!SL zf6?2DjGt`SVO*KgLWZVoNn(2vgW{U*X$DPVg-bovtNeD8MwEXvke*JhA4lOzO-I6d z8nQDVB2Ifs+teiu-MwSt9zHe(5rVCv`ibnu(KqDq4{`SRoVbgNs+-4cVo15`RTuA? zzTMqtG;9w-OmA}#f90o|wh}3?>9nVQnEHGHx)D!x{j^?nIX8tXkK*M#KB|kT^{z+9 z5L~?uVhSg<!N~3RAF8e+PomWRA~3Ln=;Nt9#H^+RTkD{os5+Cr4;pW#<6p0LE@^(W zhx?CVZaHalOzW*oX#wu&O>+Amruvilxz|*c7HUJ%sqSU5p;w9CqQ{-`s<%ix&{Fjr zHNBhX|BXIF1EsODGj(2ls~N%flhj*gOhwuN4hh|+Ur(UlY&Ev-tRIQ6LWluXHig+t zI~%7pYkp%oMIJZ59H~sVDqU5h@v5sp@&LM6hlr_-MrmzItyFgXTi3>VprAABo6?X7 z`jNhyEccq%Tvys>m;9G1`f*yS<6{5(rKGcy9YI7YU)RVy$WjiD`J*kvsCKcR%Csje z0J%KX2XxQ1Y?;(w`yk(FAf`2rUp;hx*02;!wmSV{hid)#otq}m!c2HVt9<XHW8+bt zw6j-eJCJ=HB!06zVFj7y2|?9BY#(_iPZoUL)9@%oetoFP6SbedUiZI^JA!_69@TpI z+j%ljDq`;rP1o%@FqJR3QXeeI_dT2P<bn%c|K-#nrLa;w{bR|%h~m~yWkwPOnaAeg z0MvyCLH(6gB(buHj;lplGTk>Q<dK2?joaB(X;|F|T4uU7q5zq;?cvYjdnwA3$KXld z0Q00Hx3RswxP730`1Shsx88#PzbV~2sd>D7FD?6TMShwmlauX-CNWB=^MrBw>$z}z zPJ<^pzST8(qV}=->&laXoxV}6rK1wdm;d^&y80_m-qJK2Jeiz?C&k;(8_4K4S0?}N zpLGA1XVa4YDeM;xY~9LaI<#iZMyTA6O+?-22K5*1p+oxB2VBaNBYX#<;V=a|lG+<g z6SxgIkCA{q*>sQW_3YRoJoXqmf0K{#D749wer{C;`VVK=b;1AN6i>n?qA7hub$IoA z4(U8OmM8P>Zqnla>QD1T?V<YdneOJ4C%}FOPi8Am7<pcHy;bkHxF5c8_m$`VI)Nwf zme6GWtmAnCvK<ExSPM^7cCmL=nbeNe)=d4UE0A5f=cRqFx*ScroF#Zv&#<$h^Viq# zf70+zd0YI1Sf8Tv?6r~JwA0wrh&SvzwlwWLHscL_Mzv|^>o@H@Hta$+)0=+OD5v%u zefd93@ja@?m>X35yS~QtQ;ob#>Z4QnTXZf6pJ>#*IgQH`xr>J-2<HC0QGQqIYdLPs z)t2O{Vd}}<z&y^SZGl_b=A5)%(}tw#HBwyRdLO+-@2B<}6<%(YB|o*xh>gjwruB)Z z>3`I0>`O*NUhhx?RdoF{emj2L@HKuQP51hS#O0Y&WmNq}`KI60Pn`DA>C<wmf2h9K zEp#Ae(|A*_v8fN~bq%$g>Kx(+e|fatO5*bO;0s<}kI@ldMZBtbvc}Iz^TNx%R3MFK zyk9a#^WxMVqv~S%_^n?mTtzzih$*bg@NrsB90BTGMH>q9c-MdrZTuz)nrOc2DHid= z@ihGyy+y@ix}ByyM{l1I_}<Q=<GXRdG1}g=eMW#y@oaudm9ta5IRA1*Uv5%PUDV4@ z0|w6rG{V+WuPypqyP4`J;@^(e)K}+=Tiq@-WsttkXn@g7ZKDA**SHUkD6RJnphITE zIeh&A#^N;{h+BTXVEg~FJ~J-b6yy}8$Z3GpD&bTU4Q-hWL!#v;GVHJao{OcHp`fwN z(i&5jl0-vBF`eRv@3>4m|2}&<<^#qw;zx1wp@6ax1QzSNN6c4FOKHqU(>Az<fFaH5 z`JXTxvO{7ik=Imq7#5GM04WMFY{Y#cFC9q5rLRP(oyI)zv~EZZK=LL(V*x5dM6{It zr4SmSMruZ1Q{jfVXM8Lok2-myWrnh$)f$>6mfHIB&ZiNgg+}}+WIbwkG_?`@m0l<- zMIklX@MR+FA#ufV<z0&pYAh|O(Iy^gO&x;T>9;xk;G}o>t`Ry4EluF6Gp8|kh|wB) zEwR4OhL+wXiif(A_1@8oOGmB<6(&g5V}Wg)mh-JNVY;-u=IdE7-&hlLn)U0h9zw7c zjNCLf43~GfMmN)RC71ER={jk~zvIeiZ1$DXwpnAkNmA0bLfMn}P@^NqN}5J3)2!XV zj{c{d)K}e}fkS#F#=ky+)!u3>kh{pxvRd*uKik7ej)y7XpVv4M(*UZa2&N!3<bP>u zkG9}bd>L&!>T#s#Fx4!P-U-&jv~3<tP}cG*XbK$$qco%?afAH+kR1Lvi0%C-u-XED zM*Z}M*9g;1($)DqPfjh}bVozY6miob0s)5x((=B(W<gY+Vl>il#semzv`k?hh0OaQ za%zqAw4@(jjY=*O6B;Ydc>r8+VY5D<ISW8hU)rQx_hDaNLyoZ{fzg0b$?8$11rGwy z(r_H*1r`0cs~9r10=XU*CA3^wB42jMUmaOh-R0qozbY2amt<kq6rHa!V;`~$hwTML zHuQy!^Pnx|tya?PO`_Zf821zgpSn~ulF<`CBDTim7-xJ~uZ&=Iz?48M_~taFM`I3A zZ8hc{0tCQL!<1FYAc4Whg*Dm)2Ce`j&CxlfNm?4UHJ!U!gsA_ld_$<hLk^bkcnc6g zB*7H{<s!-yQ2|6ik(M3A8X<~2jM>PNT}lXb6oI8BNa2@6Mf6PvDN&>`+ksU8)j#9G zn^jrb5p-Fmw+&WWYqdOQ<EcM^CgUKz>KY_bTlE(^7Rwkx9da?yIm@MGsK$H7!d>DR z7GqDlD5eIdr!A)e)ip&;khFk=OM()K8&vuO$H^0%sBk*Z6<^|m)V4r+hx2Gm>FS=L zyzQd1DG>+p>56V(aH%ZRi7gy9;PsvqxtU>Za{$lhfgFkIE>TMH3=~|@gz@m{sD~o9 zN5<1qfUR;$Q|0AlWf?v(%$3l|C~9Wcz7`pZP&YBui^Yu6`Y_FQh1v^6C_Ct&5#0%x z1xZvzoY4*=itGD<5LlLD)O^6w1U4WCC0X0WG1peoLXMuAlA^o#3T7zHgu@)Zs&+{& zH|$6@d_+#fS%+0D6|J_*F`90+Wua2nHGpu6L`O&oZsz=xtYD5!I9Uygf{>1zaE{2R z4EGGf+>+`rg=4y53Je`Cg&hn~uWtug=!TwyO|TnD(`2Y~u0t6vqqLx{GB(5*&56(^ z3?kmgTT&x8hkOoByB-GdZs^AlsgQ%xZSe|pD<f^)n!I&mpggD<I!ST5v5BoPDoAM2 zM5cXK_Jx&FEMG)0#MZ{8_+FEh(5wP6N%H0t3$1cGlFO(9N{`{xnA(#81vVmKwqS<B z4dqDp(4k>qD7&294L?jyPQ8~#YR=da060P&$jw&AszoQ*AS-)O6Qj6`<mN!PQwmkY z$Y?kOVVxL0tnIWRj^_|fY*^u&--Kn+Pi^e*hvKj>h;*_vt1iGa4woKIq<Nbc7D1Bl zW{PD;Rey-p3y5|i&;=70m9;s3InM+~P+_PG8SS1`Tpd5*_JbK4k6FN=5nb~YBd%$A zS`3Vf-KL-=*1qiys1>k%nKuE4=P^6W79fF<8lK~qI@1gs=acFsHNA2K8MM(9GEkzj zB`FG|+NoP3+E!+AbQF9!D=cIZQoMbK#L9*mQO8eAAH7$$DwR!09`(~=NRzZ4vrFP$ zF*^TJUf6(=9SQ-7Jng0kSrVD8IBk)4THB+fLb#^w`E-?S&Ir8JGNBlLV}2W?(te0n zGZbae;G9#mqG^o06gqZqC1L|3T)oU31rnc9CO#TG0HsZ<6!J7~If!M$GQCmA7T-`q zOo=$Y|1hFQ$H%k&y29hyOStLWs2I!Cop7YooU<W{q*XuS1*HZp#(CRkP>!tvlO~Ls z8ldPVGHOLLqy-%3(dE<^X|~ABB84Bb1&Tizl1;*Hsg_#g0azHHQI=i_Ai->=VcZtE z7D35_Gi`Z8LA09Y`Ne24qauh6vr$)U$#0NaAjVN756At8AuPEn7|A|DCw&M8%(E71 z7zyWAND_AmL%A5nWwv$1=$0a*@SM;k>q1keOPKr!ili{iR3A}{5k=c+DSpZ|s%X$x z2T=r;$Y-36K5M$g%4iahqArPt(*P4K)IkH!e0?wE#we?Zh+iu_I0rNWJrRlC1^#Ne zhKxw~W1+AFTC+%^Qo-g})On_~qL1_qOxRCwb9@&iPGnh3>}u)IM{M>2Eso=0Sx1yC zH@fs9IQbb`v(f!YFcI}dgY+h+2n^|DV)Y;s>oS9=Q6c7n-rgXxvYMX$Zjj;XIGko- z`2)cqkY3br;b~+!paD>Zo;4(rI)6jdRdnpXA{USOGAyM^&N1zy<qbCQBPmm~B3%^3 z6f>hCk}-z9OY(!ee2*h7ieo-aqycz_JRm&kuY!=W;)q**Mfva1UzP$^izqAs(}p^5 zd&qJ8B<!|OBv{Q!Ua(L^6b2}y8{>f76+Vq-L(TPL0j7?IrlaO4ZO~oU`^HsawJ$6u z50o?2Rk{F%oo-gOEaUsyWLKel=2(xhVa^$ZySzf<)iTz)#5%G0C#!)S1=%4uA#pX& zw9|HS<7gn`ht9>d38^7fUTjqhUp#5cR775Oakc79)i}mA!a28B>lG+JTy-pxpadfW zBcTDbl`{jO2aKM034st)cpGtd%`s$$Oj*iS$qP(QkybNp`El|;!|!QO0xxxWM^iP| z5!Uk2-!Uc2e(*4lLTy&}<La#8Vu(s191Za3WlbT3oUnAXL?G@lbaga-IV#7~)0Zyf zIZqG$jT_9<DT+WSkO~p?6IA2ipLWN&vWw#~B-x7%Ds4e%HEYz@-GU!h;V>aXgnHP? zP^JdmHp3t(2U)|hQ1I>!${YaAg~5<+gE|p?l&frFz2@|$lByI~whv>SHj=YsHe~G@ zJE7D9zhrrSR(NX!{7$*bLH@RLr@|+CrKggIOf-6Hr|TT+6Dxs~T>zFD&dbGpGntBi zPPRJa&=lC)PN##8QNFl^PD#fFHjuoPsrZyrFz|h|(#H)=8N&fm7huvwYAq%_IYB!l z-d6?kV@zUjMLcBe(4bDK&PZ;B5TSlG*JKQBfrua38kC@_<-KFgPUP;mg+z@%NuiEm zqv3dKTvCYx;hqb^NW^H^Rb;faBeHxwVKk~?{9L;s&Wvk**_R2lws(cl3=wcGm<x;; zJGgATCoUohgPFa6Topdti|zq@W|Pb94)+@h?hNUc+Ej0iWy=_33wm6FO*s?9vm<0& zlxb7K2cKc|)2y+f3pWeLx&zcSOldHAl7>R*y_HUEE<in4$=^(RnllhY&Y&23VmVWH z6k>&;hU;drk^4b2ToQqVEE%&B?XLK*D9pQ<!3PXrCGzQ5M*GUjmW&#G_3Hdg0Z7_5 z%%^0LjoD3t#dBvUO!xIy2&y?F=H`T$0T3BFzH8()oh2|2lRV5;!`2VH`Xo=mUTQ#_ zu%wX65IU|HilTjA3s=gslTn1mW%&aJTav*YtwX_v2TGX_%Zgwv5E9Jf*c_!j?&=$m zp&yYY>>%Qh^o9%>hqNzCBw{TSo6VKAM6_kSYBxLxVp|ndC{|N6-3OWpM2B^ewuu=o z8wy6|$<TB<C&3im(b5)}F|)uzKZMlT#C1~~O%YAqsKk~~bBIRLM-)1QGLK3%vOF%q z^+tf%Y6oLe;l|UE932vFI>Z{wZ3AB<;(3^jssW>xu41d})E?ST;@Tm(w0jkU7sUKi zP_lo8>wy%Kk?3R{ZU`ll0W`!)m~Vw{v~2ylCSgZgSfD^B^wUmNK)o{LK+@DCp30FH zmLf?qG!6X`;VxMMQ<@txiDC}&Q;{muu1d(?9ZL(uG0dD6B?uEG@?{{A(I86?j>}N2 zO9PI{Vtk<Chf4TSU6LrBtTmlO9!m)k8`L13%#tT5-Ge)pf&j$#Erd*H4Bks8H+<wM zAvd{6#CCECx{dQN^oclxvn^aY`czORGIzo<Tmiil-H<jqk5T|ae<<1tc{&S!)Ds#J zXU8BBbvrvZVe3c*KQ3bMK|u|Yt=@5tshQ_qmoF-nmc)TIbU?Y31lTg2la(lJ^TWLj zQC*hMT8O4o7wv+W55-X0+0cZ&Cv$^U88S>vJLP+iaX?g3D${M{#V&_B0SVS8#-<4M zGmQd%28RKxX+FjEM2*5M{ihT3SRH2NJyU`Z9My4n)w`Ktko7nAOSA<MGbu%?xq=@E z6jG`yPY6PHC8Zzv1=<8DG?9G1rHtMfAkYju7z2ZoQ8uocY;C92)$qh0j`5&}G*UkU zBM@OazfcB5UD4Dqcbbe&DD9U|`Fc-FVbrj<>h+^Oa@@RbWz-q!@Q+KcJ~(};u_}?% zD#<C)_-3(FOg-jTj|x};cN$mP&<t&~m|;>ZTMJxY)q=f`^E@{hr2s=qXA&Ra+5_i4 z57G^%zyQZERZNGGSrEgMS|599TI%`?R*_k>Vk1@`?weTs(8e_tP9?QF$T*4|h$(LB zntmP(oyJoRGBa@z4~;~6pqZ3WU+a@WBr^hmgqe}k65cslqMU>DKCTVNF-C=PN^75` z=<{raSi~Zl6>FGQD8n*1+e$GQ_9Q_(D1-Ekwsq{Pn1p-L=BSOma?Izk^yU{RR-%=7 zIE8t<i;xCE8|zt`?5C~~xvi^%bBM05k3|;*M5^kWi2D-)P!mm+2|gRZU05nv41Erx zT?H9c@&@w~Fy^jHBgZ(9b)eu}^A{10-xepY8VycRuw095S~m47PRncE$T=4}SHV+< zuc;E1@u!>=n{ihYipkoWD~<f8n&sj$>0SIZU+c1GF_DX;=@>PP3t5O{P$H(zFwH)s z!^uMGW)dTj0=`TO^_5)w`w=0ILOQDOqDmaHc5-`^(om~A|F5BATuB?i0W1A1j1Ovx z<JY1A?~K3dRv~r1TAZx$F)A0+d0>enAPn*Vyse6e<DK!*fGMvoXkZtFg^rSAAuE_b zoJoQle$_-lsBy~SqgUnqr0U}y!L(6@)C7bNHllz_R((+8C#>fY8NOlf8%_23KA;yn z9ikInNUC)9$=3Niy>_h<USJ1CbiU!(nQP-oVAB?0hvyeJ2S$d7Oeqr6WR;!=LeD_$ znDaUBxG+zYzWVhl%N+vX`g|D$eVkJ{;t@?#$*-i1DL0RY?jp*t11%f_0DUiTiBdd* z$|~d`_82J$pVd_D9Ls~9nelMYE7i3@K9h~4W`hiNTiGdQdG1*P*v!4iJJy~Vx@hCL zU-3R)=~|@-4`r@gmFq2;FdGbu9g=cK@*-<{5ZXDbq&OtuMOmI|vg<pc$D*}@N_uuw z5oy8fyh1`KmZhR}M&=|Y><$Zg$kNR{q0ugu=|T>^A|+aeA~SVAbGZ`s=#;2o*0*K~ z;ez4Ki5m`kGUd(}4WJy4(S}Fe@@S}Yt!;}s`}k7g{o1Enn1F!PW&Ujr*%gMlK{<Po zbPwzHF^<=EG1vH}M1FRZkEEMDj=HF@6xy$qXb<f;C*yH4voEtt`YLp!8a_o|BQul< zP@yxOl_f^zA%?G|52>S<U0no6k#LSq*9eogYgDz^0|_=+_An<%#zdgYgD#UK<1*(A zSt6%6VJMicsA>kO-$ywrQm?GfO9=9d!Yd5lC%{;U7-n0HXXI?26-2sT2XV1w)Ud6S zY-EfxS<_{0VO-OxouXKjT#_k7&3KRu-N2LY-tMUTq4L}S<gC+IR5gUzrClxZ#T6Z0 zAL0WRj&*qP?a|&F5?P^J$RQcbM>(mu?&09}jH6nZ-Cd~UIzrnuT&`}~Ywi16!md7T zlw7^M)2(LPTgpm_F9Sh`_~xz*62B+u>PfEZ>fu8&ZIQ3jnomDJQ0B%%M@7q(h8snf zT+-@9=q9g>B!Z7!qs0kkUO`6gxpHWBXZd5kHkUcM@ki$JaOWqkTybvf=2t2Y<i_q9 zyJeG`xJ`E5f}O@^vDbL#>6>qUV0_>6w=Mbj-FwDs-}}?kx7_`Oa&_#^+>2j(ZoKfF z+xCwB*`9KI*PY>uKXv6Xck}MC7lGz>@BaCg+#`1tR(|%4E#ohJudwbrUwe7{jqk*} z@4g#<SL?dx4{ymm_npGKp8wjG@!HKj>!1J0=J7}FF0A{Tn_mnoWoa3EF3cTzzVPj- zY?*;CK`{SQN4}n4_r8T^od3|z=Du&$C60D_rgraTHJ`EL!n3|UvS{7LEiWD(Z+-I9 zr+@RpFCHoV`TENjJW{yf+>c!SiEli5;4|<0(4F`F?^?x8eg5X}-1OF#yU#CPclr0< zdi9H!ZoBF6U0-`7SM9pxHiouyGB(zvQPUskZtGmLY;f&$f3kJ?=6N6c?4Fs`=jJXh ztv_$UKc74BkqZ~hUNBq5<jm_9oWC^g8vN1BJ$rKE+$}$yENr{;&p!0ynGZjZGfzEq z`uF}v?zy>zb?dKq?v1#x?xEAKy>G`emtR=_c(a|JFg}|HBr{&*;T!}1=6^6<<<`zw zw`@+jZj%|=8Cyn1%dP8Yw!eJswrUkSjVI6P8sE46-7}WVImcDAv)XH<o5A+|Th58b z<Bl2aSB&aB$<7Eyb)K}$xMoYdHd9#nuHV^`+qR}Kcg_`CWZRnRx942*Vy+alu3UNT z7P<0bnQ`&&Y#twdSI@dN*KQu)dP2N%Ms`yesq7lb<+f!C?BL5`1&Bei=7#&;`+*DR z-FV^S&n%q3Zo$~-l)K-3{`2J3x|Vi6G;-eMKVEX^&&u-s{mcGz_T&u<Y9no%-#GW` z-`e=fj(P5_$@lz^uYU7?zf^hBmREfHJqLDt^@htYzwF4<JHCF|^}qFj+rHLUu9oj) zOu-T1UDw;(O$&23tZQGrX6K*Gows>?p?-K~?u~UlrMVZ}u;apIZA;%hYe5_Ph<Mh6 z3*P&XoYnpO>0O>DySGhN4{dz(T?bnqel9HBvoHMK$8x>T7uJ0CV{6~Y6+ZKor8~dA z<Aw{@|I5y|H8&l#)<1`ss-w85i8)Rab6PWE91bLN^dzV1B&y@cI0q{S1~^A-Mn>8O z^to`BBt3=uAN)6sod(ck%=iS(km6`dt;}%ucNeh{kAt8?hke`*RLgiaSyaum%z92? zZ^v$j+GSL$jnvC>rdB~=GjM$t`#)-%4&JWzP`SL_brdsxO-C1@JyXil+0?bSU)z_@ zb9$Fs0^PcBoEbP5tN2yf<#EK$%lD-Isgg0;a^tT?-T^ty;!v4P`=jOG?)o<7HHm5; zP(Qa39=s_}5$vD0NO^HQPhd-X(DT9z|F3w$>GqxV47AJYd1gIt+vG`rJ_h^}>@0R* zcMhsFc(NUyaDHBSf~J<**+dUe>v^7VW_RPp%=*KJ;H>IR$jlG<?rZ0NjpNjB`|G9r z{${rFq@J%<N9rk0RGAc>^dHZYzkN2SV<)K|HaSu+zErQ*{!zppvIj;^YeM@Ke&0s= zC9-#KlPC1xP5DlUDL{CFCY<Uc9yx-YM(}_2)s!bvzx|sRD4(%ogl^e%tN%fvW6<<G zIoQ4kUwN{~^8~Y?@M3L03X3B9p*wnwUUT(4EwerGW2xh$0dz>wQauNp)q<7|F!UJP z7j48pT<@6Ap?8S+;4f*8dcWQ=59+UP+&;~djRPat4SRixXKRO;CkHT=L;Z{EeBRHG zZ*7ZrSS|}sjF~Y_owaYE)riKO&XfAT!4qT`Jjr}33{%;KA^{l7jA_~R+E>4-Jkb;A zpsr`akzN1qcp_Qm!a+%x6G}I)qnpaES$~YNQ_}WNwzrRLNAUyM<#~eaq6<j4ft}N` z%kxC%33`kPN9pU?c9mU9yZe6*T!sA(*Ree_pot>@&urX&jO;p|Ck@$k;CP<gd2{qO z*#!qPr62Zt*)@5Zp2<($pns^q9RzzXp1zzqgdSnT_qu<?yNKt1g|FqB=~}N@u34Wo zNY^3%%z)R6sb5TO9<r@S*gBi(^k#n3=P~K9ug|Bv<EV#V_mE;t{&Kt_>^a`6@hhe4 zQ4@v0TlBd`p4WXupYTbx;g4z=()F3^P+Hb>ruGwa-%iV$W<D!H*FMA1m}0|5q}Dyw zUD0S;{f1tm-hAOwoy#;ojGFmrJMp(0^&;S_y+&;RCCm9_+R9_}9<@(OFYl6uKBMa5 zsUG3=vfKMfL-+3*4rK74ETkMh&-Id~J|a(xh^P73ENqn9CT_tc@OGO_EEwkb-<1u& z8OQ&y=KqRxU%pwcnUCL<BCD@X;AB!&{1u-K8b@dGdevP-Rq`^$S<}4kBe!=~kmuvz zhOc^#*L$3ws{a0hZ7uhx9%Dd;;;!XXXEUWiY9mt9j&)6aM)lPOrP56EuV~ay^Sip# zj|9C%>@P+Vu2j9sh7Rb41@J`U*qFR0)q9)??W)V!Yv^sFcfrrwI|#5=zW2Re?oCU( z|9}{POXzm1C9#ng;<#Ra7I~|Jg!bC|Uss8Oy~cFTj<5saiv-(8`p}I-iCNSZqR3=0 zldaHpr(tz!M_I4v-;ZE-TenS(InN%8{S)6@&|O&ihbc6zlSx;0R{cg<%_W>wJv<~Z zex_k@u>nhKz0;L+3D2V?mFv%|1wx+B%P(K)|7!guzZhUFR`nIZe0%?Z?iM^2zReMd z9(wh#PtgJ&ln)*}*vMC0qGIv1{cpahS?W@r(86zwCkXymGrCiP1252Lc=9IS!|;~) zNJ@E9J)rBN|F#5q{RiR6M$Z$5H$z!T#eNajj}AO~R0DjmV56YrN|I*cT6^2sKOM`H z_V$iNy1#`devjpM>5aV_lA_6zNO=QK6ix${2l&p*0qL0fJFEzLp41O~bN{mZ|9bj@ z$0lF$`tu$2$ByL*<E_Da)oP5Q;!&OyrTAv9t?KhBgDYnDztwm!qZHCT<!_EB8#Bri zT7f68)nEAk*?S)-yNdhH^LKCG_qhGks9!gYkU@y*cB4FG7TO4~50jwk<{`Hk#eN0^ z#KBgZ;rI|w)<bNJ7%ze9M<YMl8oTY4v7JerheBea{1KVpoZXNe8V=)Syh=nSjy-=& z%uGDlNoG$XjFrqL8TWp^b?@yzLMHK<*|U4*%&+^^pI`mzSHJrGs_NFgx9Zl>rVan; z%3tp0>~Qc$`Dg(qLbIRe#(_)cQN-IdwX$ynn;b3XEKxI0OI=>U-O(lwKB#jVR}Kuk zO$owtJ^4jd?pD4mQPovnC6G<@y$Hd2o9yB$&oqrQwRgQm{!1s~HTHFFa`LZNR$lm% zi!Ne*#wJ8&4R-m&yL{uQeM{e&sO`fh>WgvT?txvJ9YxvTzRwOFYWGQs{ExSf%O<Py zQwT^}v&sM4W7qyTc4_8M$ty1+7nNbeiZ&s{iRQX*8quywossyKjQ$dBQa;`#*=o@y z3eiI}b{#k{!g;1E8^*2+o>B?eWNqvk5r%_cxwDNS-IeGmCc2V+-a`MtCYnOaCSo6T zrofp%cNaZob%jm1FM|BTcUXVlw|?L#LdJnr`Lvgs&5Nrx85lWr^3bpTX`iIX|M)wP zzjJw+DMdlC!ZKTSrm~{EgoY=;v&O>DSPUEE@u@b?dR=2$an3gMr61#4e!h&StN55+ zoY%-+y^=mH`PzI*H{S5M%6p{rpDpm@TgAt6rXs$^{^F`!PuJ!fq-7jFk*+)gG5f69 zdkz({+V2)=#lFKNx%nTJv|my>vQ@H|`wp|;ZOp(dVc+53mCwG!SQh6yMwzmQDJeqg zqgS4<2!F-d1yb@qC$EE$&LW<Dkwtn*hwL}R^j|CRtNS3!eUF*O;Rs)J(R;4+|9D4? zriV&@=!<brUfegRlyd)IJi{;$&x`aRe9qPM?d#$s$8#O!UsCW-f4taRnEqJdYhNSD zI_I&qr)Mn9Sd9zcwDJr^mD?8GkCy2=w=sbD3ckv{jdpr}8Gd_7GZ<wFM{X}@<GG2H zv3fq@-hw`n%<y6#V#_Igu_&v1sE~2sUr|nkc_$B<Tk<2Hfd7j{dD`RY6er)RY*ie3 z?ZtF>1AkoTNacx6<?zg%y6`I@jpKG|De<gA#*kub7;|M}8VE$O3WV1yNf#--h{Oml z3kC!)w*oCYRI>T%z1&bLxgvPEIPyb}mxTC$my<^qJn|6q%iiX^FVd96?bYUgm6;EO z1%cnPrBN8Nl#ne~q0$fQ;zhW`kW;z82c(W$=+!hTMf@}<F$Iq^aSF>(OQ&TkqOr$h zGKrQe#M}jog!G7(&kDK}hrel?xkA38N$Lxl81+UpWj6$|ES;9%0+xrc{H6FyC+;R& z0bX7ev5dd97Qp+L__jMu>sD{JZ4Zkt3MLZ8%)@WeW(dusGZPL}YFl2JG3sG;B1++@ za>dgV1>xa=-JohmM0Cgj6s|%8F(`+hbg(W>F@fh-QbfN_1c`)>ap@6Mj0?A(hw{x7 z>9~5)B#eIRA!8*RiTcY|@d~~64e@Gn>Q{qmlS@;sjN^;HXWVHL3^+{|+pNM`32JCP zQ9~kFD!kI=J;Yl3UYD|#zd|N~&;oA_{{+9}RrrcTCKZ<Sim5Uc<SvFPXN|PNoWZY{ ztS0%@Pz)3reht+|qQ5c5RX#R~sJ%564uDigq6e{qFcP)QoUn>o;-u7Z&t96C%$s>P zKA{fB!BkW)@%;2(>^_9XuQ4~Eh%G6ois+}RWae;5<|Xq06EMzSB1GIv6b0y`nL^{S zTms>uL*wyYhDl7MLB`mq3I!E;)F>wi&X~uIph|6kDk{1N6{3n;%q;Bcj{tlesFGXc z8mlE-g{?y4P>n}yTBeB;5TYwb2^I8XAY~OO1ft|x0i?xJqn;X|2Yrr^FYxmaNsEgL z@+L^s>1>B*ma9OPQ1!rO%Uqe@!R=8v*J{2vJkR&aw468Ol(H(=ofG0Aan^uDEdmT) z3r>Kue+@)%F+8iRSj1N>9C5BT8Y+TiNl9iYXmZn(NENNf02kfpgted}SVcvc$Czpa zR?FCdkmB+07zLLVU;)wEB@--&5IqIgpeVSg3F{GDOwkh-C3s`s!H9R3dJj+jrqynt zzDhY9KO6IoaBT_t5SO`S0h_U)fmI^QSaIpGG-Hvdhg&T$C?yh;_6w-PFqVZFp|Cc* z%eEhw4c0=ID~%k`k#4r^@RCsV4-g<NBpA>Ktdhe(u;m|Z&E|QYr~%4HRR;@7gGQ2* zl6Rg}6n?B)1SJ#kT{Uj9ucxqtipPAyTJ{3YOq4*5I0z(DljpQWEK!nA+UA>CC$4q$ zFdZZR6dce84<S6ymzr8qLcOUy84B>c)Q2$jl1%(opLZ$dKp;1pFnF>@u<4N8N9jqP zHRn9i1s?njf?cR-S-6jVqD?EX{Kn+5q)Q%7EzLMADOGQB<kTf>F4J<Ru5|)@XH=AT zGtHFNozF{@W=K8ON;^59@RsUDmX0p81IpV8JC@Z1))P1%qN<{RFd3UO!Hnvx(pX!q zH65dlZiD&Q)f!*=_4zp4TnhiRN9lp~H%i|~(c=uA)aUtJ)JL$HgO3H;ftG~%#Ak>> zF3iMlRaXuS0w#fj6{%N7JTzHF&m!bxrq7#`JwA)Y&U#bG)meK~W4!cfi>zP*f95W+ zyYjA0_G%tAd&eRcl{N=S0b?{;VC0EF<w&#et|EaY@+x&+v7(WT)v!)7N8CZ%3<@|S zNL)D=5^{$oizJ&rLUeGF7MXGxpJUsn%?BM=lBFqKgVQksRiZs-=?p&aU0EqeWtHD} zd=CWvfP^)L<HYFyX;)aZG!c_6Q@TdKlq&RiKltN3ECw6|DXbuM3{oDOYNaM8Cr&Wk z=&P5eG%ETz%b1XU2}=IhxuM)K90NtA#qV@*<PyYb3^}e(9V6DbOo`4?TS(8R2=eE1 z^w0$c(McqapSn-8>@Yb)t<));=%A6?OmyYMxGQ{gI8i335Y#Y-8ogy*Z$m+YMv@|< zPf>?Qq<NY#1i=JD-Yvu)+7`=TFAM4A6wolYB=%Bec&k3WKj%|BqNs+G#7U!l%jR90 z&3lk8pf-{leIkSn-69oYZ2%}JkpGKX5ib!VG>YQWWHh7w=%Uk0Z8pp3Eg5WpfcRu* zNf3gv9xI4V_L)*13qDfGi97=t$moe_1Y`G2FeVz2N{hfp*~Ag(CoqXnW>R0G<1!>~ zmco(=j)EmAqcy&d0VK*UdL${qdpZpatEjaYwU!l|mGgWvcJ*vokpk*rf$9u7nwv`o zYTZE=z9Frl10WPj1*w;J4z!O*`*do>E`rt(26=>n?O1SBBnuOmVj)H2V?8s+J{V6s zO83GwLZ|SGrSDRs2cZfS7xZ)j)~eg^pT)@n_+9`5C;=BM<O?lrKtrQ_^y<L!bGhu- zSeOq>VrTg*?Jx_Dq+qn}qNMevQO;oIO$O+bxfOe_>h2jG$81Ne7-epvK}$$z+&G!& zKojKhLU&<dEys02sVOT0L24$j28o0)E!~e-%NOYE&_I!Z_LiY2B+P<}h~t{HPMWvt zxJHUdHqAJ}EU`fsv$<&%W|PpM5i~(o%aDS>ux_q-1>Fiu!ji{t3wCyk)pEwL2l<@| zWx@1?wx>;5P3!}FfK+92@l=rnY8V$}Jv2b<VXXYP@O**675j+tI9dedW7KpEUxcoK z22p%hE<={O$oPD2tp86tk5C<5n60PnUsbWnK;Cu0HcSb@Voxu{aluhHqoqj^jKe<5 z?9HM_CpbttFR{5Aj3t?6BlKCaLra^014V5FL;ww>2;nror~--0db14Dp5~6}ui&IK zTbP(|o4ZEyTp;BM_fUMkqMiE8218f<OKqyfm<GFicG`8R78dboH?=>UNt@j+(=KBX zxF3Bkb@ngJJrX7$Ve?XW<A)M^6AEI2;JN_ag^!6COV6K}fDO}*u20n;pa(d#5zZi! zSxXQb)Y##iN2`H~(C3_UC<8h^%y&2owk7Gc7Su4aE*)Ti#?vs)f1?>T9616l!u95Y z#>U7jx}FHS6D}grV(vmnedCCAS*`ET#l&LYtl#VYyCdU$>m7lzW%*(lU~l7~n}BtG zBs;<_T*LkeY0uHGT>l6gQee~y4gpg}RFx1*!3R1Xb2V+`W~Z2$cjo2O83K<rxH`@R z7lbkUX9&pWF}R4Q%WXF5EZC>6aACL+(nadewawb}z@lQ1FYue6Eo;YGTl#{tkvZv# z>pL^lo9Q#NSw2}{Xx6=7AWLh&TL$q?!twc7LcU8a?N|UqWEn4`xDvQ>o095(IL^}G z2}S`WK*zs1{>Jg<xv~$8F!s!G-vG55G)!hl9{XuKES^C;xxz^Xsu72{t_$K(L2xL? z3&BWA8z)XpbZ^R3bY|%0?E2stJ0>lW3~8Ix5Y@}lSTL-nW}|E~^&~PE3N+_M2ah9` zvPI(;qTD30VFt(XV=hX7U&1~`L}|zP!Zds{^I}N{0(`%i*(pb?z7KWEpAKw|byN2I z(%xD&cJ;gqLuyvmXJV7s{HG<{B=y+YOa0@arKp2jx}*^~q_%__vefs$wdoxivx3f_ zXN4}iteOucJq?p;$HnXlUlbyi$fTV&PG~~7UN50!4nghM><BaL8T%ZcomjG-Us_(8 zyphsqRe@>_UWzfuz@`$rmT?U3jA-+^wq^OJi2%OqMFIp>m&|llOXs+V&@4sST~3<n z{{y^*8|)5z8GfUXV>Pz5T#<JA_Q;juOs}F#Wo&A*8(8sumt`};Hd_c!ZKfSw3Bu4i z^Eu9hitVS3Y}24p#ush`l*$0wLfocp_J=eqH0>z&4V<C2B-LKwgJ&ySTFb(Z2N?pu zASvyT`KMjYHz!=nvM}15WOangCQX|Qx$C&0F=ZUiN=wkLBBRaSLI0t2sI#EU+gVv! z7dvqc6qOc{%GHCFSQgiXv;PPpI(Hnb$qKE<4G9^~41G(NxtWmy*FhtFfx_D44*@l< zFOeFl<s|83J%79DT=vfF1xSFT%S^_m>UIMaQ`tt@ZmXqpZstw-G;Gj^G6!$oA6kY- zF3DjY_`DpOv(E&hOJg+j^la#y(r+BQIp4e0YVe-(Gv56KxaI;Euz?|Mzewz2rn5UT z#<AH^^z4vojJ;|jl-*26nWooKePVv^&YUIg?hW-BZ$JEYxX%Vl>3n-B4L%&1hcS}e z?##B%*;nq!m>Ezf=5(rqs!`O?f;Fa!k)2Gy0zYUl6ADSxcd{P{IOGUYB}qVl6;V7v z@NzSLH1QY+WWUkp^lu0o^SI-%Ota-?ngwFyjh&SsutnVu*zAolJ{zHM4X}e(B#4ub zE)!N=k~Cypx0EIJ(Yr=(WGO|F3uEZ&Tzpi{wsP#{&?dUBqlBU=G5e~63gYKs#<n(F zZ3<CTQs<W@gVvv<n`8++?5t)8Q<9o4XbA`K#<|9Lo;hRxH4cBdqxUStwrg&L3I^`f zpA5O%y3aN9@%~%M?*_LqJxQMUT$2rMQ;7`vxbq`-UHn!!H_e?*?@s5OvxK_q(Zh5~ zf!^j-viUT6q?Mq8fq{M_^PcmQ&<leZRLpNYwJ9lmLSOXhj17QP?Is)=T1F#D3%kxv zlW|$vX>XllY|}$~ln_}%EYP{F(J0t+%8o3I7~70_)kDLKts>ZMQ<yiaH}582r#8Yh zGh<Mr3Y6ytZfqw_k3bwrY+L)92<qYn_*jfra6K%a!(7emS#*sjZ8V#1AoM*WB?4G` zdTJyP&Z&q!xy0alsAr)=QQROS_`D-=1U2SNBrS9E6cb4Gt=zV0yq|LnO-8;fEM#Gv z0I?_R0`B^2uGdV?4XA^UBn-M~8#k3CAq4q!>Xo$zyA=7okf|JC4x;H88)Lc&g_=}` zROAcZA~Bf=6<ItIj?()bTJJ(W2wmzS8>bD^sM{|aXX3fUPV&htM%?v~*?7w~P1{sT zJzM8C`<GBq^WwQ);`^8IAR%*;OiNH>FTgd^b6L#Vx7M`vAA!_S-cDwa%(SmWRH_7h za<t1cSS0XiRThC5h6Ys{!bM=upvD6(?D>v5Enh%<3!3tK2)E+AgCfWB@PdyGDhnQ7 zd2ckG0<W5e$Wd%GgI778R_rH0wARL<Oi5X;X)Ee1fnCul^lK31Gv6sOMU)64m$2o7 zRp>N-jigNA5-D6YEDD<z3?0GAjPSChZF(y*k5QM6ka-4a)h4o8<?dlhtFq<TfRrAj zvQ-Ivh=pPW3<*A_RrOIxs}RU3G9W6!Nd%{uPz4NRZ;0(yN%Xb6xp`3R`>^#Xh|J!V zFbSn%wU}=xo1&#FugWDCZBls^1`U!pow@{`0a=uSv7ga!wct>sM=#cgIFykw!Bv$i zZ2^0pa9{yFe~b`He=Q<RkW&C!hZ~5Xcw$}w{<Xb17E_g_WI#$6<o?O5h~j0+;fNYf z8ngPUe8P}efvW<mlIH`=cvi6$?^OiXwy~7X<sS0-zJ*{^m-4L{a>|y?t)raHJ<+i1 zNBJs_Gane1>Yna!uK9uUJ9Y!ek@`|&OlDvlo~dPiX`(aJgQW3Rrf{v#HLqhs<Hg%w z@RMx>n45a*4z@eyQ@494?8+Wrcv$!+9P~Y!Wdkndp-@j^Sk^^pAzW`^jLQMC%m<+p zy<evOjByLRDD9eU`vnsvf^!)QB&9Y-AUPO7$1I9l=!V0HL#W>Gu?3uhB0-lXwH&Mk zg&(NjI1I}$)>qDXXiFH|T2Bt9A4sy94{!&4zDx75bf)*M!7O|=O*`2ScA27Z8=86c zggX0~7H3^HZfkX@EjpRkB=e?LC%kEDb9xRFzRk(#?Pk|eB728$t5UeNE^ME+8aEeh z`DUeUxpZP`c3XDc?OWf!!{4~vs!g^yq%wOC3uG@F-Rgd5>!z<fku<ZZ(Z(`^y4jVZ z+Yef@_o~Il`RN3^(aWzEa4~XsU%V%4ZPqjCyq(SZ=8ku#v-kP2d?xo>^B=h08ugp) z9gEHM6Fgd-9Fq|`8Q)~6ORlpP(6$0k<_&DutP_k73Xh(pFBGVQo;#KB)SJ0#9gD}_ zCJO;|T6+x0B}|)PV<n+;t=lHPMKf}Y&sA<Ngr)jrzqYiT`*Uh}Bft)7Wf7dSrJ)!4 zncdiQ*$=mwfN}VDa~schdOOrdJU3|V^xWH$IcKdlQp_;txAB{qoi2@<-O^^xl~J9A zh0fN=wmGX09l7oZJR<iHT{+PvjHX}u*$1{iaM{Gy?_ONI2mT{luKmz8@BX3NZ@vB9 zAH4U6Z~0gM@R{GZ=8FCQbn|;2eDq&mcK)?*{>k6G{7=67wtEiUef~v%dC!9%edWg< zxaQh}@A-k3c7I{}H9If6{mp}S3~zq_;fc<kqnA$IcliVF|2x-y@PGNKo8EKp_W$vL zzr6Q>x9xpk&%M_?@WDH_Z@>H__kZBB+u!lPKmEqP{?l!L@#|M_+kW+<n{S=05C8O* zFHrrn4j$~*yAREWQ~BaOH|CvNXtwi+ojhFhljNy1Km6$60PDMK%XlLke$=*X`Aj1{ zOqEjYbqw<d56<IRuz~Qhh532<Nu%);&uX`PskeB~O@p`IvUufFi^;)-gY*CP<?xHY zyW`kj{o^nH!8><-EMGkQrEakN5$Q>A!Fk)a*J}ss5B})Q-+ti1_uu{AYj@oK-rf7Z z@#n*b{^;vFZ~W?>Yk%|V+u!n@+c$j+6@G2<P2c?JH9!8r8~&&Jf4|!}iR@qh&SK-q zueUm%J@%dVp1=FO<8K}w-u~U|j=XKUarp3}Ed*L$0bQF{TS)%?)jJ#av?hP4-D}*# zgn!R1*Is?i-tF7o_6N7$`@oGKefh2Lx%QgT3y-{a|NaX;_`o&y-Sw0I@`6A854+!e z>2Geo==rY<JaAj{f$iV@!a2j=c<>89_1-O4od1Tm-ZEVGFTU}{TfXt$OCNaf!R?oQ z@IQU`{C{xYoqHa9@4tNi1Mhg?zIWgMTOYmlhTGrz4<3B)ySMCbyyLsSdBq=`^(!m) zp0{($C$gLVhx!HE4lZ(mJ8R+KK}MS(n|!s?>fDM}gCyr>n?{@@4>NW>I+%Qn=6v_V zjov~x+4AVQ)>xu*)L(uXQzRcd$Sn$aLSn>|aPVVaYBa8-%4ePGEq>?W!RDhMe=;oE zr_UOeO`cf##IK(E>>s@QonQao-?@1B@x|U2TeS%$*<L^EVEqF({on^b_zxa@)8Dyl z`v<m<zW0&;bNJA2d~IiX>;2dM!bkU9_A~F<bkED()-Atm&wTLRA06HKlRtTLWAWsc zR`cs0$r~qcZFQbI_S6H{yyfOMT(bEr+2qy^O^L^HL2a#s;+zwX!ebcLD)R!^zr<?N z<HL5-@U9LEuC<4b=iwvP-Zy1eabg}jle}l0=05iC?Q63FdYF}<c9c`yCid-O4`B(M zwKlx+4BNv_PJG)|j<PJ6Rhzyz+l5_U$6tN*^2=AA@$jBtRW0kcS<<ZECs$XA9&i<} z@8Oq}n-0abp6iLqwV$h~GsQ`~>MD0J-cjXGHccEzZ>-gZhaWx4wmRxL%(z&<(61Ig z!tx+yWGLa(4DQ`P`gbbj*ok<6BTD?pM~)wd3Vw`Bt2HTdGhH6p4d3?4u{ZjdHqx(B zD*ye*7236Ln3Hg{AFzNE!#WR#g|s*A+tnGCO`c}a?(j<|+nh6Z)h?FAj*L9`;M>@g z&_0PxPIwkx@8g7{6Z=k141=urObkCQo9vZMR#tZIK6MJ4?3GQzuWKjXz|T?0Z~5IP zSuwkBpKNjhIfsVVY_j4iUf;tn>%8Sd(!mCrGudE0O%*=5^K!K@<$u?YP8>M$?PJGI zo_zEu%0<rIt2W_AH-@!E;Fpe$=uE4lW-l%q2gj-0{)yvn!^4nMQ%8?J9c{e-3^v)# z>S(sUwvWA$Gi89M`~BjQqDX|&Ci{n36}`?TEOQpST{mebg;u<)zO27Kz#{dlbRNyq zPh*o4yFifh#NL&CFNyL55f(XP+fcAqKl!cYy;%L*yLa#0ee&diiRHZqI1Tmq3N|^) zntIt}W&iG76Q@qD{I$20Z*qbTEU0dTmANwTy}Vd2X6aW;ryGbAUynOeoD9P&AAPX0 z$t!<#?ARCoV&rMrgcBsu!R}KP&*O-!@G+ME|Jl)(cGp%;vS;L*%k&d3e1CZbo3Pi0 z@X3?MjwUN3EBo6c8)YJG_e<F1s-dA{Z<I~3$;l@-$zOwV>|(QqR<>986FNVUv1`{& z>c6qYz?(e_2RLl-s$p!>Xk2%l#x5P_dO%~>OOlw4CI|NMtN6sR>$jGD`@pVm+iomN zA<GO^rA;_n7WF^lU&SUX*hFI&HqjP{qq@!k2j-&py`8eoXT5oY{zZQ#8=P(<$gaBT z?vLQbcKz5d)oN#PmTNI~$tJ_6#7Z#qtA$NKCMWDA&KT3!wV#y2CdZa{VUt?zly(uY z?TLQ0@(!(@KMjUn+RZ7wLyTSajFLu9@+M&eu$BgxF82J%k8{7mAGh~$wjg&eP!wkn zzRwg-d7Lx$1jsC&J=n}=NJmumkwyB(o|4~;d>%6>JwEF%@Gh(3mCxQj_9f=e5noq6 zqb~axq25x+qY|o{%F&);;PsRYe%n9xzSVHSL;DFE?Z%he#(B@2zo@ul?_<TwmGqRY z^esiY_A}bcE^B<bEDPSN&{Sx;r@A;oqo3oZJnD=(QfJBJkU8#KM6aWtBc2uIMIEYc zQD^w@8SRa8PjIJ6E*_GUaF-p7x~lf{QE1dj)9Ue7R(taF%;SA)d-m=d;1ex(SvCuc zcJuCe;%`cs2^D(-q2Kz{)$trg($iv}qmdrgm&5%*ai(F#_r7@kVhY{C^Aj>Q@g-ul zzw*9v?;!ai{;uMjM$(J37P))q7yAaSO<JV+iRXLwZM(nzD0hYLMzLv(vyZUi#eT<l zPUC$(rteX@_A~0qBP!Z**VIAITO7nh6@+LL_90T2sFT~2B7QMnvo4)zf8=c{kGkEq zH8ak(T$MSV-zc4lt{KbaoJY>Mgno~38{p*BRoZ0;j(vIbNWLG@q2mth8|ECf8e`K0 zmxNc6%P+4@S#M}}ZQ{VKF@GDXs|NO=&6oHdz^QnSw(a6T!w+{X?BCa5ht`E7LqqIp zdMSBx^PB^mQpihnGCjDWDa*=tHIdNi*~B9*e?ojaD^pdU?<>x}>0PzA3>0Mw!7jS! z6=M-{58tVG^cp)(tmMV`th6G1JuST0#|Q(B{d~co7~{mOptTFwU#IJwQ0w8Bf4LKJ zyY@357+_T0$Ie9bmHv)qUfJ`=pcBM3e~ok#-##FHvQv%2zS!8wRe-Mt44>ee2cU;m zI_whU>j}CxyrwL0Ry$SL1pQpZUP-o1?b_AhA~7&**yI!v9zANi{%X_Zm!F#Asy<vh zuqz!J8nTn^{kw**8rYSl6T+T~=V(i%>;?DB`{A;0--Rz}pA>^IHsJ~c$R=Po{jxYk z4zmF4ri1FX|0H=j9nHZa^ySvrYjq}@6np>FmNvB}J`^cy$5s$b@VYh`-mRUEvUQ}Z z=<zQ&x^LgBufA4KD<_L~vLc&w^tFiN@5Hhrw8z@scMhLeSvfXgZT0mc@8!&YNFTtG zw9r@MoDD6;c`h~?>P&SGV3VTc=xub)`U}3C!r#b692L!eJ<6OIV1}%D)J{%eoI+<D z8cJ!WcFQKmunA`gGws^HvU1g{yLz8bzqzM<>#gw|ZClyD&p!O2_VfEYg-xE}Ovja# zvwvvYMY2d|KTpD)Y{K=OJC>EBvYC34Y_dPL8IG+lZ8Ff8q&mA=uqJi!9S8O|g45p4 z3m+<NvU02<`W`lU3p?!8CR93gjo&YbS*m`bK6&c3HW`lhPvjiNCY+kgocNt11G@&& zbY*4l-t2j7vU0qA&N=Xkax%Nu$n%o++`W48)l<ih_j)H!w%dhx?KU>i*IxvYzQC`! z1Fue9RoX;j7yJD7F`2?9`-Ts=mD;PFl><7+mE5)6BcH$U!efkGuM!<T&^dncs#p2O zNnw)#dKWs{&)D^$Y=P!WJue(N6vr;vWS>2~3!4Dw7mKZYH};O*(vECW+cz9l$~3f+ zvoC7C%CWMspA_FaVYerAvdMWrOK)NvsI8o^*B`qooA5yvfJ;Ydi^{)%G3HD*VeC@n zs5G~N10y5*_sJ%VO>pr(dp>#d@XCqxW0!1#W>i<Y%c&DD^(e00X6!;{*@QRNeA)tz z#Mhv49hdZkJ%BUvIR`QNd|og5*_(6Tc<_eFmGOUjnm^v~@ZvLng-`xz#YIm-!dZyK zISX;BaYKwhT%>b1Koq*i3SaSD^z&tWr10xsN=3&6BD(9GB0c+j!8d!1q@>`%d53@3 z6Kisoqj+ZjYhyZR#nBdgQH_<ni*pi*zfzW2?K}LvGH&FCUd~1+>+-3B-@5Y`BmXV0 z6!|jhL_Y1oEXw_v#&sZcek1bn)vIbh<YzW=H~XTSgGF`jVs%cV_T<e$n*PoXJ@k!x z^yIJ7t)_p`fsXqTE#Q~?8@t*ENgVzyp1FrEc(*PdC^yny8q>`}xp$Cr9Hk2FdsOa$ z7v`g{dD})P(zV}F1nDm`?@G$OlE`+ac>Guyhu0p(?;;QD4XQno+CwSX9@<;*qRufM zdc*_tkL-Z>Oi<K?eT*$+4G+Blz4%kE{DBvWeCbaU7nJ)K({Dunq?h|9MNiGOjPx{6 zZQ)cU5JUl$3h)DnI==!kJg=Yv0$0Y1h_^}dPR58bWzUy%%aIbyOw4&hbTbd4D$6+v zo1IOEmX~3v6jzkeCMc=AetRoH6%+V^meA<SSwXWL%k)ZBMn8+c%r6s{*99)m>FW}Z zSXQVc6M5z&Diw*YLM6B=u;xeh%csTHqD6?Ac*e<$Q9X%J=>V(KD2Ura6`z4rD64w} z_S~`Is7F#+eCT5_P;hJaK9H%u+NI{}B%z~$Y``Kx<W**A$`D2M6Hltj^3Ve=x{<$f zz)0_=3L0FIQQ#D(5%G@pI!cSFDQFvd8xM-PPvbq8vQ88!gt&(jg3JTHbbUIlyo!ls z2?bT*R=B@~U)In{S@0#eKo|k~+yK|Dj};^(CPq+4nUU)aA=doj53XgBh*c+A!>)#$ zSy+w4fR2o4k}H_!<q;@MASlXSAmmrGh>lfbU@B`ARH7FwMNabBn^K@kcA_g86Y@() zuV+WDD2HfdxTH);qHl<w21PlCPs1Y4nP8ndVwYW`QHG-XeUGebq^qIzl<UK*1k^#x z$jW|470NYCOM3OD_T@#&g`O>iQ7wt88Rc+F*Om(b@6(#8=S?ghL9%hNsX#qVAP;^~ z8L4=IAdX9;Clm-|W9pLt^(?eTII(fUMd_r*0+ma21)pS+-~~@A7IPDGtWB))@H|C@ zmt!hv<kL?aCiqnlIYyx>%=hV+(gevRP<$0$+b)WvbtEM%>TtDycp)N6u`p9IAGIT0 zQP1&=r#5DuUz~}_M=RwBU0PJQY1^w+Dbp;NV#x;|CWlg>wLzK9Dd^OFoRms)@bY;T z>q}ler2`90#Vomm5F8>#*b*G$lU4w_yCTBH9y9#*GBpYeD!_RaC^AihH`7m-mmHrJ zjd8&dm_>73?;W8wG<au(u!e<qh+|VQ#Hp+hMQyH9IYN~N6poOXF9OsxLgf<?Csy7g zJs~f(@lS*XrYo_>Tn*8Hm-_~}xx-psAsd+{T3$C3#2{zdyZMsD!bo@=dOhB7;AfX? zTIq9?h|RQ?DN8+zu#)`wp0`@^A`wYGV6)3%XlyI5<HJIdB#zaeD8JXXU|GO1eoS=m zu~~)#*Wg1i{|4l|@Sj`qEU)aJrR-^2o=@74&~M`I5{yqN)CfW?Z5r{GjLag5OamjK zGhg@FOtySBC_NvM&>C3Rz!$+{uR;uy&rgqME~bn}HI@#iHBKSGT7E?F8I~;Hf+;|! zFC|iJ9U%1+bnYHYV}r=xNev_=+tdiQB%SwIEl@Fj+`MREhR=Oq6*GDI=EF>sAF0Sa z%XxkT*v~hY`)=}xhC@$+a5j&p<{1KIgaSLu{X;`5)wJi3;Ur<o5r@G(833A!t~`LM z=#9dPF4qhpjWN%<m47oQO4{!Dye;sI#?*M&vF1ZyujD985<GkZt15%3Bl=W%Q@fZB z!yjgfkgC%F{c6>E#8ytzoE|^goOc0>1;=x27W|T&NVu9MbCSaQ3NvUkw70~wHv+A+ zZ9%l;$j50F6C|;ePC3@YC1F-k8f0(*-F7FK>G*8IkUkC}&T9`06Po!nZ-blhRKU!u zZ4-^aSOiWA@zD<+^eoqh=urdwX?~pjKp!9w$ggcI3yhk?*gTUoL%WL@HWtR`$>+Os zP)S}das>baf}-RU+=z3dP>^r?dsL+Nr21Jje&<$>UsNu33@~!B-jqh~h-=4(%+O^? zsgiLVbqa)i>dhuXRSuCe0*lb1W?3cQfs?mMqY0(7Qd-=E-H9r&6%(cftS9asH#i?u zix5;!-@N+a_X5l}&^|rUNAbOR5*YA3*N5OrqS262t5uF!x}cev25?04vTxC<$3&Bn zDKp6)2Lk0f$o2<T3lV}f;91YmRUlqw6e!bZ=-r~6rg_j_{NfmDVUIQYHcfe=^>1a_ z$`2$@w3v;s+KWkn24rd6Sn*8x%>a=lG&+glgSQ!PCW$Tes40(SYu>HSB}pQbUJ<EW zYUBxPB`9>YvA7gf>vXp!U}B+x^uR?~B`gtU7q}wX&9+>zX0&n8LYb3m1Ef@2xRy&N zFmW1M=1VFb4j2#42WW0EO!*5Fx>SeApv$<RjA=%pUQIMYfUG%3`5|#aK$0z3_EVT3 z3yNy@3IWh~&4m6}SIiNkh}<<7VHpb41U+mypUAQ?PgZRZib|5>jP1;xKMjm&4@~_> z>csolUv{@~*m!$^Jk)}CQUBDXO3V&1Hf5-Tu%l!WZ6S5IF&Q6p2@2@94gyq~-~@0D z<(OgJiPHQ0Qq&k32VN3Ufa8*tMh=tF50>@R!vnN|)Qq&4nRo^MDzau_wul$kynqen zgcu6Ev1tx+WD5h0^avz!6fOgdYG0kC-N2nr4EtQ~XM)YKZH=mCtVUBa5By1DA2oY$ zp<4^JrR%x2xaG}R4-wFB=zI8vv<^LO#0PuX5LrJDW10?wc~IFDmRg%j!8BrcPm$;T z`-Bu9{{y3o^U3uPvPBWMJ_)Z({e#R5S!}`a10f?OOu!qYZ$krUETkM@Ho9w0%&w@c zUUXf>ZjggsIA0*%A3iRI76#1PC_`a^=NH#adnYvjnH-wr=IF0E`3{JCRJw0RV?%Mc zY222HBGTm>XuFoWFb~td*>bSp`->{{NG}bOVzdx4v+#BWRa6&d^3M_ilt;<gr<c;U zv}n!c;De3&cq7{7<i`dy=m<{1mK~=fgi%TiwC<sFVoO?oVeE!1=UuBtmv-y{WH1bl z860Ppk&i3wr#QRmnJ_nJM_BeX(X(zCPrr}`>(YQHSTz^AS2@}aEt%12riadZVG?oZ znlf^b2#sK#5P&|+xuS8Sh1Wm}jH+l3=_jb}4Dm?bl~HDL4QH^<o#;Yz5SN2G$U`(x zL;f5%AfJognv-^9(1D~(PCWLPlDzH@8Xf~hdp5Ji98x$n&@L2QA-T?_o@{Zbz<1V% zKjdluHZCuMLCX)Kj)OKknMFjFETr~C{h(Iq!o#a1d)NZ|J(6G;EwQPFx9fWvV@Y!4 zQV|fUwHrB99RD_J{xOyq!-h5!CYU635Q?U$D-dY1L@6?f1avui4_+z3=A3^%h}%4y z^Mc)BHsxt<-cgu%5P>Wh(K0i^HOO3;NwIIwu(fN1Mc)3SY+YZ%+kMO@VwYdZa_GKY znR{>O@koB>^Pqc<3Am&G_s#RcK_|PN4oRMdJ2*7PHv8ste&(^kWV$=(!?=ZZp%Xol z@`Tg*WZ^k-zuoFA%uPKVgxEw^EhP<H7m(@V@Z5A}=jn>hE#j5tCS&zd^v1x%@km@% zG-X)`=G7}OL?D|tAJS&yIaKDtlzTJ0nD%#!76JsO4AR#sa3!&D#Fjw#`A=(&huYt^ z<CBe{S$e?P+05UYHG2tMZPuZM;AO}xT|A$q#mh3>0%A=pXX!jc;11{pSO`4Xr=<+| zK|dHI5#Y*S5fVlrr{N}f5`UC^Kb_jmEVH~aWT-30ynKsAO@;!QRup^5Q_chC8n4yu z{!pLB6qwaC?Z$!8SbcGoe%8ZejA%I5P|pPwnN1f9^=nFo6%{3w&j?{|2X4#;JvvTE z(T1S<U6WGEGFwK_c~Kv(Z*AoSg2q+C%f=U@*%PtQPQ&xIjj@TuG~8|ZOsi&E#W3np zx|Q$1qR30jhS8b8WqHfet@Hw?WJI~}T!xZ`tkY+f<<tfeH2@}gL@f&tjUzg>ZQh*K zKb?(Jx{wxvQ3tE1N&YzF2@hcz3upw>q=7#JsN(eKfO$nw5;Z$kcdV1g%mQdKdR-W_ z4n?9orEs@N#W=OjFjKmOVyl|QJf*|wj3Q@0S2G<f8r=G1!WpN_3FVo|qXyY#hNvUp z!Dbx~1Gg|g1je><4>`&(6MTSzokIa+fI&L1eIcpuGN7<SUJZlJj?OGw<kLAVbDqjE zlZ98BoRgBTu!f`9I!sQ3vyHl*J!$D&p#tty{?k~dwmLW;>s%*TZ&Coe3sbtGC2o1n zFMHeLDAaeD-lMh%`2qqFO8sQ8Etr%rg)3R;*8qYSlYLSGKbr50u(Szw5q2<E1QPgP zLOT8<3{w9x=rvf3po29=Wr{pzrUONkVv<TqOS-a@ahc(nYO`f%MUqlMbHY>5lL<iz z8Dl`AA18M+e2ik?p?thXr?l(cBeLHT<mUa-*}gg73~cD;jc4ZEh)pJW&IdQPdU8*X zvtSH8aN1~=IkvB~)bUhLi{&Z25xiG}OvY&#+&j;r!n6L(!1e6A<Y{Rh^LZ{4F~FxP zGRo!RW^G4ljTzmcbNkYXRmvG5r`n#Y&y9>Jh`OyuQOU;QHpEh5o*y#$)NhjRn{$@m zaFCu@)2JF#QI^j>XUJbKzrjMgCl_`wN@i@=^g_p1o)Io#vhQg1t!%5x1Mp-;9@#o# zA!j>f?qQT<{T^6uEa1sA7Jb^K&e`Dkf_iCjP3%|)f|>*i^CdsHsqi$J@ER6vRCmw1 z5@9CYJ#j@GcS$d{Q4h&-sg&62=yz3HQ>Frv#J|<0=I1D<m3i*t?}nX|MY!Bi;Vj{{ zk_!~Q&k~2?W*ruDhb?JdZ#E5SzyRJSd1DG;oV7Li)RnP0NQ{42of#mH;G;W}U!QS_ zqlr4=SIQB1+AlTK7L-=hB~>0GKvgt#U}R_XWduWv(|}$bG^A>li=TLf!@R=rSH`pa z`9SV4#7{n2BfWbt>$SRFL#@qObw^Km(F(CafR|lqoeE?!GY-AM%_7u-QH@Y^KcyF- zhkpVF%oJik<J%d)ufqj}-|z*P3h-)0h{9u$G3KJHK3zhm3a9|(^%WvC=8z2m{{|qV z^16adK|$q5yf17Mk`U8kIn)|JhrNi?^k@S(rzkd-hhKS)OvGto_@Idc&?PR=61mt5 zlEwrsSMHL4rxr+rqDw|GDWl~nUdo!zFzJ9(87+&hN27^n1;xCzh?TTe622}-tJqjt z{_le4PEj<0q@q>Ojjd8gtP!cp`~)Gog_Ge$cDiv;=S&(YHk$_>nXe;_!Jq_(BQ{I8 zToHk?1q6lB3qP2KqNK1YZcLOC(zx|fWuFEaV@{Hp)6>vIm&|||nHRe>sn6Bs_#Bbb zw}|Kho9gziJLz%>ql6E6ePG8-y9244`02#1HaB`H1G}@<Sjc+$vgg7;wv1mgGatBT z;+o&uwgVwJ>Q39x(yp8KVQSkJGtZ-6q^3tZ?^14B$Q*SdC2g!utU#WA<4QyfYHg|Y z=^HoJXWzlh_6Y{u*zUQ;j~=3sd4|!$4msXz%YMePJLVbS;dwBGU=|iA1!0;En?Afu zbL!d6o9yP%WFVwjI77i?Db!6z>MkT<-d;=_-fU*n<@prXc!aWosAu6*77pgY36XKB z#5ZF?!?nH1FqtmXoM$3(oipCNA~2jJb7S{dezVo${E;m$<Kmj|ZSy80emXdD7YcMf zYwdLQ5TA_V3vO?2xarJ(x^?vD#;)Yry12;Ln2m<T&Foe+KZOqJ&SN+W!F<SuviF;t z@n#d@U%Jj8*V4~zi5s1tL`0u&+t&IPmj&jldGHMRozVslSky?6J&7t_Cp5u{E>81@ znyGg@R_Q@9a^C0rwmna4y?p6neOiwT$7I@I<`X7VnE`7r=V8g&*eF$5B6~vCz$4Lg zi_5t|p>4X{?csS<*z9Vq15cQo0V=JJBLkPyY}{<}F!#O;+k1!f?G15d4$-%KlDP|W zo5O)P@@}KaY9{qn$CJ2igFQZ}sOi#a@V=+6e#8#XpFQ`~cNPb~`tVtQZ(*U!mcg^$ zw-CDa&_ic^;4>$?t>62Vop&AXFSfq?$;&?Q%C|n=`rY|A%{~6gV(aUlyzIL_{@p*k z<(~U@{BOVXCx4#ry!wGh7dQX;r;ncZyH_qQe)X1Cr~RpxEqpb9asJ+awD3o_?7Xq_ z_|a?s=$pU!FIFxd{Q`Rf&+E2M-E;J<-@Nvs?H~B_=4JJC=T$5f{95a}7eCu*Fe{x; zm_{^`w82QV5q|Cy>0e)a&hD|r@3=+#?4if#djI@q|M+*dUv|r{e0<YqzLe&*`FzvW zSASyh(d?1W?7ZRdFR%^o)C*tv*0)<@_x}1P>i2&7O*3Dc?*|&p!Gj-r^oq@mEseqd z_|&%+Teln=zxU&t?>X<1n|?pd9!{m)(_ucfr3DoK?>RGaK78!qR~8%JIo!JOvmZVG z()({efABn*s*5MD^cvs!{rttRJ#zRdY!d3*$9|Ac4dlrs*>@(Izy0L)pZTdR-@SRb zdCTei-_*SN<9tY@_V@3(XEDEJ)8%($8B>VltoJ4O0|RG$;M{wH-FnI8ciq!YTi0EF z`OcrX=i{wg2QK@-oj<X7$=lBQfj{`*U;f7nfAB-szVv^5;of}5t=As@#O5pOt+C~M zdil5Zv^qB~-Czr!c6;XceDV|brV}^q`Nx<3)8F`pyKQB7`xmy<(=7*gzOwwp)a_sR z!EN_{XYA5jF126F7w5h@e$Fkj2@f!m^vbcuAfKl?UGB@i()h-e+jft3+mH9`yxuQz z)At)c``rh=a9R2*hc<ua;zoX6zG;5T)t}gOc=JOK-{BAU{TnAg^70EWer@jkfARHt zdh)C3=)r&655U5~k6-=NCl<GC{`mA)Pxi*Ywz&D;Pj0^F);HcXU)bdIlFdFfkS@`A z=YJlXJQO~bok|;b{=V(``X!sszyH10yz6ZiZ4#2Fdimp@9e?q&k1Rda9h?tm*T$~Z z>x<-)fK85Xz37oIY<c;{!EDdzC0q~>uB+7=7qBr*AIroL>uK1_xbN(<lZ4|hSjWBZ zB^IOJ+O(T?4Sbvxtj><@8)1Dt`>L!qHAOy-pLlS?I?K4;vs(KJL5<Pz=+OsPzJ2m? z7OrX~eerjcCB!!6gevpD|F|NoJG}y@nvO+`oPBawam;aeLmWD`pEY-zhOKjaYImp8 z7|}kbfm*WZ#cy&K5%<JFFDcliDgG(f&X`|it<;VsZ)U+MJO3soYT1Psf)ZX(`9<t> zx2jj=zf1e4DEf5JB2?D-vM?Q=MfJp~{JzHD85la9RB_gj!=$#-xZvp1wUyer>ugfd z?_vPdir0Y*o3QUHZ4TVD`^1UkD|T`7nNugV=VADhCr?f7MmN}GwLV30Q4_IlL5RX8 zYcyK1E09h4Qx3yadMIsjk~P+6vI%DjL8-O6vI)8y`E!;zuSA<X9c^;zT|fGw@J~aB z*mBDafwqU;39%&UoD);d{vp}q>8B4IsJ#V~{1@3|Lz7l1CL0vKY{I^}GTvyMsB|3h zm2&~R)MS(W`;R?STRHZO*{#(ckAnW>%C2XhU0&wwPCK-+?>M_l*V&}Qez#qWxtAZ4 zO;}F-dNzqg(iiC`g&l+_LfE8#vVU^w?$_9a9jqU!WL@ub)D!zCb`6ZYbeuCwImgK^ zIv*pkR{q1C{ZFsZ3|~F<%3s}0-A=QKEMvERGxCaITY38F-~P`?vg^rb4h$cA=0eh| zHq$(@zRcHzi~Nv_Cnd&y!tRjM02`=Y*rJ0!ysL_1lijD0#^?n)29?&^xS)1!t#*{X zy_HRtmqnnl>$iXV*=P4@r}c_qlWOehJ#Eha8Dm<ioy!`#5bSSZ6OCOft7F%yP3R|` zsk>EVte@HH*mZ`%Kw}qMI|`e$i?Qo$N8aN|+}S^(q2blqW4|PuU@s(R^?m#+#;$Yz zu?mV9+}g2cUm>=udC|o1u|pcWPL(ozzcvxm)%^g9L?~>qYhPs(Dtc0zJbq`TIPbBd ztNc5xx&9o+9W#9Qn<rlrXYh6YqSC8#7^6R3?NeMB<Jpew(SF9HneWja!924W#TyIk zZzMg&*;9B!JO48G^P-PuDLU=t>u8Ul=7r5&(CwM-Y`snCK(p{Rx@EaDZJtb%pL^l- z$u<sDV|SWy4N94J>Sk7-@ztKk;@m|1J>=Er%JyjgqV_vNr+gVQ63@TPzQib7iQiZ- zG3`T)d>6KUNc08&wQ?$%R8B!x?4y(}q8zLHD*4jP!uV_W7iS5s?mN^z#Pd;%?(Ryz zj`-`+r;76#t9^>{#Z+evvUhKb_5`-Fi9O9?k6^Kf@ctc-62C3-(VoGfbkF<P>sRDs z&msAUZzVtbBzteY6n(LeG4i#ka7LRH<qoFzS-k<@8B{t+Sh{UfrzuPWuMqbS7W*8t z!=)ehGpdZZe{rb(=v~c{jy;vzhEnMu%EnyoHS;~mto@IzjB=hwR_apiqm(XHE&@lL z-B*<#&uJ{qYgD@Sh;DmI=Q|!8<z>Tb;lJ>FUhT1S7qcb1_F;B*X~W$<^s#GSHJ@U8 z_i$&bJygXX)TrQbI}&B}Y&Prn6{j*owyWRo9kp$p8w<>tT{b`^jHc_xwF{<hVz53F zbuye`wgQTi;gE1(Up$Ao7{5kdimB!J79RZA=ZlG1mFqNrm^qYSWq`4ozr?u@+n>GD z()SG6@jtsfrr~HG<FNfHdk^^orud6c#yQqa$Jf1fQt)5FCY1ciRh#VJw;O5Vt~6aM zB!g^HT{jBM%8KT4>usV~1lrA!BZq#~-r4y`g*ua;Wl{a>Y?6NFrju7)wUPFdCt`f~ ztYUG1Gv+Fcg^deWc8%;S^cnTD(I#=0W?>_%GsRX0UXs37R<Oy+zrUVKgq{5B|Lf9< zbk|#!mrwBZk~7<c{jGKt)5fR&tJ_3VOwGGqI{x7gtxRy`-M_-u2a277-@_(O)!1m0 z=R;KwHnOd#pB#VZU;Zs@qVrBGs9$i?%BoFbTQI9^Qqt_?Ou`k`h4FO)O{Jr*qD|td zqO0-byW8JiaeZBX<wR+d71yzsy=>BMzwD|!yLPWEx7*B>Vj1+4lg0e2h&RvKXQxmV z)2h?qzp$U|YTJayu4t3@^Ff@BP4PV)?idQ-Aj~*+RdM>s)C$sD$012IcKyY#SC6zR zo9x>5?!Rg5+QbgXbvAj!@82|hva|)YUI%Bdjc8MhU2y=}Xp{0jS-OjVakKO~4jY5q z>|jt}<wVif&X!I7<c4J6JwxsGAHK1o-o^3l?e@B{>vWswOiJB~{5K14|JDCytM=b3 z_W!vCrYz`cn>d^)-dOQmJ}tu6|3^^Fk>X8542I}>p1dm*;#DxSg)E}w_|;Gqs4I8d zhGJ4ixBz_5FA(Dwo@*1}w~Pm5{Ja7M1U#PL7m?`t=VJ^>O5}|EY&pgPuGc?oo)>1> zn&&(%6o@%Fk|Wq&r3sjnDIQ`ZQpHdtR46SI{<a=(HC9PC6B^>jyQo#%`oJ2N;v%eJ z*3+$qE3(4(JPOm>CHyr=3jqq8mJ;dK2A5F9ye@&9dBy7kWN;#Kil;LK6;s-bLFWlH z`BlKZA3!ESW<L5jRX`bISs*g<N7|Xlk3}&`6<6E(2k<B6=PdQ$)<YzURKjwqqnJb? z*HeApVU_~$HxpSZq&Kz#g<cO{Kh-|f{5<b92jja<sj67fuJuU@X;s-9<T86*uSQj8 zsVsGg)e2^zjK$ldC|P-<#BwKP<sPl1P;9AHMM^(X;G70a-y^Pfo1sk03*Gun-z;QQ z8gDZBTcgqJLh<z_C|t@YE&k20Cd?8UmBYnM>zSU3&zW$4b7_&HlCRwC<I8MbwvS4V zh$P_wBXMC0S7?QjrukK@<Sg<P6XGIT&?!UFDHMuy@)%y^=6;>3K(H_XS3mPHAhuby zUIOiT;1R(I)A2WgNF77b2_>SEx5~R-nQPSFS7;;4Dr<$U;@_tqm%+;H=_WHr=5$~q z2jK)Rx^hAha;r6W1p*hlRuO_zV1gQGk*73zOAOdBHUYY9pN>F+W8lVfYr#1s79oK= z@Zt_OPKcO*!&}@Kme2cK#?13X5Q5@mQ6Qjn$RVub7s1JDrw?7BNW(3QhU$AjSj9Ur zE$JiwGQ3Jr3If&l1A!i|pI0WDx_ml$z!T_9HB?dr<%Xh|L}B%f62NA`%v%Y1Ak-`# z^zg1KgB0a?sFZ_W&IDjdw7ksLHG~CD@NPoLzaZ=tr3K+5gcvW=2g%}1NhOx&3_s4& zhao+Ll87Z*0*av)V-%p6Ks8h))DOXBB|(QsNy4@=(N(Mnf1jZ2z7!?0FH~2n3Mkl> z1+U|c1foQJm9T|hWT(~g<duK7esS7sxfD#qR$2wp=+b`Ew#zXqSZOL@f|m8fk#ZwV z<V69M(qt=gFp{|}Ud9}EsDX4J<t)|@A?xIrR<3JZ4{IK@V~(@7w9A_Xonk(g5sY<k z2%iyT>kK%CMmNYYG7*AnYITS?2@zNAkdwc%4g|}-S&$d5M#o$W>Re*f1fl}U3b-OB ztdHD^xcFzJDW6#Y33Ed0U`?wuH_vNl$h>##cMH5QVE|c2yFSRQE2w$lgrrDtg~tpu z0*dxt^1v1Fd>$NnKn~#vJO|PET)=W6SV+5xw}4s5WGQh!Z!R>E!INn|iW;oB1c5+4 zb|Oz7hW*xD)B5u;v`vrP5$kMoeXpc!+JV({kSFiNoifM|xDMk{1-t^wj44P$QUVx9 z0KF6#Vb26oMFbBWRfs<dpb<HgU!(@YE`}kR*Ki=}0(?}JkuDJ0DG(PTWkuBS-m9op zOxO@CF;#+Emms#p!monF;6jx_V2*^k11bTK+y$hC04$NPxM~&btaLznh!p*piNO@g z2#&-g#GuTah=WrBbIF(oz5O2&nQ@Vzaun0g#(mMErwB_R$8RW^waA=et3qJ>I`F!Q zWl3dr7k#fBQCj?{h*&@oiWFyZ#@k?>uB!6Q^H(@!w<V#}b|E&sw(8JMS*ld>s&tPl zRVj~)I484{G;0Z?OhQ62BsjNl18K1X>w23{Do1Q1xIn4`okHXhKToaZqF?BjAi!~e zg+R>RXGE`TD$x-ZFdDkJq%ww$0cRN!$Df!g<RgEiix?MiI3>6$u<DDu#1RkuDI0DH zYavTwYNRAF@i;0|znC&h;0)jpsm7*2uYT0rmn=uUCT=yaruqUc!UkaM#~C|Qu~kwW zn=w>D6-0Es!S3ckX9+KgAJZr#V6BkiggkjUV4+Vuwa2WBt{rPzJ)b=ooV|NqPjewz z61h!JF+>DEq*lf}q#uuuOvFZ8I}%S|2C*IQ>NB6<`_w~ez;yKKsLYk$=#ysbO?=+K zi<PD)$tK<U-knlTV$);;5(+6o9oR+Gnp4J$s!A2b1i*tQ7i^VN3RL+%@Ecra&9450 zmqh$h+zNaZpewHi2W@X`X6#9@rUR{7if2)<(|U1&N?8ZKq+5r-o8%;mS)D6P)O2pz zXGuK|`79kIbG?KQ7mNiBYk6m!t$^OU*<{@A2tM!8PXwH5=u~!oiQ{rSWy^#;(dBF| zhLi}eOYX{Non{8?BA(+o4I0ONF!$DK(Ml$fnpCioknIGsEf+7$Tl1u~m4&zTBG>eD zqz0u!C!ECQuT@@swVBw7!D#?1FmtKv#k@%@NGLSma8`lY2Vv(`0W{;}>eQpp$#+G$ zgk-@2icq_qD9UFxl)cDY@=)AjY7E8L#%PIO<H?9$@>tDM1ZanmmGWnVvUf2<^96h5 zDXu}0L}(6^1XqHWAIng46aOYnv_^<%wvYB6AFQ4G_(;=~**YGV`Y4aCXTk|8Iem;F zM+s#-G6zKuswgXpjebeL5lO7VtHj8>;#g23wEET>c*%JtpefK9oa?J`@ZZDWc!1ov zI8gyh<<LaclAMJYsgR(R6mf-{ItK%2=?txyk_M8Gm^}dpP$aU&E@o1@6wfFLs2)Gd z`*8!Uf+PxB@+v8qpAn-Fq$WX0^~c;qt^pP#ti+KaGA0v>Kp%uO4XM&B@8Kzc!@^hz zWekqdQ!sL<NQ8(GpCrWsiMsNqkp2YGjXh1F7*kpyB$7!w@I`!;g1~=={~v2$>b1}D zgG1ga^USk%$M0>%?{7|nOmuf%K*QrF#kVp^f2D}qXBv?%JEw@(t8Y}=Dt(pj2G4-W zYjdBA&%>o3^Mn80g1+FFBx6&JJ!DL>fsK5s?}k=>wyObOOUPH0b50>o{T1c_%VinW zcRfE}q>cSv<L@dD-{E8`u~rxAKwT)itP9^HEqEfcNtU?#0sov-bucUWt<%8`5GL8L z6@04ida{x>>ZthcCv~l4Ex$!7+2NOD|8uT_60zP0Uvvh6zUwL99;s4^1Nxq)1J5@p zW4!u?rG2G{C$ojG?}S$LrQgyOQs4L7)8iYMKY}0F5Bvt`z$YF*#B_(tH!dkh(HmCz zw3MDKe=+(G{qq8!k{;zL<91g`{{puod{1)^EYg2i`u{g+$c~Ir7QVyTYQr<u1w46M zaU4}$h{x}Hl5YC$rhMt7hyNeu<M%;rZXKQQUx|D}_m?9dzVo>QWXVT$raa~E!mlkn z^0*Mwqn!4{4lClywGn(7%_K+`Y}wYp6V&URz;6va!7Mn-=xeHtz-4m193V!DkQYJQ zB3sbBdhj6h6XPoT^Fn~tRLy(72H>4&oTMghd%;5hNE>rX0}2=MG=$esiHn$Efy7ma z<tUbAK`uY}Kq-46T!AXwus*UDUS10lDsrcU^sC}A7@Y!J#H%+hD!c?=1*-fT<Ebal zOrfp>sTGqRdDNnuSz3pJP<mb37I(rv-BU=z3nVb!>Yg}ODrX)%_yU_u!%NSYJP)HH zy<88+w)SwRQABZ6K<V-Q7o1WXh!}nEhCeTAC_FzxbIk&iG6W0GX+pi_*KEevD#YK6 z+-#<89-kk%;;+z1s`80fP;nOZ8mteOSX8qHa7IQ+9`lo+WMM1NhjjS7M-`2!IEBb& zevLXMybg2$(Qm2n<CC^>f_)lQB;)o7YNsSG2T;y}hxlv+o^m<e(*==}+_XtkM4)`H z%^|EP`pzj7NrITDf4l}f7<hE1@wfgwj^jsx;+w?#g^eW?u`|tuOS(+?BhMmGxSub) zRdp||OVpgb%(V{tTHI>p)xZYw^^q*087Gs)Gn>TG1L=}U@$yJ1U`QKCTrwH)uAt<8 z^^J+eG+IW&(Df-?f@?4Vmi`TYz>yN^!2&5<_(4yqI98w<>R!0O$c^Q>MEe^fFLu(a zL@;alV(T3Fkd22(UFGrwHgHlrjyNhCh@zDg5%GOE@oT{`FT9q-Ph(^uQ!%PlWuMNp zN?n)kH_`;|F~Fq8!QC-MRW|eXW%5X^h3I)805-zXoOxFS_2nCaiH0x1bNHwzWf!@c z-W+b7zw+t2P3R*|j464$d=^s(7+<xjUJ+8<t-ZK*8oq+^7CE0mgazWh+ocpbhy;g) zBU1nA)P;WNgMN%3Sib56PkzpU;1}@5m*<N}53dN}CZeB7GzONCxJX__SAFONLHwd` zJ_baci%W>lnBa)m1(hU?ARPPFib#dYvvu(p>~(r}h~>)DHe1~~$F8fQb1taUsGA2( z6r3-48wyzn&F5p9(gPuE#%EEUAlHK8Y2uZVpb4J@zW-ng^Og^0=6lA0oy%B_d`r{h zOQ6s2b*Da0C&&VsLV$@=;<IM&;|nx=cgO6XJ#K*~RfC=c^w*!)iyS^&gT_PFJR52| z`(B?k=V4a>Ef!>a!0nT^ry}o)weNh6x-dzHsRFqAoGgz6KqPnTDk=~j@X?))Zs~|A zcs;BDJh>JMV$~vY6`G)Q#@z)mzBy6HQz~kup0w6NfQXf<H-He%MU+E}7P7Lmk_yvL zr#xCzQy2K=tX%5QvtYq{N+y?&b>YENa)cNkpqTV2fuONIwP>a+gn4tOmhI;7#Ant` z&*zDCJ)0zEd6?-v@-(wRT|I;7lFh+nbeb!K?;rF=Ntt)PA6&r3<ez?D9;U4kqAKst zXT3_Cp8ZAFVcg}#3#~(&ry+H8);!ccO{S)#KrOnl_i#)}=J}^j(wr3DWG&|EfKidu zwo6dp<3E8!F@Pe_aorJY{>Zq#BWQap==td=q$5oVHVZX}%&BPb@dO^c{O<wYHQDIU z>;#{BY7u54oiEFG#3+iQX?DB|Oucz$X_F1G;FKar7I+}hOasA%cr>;Ih;1ch$O9dl zkjQ7V;CQnc`s37IgOl%HoXz#Qhv4yL#{~wuFlwQbdTB>B3A5*qsQBr2jc4}2WY(Kk zrT9Wy=r`XmPx0z++;`j`0<sbMd7I98WHv$z@i2;g1sX=VqP;bnNmLL0Rn<x{NZudy zx!*!&@$#`GReK|3Hi$lQKMD=dwm_h14=>PViy=SaoAW&IBUExvmaLn4#Ybk;IvLu# z3F!$1QJPXVg47j4%?;&DxkCJsf)~XAzhL6L27K`=;!_s~&L}wr1Sn{YRG=iMh4)9i zgyb6_Dn0WHQ8AQ29Rdjfi{3!Kx<n*rYUab_V7r|*{Y*-4#Hbe9IH(@EJb@GmGs#64 z%eefJz?a~gbIZ@S-4x%M`p?eJc4khGH$4m&L`cOUPSk*)R|>^>k08<H`8bnip)FcW z$qt2hn@Ab?125F@BS7WLVDtuPR7G5NRUI~_$23uRJ~F^<Rl2I45R+cd(Q9uYI%|PG zLI+CnCiN*O$B8T>SnuN)-{ir~D6Iej6Gg~JB|48bBWKzT-!RjZj$AGTm6wv72IrE1 z4Peg03<|_-VbX@OzU^>z)WzycA9Imt{mh2}uJ?6rThjFR_~4*rb9}zQbxF+rb2h2( zRHc`?Y=Nz_$`#a%0_@Udk9N{IdmQXtInx>q^k-32u%*#fAYvXF7Dl;-I^<ZE9ph(< z6z!{Y1t71S<%g*Qe*(Fgg`hB9IdVWtS)ibTqDav@*PL<$o>F4qHI78jc;hik?K8sD zCB=YORvK4Xp3v0SsZ|dkx3neTqX-s!orf|iAivYojfJ0S&xDEC4}x~U&C^Hxg{ zI~L_FdOqpVwy_2xgzW7&);gG_-tM3!G_x)YqX^w#8Hvk$Kj|sijqhD>(?0vIuwb2# z<r51$;n!TWmdxJ6Q~nO2IdUZVmbz0D=2{fcK<ZQ0Zv+T|Y32)Uh&<nJ=fb1$Ulo&` zqOQDLzOtJ{Us!>UF2Y26OCJ%J$QSr^ZiS2ZE-5LY*rSAbeaIK`$(Bp*?t54^v$<}I zam$$#1HmX=UY%aokFGCl9PHee6Boerg32CDnfy$dG|@F^dyFVlPkOSUCv?B>ZA|?H z-)w11aNJ2WYt)R(J)HzA`MwRftuzD;Jn0h6-5RyfPs2hZ8G)s}eaE81gSYiVU<}P0 zBV5~EEzHd4{W;2bgQuYeGj}B4GL8iy#>&>9F8LvanfXyv$68PDy(IKce+yZ#G;|$| zCLy3%_4w9HpU@E_FQ`5u+ByIgc|nQ-C^i~s0(1rfPj~Mc7gHw`z9>XTT&WZiVo=dD zgLh(Hd?8iKOaLGM6(T@6RDdfp5&aUEITWcvC^EX;)u1DNE?DBGFK&TFMZ6OvYdQ}- zx%2FEJ_?7cE>8G)dd*b&Hb+snPkQ!^ny0T`Z_RerucaB`p&3TFXQ8D$X60Kl9{bGE z<B}VmwM`@4k*Rld^R!3FKU1?GLtfjaHESb<a5G%_Lbk=aeNWp$Y!{s819@3r;>`27 zAmr!B(3o(h#;}S%j|j+%{EU6HME?4zWuek)I!B7?<zzzM4NZH#P^@=E#o&=mPWKt{ zQ>{P%J7E`R<GKh~wv}&>agn2FNWmyT=rzz8p>2ZC=cfi;RGdfxfBfrZd`8YRo%c!K zT*7F`V%iSmOwzlSh&E1M(FsjblywsG5WDG2Gk66w4M8<jcs$NX{8C1x8#4@j=a1L8 z5?fkN4oMLqYkn$Bnk_%k#PIB0&V5Ify(YEfA<P$Se!}J&^vMa8Jl6Hz?9M%Uh_vM+ z{l=D^ZA+7vd*1C#{bS}|Fp{aq2vd#GOXxPVC~sVm9P+9q>ve+KLESzzn?0AzUp&JY z)(BbO(vd)YAXx_sR--12i{AXezo>Q0`$mfJH5<Qcz$Ym=0_4pJ9B1}i@?!E+iD!HW zNe|R)AfL_5+x#@j%o8J~zte#OL%roAZ8V)}^1cQc=D-#%92PE_aHs(sI~G2x7Qx`q zQbOQIx5aSShK3k{;&OX2D3&z?E;>;xv+KHd_?fIVJGA+ym_GYvQa5K@Bqp-@<W}Ap zoSlziZgA5s-MUOh@lI!Fb3X6Eq&1zy<${(qyGz-p^Vu1*9bq}DV%uAO!Sp@LTulRz z^`Ye(QqD_0)gWO0W-_NY)h(O%;FDH%Fp?_+ySo{4N7^(iYHIm5ympxyy%366iinof z7^7|6yfNCQIbI1gIkNy31u|KHaRI@q{3KSeE?A*t3&KgV$Z#-j8qOoo%Pm&Ui?`Tk znV(H-kEOIK%O0XBQ`V59oLJ~mku9P8`cO8M^z^j&;s1xc_YbV|DDQmdeb0O3SI5FS zQWVD|!HiBsPz((wO1LTI;*p}dZkDBTY$btGtgw(9+PyTiP^Vpp=Nz9n$8s8!)cHYy zprq+y48>s^x^&YLk><96nl>o`mMuTh?)GkP>7}N@%a&4fKi`@6Jvx#d!g81XaqlCY zcjkHKnP;AvXMVl!J2Nj6bBpWEI!pG5z-(f`X5;k)nKR;5=GtbuHBJ4TmZ3Q!GiS_A z)r-{ZsIiLYm9LZotm<Y%9Evl$ea^j+UEYiegaPZ%O19GEF6@4d$QwGUktD{y7a498 zw$=fSdT5`TQApC;n+2+rCj}6Iv#zpq&Y9GK&?#~4hT&<#rYZ=aGCnkQ(f*NYqmx+g z<<n#c4_a~sT7?_2Ksx0z$G4s)xgnV2Q2S0dbW_Y}NRn4>CcDqrORb1bJXEES4A)5| zN5_k5QCmud8y$67X1pzRVexb?sWZu@@i}9uo)mjdzo9<Rn2Z{bUGI#w-Za#x-3GeN zjQn1}Gu*^GlaDsw%PU-Bc`%<EqqOpQN1C=q8bc3c{d3NVqkuP{s}zofappkE?aJIH zZxq9dTz7CxdVJ39=+}Ist_X_L=Es!HBA9>Ugidiq6}q*tAl3$RaH82A%6smRE1<J* zI8F<Ci0c9-IzOR>M-IqoU>NC?i;c$cU5<?WGK>)~gP53|XeWaM@lm(MS~lR)*$lk^ zht_XwJD>@7q2t+Qe%R5`jY6{eB?EA=;MZJgZC>wWjHyh68=NlJXR}3GX+Fu*H<Nik zVwcR6io?x$G^BL`S)TtZ=SC;-KJpPW8BTxaEZ67g3{Asyj-LKr>yHvF0+Tc1n`BPS zOTc~Y4D_Wrsz$=_9*9(eu!>0$^2FkxYEJW}au5XsL92oAaMQe+N(AE~DJYAPtaZd+ z6&-{MSj2=q;05|@PEtnm^30T&N@*2@dfuhf;}pNdEoBjkBPv;vCC&vTNDCYR9dL#I zT~a6T#Y77twS{M^l4$uVOqY`zLvlFMO(|v|#w3P$+$4o?kN_oGW))&&H~#VyNN+ZM znqoW7gezjKap*jv48J-69i+w@s;X=xGA4v!p@>!LQJ`|@?mbS_tR+e>Sdg~OJWdak zVsOzn#Fxc^Zerf64??O9^xVq{mu|5I3QduiNn{H_wurN6%z{SIT-{ztRGh?%BUDvS zZN@Bm6qCHqCDs5wD&%SAQMagH=DlOG$XZusk{&+iN!1yM5&Qwz)BH9>S<d&YaUM76 zkc00Hi8wNE*Koz+fH;oN_XK({soN}Qy<w4*F_}yAzS-<vV-6ROlN6F{r8{RH<*aG9 zb7xv%Bpt~l@c=Y4;;DEWWRvjC?=5<4z=op{I;MuY)P>HgTihilTOlx0%G*|2W?A1G z@+rGxD|)(NZY`UnKT@eD*Vj6`c@}zw_AoJ(cG`nsmLBLIuVdVi4(GO}!<nfM59cxW z({Gsh^s6-4Ne`x(xg$aJTXvkecza8?tKJj2nIz+8te$N2=93KeGqt>VNxfy#-6PJM zY$`W@=0=VA<l+n|5F<0bkG8guFC@DMv)v>1ye2bF*34RqFjZZ^>jE%(Qba=`&-fhE z!5+AV;(?b3J%>XTm3Rdwa=b6QQgjyha9wq=bT^PaV>Fd<rVP`ls{;Q`M<8>4yUAwR z4QV=tIy)KW`iX{OwzJ^LAxCUV=hS2<!3-@kw<52e4R5cpL?^#J9hfu7;ooh_>KAj+ zcQqfe3DXucZ7wF-fux=tK@7Wo!ec*5%a6Z1H|LxCw>o#<w6mKu1d~$Vzz8Lq9LgG7 zN3Yxc@?W3>^A}0-NOGGQ9>_19te^=v6n?3v_AoXv&{b=Y>mT-&`)QjR6c-IomZ`9` zwA?h=0<a<V>xeVzYxh<tiuF8+%rDFP*BA1L?mgj*{8V)H3EaOX#^HV3=hF)%8<jhF zt%8>D2MF!S%R65@JP+j4Ty}4%jkJ#R&EEeZKXT{z_VO$?v;N}qvs%`d{?%OP{sZGz zRDWaho3jhwv9a$rYaRQAadV)5<Vn*%yuo%3`+0w}F%S3EEZ;|6_xzC^*@fAL7v1{a zTVH?IOLqOl`imdLCau9YA6_KW>4rCLzVRKuG=B9fUa<L|>(8p~-uSV%qz|5T-pF0Q z`0{(cbMCoU{HH?$KRb8!*-yQx_PGa+zWdW3f5~+ZKCrao-yh#Tadvu9Uu}5fUANwP z@m>3U^{dzZ{kMPqSKe{s(_20?{3kEJgE!^_-(0@rcRn%y%GW%f`bZ;gCLbH0AG^J| z@lO92Px&K{A07PA#}<x0@sZD8b@EVp$)AnaKl{`*{;4O9eBlY|Rmb1d@^@|6aQLp; zZ9{wC^(QCK8vn&FA9`<NL%wVLf?yLW1Y!}~XNK+O%@k#Fj@iKb=ERw)^qZ~m?YAWu zP4sIHx5b=}k32b_KR&+RgFsHCSJV5}p}JQO650uIREJi<Z~kohWMAj3FMRHUwS_xJ zv(J`+m91l0KGIkDtEc>v?ffV9-}lN(vfVdax$gd2XXy*$eK+@y95xrE(I#e{@!QU( z@9H~a-R2R~cYd}mz310EU%CC(+rRL04_-W<-(DNMuKi$|EVSFNzvutlbmKd&+qWk_ z{Kj2dcJFS!y7sa=*U$dZJ2!v$JFjb;`;~#`ZGJ~BUwHHQ#Y^MwKK{VNTONGy(>s3W z_`iJRb88oE%+B0+(S}=IR&OQA-!0$x-G6$^>%RR<|Mp$KbJIn4G@1FG@x|x=hp+sn zm+$-nMc{dJ**x<_=KhV%jfd~WCKv2{$#4Df+Ydid|HzYf{g+Pq=oR@DfA$ym`o$*} zciz=msAH49=FNi}?!Sw^>+SEl@Z_F1{F~;xzS-D(!Bs~tSf5eY*hFRO=@f?=8#Gf- zo^Li>%cJp~xBYec&2~>adGp~mk7WGKA8J2YGfO^enrIX3A@fw`7qpk!N3w#}Lic=< zaK1_R;1Es`slvJvsvQbi86%3|1f>9a8W@T<p8Epd1Usk$RK;91H9FyHg~JpjT_7%G z>dZSyj9+)3nF+P%#L=TV3`)}&otqdz=R^W0hHAAv1KZ5<mo3<%^dwYg!OV(MQCD4; zD^Hsf`Ic%tTPmj7&0V@KBod>Ct4?0(bf8W=dVG2B(WA;d5arRm>M+P<&iX6P*$FAE z$e1k-vznbak8PO6xh_FKyqxHs|JOt8^_KEtdMbbb(I(`i4ClbViOPxYnMvlvi4!`8 zl{2Lxf1wfrhK7^_opY$ruZCcmLpGV^Ou<_9={?wl@)3xOG~y9A4`GvPb=K_K#XvJ{ zunA`-EgADOvPmqLa7HXyT^`yq1aJhBq<BWOH_5{}g{QSiwYqE9bARmQ$&jCzYDic3 zeIE!~C~_E+2|(!a<5025zW?Ru(b=O4EaIAh<Iif7pp<OVJ!>Zjh?gJOCd<nwX&7Cb zaDeP!uT82aPjI48WpIcC9hK57hZo7!8J`?OI~&fP6ydA7P_0j5Pub+5qqUPy@7Z?Z zB;_Mm=IllOqGboM#>tbjv$6@NHqAD$_}{^_kK-asn?&<na>;~w=%Fuj&QocVb3T<$ z=(ctb=@cNzu_TvIp4_$TXZ98O5ls+MHp_qitpYc%?b?LXF=>KB*Sh0pBu9ZRKb~i^ zN#NyDt(<p^GAw(9l5D>5gSHbRo0M8B=Ntsu(|1)*nnC(5e%*!=Y@)MpheDl>g=M}< zN6dVwu!*V3CY2d%a)RCukTrr$mS?E_*aZ6Q%*;2w5#y_38?&XS?~3Q($tJPyTDCB# z@A~W1RI$m-X>C$@dT{wwZ#lh9uDGhSNz8&V$4HdE>&s@s9iJHDJkl5tZTzf#7y1PF zL2Yu)>a#DiQXVtD@StAd+^1}x1n2qGcHwdf=aH4BvUL@Drf}S;;+)QKZs+L8c^kWD zW^%q~Z90GC8Z+|oC~xF$@gt8jE~ETXj^q=sV>x3ox;k?+%Ac-p`UU92;JQcH{>GV2 zQ@E^dIGg7sftF2cR*r(mz7%gb$J1uWXpbDRS*o){p>rN*an2@rxG1C*&g~pUc6q+1 z&f^Tg_`y2?_;9j#PA5<C!r7&H_Y6~#3>8pvLtcaY?)jmVRm>CVsqBUeJd~s4m!PvL zH@&TU_N8Xl0j`PXc8)0g+_z<S>O4(4>W#%&me@vkzqw)SfpCUqopV2ln0D#cbB^cR zvOlcj@=dCZI{!1v*QcC;8P2vu-qqrNYx}_2=e{G#2OlZZ;f3u_Q$dK=w<9yekNR+1 z-@Ft$=X!>8Ia@Qj^budVF6fd^*I9q0OhjFgtusZl+V&e<wnKTjjx$8Zc?vJO@EgiA zMcskdN+;q=H)FOoZ;*41X$G4Vd7sw#oT9(gHOX6}dxj|_)f1y|@|?zVJU4E<j&nXm z=e*C?>ReIEY!fKyu#g8=VZBfTz$eTQJ?KQy4+RGGs05&m&Y|QmH+sg|3g>mwh0))v zfpF$rm^FoH;k@*(i>K(bOLyg*&wa~_C-!l`^wQ%EW3R8*PXviGyX0)$8u&~1Va^W@ z-HW=AFNZ$=r7uO@3G>3wEdSWjca|p_^n0KGiOSx+Gc%{^7G*^Zm<BO2njC#K)myGi zugudJ1*QDN&4V|;yF=-|_O*X-T-vOKt!A1&5*RQ8q{cbv(*ullD+%}JStYOny?#;G z;(@car?!WXiK7plVv~@@#5N8g<lt`RceBAJBwiUTPcB_atgy)*jR^vsQ+PU?tejuC zy!;zabGoHD{w3Mufd@{QFL%DPbw=Zf;Y>*7%QWJ7rN12qH)S8m*n~71gF3_hg~w;N zVH2g8e@iymx=rWJ7tkr(AS*~@SY)spOlufsP?XBvp7BT4GAAYm2X$_t|GsPzQi!%J zQ&mZ?{H-qcwKiCb2N~z=IcE<?l<z(E@WkHPy@T6U1jq9fIiqr|O_on<6Ar{>eBHCm zajTLMY%(zv?`Kvt>@~^qF|a}AoQ<O=PShXxdvkK};~$^d_4L!TI;T@{N}G7Id`VC& z*aTm&NlHyW+6gvc-f9MJsZ_qT+9n)Ti6)`!<<TGWLWEH29N8=UPxr3O)0npsVepc{ z&GEkDwXc1}>D$SP*iMcQ91rb<31X~>LB}$sm|nn2x^!3#Yw-|z4lu!C>3n%$c8yI! zIulzpC;dM9u4t1Pj=&9agH6o$w#kRTBbzKOHJEA2CW4t=75c}r@1porlDEhv%j%!# zuwyo?9Zc1`c9t)tov2AZ?~F?2s=a%tVL^~Cjpd(U4z<cA>bqo<I0MHf(<}EgbOuDi zCZV0E?|OEdaF8u$*Q@U;rt?ZSSiiWgw!?~U;#(aSDO}IFg74AsIgrAAqHymBZ;`l} zuJHhsXES%K4KMN9WeOiE<&^Pnt2v}5*=J*Tk`!{v@KRp0kn`?yc1e$VNiX%OE1db% zP!V3z-(9AE&Sfk^B_5;RrCnOg(-XDJQ6kAk3tc{1xF3tI_BZAVKN#J<Zzv69eX?-F zI~rs9EIA2K#!Fp5Zwl!pBOi@!_N&qD`^IyJ$qP@jN`6vNIwr^sdqb73Dbme;GlmD5 z;qrmSIqF_+qucKaoCmUV3iltda@kiH_*TNZcnF7YRe1jtd-*(%blC4Wrz9U)Ehjfc zI<|19aCv!G$|ru1*X1k1OFi>m{^F(Ia5cv1%3#l=CF%5UKVZ?tq#wowv_~_zkM-<- z^w1xRI<VI==whbM7aMOfg2SbZ*R&Lw@bNjHn|by#2KP(2Obre_c77i4TT1@(bCrcZ zSca1>l-B<h_IUFB_9C4)Z;)VaDFQGfvv`)6MK75p?=-6r_~K_WX6F1m@Bkoy6A{RG zL?0N457mk4_yYoi)tZS^XbFM*s9SPGa4x1^hT(=_1hmHp6GQ@9xut-2DFpDAs($8o zb_BXtfjx>Bwy`c#2wIiCDE1Tz#4gQ>MYs=c&!x)}X)(N~OnX>YyhWrXC}~ewbi}bn zpcAcP!#hF3Xmf!97jRCuhsI4IhV@n{9#?T@%1otGuuYL?2S2p*7r2dZ@ET_lC|aEr zAeBs3{{%F3;T?G}zd|b`p{%oEYzkULQAmb(5+KcrG(rj>xui)FdU__<zK)PoI;<Ok zr4ODFViA&7;EYuTOoT#6#JD>7#2WJ|42UU3|M$3tB#<9m@``k+Pu7*Huu^jA#_Uy~ zuS$;rVl6C;@ph+f-c3x)JzxRckZKd(0xzbxg9@wMLkPDyq1l#vxVru6rG{`Hh{lwz zaXN}s+C@OlDzHi&5Iuw~2n%3+bi=}!=#3>AG=VuP<jyX39oAjRbUJrM?&(6Gffh9C z4eO~pz2vecom$E<=e^Oo{#aRi#bn)84P(k%kfuv9z+sj^x=lgxZ;a4UhW$|jMnmn3 zuiEe@R#nAmH4rzL(!&LjNLH*K=;pFj5XEeJ7<fGqFqRSGCTs;0$|V`awE+{Qk|oCS zXZ+ybM<Ro1V3xK$wLr|G^nfk>(W(Wunx|wr#TDBq@|FB3ts^7{Ew<khTP1m|Hc_UH z&Z=wfRn_X&<MMAx7jG!jOa(htriov;nd4{q2`m<L&EWMB=b=GBWg=qc2}Lh2lZ8CE z=7ASShS1#@3f4@c|D)Ehxy43BtThegIt;Sof!(5r30^T;<1MFkDgX54oBwjmhPN_4 zbCw+W+$m%Efij_rwZ2g_L(vvPz-YLJi{+XYs9Y2(!IqxFRhy^UdZA+FXO)#C#tJc; z3Iw2u8y%Bed%?ghq$P*_h&SdzRzc42=p{SJ>pUByKkS4$3}rQh$9pXM@RQJ0i~A)U z$w@v*HE~XQ^plS!^d{>Z`pr^UU#P4N^J!);c4HjB%zSd}Ty{~B0n$EBV?-)G=a+c? z2qR`R_ySO0oMf2Hvq~~Eu<`DT(uaW-I^yfBs37>P!-R)4W)vN8*#&X{C%O!8rjt3g z7b20TVn)Y`p^U^xFaCQ>MrbI{Bz2GraAq>Z5So%ffiGePB=ixH1;M(_;GRp`>eHoJ zmIeXoR7%M;lVC$}WZ*r}3PR10BaxuGR_054RE#YUgpZ_B<6Jh!$g(LPn}*rXQC1vm zXKcTv&ce?wz<S<ax18mK2sR`l!79sC?hX_pS@s3_;kv7vEBNU5w7H@_>iY>ux0q>z zrqm+ToiXkwVNNF(yg4$KnyDmdq_yevweEK7O}1E@$!+RQUUm9xDs?Kcm-D#tYkY#W zL$~G9X}hCi%<WW(<{QYzg7Q+w>K;)PfQDd3&PDVg84ZY5)>Mx>MCq{tL_@WO)H4LC z8U{-fBZ9|qrh{GU+Kh?Fd6U>=CPf}Wv4J~7yv!?fGIe$|$aSzN?lV9dQljvE=!ZRe zk;Do}m5e$cl40AQ=N^hEK5%%+9ra0`g&N#84b!6d1r!-pg0s2^Momc=;}e$|(?+3s zU(|>`Pda0?GrguQnr~>UFhJFS+4S!~lw)~?_7aY=c-N|6s)imcEQ7SwJh@3E^W1DY z89kd4M&S;)6_Lm$^Tm1ur9`YMG=L3$F{^c-^61xuJ;OQ`!@(2gP=RgbqOO4uFDW5K zZ&*P?hUi^AZo*QVwllUl2ZvLUPC+F?3wDQ(bxxC+Pn#`TCyMzf24@Y>XPZg%Hkp+z zNGqc~*n%r0Ek8Y+l}fp92k}~jMGuuJhM1|RBp%yf4%IWch0!O_m3RPuedb^@LmFNH z(n}4|S;Fg$^aQN2Jl7tpRy+9)7?O0MTEn6+XCD5^#<;wsHQMkbP7(?vF|+=c5Mx<q zubrY9&5at-R0^ydVtXo)0cA*LkKlRNYhel5^mfiz1gf8Caa9D#4WOziAdf~#IvgD| zUw;ag4uk~=0_<<)5}Ob&FH4n#2R{)*2>B+C^H5vzu7UxIAseH&pbIdWCrz>-VLs#P zJr%}l&^^<rTIr7804a4tcN7)sL*P@8;QqGbTJ5h|T#MIJ_r-~5uq1gP%{vFc6Z$}B zHZ?b8hmnL9*~rp$P!I0zH0et0)wb<<I&pwfxY(E%Q;H2sN-fi-8NhAL$XI6DVCDb` z;hb?ie<WiisXC+mml^BvDIHAHG0uBK)`)^Ws!vkKOJ`CCWE;{Gc*<9$*y3jc&Ly}u zxVl&`J_Oacn3U*hVDcfht&X}uTnmFO3TB*8lH)&1x!DiAa_C@$gcO5^OEu|(NQHbP zd1LCEP%?%47#<?41Q<@#CARA>`w>S^mZmaMkjLPkWet92n$MXh1vO?hu_nyWAPQ!f zTzS(^pO3$ux6BY(V1%Njj<|&Q89T3ZB(r~$^K3d)kH}5sZuJWTmM2#{+S*jDpPOOB z5e6id{biChro8t33UidqCk%esaFtw;FNRj4I#!x0Q+2JHhu>jgbf4iubI3NixE~(A z5?}^0O11!vH%h52n1}_6$|AIrl-|NIjJ2G~g*`4H#^QM?u~bTlhalo@lJ8tPNlwKp z^;dy4Z6$ZEH9l+XsPOVzR+dIYAUQH%`%T{_!j0L%9$QB7TF10lRp={oE`b-V2ur>1 zYfsHFHLIHD#XF!ulxX8;lB`p&uxU_RnOci{rF;=J==KulSyHKb>Z!Uuz1ydiyl$;; z*XI{ep1p>Y8+y#Jv2xtAE-_O=Rte4z_}T(-UkK9yQS+ldwM=l*`D@bolo991d~1%e z@4gP)iwL3e(;x;oOy75mU91~xiBA+Vc%Ley&6RajfmeT7xWc2UghCC30=x=((;{uK z<6DqvCq6ZAs94uYQsX2xfdn_@o;nI?F5lGfv_Hfkg)D@5aVyA+wIFzjNwLwj1PmFJ zlq;}6DlS=K$s?zek^mi8HqFmm;-qBNe$@DBJQOhplp}GgVV0*9=}9CyOUIl^h)L~o z*`rVnS2r;*IK#@->^am4`k0ZC?Vf{d?ewPob1ZSChdSHXG#U52L`g3k>oXh9PiZ%| zSyHme*h2rAG|orIhB8AdL$axU$h*o=Ix>b`=&b;VnOFCfqz+Ua?}#_;A<kX}HKZRQ z4P`{A+<w2qg!_g?1roFhJh>1o>5}>SBT_wNU4%rBcg&ax)=LMhvnn7LQdYPb+mV<T zOt}HEc@gk9xvL<bH*?NTn>QHeHyM|DGY6Mb6mL}Xsapdij2%59{1L_WR1q8mnpTI| ztEc;UOaaz*3=bP=430Q+8LR_T^Nd+ynj&Bld)2JIAbJ^HKOu`}o5hy#TNbInVFh}9 zaWQK#;BOjdpz!%{@-J@wN$)6D5?wIOzi#;aeiXw{R+E4C*pXKuo`lS%e;OVdJ3`RX z-KOoFUE1%VVh%dG1-gy2>6@A6xfXKxs<!W-S0k747LBRT-OeO(k|`wX#S^+vu>qdH z;4)*wT0B^wjVVEH1zh52{AM)!6sX#J<{1qX^CEtR-kD3ykwQ*cQqhv_Sv}b=iO#e( z;nH~$d<vwPIt-j_q9G4vk<!EV0+-mWW8AycKH8*EQu9g4@(uGMpD+%m6^$yHp>XI1 zRI8B#sg<g@09yPGl;WMclQqH)Nb;Iy8Oda3OP#9O$R_dI3aX{%cX>8(zpK@~=8fZI zJju74sg_xw5VOBdT@xEyn>wrsq|zzP#%;Pp!4CbD8D+jO{6LsvjnS;p6uNUtON!BY zsTneX3I+8r?FcS?{X!F?Wu9UtgILZHkC0Qq_fmX|JexOB2Pun$vSDj2si3P;o0Km? z1rk1fbJoqsC2O$9P_Dk~ljq=qpQBG;3YR=3-1qxQxsKmZ&ZvmjNdnz#hHTQAqORa! zw&b1^U&Gmu#H308BaBMW87q(XWSi4vY`xyXYLmKsV~bj5mI!2Zy4!B%6UBa9QdL<Y zV-Pk>B{W(|@+JXyCZWAat7Le|<>@E!8|R7V>vL0d%O3nI$&}&%)Aj2#Qy;hZtC|r% zfWByxH#4jnlqH0Es0xByGG#aoMc2$BgAW=|orJCeAF*apq?+_hiUcPvaw_T?aItBb zh{CfDKT8U?jV5MT6d>iNXS-I&YDoIWk>P164&8hjbLAzu?y=Q6DP3KX8Vd-RqSvLm z+dhhf!9LY}8-a5)nHjR7`av;bGz2BMmzkg}<ecJ5=2|Ib6ZE*${GnR7R6{sJWc_wS zr$s4k4Ab$;r;J<w7o<*lc?#kJr<nCo8{(lNd$?(8Nq9!G>5mh7DWs<r=j9pZ26OHv z*wN9cl*Y{fDw0u0FksapOfn8GSxBR$*SWXl?2mAsUm&hrQb$yN<fVW|aHmrh3y>P8 zD!e3r(9Oh@QjNLL8hyE`=5gDjLj=3bxazkSHtPO*64APKav?Mig_e3FoGaT8+es0I zlMOVHvjcLt8%il9?=cZo8^ZMEijexyc-T@y_6)^Rr|UKY#G{roRm#lNjOy8x8FR>~ zAa>j_u`*Ne=INq{Q;8JlbHPND?4-yW9N;!%Xcx%fPp6DXc78-jKJVsfZd$6f7F)uv zzAR~3bFbOaG#BU7NXas8c<IjPhzE*zU?_uvQ6MpvS#r&7Dg=932o_oCoDM=nf;3pc zg+|I6(?_Y~rksKZ&@Wtl@X&{Ab{>?*B`KyuqcLdJ6*(W2S#gh)F5ESSW$9G9h7n46 zPbk|^2DKcQZB>y^`>TZWs4enQRsqWRrEBID&%>XF_%R;eL=Si;>cM8x5RM*2x_LtQ zhqrcgt3f=`qwBl+{7uOl!j2lw&SDw}<jO~A6T(z#tHBzQ<^G{lw-?aEUCJ1~#V+|9 zR&$+-<@GH!^LzBQg)Zx39#nYfrn2k>>R_p}j!aR1(U~Vx=8;}5`5EIzc5*Vr;ozE? zh)0(Uam&Uxc`U9^;DcT>XYS;N12^J?w~cA{;tHp>g^~#I3pt!95ajLTF=OCQkmJqB zYbqAkpZgl<8^J?5$PI26cOwdyythU@dicgk@2m?Y>#gJ^$R06Q%M$93LQkTnS{{5Y zU@#wPKB--Pc;|EKJ~5Y%$i+T{s9vlFi4}ds)JJwE=4#U0T<ZK9177If6U)jU%V>P& z;*MvS@IkHJ`I3TG!}<l64KIWZU8%Y&{xdi%KQcO#Z?$e`TC=`M0D90&o@(qK@aA1q ztutQA4)DxBKEB&%HDy|%r!r!!M<F3Y$rkq70i)*n+&+PD=8O!j?7KGZIpGGFd&7MG z(<A3Ks-ro#i5H|J=TeJULSm+pyr~B{OQuu1_z(kD-dZq^=@b^KoX?UlV1^M6!r!ca z4^|kioBs9pr*`Us<Zo}UXU;g6UfkyPy6v+zV<egtrJUF_8`;QqO3%SY<eN$3VV5=- z2<Jx}U70(@CPaqMn+z9du2-wA@~G`_l4ea@j{->dA-G5ebUx=W3(Ct+-lt(XHJwZ% zY8W*gn~Ek5QHsl=HB6{D8MSFcQtNs8N|`x=)x0V$vu1iMPix6<Af1HyJbc<<_T)zy zgBV`bPXqV*YsSnc*&oJ(;*_fidyy34bV)~c^Z0|;I+DOaaQWE6ep_L*=CQJ=QcR5G zS^aLN5DYts@8r+-Z!p!HJiY!XBd@Py<X4YwQ8HB#dSl7IUvI9r6gzyC3%uiIZTjBv zG^-ymXJYr!TWY+t$UA<k?*IFLEzR1z-LH7S2#`f@o4z#9?QQ*j<h@DlMK5-9Kl<L0 z+Ka}VyOhu{ZirRjN!8<0i2M`Pn7_%A@g`3b<`9(}^7au^sT;pu19YMY0z77pAC=7N z0a;?HA*Pb>9By+>%Lmp%|3jac>wEY;&HaZCE%qJtrg>=o-o7KukZSX8m+@Epi(ff5 zx9im(`8MIdk$$k*Om3b3n+w1D^9Rkbdq!)^zu3Qc;jNE+c6@iM_Mt!ix$}>Gw!h*J z-P<~PM$@!qlljEf4*l$|+*cZ#m)*bF@Q%+WH*J1%`g?aIwQcttYJBad#+wiQ$!P7j z9=_nvpMT;-7ykY~j30dH6Blgw{r4TLz5o6Hx&2#TXde99Ilpn>Lr?fa_k8zI-|rsG zTMr)n)cN1}Y|=bbTkQMbule=&eEVk`-~QzzWc8bezIy9<Tb6%!eEs{s`?bdMKV0zL z-~PQ<Uw-bZADe&h!TJ6>Z#i_=tsCw<Q1Lg1J#0j{xG%eSV=dkI(f-Z@w;fzK^L>ZD z@^csd*AxHnzW2ZW=g$AD|Nh@G0X9*QM!+Hf_e2>sp(Y+&|Jtu@`28O_SbNVs*Yy3? zZONfSANhj~AG$5~hYn3<N4UH2Azsp+&#$MQ3>`f5wXbbB`n$=?I-NVK*yQE!z31gu zUU=nHX}lsCbLX)KuDWXDXLp|UuI9<B($DT3Ib_~`m3d<3ZKymu{`l;+>&M<Ud+m)s za_6Duw`S8Po;dT5>;JUxs!x3J%wztY@4V_`uX%E;`a7Q+e{%NuA6k6--pZGM`K&`X zo%~$-#N*dw57f+o_Pbu64c$09KC}A^BX7U>Z5Mv{b7#KGKW}#U&%e0&V-GC9^Qzzf zhv$FP&(5y<+!ycp%I@WFUG=+P-274hPkYY#(ih+N{@eELTK7j!oO{!4d%sZq<P+~7 zd~kWsmH+U?yWa1<vnT!B%;9-|@(anXC*E(~_KJ7zUit^B;Y;5CkM$dW=E`sU^7DV{ z!B4#Nsvq6?^?!fQJ(o}X_)i{s@WC{{>%hUg4%~Eje<fX4+N7~z!^Vy5Tm9(4{DC_T z`n%pY^zib&pPl>V_ucb}eS5ESziignMDc(o_q?H<yzf2tF7HYH>50wnxnti|*(Z0t z|6TX~w_TN;I}aa9-oDE`!LS;dRpJlTu*uBO^ABJ1iG8~t-`OyoJG1@GX6?P3&rZ+( ze5<s@ipx^X?+L5`t+Fz=0Idf#%e!}L6=%iFYCW((n`VYg*fbY+B$iU?m!|{AiAZPF zsh~PEk*3v$qMtR4>^N#v4eQk(UJe~}NG62p!dg#uiQ$P?7LiA)DA2U#pJ(-7tW_G7 zP*~FwyY?+_9iodNQp$?+<X#wH%C{)u3Y1zF6m3Kt9J-cly@KSrd0yc!eS3rFjysMY zUtVtBxo_VQ+NoiGWAjd9u9c#v1=j6rQyc$b5iC4HPlaM_tV;IuIw;G*CUNf?y0Z2e zo1pzFn@lVZ%|@H-Q7VBCk*jP%+^yTRZXTNq)v(FiN%h1@Z9Bjw$FRvZRuE&GoWeRP zG0_UqCaf(En=xhwSwv1ys10n{8=PI%y77^xs3e6=%r16$X|F4M>jus2&Trr)f3JE= z5Zb*AhS^7nF3-N=M6&to*DeQ}6nnGEz*TN6%Gp_LVs5;1dAYR7jk3w{RjfTounFsn zS9nfulRbL|F}m_}dL2TRkIfQZ+GKg6^7K_#v3#DQQ9Fs{GaGFJ-^?DV!6IqWo?T9D zY$k)tdsuV7EStbHTTK#7`q10ozKl&+Ax_BNV@FTyEp38%81(^KMpzNedVO{$z{ZmD zUYm$C5%$)eIc@&bJRL^fy}>3ARf0{(-0V;PW7Pj=_DSXnuwSAFzI){>PJ9=eeD})l zykhgU#U93<@D=Ywh<7D6G0WTjfSZw`o$P)0N_nqvmp<8~GDyBlyCoAZE5mwSmg!S} z)KwJw4|@agQ*Q^CkF7KzF!nWL6RPPdo1D^jQS?A*6SLBHkrp<oRIo{??CkTUEl=#N z9zU^n04-=IVOw>uNf08dU=w!2PyvwJ(|4&oMw_hr$J(DZf=x~Yn`}k;8Pp4^$Kd)8 zkrL@X_s4>~?qx7^btRfyshT!)ZGufOb8M((;3{`-u*q!byOw%v(veM+<}(5Y;s1O0 zUEG1p=-#2nbpel3wdYG^vzc(wGj3YI<%W2fC6JO=xa5>{?Pm=87YW}ALn-I%o^*Qo zb&}fk#+;}4u8`B?p4S^*#)E%U>2D43%=7=<8rkG&(-ovWy|Ryx8yyz7&;)}mCgUDS zn&e2>I~jFIi?q_L;0rfF#8cBvEqg5SlBUsq#=E)8*dE<{O8XmWr*b=TyXk<}+Hv2b z_@`$!-Oylf=VWK(Zf14JkNQYvfQqk&=VmJ|JWIivxal>}H)Ts3ud5soeY(I$<W|hm z$Tc?~7#`0ay|cub{ResF{>5t!HPJuHshCd%dB%Lol>A*c@I5(FrgL4zBX?=~x`_kB zo8I>5VachOC%frhSLtk3xagZ6e3D6i?eqVtq8rU6BR8ZG_dt$lA0hXf+i{V}e!^mZ zA$Jm+R0c7e{j-Iv(j_ArZ@O(s@w6Y2yG8QEzQxP@)fMyKHr8>C`OEaJmua8l*V6iB zJU9bKe%QxYckEl#zQ;dq-mvLG_zin9xi6|a#S8M4&JQ2LC=kctAAVv=p?dSF>CBkw zv9L!dbfnYUrsIUEU>wv`!tC99{oAD{d&7QMaNf~|X%v$d()bJqKHx0Z-!yT2c6Q&s zA6Bxb$o*%xN%HV1I+&T|y?a+|vUjabYO=||wqMyNn`rLw!+~SxEl(V6tjrlcGZAg_ zcW*k*u+M=E|6K6TZj+i{o3%kU{;g^!0|VjFddbIhp6+~l+P>h2-A-sFjWShE4KahE zo#d~XIKFe||Cigz=HFe(b@a(5jYdy98Q8Y1mxmpZJOmxsw&f+Ek5!}jp}?{8*jKGh zn*|)7h&K7wYmQIs+<9s{sW})q2EI1Qlh$NJd;M@Zye`s<y?>_Mp9s!y#5W6BY~XQi zZBn=nB@1$F>TsdN_}%X+B2WB%f6=914rBbz;tt#=3cAv5>#1E24j=m>VaNSwj-&9> ztzAw)lHJ5T<K?yO!C&aiw<SRdTX7@r3ce;h6>iKO;rcx;ac?I3A*awH$(|^2u%KH^ zH-4Y7$fM}DMZU6Z`fL&Zrqn6o3Vgr@e+L(l<z7E?Fw#pr{oo3|T@gNget12n?==S8 zoFBxbw={zsGX=j#5%v^@fc}VA_*SA`AbIu%TEev_P}h(S^nl0kzz1$ctz2EWe{y~< zymb)QGi5<f3!eNWD>d0ODVaf5=@M4vL9ShcgZ6|st?<O@x)w%z2yt(wzVkTe;r~>D z?>Im3=v$NWD16$Z>i~K5>|gxg3)%OG`zHZs#ZSO_+uF2d4LHLZPrqogTbAO}>modb zZj4(+D}u}zbM;3<=rk`m92jiVCUX>%VsZMQ+&;Vk=8W@*b8xyP^D6kA<mPi?j$nh+ zj)*rqoLB-B?h=SKmKX&=aWmtgdc7D*-UBWm9Z^d*kqS)|ql8|6Nh9iM$wjy|X`H#1 z{HRlUSGjs)+-1dcdU(bFWg)^$bo!<oBp0bJ&>XR+Q%X5?#-&q%76Z6$56fAE#?L!R z8+_In2W=g{BJI?PP{35+5|TQqlro14#B^7#SaHgwj&u!joC*Of{6t7y*pufH7DD_Q z1W3RnKekBl<DR((;0mB;?c(^sz?~9Jxs=NKnp;?}SIADlOL)fAP7PQf&H{^C>I)9f zR-vp=TVRz73u^S*h_1UXqFIPe@JE7({6gi@s$aoE?CFEgu>L1TvU(b@N&e9Flp=Y@ zV?0El%coKgm|ThP1=mGv*H?Nra%Q(c*XRpqywE0lu&x*RzKh^ttwS$*il5@p3%>xN z-Z-+K8QHqDx55;}FBD8*6LA%sp1O*qM7SNUBsOA)akPp92x;cL-$7K*JD$**l4>xt zLISVcSUYVO51ukOXdK_)g_vSfHsu<pi!zS9_%C^h)jR+JR)Il~e2KA%9mV0zDD0oZ z7s4o5SNNb8fV6Ib%U^;mJ<sm?)hu=e#kPW#Z#G6eEUby%XTC<2SBL9MSPf5cIMJ0I ziz~G~enHCbjphY3#2rwa6)k}JifMd_meN>}w4_GBa|OML3u4N$P!OUl|E#<smc{QU zMe{7<GUGh@p?}0@7_1vQ26LSB!q`&`yg@qrdc$f6D`5`L^F|})70xSN%c9OmL7pf9 z@#G4>LimXl+~Pzec-CCR6l50H7@SrMa+1VA&@2XV4T*Urk$6yx#yIEki$H)c{iku; z(*rD4WBD~AJGvML7t7XVpZbzB8P97BKd+5S`d*AN7Z|=1S2xM8CI_?JFPXcOj`36$ zPndR8<StJK(3SV*h|5gVED>Usyjx<ggEvcwpBAAFud*^?mTYwhe?M==CKJb#BA?xo z@hv)O1Kqn&XFzjQh~3A4Hy(Yh#{HE0jL)C|-NnKHOCET?k9yf8d51<|a8bD)Ba@XR zVZ9_|{UV6@nP7#B{J}WQiid122+y>fU|Nghper#!Cb`o*@j*3pZVr-TVF<27i7lnu zkzw&e?E#jIf>{`~P}2@ihOj=C#<c@oVXgi!SXje?H#=?)*R5mKNZrgK%trY;D1Pch zy-fpg_+p~57uTx0o3!|$g{<LCj_SjD9XmD!8wC@Tlpzi4ds#V>@aEcG=~4+#)AV-B zKvthA%fzdaa}h7J8AO06&EaiSA?T^Yp!}fC3FW$jbj1UeTPfFYnNNffOv!j$szT~; zB=b~|ZmO-ZscF#BU(=_aWtQH|ShHI(o2nrQNGTm3i-5c;#JWw@MQWbA-0(>hQ-H(e z(-9U~tCICD<Ozc%sGp>=0hf@|GO}`%+QgDt_>-H%ZycN<1`$wMsyng?Ek=c4p&(3) zRTFFQrv0fGHJjFchH+DK8OEYQQU36zj^$`6%jL{{)>w=ud4L|UdK15Ul#H;ZG*=b# ziP!SHkf(u{`;Zl~M-y=neHshK!6|TswmzyV5*&sbCZDj3TVBA2>nRsx&lI6PMDuJX za%7=3G^4d+l1_dl@N(KI7+}H41~NU^B&DKN`}!kxQAn|@#a+URdLbI*Y*aDhl->n4 zht!Z*z+#n2@W&}g{U(k#RD>?5Y=LMOE3eC>s~KL(r}3ngmSb4Mt@Uu`6$I41Q<_$b zttoFuZBi}p##~HjYU7*^AJrUU$Qcga0kUfiMQYMJK|?auP#1FyTj~HSKw7IHvt_sz zFg-iOqEfD+B-I7{)|mac5n_mj#Gr{ME72>oC?t=!^vin+<UVj7(TCVtx%V*mG-=C4 zY^@oxmh|nXq`KhsX<zb_aZ&NvRk;-vxZZfK32RlAcTC)Ajb)|}s$KMEd<;*6Tt#D% z7D{LJfvk_>_u}^^Rc9f8)!anz)&?wjnhogbPb?3l*3I?nmr@7UhEJP;KJTHm!5Xwi zli>kM{p^4bEx2A|+{%3jSA+!~<-j&xq+D7m6gl()oU!=E$uV;jF6K>^6?Ya)5#(A* zj5Jxq7WbdDpsO`_6M^Fx;0s)^6G1u#=qX&`-YlScAo|=kJtQrF6Umu5p=L5|*m`k$ z($Fe(bEo<jXQs~3+(zS2pDd3YAf$;_e6leRrYKZrmLSm98Gl0^sLz<WMVM08=WrZ8 zmi=j`A-h$OS?3*{VMh?LEWgAr#CX%nBV!{ORhZ*zjWfum`IvKGYTA%EAE7O#{Thiw zu@@N*%Cwx)@}$u5=$zmM4;(J=2VICK=q)Krs6&LKO*x~k$xWL+Y@0bg*&3-azb5-} z7W$b~$#^q~9O|&dnIV<|1~*__0)`f<A3b2rRx019f1#}!3s;uc{V<8K=bb3?kgU1y zVA3Bv=HD8hd)u+*780@<$+^5<LtiBii`otamf)KUIZDz|qYaX|S#J~T{r<Gpq)pgP zYQ3m*I%9@R(%aNbwm4vJP18kx-vb@L-_^WL7pKxCYs}s0SP*Y%Cw@xyCmBJXCf_zC zb<;O*`zdD6@F3LE-WS<BuCS(6Y>1Gd&*gcI(F;z?zD)8^w^DXd;irKF8nb<u)#Veg zT)-zLeZaR`7IdpMi{7N>K3eZMWx({xJLoBF`~q|mYjz|p>XrqUjr7GrSb}PS2ra^^ z43DehJ-G5>$t<fy@kU6{86?weJgP*Vq+)>JWZpCH%p#?50)jf0ltU%h&l=eSdVB1= zSzLPHPOMUA_lfpD(#oh8d`DV}E^=g^q%%}K2mIVr<4MD+eqf={ICyJXAIroH&}8fe z63=NLH+mLc($@QUS&?j~h?fS42F#HTPXAnHafn$AqKE}VbNrO35AOHAIKxL5RTb{d z6S}~pY5Q+yx)KE?C=@`saxiK3ZB2up2OB3UHZ#e%m>Ss6(h=gsNad9z@Xlcu$CBij z31hs+7!u#%hg`2M2O5$SDv3q?%#7yu5f!-!C|@Umo60|BohOfNJ&@5zOLXoHV3#mr z-andEtYL9OHc-vd4I?QHGEee*J%>o-_49`9Q`y<6GjHUvk*#_XUNrtW)NT@KIofZV zm*LzxC24+sZn3ZNeNC3qBfEZ+Lq2I6gYs4%uS+~QM6^id>v-ZZ;ZHL!6hLfh4s%C$ zzRooOtS-SPPXQ5Ed1}xpFkB5QP+L$C+oFI90dvVwkvuzc(jCkhou6XFx^GPK&REoS zMtZL4vqbL&O3eqoGtGDD-MQXwk`_t_m?FWewb@j1)$0Ui1!bYO2BvuN9(O@PC`Tg% zpe6Zfhiq#2l55X-<;;g-gU$1KKzftQ|H}*PxXDs7POrAX)sRihDXvNeMvcayi4<Kk zv$uM_b)#y)8CUa&?rxriv**v7tSV;ur_+MBkej5h1e9UP^!)xuK=)RPj~$ghGEzPK z3b&M?9?2>DlVo^Z!6PV+SFL|0Xodivc-uCP5sSzzgajxY`eTz=teRbvre~xyHY|b? z8R7hUb6W5eOcBKTTH``n<f{4;YZ{rMP)JaGe%u;6V$6<o{5q3~dDv;G4-Zi&bw1FV zvNxVY!`F!zE{j{Q<X@-S=x7nL`3e@#t9&V85|G^TS!dG=;RZFjizLU$Loz{;q|K8B zAZ_aU{XlxTS|L(gnG>Urt7&Qx!H1G52-zc`tk9$v!4rPqtHG~Aor()qT^v1$!$Cg} zN&y5UWUy{pMR8KolPzS(Vi4m%qJ*wOis^Kb16u|M8;7h(1C0ZM#wkQ*6M;}<quE;Q zz-yJ6`^{qK1!O4Wk(bQG?71%`#F$B4@+2a8e-M~-(!==z^bco_aR+%ckvAfzmO5!1 zA*LPuHRvctXW*nfEU4&cf!`;v!>*_YIEbN-5hUE#213BNr1?0bqq_G1YvqT9g+<tE zhw>6o0yYmj)R7hNplncIWlMi)>EcsIWzIV>r}3)T@J2Zm7tlf|l4w(rWIYYc8VzaL z<bFLvTVQ~nOX96L_c%OrGg*7oHj>;9T${gw&Dg28bCrX%ihesiGe@rTlv0cn3Jhoj ze_OBrDt!j?fgeLj{%bpo8z*3gZuQe7HB-tDkQSO?v=CXR451AHE>Tp03)g44gj@wX z6tRj)5?a`@f+8s$g(n#}k7m0fmLZ2erodOor%f@GqFu|hf|sSNtO<fj(c|Tu0?dvg zE%GxEoL#%r9M8=XijHSHV@vFb<~L1Sp-dMAj6}qAne!`Yg@D+63onH(g}5IuXJ*pT zBOM3wno0}40)=kOfPN65p0yU4F?4EabUCZU$LWy7^$P74lLD54dQvgMLS9=)LT6S| zO4kREW}6g-kC+N3&s@k>p!lL?7Zt;rE)dNBiphEdM2{LKeR>O7F)wX4&FE<0PZws) zPFJ#LZDZwcx5)<f@}^y9TbS2G$HbtJkg#582I?fFb$=3!nLt1U0w^c>ntcI-5M3G? zgD$fWy|fF&I>{DZ@qE8Pv<P~x4Ir~HhmUfL@hUR;=x|-J8NR;q9aT4YMU%0tug({# zTkSS4OcS%8JIU-a%fqr@rlxtp7G|8)dQHQ?I6Y$>d1T*sMeyCSS9(7DQtv5R;X#Xr z+3jAxWolC;+1=CzGJOs&vpW?Qc-WGj4mXxeEk9$+fE`)7df1yQYEBzQ__X5gOZ)2_ zb;te50-dNts+a0Rfiq|GnK3hF*<*V2*U#0BR``^fo<XHUxxvB-H^Ace-70xzB_CnJ zP(QO7RLc(Mex#2|;KlZR!T5O0m}Q=?au~7MN<5WEC<XesvrT#i8!|I)NxY^sf;bw6 zVNYn+v_b-)XmF>vPB*z_AdV<YIEU#v3i(ZE>bsczWFywXX!<ct1W;9xEMf&aNL~wx z4RF7l68O5l+S$B%xxaIG(YpVmx_h+d+uZyt^tC-{_^dtRw_eBQQ8(fruWx*8AoWA7 zfsf@g_5P)dOi;5IxGhv7$fZ1K*CEMPt?$14Ep;mDIaM8lnVFmVYV~?8kxDJL3nqFW z;4uhLW|k&|a&7jJA13i6X$G}pF^lh6;Fj8S9|KX|Kfhh&+yCOcp|-fen%c!Ns>ks> z|L+?^3(8V>T5)o*jl%S+v)eNIL3^MXEWir=?AH3{51x78Ks!zM(@sv9TKfy*lXoWG zd-{;(o3_+{`s!`N8_s&!7Bl|pv2(PqxQZNqf4fDIHf2me(uhDKnV(-seNq`{rJa<T zdgF%IfA{tKrhfm>9pAk7Q(yg~cmBov{5P{BPdvWvfbSzl_Tk?<deMy+{`LR<-FqJX z_U2c9=`-KH>yAHr{lj0p`k^0Ny8nuozwO8RH~zb{c6*C<QrnvL*M~+p(};GGJiKr7 zw=aClC6q<qkH6==x18PHfAh`1e)zp-S8r~w8=9X#+?OObGY7^d{5MezxMTnPVfuu- z&OAgouLbUq>&?v}Pz=ukzgm0CNAAn(?>;zx?X8PE*ZZyfWxsRSn0qd%)h?zOlppRN zd~(L-&96*1oMHa6`jTdA-BZw$rf*r}Nx`pMsMQv#9QCp`In;!~C;xS<Z{f-h&fbho zTC&MEWE1PoA{hD2=Kr>3_@`g@!jZu{$HvEB{Oa^M*o5H$tKYBmGFI)G4A~V4)308W zO?+#hZ`h}OnYr=G*S~hp&tLH!Z1SP&{`5z7zx2?2d-n%-F7zE}D-YGL?D_nSzw%2@ z?!5dJzxLhNz3fY0di{TR=p(Ox_*MV$l|T9Uu`9Q{{znf!h)ssu$zk$6qIOcNji~SX z!dEZ+Z|~gqj(1R9fB!w_o_lvSyLsJv-g|gHxj8*>$9(OkzNER1atJn&i;l@9>*pWb zm@ga-wxDd-%ZN?<0SZUq&kDEH{`G|yg?4f+ZNv2cNdC(6={G_<$(WiXp#;1+%WQaM z)_2C4kH{vKR%K4~#ILg+4|%T7*BAn5|Id^z+n)&2I%dtOPXWHi!N#EEAHz03zJ}3- zWh@ipm84Z`p_hw3u5#kUKbpm#`5BnfkAJ+_)fi&LeU6Q-*3-pS&5VeDCmGU*rPcZp zB-`J#4Xz*sdU>(aNR$rY3&B)VfK5ih{UKc%4fZnuLqpN6R-?N%xxtBjMOl>YT8?MI zpUozG#HGh3Dxe6@W|M*~Il->1S=r?HqYph~Z9&E<Y+|rU<AvB{>k=kjHYcJ<%!%sB z<rSN(q}vq`Y=SNNIi4Z{8?#Qs*NyQ1+9R8&j>;x-qfJz2SHu4Vo5-g8W>4QvN}K3I zJC$<pmts_u|DrwwoqGKW2zau!uu0?iqsz;^?L^;V6fFDd3mdp^{~P?vX8I)^YU1;% zC$S0p`@+6Pw!sC~RTpd$9{vq(Ey@4Cu}M$gg-y!rQ+&^2lRTQJBAcl1qB4KyJEyV9 zR=Z5krLCYxqfHv`0vo8Fr0<G00WI3jO6vHg!+eymA1TRHn;r#A>i<0V`|Z1a&=jXl z_`c!rHGIpEM|j(rFKYzICUJT8v7PsQVGkepCgF1Rs9oVo^Nrz7Mf1{#LsEUsrf1?F zLFzE|U7)>xHe;XT$45u<sp*+v_7GaWzqsiNo0;KhqrHHZeUQT+=SjyOYHy&z8DB_G zi;)B0#>r0Z7(1roo<U<K4eORNJvu(~Z_0g%E3WRMy89PNBj6^ww%pek=+Xh+?+cV> zECcOZG-ckqWm1$GWvV=*Yq7Tzw^P$TMqHa|KVyiG?yI5L+sAhjJ3sp3Oy4ey-&-vA z?#U(5?Qi8}0B-nBA_Lq=ox~(5ejhPoDj4zWjP@CtY2vYm&|qV|$pW^9y?Y}!IKJ1& z+wwA;J$;f3-n>C<H}K6v?m_sLBHuV<58^e(v!614w^8ZWowyq81>}Jiu*vkPLQwYA z6F1b0?;jRvlZKYNY_CT*EnMwi4D`a4F3Mwi9s3<6^V#5S#`8sXGxj#K@H)9~Gz;ev zXRIs}r6YN7?I_RERo|ve(@oA?jynZT*+iUp*ko<Gv6=JP-ig^=vp+%S5&G<a|HMSM zk2ckb#^Auj3q^kholmT$>zibPa_pJi8m3NkWk-)Oo!UE8pD<tldUqt6(K0Z;?7K{9 zSN1Kx#C)mBsNx`{&wE}*7UM9-x5QwpRLw-S829|QIVyr`ve6lRtu8`vhray0=N0%W zrxCvYTzNYAWHrp6>SwGyUt$&eDae<<tnShsFTabuZ+RP?>Na+!g|aDiFmK=c)vwaG z&Z5<+e{|5C)j(OU?5SHxsIUpcXJL~N#J2On*&drLAHycwN}EjRv)1bUdtt3jO5LIj zzfPaF^`eVZYDbSr_o4dW?AN7PgkX~sjPA0@@^WKvMmG5=1r|1mat4*m)79mPW6>s; zbiU14f-Xay6NOFosRRIa%EdTBdSGvLh`saG>T^C*eOlympTG8ei5}+Dc(DoTx$d{h zmxE2vHrNEWfZ4rhqT?)1wTO5)ec{^U&tjA1!X`l`$1}(#+e-P^gs(SZlkk1&i3vVy zeX<OTE~9Y|3{kE<s4-80+lzt?kVTMe@)WqvOc3PD$wa5aHw0Z()_BQGl^nTQ2+w=o zN7PP2`7TGBoSYpzM!5=Z`F5IW?0^20J{Twpv4gv98%J-P2KFA4O-`QtU;pW3u*sLc zy7qjD(?seaVG|Nu`F=b$*``#N!nqx!UP71`F-Lv|n|$}mXR*oY`!3Qdpo&e@cf~V4 z*tr_^u9l&=r`V+Iy9yq36$Oo#k7<`Jeb@3+PjziFu}t43o7BazJlL4|3^5!T`qrAh z3n3M3Qk<Y;+UmQYZFuuwVUw5&R(z(u>)0_gs674BZ=4K$*W;gEd%gq`z6Zp<Yq_`Y zqMVkO=a!d$n`r1CVe7NlB)RhReb+ft;azgECoj==85i}`F7CC9-&IUlOd>o>k|+2E z;W?yuM-hHU`F%#>aZAaJsc;p}S!ku4(mhzjyQwyb6zylk<*?E_!uJ;$DfEp)y$Gpo zX9$-Y!<BCM=3(lH$0RR>9$XjPrZNBW&B4bdOg<95qXSOsO4n1v%lK>E@_UO#n(-Tw z=pJ?AyN*$}0?$4c@#xl)_}xd|5S0AC$>VM%QItX5)Gptlyxvx(`+>zd@}PZ(bC8my z^Nrl{yNu?kNH1_#ey5S|CI<d4pD*K;uEOn#91ku<^qoezo;`gX(sL{BTqkE?N%)4L z!?o8E9``qnnkIZ&R?+cID=KeAENVwrjzyN2M{s_)rnfw^%XHA38XlsZ=5l_nwC8VW zhBT$~bpek$9eOOf^S2cE@inf($=m!bFARA&^w<lnBNg^Nstmk0FAQZ_>DH-21#hx9 z(q{ucTr=aGF-!7m&I1f&OPY#1ye@P21)1aD2d@j)thj;8j{Cq0nu!A$A#NM4$Qjs0 zFJLKkg^zVD__`Rk6EWE=4a9W7c%<>uBUmFu!t29-P{dg>X`qQthzquJ0VyxG^qj`^ zE3~XfKdp%>wPlG7Og)Tcl#o=(B_e#8DohV}`JFBSiUKQ9xzqfdan>n%@DI!5BrAdg zck7&1LqJSZ#VA-JgWezpN<<YmfS6VV2;ud3DL4c}gD<@JEASO33NWnqOL^QMUw%y~ zrC=O|#)r|0k(#sD1t%i9o&v?|vw~ho4{hue*3%QZnuoXvOcJSzu@To8XD$oZm4v#9 zKtC1SsU%TU(ENB$L~~@_0{bCE3I<nr$-%li8_}>{F+5@B{cuo4s)^6D?7^4^^iCC2 zVno$R(8Sj5e*wPqblpPr5YWvy@&fQwJb1f0F#^`}@YtXvk*<60ukt>(P&}p`qw5+p z3aAg*1Kk=Ih+IlZF~52s8eoOIQb|kS+C*ZEQ?6PE`9B7+G}KWtK8I8om<v#0014Nk z&=|HuSVF<skS}njyS;9tB5v1N6(GLRZqO<Z5{-?NG`@rvBC53D9b$|Z#Dz;WaB<qX zSPTd4tKdV(iUmSQkRe~Mmcic*34Fbm@29+#u*6hwe<NIZ7!-u`CKL$aQYad=D++Wh z2CvrGMs^lm@w7WK=;~A)K{Wkcvs;ZiXdy8+ln1>IqJ1?9i)%t8!pKe?iuCndfo|4w zo=t%|<6H>vTs?KbTHE%9wbgBwa`S0AY)nwjb0xxAj)P`VMlrAybwmb8j5LSa^na8~ z!6)A-kfOFSwiZJ9NBJv3ZpB;rlpHw?R}~JANAz1%xTuoTXN`5Zl#IoRKi0WOL&XQ4 z3fFLP#@k+O#jlK@(zqy!=Yz*n7-4ctL5B2bNeP`ulbM40IYL8H{cYIkoZv6O8LJ7g z2$XGA71$4WP_J)<Xmg|SOXMKSjCl^F%rX*^9Y~jM(su2UB;!MB({Mr5>VBeyJbUtd zOOb>e#~v-5kwC)NKNUCwN-9cRIm^8$E0Z!|hGzu=ruV^pCR37&9*7k}VmbPR<p8;> zvI+xW*`a48psm-3w0kW7@vydu4i@Qk({ZpQS(Z#H!`wCL;?<u6ck5DsFFjg*?abU< zDuRd1KZNJ<W8g=w<GWUlt3wmOx*gt3S+CI45o<=Aa;u1uAEr5e&Ri4x!d)M6RCy9- zy~}4HA+hf48wn+=qdBI|I5;_JQLwJ=?`<r&+uMpc?E9v8Fg+P^AOgP#v8OFD+&@nP z!q-S5(FI$tL~${4;!I?8k*!B$LFhV>Pl|h<D7z`O4WBtX<@#J)0>T{BhRSJkx4qf# ztjSuA_#u&$9w4-M?8NTRjJq{HgTOi0nFQ0zc;kGj4U`f*9#tr=HKs&~bH-RO3_q^1 z(aTV@6!IAi-XTn(lF9^BpC$vWu3Et+7xk&U9qJO}fLU;f@4qeYBtCU)W;8Nj>L_`= zwe}c^7ue3RX~0_&$s6^4&(Yc1W#rpY9!#p{nTbCi>Q=^nj5_Vspi6}0xrIl2PNYYi zDn}U<0a_X-s#Na**W-T%Z|XcDk~z&a^LW%ieN5YfJ59#p)YQ@Aj0Fx&nnuhtH5*e= zQOCWup-K;80L+PKs-mog87gd!Oq9)3EF5>ZdR{Kk5j?3<@>)9F;wnv$EG-v&<j^&x z?F5jK96qnsB&iEr1rC1zsuR6Spm-{xWO}+mntBMHayZlSTCXb%v8yuT1nSeciU^$f zn643uNneS|b&;!=wAQae?}4bp3PE_?7dT31X|$7~@pCvq*WlY&B<E4jq|TA`>Qc;> z7>!pEXkrxsrWMFs_SZ_xD{m%s2JaND)Ec}R$ylDN&?-X-9$lPVfch@Svc!Er69o29 zWg?i`I%g~{xU&r4ES3XrY8T=PY(P}Yuv(j|50Y3?*X~MX$)8!@PPSAjeNXqR05rjE zpq83oN&^dRQ&K&@U+WbQ9(0fkgrFjvF210ZQ~4NB1h3Lkj6QIOOWHE5Y}S*4EXdSg zb%0AYo+ehD43xOa7*iSV&-c>8^Ife}F+e<tH;spri?b?RXF%2fb$?M~sVqRg`^1pD zk_j5kPm$Y-?urbhq{Re^0SnFyghEWX0>g>JJ8c*QVOcOio#_x4Gx6}xOqy&?${Mta zw-lLkNT9jaleY8-qc6OG8f{3R@Xz6D6Uy<12=T7T;VoMy%!C4E4<^5AvU2i+K}s}z z;fy(nNm8;6#uUXvFvf)oeOGGD82&kaoQ5GP&^CP@ZU>&xuQ>77`FXGZ)58co-c6xu zBvd?;x+S)@Eb;Kbn|i}je%>tc%c|5Z$NvL<*qPM(J3ROMEoAOz0^1o#vL<Wm+Tf&> z?ho!FD<GXUj3V>NV@9niuQqK5265fOih5k9gGIbU)Q<X+Z?sx@y0i$(iG0yr?wQT_ z8H9y~A=hBynM@v)Fq>0S`9nq7@T2ky=HleW7Y*5q2G0_O0i?H?dAXr-DY_SO8VJci z_eGd*F)S-V+iH<XniW59?wlgrvZxEcABEyKu`AG1oG@4}&Sxz{w@~{uQQD?89)uWz zI@+aBCIe>yyh62@a1J!drZb1jURw788V6*DY3ns_7DWcAu~Nf0A;cKL=keG=aN&$N zXg~%&Wvrv&z&v1v8W5dAjA@vTe~J@nvyL=B!)WgzTOG2C89lT087-=2wkMW*LYicU z2z{0*KqyrZERsqJjjOcRb6y+?1r-OaC<XDB%ppu6(Nhkv<;`&!e5$)d4CVY0IwESy zSe|JoT`sESFGI~aKJe{0;@Dt(&-IP&e%j4Nq(zz4Y(8d~c#VgtlR*+~$vEr1mu|ku zOp)*u8Ft3EK-sw}{R3E;G)Q!+cOK#ndee}*y1xjI@$5OIQ?c|4w=ei{kxWw;eIy1T znDRjHMRRuDvR0FJl!agt<lpgBAm_T2TygdiYCU!lLvzv^Vz9WsVVY?>G28byT)MR1 zB~N)G!mBctjBsHC%MKAE41Sx4K<a}PBC)(G9^ZO)CGJblO8g*O<iH!k(}F8;g@-X- zb6-kHJKv|0sV4qpiHum2E~H0?DM#&^*1~bx@cfK7=5c&8*y)NUPvw&aGphZVm6nC( z^_>WI{MLZAI(gq3GdE<WhHxoSH$?fRBpvSThBB{02B)*g{Zp)fmyAX|i}M=>73L!e z%nLk)O4y1VV39~+|Bk}PN#UhVcw$IIbmbU~p4i6-`MA5<Je63p<Nw}d8VfTlj#yCc zRoyX!MFHs%AVE36>k_^FkIKIa{3`63k;oUtoQ|vFgR%q#MSPRO;5lADDbpcq`)zQO z)Y~|Sd8@296Jvwue$i2#*|N#F9ayotQX9|(0bS^~93PQs103Ue_=2P{lXMY8cAU4M z8ZzZ*wYZKQ>xxV4W-=w5L3}B=TvoeI_#|l~#}FS69WJ*Wlk)O2DZiU=vT-*(XXaSZ z)J(ap9m(hN#;9@Qck@Id&0UgDIXEZvxCZOtjdt**Clg8PZ@MS=RxZ#=@P#M1J@h~Z zFT)GAwN8i16SR(rv3bF_$&lG!pJUZkhrok|l1MvdGNXGl`#I*pOd`d9GNl!n`-C{= zFt<^4XS&jT>Sa3qRY0pCGZtJo6SA0s10U6w3Ou}ExtaHB89XueDO~&;bEPizN#+;n zPFKIqg(x7=U225Ux4xus;xECR^9AZQ)|Ygj?ocr%F1_1oi;f?w-B>@IclZ?KdFqVq zl!q<RXGQz#S@<%n>1&yhM^cBR6)5w9EVJpOEA-Om<O%xrxT5ud>+wI6H(ekEIU!dj zy?-nCjC1WBQ;wc}YU%O?#tfPaEg4nPEJbmb>Yu!DO&!aKq(|Q*RT(z`1yO)2J;7CO zm;h+-!0Ws>GM?in5!ZNU<=O(bUi^H!mMdckg-H`8rf+cth#tU3hj^0^iT_Bm?!8au z#OIk(Koi71$(*llY2M5<Wcs;Ec7dsnQUC)Sk#)q_#9WH@E=2IsW(A}T5Qxcr5#j1w z*SpHInoOCkCamIJjgUe^H|TV>nF=7yw82%wy}B<TPaBvZ)REMQ(1kR0_+>7_>m`2( zw#G5}48c)Kpi)BfE<56xZO)6#u{W#Tbp2{vk6_FZ;=)&fKkeZczBWF18sy%?w+N+w zjng~eD@wCa!bZ0hDu$O8VFAMy-jCo3l;Wzu)4<^Mry)KA$v*@AnaDBEs)7-UGSHOo z<Dzi8dqjbvtM>PVtE^V=G=AUH!>cybpb2?qI$`!C#sG)e+q27<og<opQVmYy9&SVD ziAxOkE8kCi2L4m!FcchCe2y52a;R)Rrq7r)KwiOqedA79AlsntF0$#3hXA;X{6cWe z9~Qj_y!>2(%L!kP3jbk%%4DbUGn90Ih{tb5qSLBxRR%oppF)3p#K*ELbxeJhQ46^X z#p!{(W=+0vijP5H%yG~Chz2iQpP8LhXBUpk12<-hXbQrxEpvI0F(bP3X2#2fq&Wfc zg)pYeWo8BL-BM;Q;LD{hi(5>p?Nn7IJHIwIp1Q}6n3raw+&zBKaNy>6a{qOlXj0#_ z$v=*^wJC|Kh3(|3gh8%kOMnMQKL5}8NFeFrp$bYjVj^^XD;{X4Bd;)^fwyY2#iL+7 zk^^s2f2O&QM5Xzx8BP|fNfm&7Z9yo_r@%eAZJI;L5tEjWO5vz4W`t+;tSz?-70ax^ zWM<SD?f6t;c4nN5=Bl_2m)*DX#l|-vCE)Z=m5fC~ixdca$$d7tZ>RW865~ZTv4+M+ zc*A7kGh2+UnRj?|9`R(@0KOe=J9l4dC)3Mo=1Bjg?m4?(d&ImhPqM@HPBzBr0Jr?* zh}CfK{isP6k{D4LEJ|FtNyxG3e_0t;xLw{g_zK<oHBp`sRUG|taU_2{*7W8&lV4tU z{||fb1185&9r~W`=~?%V*4o+iN*1zW)h>%z3x}*(6O)9`s$C7r;y7d_dy#Ph8W|^_ z^5^B6XB(M-t(wtD8Lf2XwIdscBxY<3mgOYo-beBXCid7k!4Q4Haxi}$AsCXI8z48) zjWG!Xd*1I<b<eJ(m4O6axZk^Hce<)hojT`Ko%+|^Rn-Xt!oG^$+cqOIR@F%tPxf#l z9(=p1leViFsWu{d2yW>Z`<;$M3iMcYnRj*eex7g=^8O=7j+7qyQ~AZ^bL%fVzp<sd ze6D)gnA>vI!GrN-WA)3vwC&C>U3R{E@~YC@RfYBOWd&E--E;p(qOX+ZMz*Yf^0F_z zeE*mJV*SjM)zbdzmNB_(M`{06myJn*a0~acFD<`$3irvceEc6i{<52z-+Z$VAKOyg z%doPyk?cBm^jEfi<M=n0z5TE5I`Y83eEH_T>U?$m7ykImzklb&53PCiXa4fS1ON1< ztN!bb$@1L(?MZE3tBvEA*@SkI&`z{8WJOW&9-LDL(|Z@Mck?i`8Q#acMR-V;g8lo4 z<HO~eet%OB(W!mz;k)XGzfs%wi8npH_d}ma_y2kST?c>f4!&_Yw>>_BP4@5qVtlw3 zv8VIt`h8Eh+Fkpf-h1CWYw}w+-#_>JpH-VU6;r?Up1o{mym_$i;9jllIjmi8zW&Cu zU%&arB)VyK{gcJ(lIS|V%UHawQGDy{`YpwsZz+ELsq>%Mvs0qC&W;@`p1t4w^VY&| zZ0<<(rrGmnieER;*ZDr<p07!kF1WLN-|>^m=dDTcM`zbRvFE0*z2xE>cRlfYJ2lMP z$35D2?QRrbdhW|_c>N8>zr3>ZBfFk^`yYMdhpvD7LpR>|_iy{T58v^bH(h-6iKh;J z<=np=a*wRozyA*|JMY*)Ew_m;$sYQT+$Me1JWrV|PA==*7Pua=c-J*ihCjs_D!J=t z`QGBPp_cCdklVg6`t0X>zWl^*zwW80KHc+%W54r>J<n~6KD+t${yjTq<u5khHdlLA z>2KR#d-f@L<k)RTYJ5xb^~ZjD|1F(27T$0yw3Aql+<Nz3x!vs@=sT$AcXwg7;@5(+ z2h6)&d^pxT3;Ol96Imd0!}8sHC1!U$X4rSQh>fsz8~D78T*Fh70fxj^o)9}g^)5>B zW{^ZyC?MPE{44yN(e<7u&@K*SYl0V0D;7HH1?2a^$4)+W_VLlRPX7^gXOGKo&iXLl z99ml6pex(Wd>J1_sf=!R1AYo%L$+o*s5`q;zwy`k#6-R&p__{{yiZr+`ZdVew*bR8 z^>l|__%>k1y{pR-HknZtM_J-NuuZ>jm)V3&DPFuyW{b`KiKDqq{*`sxnN9wg<^FkP z@Z{agDK=SiSvT(c<r(oaFFs(`Q5*0Xybc=yut(P>r>R4kO*;M0JcDWrHaVi-SnOaE zU&>vr7uh5$*SvTG9iI~n>%vcK6K~8sk(_E1_MNCAlx(oc^?^3*)sTq+KFC>|Ey~T; z{=M!tZHdDoolfbfgO0V_`bkDU(brWt_bk{Xi#zuNdDQpIJu*@{0&l+1sb-;_@Uc$) z!YVdFL9IF`etxy9#|bv+bZyc(olQ>ZyYlQ~K7|FFgm7MM7y2$$CQo!)n|yvm=(|Rs z&1{nK2{w^pe{-fqGcNvaUx&U6n@Go~O}tP(ntBSHVw1tEPNDg}AUa3UMr|VWUD$#> z>|LAi&D5nJv&k$Y1bJGpiFEs}6I12iRrEK_9FrG7-7>!{%=Z`baQ;2VENqBiR;Td_ zi__=foR78_rBiqHcJ?hA_7HAo-{NpGvQk~9n)<Cn?^eS8Mvpg1N%uE4iJwxY3MTMj z#Lc1bbGfgg%QS%8Mb#Gy4=&K<98Pp|*(MtsH|uv9P2Z-IUFhym9dr83-L&23sg&n! ziWgMmktbK|?Tl^dPnmT1Id(2pzmF*ToyFiXPwpYAjqCAo_I<?L^Kj-etc3Xd`;1}U zK)Q);dY)aiW4^bj{NYo>7VS+^@NWE;$8^6Ud;T7;-LU2ZLo4;$g=5KAHr?RAafs{p zH>R6H{7U@>;+6W%!yVb)zwM2%Z!+!f1?=hGpCHiZF?Y$(3x)Nr?}ReR%O-d8?=5a` zs_wmV?MC-mbUF9hjq@kC`F9#`$aGbAEcw!=w|ulo-v0LXRU4aE82Qq>5O;&}zV@-^ z+a&F|llSfUBZ6Gye~jCiWsW8CmFr8zravp<WHmY_%)cs4V!D0f$y5vBwJ%%n|6u#N zI~Y5=G+&*8fyH~%{{wZ;Y;t54n=GZqao2f{ai#zG&-@D~XM6`X>A!F(Hb02CA6%P+ z(rS<Y`9=6nHOq*jopd?_+M0^rkxefBK`j5JbUN84v$H=a?IhQ>q!YZ;+GOq5`j3By zHhFE%@dw@g_BQcTlK;qTw7y&6OKV(W{QX}avccZ|FPD=gH7=d_%;w*jso{TT`hQ<? zGRXH9xcIrg6~f}Zo-HrJcn6}LdWaFDet??`1>0x!%FhE#toQVh%KH{rfwx|W)yG;3 zX)>Snu1%(hOB2KD0Glh~#EJf^rh=;Q5$LKOBbkIXXXZYo)2P#eB}RYWJp{gwlQ8&H znE(sE9P@9L!QB+h{ZGyiHGuy&BN<~JsGG1-ix?lTH0qx!Ol27G&?t7%vrQkzMPkdv zXCUW5*i&m5Fq+bOuT*-xFXvQH-MWAH5fG%Sq~OzpRxgEz7E^X(gA~2y^eW9ShSF5R z&B=EQkxK7@U*H)u3`|t>U@Z;5I3TlY&}1>{RE&=`fhIPr`ecDgS3~^7C@!cUBId<; zdSb`@=N3cildd6cjtnV-cn8Ov2Ock@tUNstYr|N6QWp@ZAr^e<xs=BP6T;3Y#!(tM zM}n*>!zRBV7Uu;^xvQD+lzLh4by25yf+$6MeHMbJP@aJ3b*$z3w?LHe_J^*3;-E;l z>Q~!XT|t?6jCb@6U*K<Rfr>YNnj-O6^=ZNrVG$M?AwHzaL!O?cL>bWUc|wk&>SDY! zO{JgoRZ4$y0WKKFJ+<|eO5ghd9~UG<%+<mJy9F&m%&B??yL&#TxpXzm;#FKON8Oxr z;7x2Oyin7iU8-MGRwwgSTn@U53V&vQBXWU%<4m;xMU1vi)jV>_MSUTxE!9B6!mktf z2S(1*g$iA#0OtsMfPuFDppn6+A&DJaPorgcUZqqSw7iHDsL%QaaV{swTn+2*WYCl* zLwf1nf3kH#KJpyrO;d9p68m_Uv01{Q_wnHMH2xaYQT$klTk`6V=_qKP9P!@2;j^HA z=gq7xi{X42O5q!h{PswLKSHEbtYaw$z=FPzQsZ3eW}sMwSNE_a-Uxjm+f7S6Iy%tM zYK0KT8b8GZfZRi<g3kN>Lf3$1w~LENiSD}C)5Cw&+Cn<VRkIU9J}T$tG%`TflOtZ( z%{cgS_3EN^&h>>;5*sotVwEyW?cI|&WLDF)xYTp@cIq_AXr@-lDq}TsK*e7@H_zBA zIb6N#<Fw2bv`?SpH8}&2EjuetTK-lrGF4~5T4+|WA^=LOav&daPK?(hH8LX7DzRK0 z;fa)glgWoFZ&8DoQM{gm7p|IM>r{rsr-nPI-shAEfB1!%;P(+8Pb?gjrrW`I{|jGK zAU~s2zGAS@scbZ?iv~k|^vefRosJ?v46#IezgHK()|62aW!w~#LzH{GYq{z#?@EFv z>JnLv@?iYcI`8=5SQ~L{r4?Hni6b-_SwZXAVjZ!Zb<S!sXSJD_Sf-S^%NIH$RqC3< zCvl5t;s-H+#U<yA;aYUG6Bmt;O{LSH&!&ENRsoLQZE-CgGC^&pbQVnLU|9-;|K9-B zQ`7kQ2<WOfCy{Z+`B_6`f<R&f;Z`-LNCMK~YEDRCRkQMZl@MV4b?HmUdUj(0r$9Ry zh?Vmqu-+eMG*oB`P3^7)s7vHe1g`@qW#Z|aC(d|UwDk1KKsQFHBCsg;cygcr8BgHD z-gj0W?z&7z0<8SC;JPe)ild8pP6dRj+$F(g*h$>nuP9YG11~+Rp(aP#;OU0*-ZhW^ zcJ8>^jzvmAQX~c+s8}7*5dVuc@<Bxb*49-$=lW=t&IMJOWH>3=hz>or#QNSbL}_MH zh61p`lpgJ*S*2j`XN6<DaKa|<Ac7LAi-9mNLjxbf)F8Bjq3b+1a0;3JA<(@prsyKS zt*5%!AkJ!*XoAYiMP4WE_JN$c@pzB&mnv6d47w{dKvx|+8aOSyL=5{1LiBbR_`1z? zI3h8!XahQMSnJh`*_MwLfLmmSo@pK(En`Wz<15P}o{E+9Zcj>wMp;*}(`#cAIJ8N0 z6k$`1Deuc~7<7Y;)w1SSPH;65Fr#BU$A_tEPHb9GB%qz3DnQvpTs-XnSBtt<2?BT> zZB|+;-UR#!SLOY}5N6e$#)fM;W9gD@=%KvU(;162P=pw*XoP(`A%U*g24TIvLm45M z98^PK+OUIt)Sx!ARiJl_1p3`dpPj^6MMlzA2XNMpWm>cz_&kvoR>fdb=`~TTt}{}y zHEW_4<yjqVHAjTjZ4*xIpz-L;Oj&aJ8XivjU^eiJRni%Ao~pmY3^O@MjTV2|v2@lj z!8f7cXgJ2#&@yu4F=R7^j#FdttodV@A}D}jPJ(=Xe<IqOGeadOM9nBa1vAZs->}w! z7Gae$W^m{I>`rL_&72tADx}03feuIw7ejE2XruEfRZ@{%ZPmXBf=*U~K7orC*~}uE zrMyb{nn{TwYJ?SzvmiXFgo&!6v6JOVs|ckT;M{@WBT9XM<u8cU1XP2lJdmjYH*i^T zK?Q^>o+0o<Hdi;}1-{5yfG%gk8Va3C(T8%@A;2|bzsS>#$~-o>UQuPOb9%3IHz}AI zMB4>5G>OgQt%gXU;RRgo^N~wle9h7ezY(RtbuJFHKGFogzpBxxqQ0_bc7{e9fFqe+ zlt~kp`XBq`piCe&uB8}$#D|MP5Q*U!vp8IR%#&gR4?e$WG+_)E93Wi1w|g<l&9fZ% zSMO8Sh7CGd22M;AC^B426Mm<VrBrfl>#8+U6wFxWStQDZ7=QJbu4-O6Rd5lt=Kg=f z4|WMK?TXMaGF-;Br;L}qEBGy~@;B(=|JMMzJ_)^|X6IBW92oq~g+9ZcO7Ooi-4ZAl z7yi1)f1^hyaf7~3n94YeHY?5GRhuN4f2f)J{*8i8PNw(n`QOQL|Gy6pzfcK;XCP3R z?s)q`<o`SF`&t4zgxd0jqggvizSr&K`>On@%u7FMnai?o%raGol0PU}Xy~$IbwwT_ zTuKNNyBJ@~==bIH-j!r9;Q8d*q^Hl_+X7uOo~0WsP4*#oD3jOo2c=0Qa2Q!ecUI{V z>-7PP+hdd;dX@to{zRkau^cZ}2C}q+rJo{FX}u2Ra;&sWR=c`sn48vE-E0!uhbOjN z7ACb4YkJBcw(79^HiT~paw_3mZ0Q*;ZL%@^ikbYgGTm;At)}X^E0aqZq=b`*EyTF8 zhY*k$?<~69J7yeHW6ogS1h#PW-i)GVglWH?6%E?z=}7@<#=9oa$8XE%N$>G#!0TDj z(ged9&+2+Uj$HR;@y&Zp1-zGsYK6za<HmOcdigkdHO1{dy3S9+W9WUgfUg&%{GsQJ z>P$|QuJ{&y1!xU%UI*{i3?X2VZW?qoqs{`<%z|HiHKW(a23M*t_2KxwmyU_!=&HXA zXF120AkVi{<by|dPshfnDj{xtUuvuiWv~f1{#;|)sN1)WSarR#=%UnG=r%ZdW#fWv zizX9k^Zr6z-%!FZrvZH{lIUy03R&|MJQC9gZwQfwZTTYXd#o>CHsQmbr0tE2*Gl4$ z&?m+cx|Cmt(Z{8HVucV=_)~e{9M2OR=aIR#5iyESoJ(9%_sYrHtpsKuk(5-~bBn*q zPvlY95^9O7zypPY7$wbpnQKAhV8O*)<Z6D_)8au}6&L$!xK9h>HSXJh-t{P0{sRji zT<Y=~kk^Pl>JZt8Y}FLVW|qc>Uo3V;3&L8joxLzBSlv{lv<4CCW(fikei<KPs0<Y} zu?C<1V4~OX4od}A3_*{}T*BS-#;1Y1w^jb+*grM%?`q;8Xoxlak@C=o&>k#T{hfFX z;f&J9o^;9cVKTg-YmXPvAdWj$m7&-Ie{p1`U==f!QR+jw1<3qC=0dS-^c0%x1Zpxm zZhe$5Ql8cLr-phruV`ha&0ix8KK)aQwA@!#ybt+kVyrWG6=(eus(Gad>F2vX@5ytW z7veZfmBbivUX+XZ+=+^Who1h--;@eY$Hh)7-UE+0t;RV*v0e7o@Tg87E34$A{7wdV zX5fnnGM6J3T(jWy<rjJiWf>sKJwg3NLC@3|+5*X9Ux?2zc|bFM5}5M(fbsJ2(!%Cx z|1QING+VqWA1y8q1We|o@+t+VS78b)JVKBMm4xD0uO3PgO)c@M9_N#&Zn=IW%+*+g zR37^HGf)L38j1|oF)@jv`LlN@0@VTwqj#AKn#!uj+C^$B;=6$8L|PwYT+a*@p|{G= zgR8@hEze{Zr3n6BEtmO486=T1z(IX3IdJd<Cmgwo^9Zhe`|zdZWj-GW<3uYTl~{GG zwJ@dR4Dln%Gqn^_e5U9AA}FZ5`Ukb+ld}$tu0_GyD-~^9O6`#_z#EHGE$20T(=T7D zL*olH<ro^(nIo%w0u`jbiWoQ;Eqli)y=9s9!`Qi>_EaICP+Bjb8j#9Iw31l<wGYf; z!IxvYZjd_P4SOCV1Zg;R;dwQWVXKUVBPNtkM(DZ;1pPv8A=M1V^=@32Bnt6ewD&lk zy)b&xESj)zyfI1Kj5MI-AApnP>Tm->lKJEgafzcs%;WAWmUVd7@q()SqDfy<D~_C( zYf=0n>!?hxn%pP07^xnl8u0q?WZ2Oe@oZNTCydUxR(NLaEhp(dV%nT_B(|pXHS{xT z6Bn(bRh0Eyx5_F_&0|}w0dn@42^x}-Hco5GRKVSXyi~sfj{e$$2nMKSE_u#;-pvDQ z?}o-XG2v%3GJi%6YCz#pw(K}_*N+K-qO2l_xB4Avr3*_HaP3NzS0blxq@b`GP$?%t zq74e7eKwkkELP(&7<o2ewN{e=3a85gVWON=%%qKG46c^|jkDTOIIFL#wsRjqoGnjH zVQYP;Htn4M_<)iqEB)D2s+?6;;f_YiGxbE%kC>R$u|_%@8R`XPO@%~vQcT8nhg4(F zK{3NZCGd9Ds)km@uh(0g6Tsx3&u<GbpOm#(Q(vqtTg_Ym&4JegN87Za9p~WV2w0EM zv0ZcTTRxa<%|=|daihYDkV7-09HhonP{;+^mTVO&aPXwM;wJch0heOrkKBa8iHgE9 z2k_-7E+>PQyS|l)^vpeF1y~fJTKd7$DI(KUdm?9jhFi?q$+c3Z)3G$m?~+w}gA&E^ zYi2mq!tE{d4GEpj-eWn<N0)kPcROZ{BnjPZSzlJ6wBh-EDNe5*9#;LM=_H9FboV0l zH84xR5~(E)dLH(h(21)gbevC7BEqllNYiiJ#so>*1#OZvMc2bs=zU+pRgy?7Ni@IV zU2+fsY5^EV;g{uB_04kLjKMichwUnmi4{v4_<1=h1CesOcWE>viqKalVF{@)l1<8! zCe~U3OC50Nio_73+JHR?tg;+rL6?C*ZA~8Z3a#_`Hd!i5=_6sRAz3#3_X0v|)DGXU zN{H_ylk!pL;N+pG*SHi<GI4M=F`73JEvlcRBJqP3t(=Qp+r`{TXtTYNt0WL+ppt=D zMiOhi-wckZyU%esKW@#(BpCP=B?2+y3@jB?uf$pEw+B84RBnp91x`liYaT+^VnZOi z(jVoZzQZ9fBeBuoU<iud<XZgi6Y}Aw%NlavBHTq_90DyFh(+4u&qFtVT~EO4)lIt) zfNf}RR3k^qW~Q{yC!KL+Ug@H!-T<R%T9DaSIEj<0P^QD<k*TAOM)mNgw^t=uhaOZL z#kv;h($QWJi1K9u+i-j+Jr`D^q+rL<N=Eveyq|`|yVwNUmCn(+tfY-ZdlRve@G5^m z;1$dH5CG>4!;@aD7HbLyDr$kh#Un^oOd_|U9Y)Gm5Gq!+(|qGBK%Vi`O)HY){HG}o z1ASy{;!snQIet@hI#pb&B8j)O4TZgWae5&xYnRrCNK@1L(8vy0^$C59%i?h|WV@v( zTedycRi)^UoYH$utwvU(AXHtWVP{3x+{siMsg*JM$%fq00~=THRMEK86s9?qFd{kd zHm<GM<D?DEyz(fGs!du}KqTW8J-go8WTTOULx*J<w)9bkSdsAv+Bm`5uE~hU%@~DR zjNQmIz0AkZMdKOAB>K=0DV(1t(!nSiN{t+<3o!`C?|D+wo%e&(@#GY6&f8JjgcY$D ziXl&zr!PYae6KbnaPnqGk0u%IC0L)~^|&LzFfoQRY7rL6Xlfy)h+MT?>>X1IMT<3w z`iODfJ?Y}$qeYasLhOWUNM{ew`5D!xjh|M*VZh>QPmAnmr$vtSmLID|qNfPlLboby zOUd0eE+pZfCfX=hnEBRY5?5o(Fv4-j`nMc}=C4QHxDYp_iBRi$g)u2^jkJXzdXBJm zqE5TfK1S8nL&j+Te1f127hqg<rt&bUGjKwrocXhlQUfI(c{G(eg_TIJB`FUHn%K#W z>>(LpOyiPJ;!vANJZq~F0rK&L7@cKfwZpim0;|Y>$tmV|d0ldnHt#mhOv-!{D#Ijl z$~sFODF-=dYKgF=Cc1Oa;G`fhtvZlsZ{~0*iK03uf!eB?fMgueC^P8Fb==2Ql7jPi z3tzlgO+89!bg@kwFCU4R3_J3ED5<~t(WKc<7?-KfCUtIPv^yB|#F44V;MJ?+B5anu zU;7m%7pUd9l^Gf*ql^nKv4xFEO}q-BKF52d4VffXJD|9R{FaQ$1yOk)RfW?I&Ac-h z<6kJTSRd&mkWs5zdiB0g&4dLfJn(bFlmhfvghc#&t~JnwlQA}@sTxZ+P1PWIbU>ss z$dENI@f1mwmA_g&eOSTj#YC;~ww_Z;&mx7mNvEoB`kmZiG<qrc>3Dj#>@C-piJs#y zPj)rMv@wQ0LLqdXWWwfSTMO}ZYDvhILp{Ssky~pbQgTFIBCmbASL*G^4anJTn!?IC zx5?-oMvJU9M72XD>2)A#1xKrkq~&&(IqaH|C%(t{Rd-2xd+M4iW49MMeNJvoro=Sn zQOcyuASnUIQJOMu`bG~L%q1%i=_EyGbaku6(X`I2k#~_NUs~8@<3OZ>6+FuO3|Hg4 zR}xGOsI~Oh+d>G90=1w4QI<o@V-AVf$oV!zZz4T=iP3aMI~3yhX&zgLxd0{zvj)HA zPwOj*6L@Q+rzc87893MtQE@V0&`g~7CrRwx?7|tvdA9~8k+K=3C&kCj6%}WUO?EDd zot7%KtUT!C8m+GLb`7oe!pYc;ONENnY*CI@2E!{{mqY`cws%QM>$`Kb`MRu%tH~xr zl+u?^&YuY{#`}_muOk=S2@f`K2IKfZh1n&8t<n0|fNu3?k{XK9!RTx=D+y?mh>Hh4 z8|Bh<i4@xRX!$DMg_sw<hJx@{9V{H>Iz$SCEI==arvr%=ET|Eu0#kbq{>bn?@u@V5 z;RxWzvyZ&7N=`BK04M0`0#Yad=Ls|{aXPc+6@yVa?7TX4N2;>vtta}>;r!|H4XG@f zbiI+$r-$yAG%h=xfK<qfib>L@(?V&L6Du+{Fn<q$rj3Si0P7#KK#x%J%Ck{`T(GQ9 zeJO`9msy#VY;KpF-ug@udsdv3orw!Z*4N=MT2M+sh{|#5Z;a^2Qj20VII5WM2o^GJ zo1U2%%fGS>V6x891z8!k8}EFp^H?t#A6Yp)j2ZTKlpF0>Nm6Z#OM~_c6(7~rWe7VY zwq+#QJsojxn<ml|-A(;V6Gul!-iN8QJUgSr`nZ{nM$%=B2;>lLr#vremW<NIm`R~# zW1xpU2BVv_h4z+h+hX~F2E=@Sg-=kyhKFljjkwC`(K_@CL)tZvL3(D=LtYc<OQzw9 z6nZ$&2;-_AnH!+LHR^MkSM{6#QyE>3OhOUI5Yt;B#Ycc0ZeNZUk5L@|GvxgQu-%X* zvJe8sS{+1V!Wp@DPEiExe8G@LD+3i+lkuN{lH1^~zBc8_hgT1-a%fc1tHyMe!R@;B zd2K@c-(=MjHJ{Gzup{O3WxSNci6co883vr#>p=_1A>t{h(cAUmUgCQ#My2g&ubZqw zF^-t>k3N`)FhnAHSlN`Cx&$=kaYmDwjA|wFPC>kkc)rtIry*8M_y<vLNpy=dter*~ z=L=K54WO*%B|x;w_dD?jk5n3=4@#7mw%y2NouvPEpp~USb8hko)fkoX;9|F+-GA&5 z>^wRY*<y;*_$|qN@oKGB;AX_D-_!z%dKBwlXmIZiVkn#xt2*peYO%gk@?Nd%d}E@b zwZ|q7|21$@(l$TJl*e%{<bj3&(}>2$;6oh3nHd;-vifgGtR6t;HA{k3EUYq}m!w%h z<|XC=s!1*)O=)#Nq2QcG&?Qr$7GS~e0s$R|b3wDxO5FO~`t^hB=iL!ayCjqpPaiTr z3X2+k4W9;>;5z5y9GwiFG=?0B6jE#m`&p<y%$0OcGVTkD1k4C{ERraJ2OS_OmBb+| z>xl)|5Du`axXOtsuT-O~NXB@mVdMJpkXe@%=oA$4NGM)#%)8#~pokf+G~~gc-2$LV z+n4fU<U;g!;M0VamfBPbYjob3IF&&5nO%el4<R`jban)eRTMa}n;E+NlT@bj6bEQ& zodl)PW}|Fv=!}@MNaD!YsW5bi!2ug&V5@S?rWJi>^gTAemm)XN{sS)(C$H%h6T zU!(fC4>r>IJNRTpxsZNPF7=GLfv_c6!FW$rfHawz8ka41#E07n-x8^oOCO`KrD@Eq zXFB?@H~grL<Xq=|{UGOmNAmIy)#o_Zc5iuVC66wx+<llQWxhTx`~9TH$q2)YGs>B) z!bk7QayVRePnJe0O6!Oo&C!cpA{N0(?Su-*{IFSz#z*d<25Fb&_@gG4BY$3Wqe0^b zu^3bpmk&2XLvny4moNV-kSOY{n+sV;wAz-}O(s#z6Og`6!8w)1-Pr<_`izur_ZUaf z$PjNaBpqZhNz(OaFswi6r1y+bHyQV=TAfte^_RbDd^nB9SEN1HTsmj3xUgrnlfHqz zcACW0|JYR#RO^XG2f4S5lV~(zNB)Q9!17VYM<r;G>3qdr*vsPyJ2DnqiFZi79wl{2 z)-Vg>#U-{!gP}^#fst~n$e26$)Urp0n(5$qV-i2kcsdf3DVPbPn^RXd{mqD6XUi*l zTZWO-XV2p`PQm%>(igOqeI}4tS#@RaP=x^xT86*5XoRFutQ^stUy$cASHHxhuxig- zJR?@-j!=IS<;6c`p_j#Q?&~i6hmWrK*vH(Vdz$I);=X#LQFq!S`TLUv^5oDT_LSb) z)K=(i@{V^5-LUD0E<SttCqHoT$UAqh|IbhU?(*KV&%ghv|9kI4&%f^1KJvf=-@5qW zgMah~KlIyo-gxQFpV@ZtL+Ae0fi-8Xd3xFM_r1PUKD+TtO}87H{I}ITH@)sdufFV| zdq4C~cRuvQfBsJw&V4z4>l=S_|Brv@Q$PKIpPu~j=id5DZ(seUM|S_?!Q1y1iuDH` zx^r%DVC}nS|Ma1|U%vhqKlR^#|KQzE-o59OWG(Lh=%MENvtKq=8~IqHW!K$Z8+ML% z;%$OQ{_*SYd-A4V7+TwVycMUHuhXif=q_Y7?1q^!(iA9c2O%ZC-yE77+jO}0+V}tX z^KX3hW9OxfL(OR3MF(H`ihU)TN=!S6;yRs;%2npL2r17s8w8_O|M|`zef<Hu`OfE` zU!ENLJ#bA!Y>(0|hTppKp@%+y@#bUEq0v-|`=h!`GMnV~gD+4T`as{@JJXVLuFid- zyZqPAzh>92bJret_RzugThF}j=6`zs!QQR!z5k<szWhUXF8`I!Jk<H|4_)xtKl<>t z4?gtvOCQ|)xj%mBU4Q=@%g;XW)Uu@K^`-di+Aq}`g<4PT!qw+qbIC;IXFt5`gJ1i( z4}bRHuYUMLAM4vSZ0{U<|MOpX=y#6AyWV=$5BI$3j=I_;D(-$cHW@0f-TUD4ufKcw z8IS$Y-~PkFKYXIK=P8=Zy*GdK(1G=5|M1Hi>;H`AweF0Xp-`fpS}l~3`-f-0^8f6* zZs6SgPyY?y=~+i<iR0G=cT$)(K&9l4*d-8RlY5??iwe!!=Ku4X&o8_1?_WfZ<al!y z9UT6{J*A;D<AU0xUXL@IL{8-*<(9does_U(a_7pKgHP<nCg-m2DKf@4!JaTd@VZR+ zGeY|%oCrNTOAwSo=b7M!YYFh!47p*0bP9#S)<R*!hQii?wG$nNFo5H9iyWCcD+O6Q zFrYrp9hKrm0|RT<GBR!@dEl>Sjq<m_pK!Np7gRe%c6EM&aDV@`&+O{x8M+%fKl6#} zIeqyj^mM|PD*cFXDxRKY+Fu;;$M1G#^^`nPz37iiKOy}C6EF32$npHWo9Qe^CXN;+ z7zSp~Q61p(ZNck$<Oq2JqSwo-+wF;teBtO(P7c1lKB;H$9zU)ciRig$>nZ2c!)$*z zTg{(3?DM@e?F7f^>OaCMyKiTcBS&&p8#sm6+k{e8n{4PrY7$PayXYcsldYY#YuEN~ z@I|XO>8vHG+N6Jj*Cdn}sr4XRY|`ndO?GKqKL`ABt}pbQP522t4Ntv#zU&D$*?F|H z6ZL1G@ue?oA4hK2Ce7v&HsOHUXC~542b*Bj@5Ux5AoBd{8?Wx`Q{9dp<vN?&L}x}K z#%LW<-)SK`b9pONBb|}sr=y!Fa^7}_tmrw%vXgSrvQW^1Sb%c?k^ArG>|<Ydc2Q6T zep@+*vaq$o6`OP}0&`KPf5XI1BCx`?T^tt<AN4E0Q*F}m2R>6wK3-|mhnv|%(`r!7 zqow>K{r6LroW0!tz)p%-ZNiT~>Hi_M$%7Nb5x)6mj|H!B0VXC|?^T=3s!cfTI>(>R zg>&9cJdfvJKm6)~X1Z$=O?muyXSPNAP@AZtF94lqp3&HuPU<y29VKGbCX}@Dt5hhH zjPykQq3?>Ku1$8SO$uALZr!y@+sRJtyJ#a5ono*FJ<335c4z-Y=)2agReq=TU5Z6P zPP^8!Bh*11bO%FuXza7}UEb^aq}(P)9(Z8Hmv+}CE8bE1i6al>HVKvq6nWk!9&Ei= z`!2N!t?s+ANw@DBA78>I9kt2$w?w+94(1|HaPz*a+=-8pvC{(Q6*o(b(x!@j_n8|n zT%By`kd#3fgA{LceMT3`{?w10zQXc#qE^a`y2HuUGW16#F)Q`lW0MS%PTvJcG8vY` zi1BAW$GpNVzlyPP>S`&!Leq1O@&{Ffx}}CcBU;nR)v}8CI0^EM;41xKkfYP<A<q5? zx|)pEcp78`$kJ*c&}I13ItIcl(!1#B^N4PDa3e4UV+|AMm-wL5&>f+Say`P?&y|^> zeaZ)ybi*>)NR)0RlWEPH)wsoQ?PGhdT=R}~cfLiOmhs9PWbf)R-cL#QtYyc3TQ68| ze7H^UdRXFFU6slW;yk^kgKX0dA5JURHkxlcKM7|#hje;Aa#~S(<;R)MH>|oYImGS5 zt5|p(L;qvQ-Bh-<8#Mj~OZvVM!ZNMh^;?Kn`f|CR=~H_Z=otLl)?Alx=QKFJBa4Ig ztHzS#Q0}7BAiR;iceV0T9dQ6R7~F7Gy2at`O_$juxNm8OJgQEN2-riPAo=%5e*VM5 zmMemt0{`I#YiR+PT;knvO-Ej=djoXTqtn1Sa*q7u01u769h`K2{;bS0-^e>UIeIkA zO=dUfP(Qn2!>$S1yW$EuBV<<6M^99(vh<?a&*-yrXTMLoi<+{Fk-@aCIquvWeUqMe zP<zz=+1c#V65F=@$O9`@SgRTiOpJ`cm$6Td48Y->iP;J>MZ)Lmi)H!{dfy3VU`xP6 z|3IhEU+n6<1j%=d;w{V~+KCNWV973N;z+-@83Q|GS*LRC+wXsm(lgRRuk)%CVfLKa zbB_Mx=f7*4Fp4vt^lyWk*JHsZ7hSZ-CY{d11wZ<=+n<0=$A>01VUm^Eq=QX%?re6X zrSrtu4rcIQYEhf$$1YHrk*?Eu>M85xJUB6eTV(1m@!-JhQMJj>@NEceQo59J{sGP1 z#A$5O-#;+$iSLC?#w#b4*Mx5md0D6qivjh#P5NRP#{#o&zxMw7H9pv6F=g;~&f)JT zKfmGBm{Tx*4w7Rx>{6R-*hM+`vce|bon_ut^s^|PZ(YRinNH~lC2h4`EVhZpt3h|+ zb~>$=#;HyC$c1A1^<x*5_9-^eI`9DVtBHxvGeyHD*hkxN=@vg9gD11G6LigW4mNrA zlzN%Rf2Z#8Q*6>riEgJtZj%l+dG=Y^`ps{iL3e$6n;bdk$T<@~Ik4eWPA`n<--b;J z6U?1MpVqa>hN9Xe>$|vD@iu`MHlgqOrDiitLpuq@hD~<mHp%<0PUk_`(tS;Y`&XvH z{R@4U>h%Dh!Qk6OG$Cw)4OEAOc~5|R4x5K_m%eMD(EsnZiSncGLgp#3q)iH0-*uF} z>)B^NvEs-#w=S~DseRWu8&h5VQdXDI$h!q~r##+2@X_Z3rKyw~*(i6TEFAXqvC)w5 z9PtAgUc*X%OKGFJ19>>#bNJrUB^G?o|IGIup0h<?%S!D<gWi=aTt3CUEFI8&jpyL< zy!lvg<u-=<PpO}{+q!8kBM;qujZB(UCYcPbMJGaJzNBbet{!6RopNato+$afbGGy% zD;W&BB_{As?#kTv=DLJDOO(l%b6(-|GLX5CoHL=}p7-YAOwJxJZPDf4R+Wbh?DbpZ zj)kzd$GVL6UQ<<{%iKp#3M*do^z=#iI$>qMD4nt?Tzc==)sBy#J?{4{YW!H$r7WRX z#{yE^JiL_K%;ItPwMpm0oRRb9+@JAMnY@ZTfa@PDxtcM^W~Qeu_3Yjd)_AX@kM!}u z7vf`d-Jv=)gKl#NUg~w7``ygdFgkqhsD?@T=)FEXci??KFLQs6OZ@($!JTUc{Qjdg z1N9P66)|G@i;29{UzMuOZ%XkBs&U-lH^u4{BAoc$QayO<eM!UO5*=@H+&>VLq{7Uz z-NfG}3aDtBX)7`sw+W`=@bfrbFVf<wuc=KN$G<`r<i-`THUXpa@)$p15+1C^wueN3 zfxo)a4!^aZ5vx3`Mw8N5gaU-xyi(B!N6@yp_>@*@VgkJM&_BXL1TQHp9`%A%dahL& z<)>sI)qugL9+XyWz?|sup@oZ!oe%i*-WL)sP-H%RQDpI3e>GlKf?^vrUJC_`@d69@ z=tiyLOyp5|RR&5qE(JsNi)CHpXZeH*nm&oSkYaMmc;*!iQOfF=jmD@87|YM#@iH^_ zHIlIY<mz~orj@`@A&HIBXuyugHn!+x3GwM#ze*9m;TI=X05x3rOJ){&CyfEB{>nw0 zxXl7u1r$_<_l2OxsaK0DQ6<S(oX2TSdq`MNf;jTT=qz|MlAKbbf^9RRE_D`0tWu~> znkJDmbxaA7^D37Ynt;X)-s*2oeIyrs_3MOp?IHR_?0|tP9`o;YjZ?H|?mGz;p!5bD zt7J4vjI+u?1ZNykV68(PqnL&+R#n+P9j>SJG$A!N&Q?<@7=M+X-_Vo_vA<4wo-U`7 zh7H>K$Z&xdk~J^*yyk?00Izp%&KojaC8xw5q97!MUF6+`+Mg-lDT6K{6&udF92BEf zybJSP9}ynUnoaEt6e!z~5FeBPtM8Ff13-jHttt)**W>3?0{j~dLz2oAVO}%kGRdX^ zZ@UPh*oZRkImA8=j1s{m_Fjn$uI5uyj^K_U6dWBz>@+v{`RNrPQJ>SWLgcSwTw7#s z#7j|Zq@tDpgq{v^17-2b*_XX4c`Luji2N57zo^Z40^&sG`OCD)9go*Vfusm}Mho;l zQp9NsPK>VX;$p6W&=55$7Kiuh05cLbT;PB*-jlS5Y0=xn*2Iwu#kQJ-L!7TRstvX! ztUK1i<jb(yq{o>hmGMf2g)25Pet}SVhpt(P=WDFj<7v{X-cOBX>8VV@e3akil>N}y zj0r_Em59~lVr-oA)!DC<so8Qhnt>o1F+2vPF^tP#g?(8%kt&k_7-Tp~FDH>NQEg)3 z=iehbMF%jshj@oy<3rI!v|7zKuWuYVaAGJ_E!t5XBYXqIg@WfzMB(?4Y8vwFyd_77 zj*TNvMwZtyk|msZN$;^N%+=CQ+v4nG^A76-6InN1v(CAuBSs(U)XGjA0TJjNONJ<j zsmpuCq55vNPB&E}=NgqsKH3I-nkX?J5EIrh$R6y%GE^>Yo-n#ZSSn*JEvGkf^L;Yl z#wIoWFa$%$iJOQ{$w2zV0cJ{0_SHZ*7DW6F%IJaNk08H>MRn1LLH%X#7T1VeGMtP@ zX>W}<6S<<DCTdvh4l&k_37n}6jwB8KWZ0nqOMR|#zTi**gvRGrONmHNS&q|jw?r(> zA%WBb_Ye`89$+=Wm?EM{U;psgu=5|ALJ`1EwXMd1q6139Od*Rs$w}$6boH*S$)u4f zqg<v*Dc}^yl3E+bPNQsMY#p9Pc*;tlj8UC?H#OoJ>9Nw>Zdg`q=wfDADc?hO?Q7E7 zs_F8+;h7$BjxvbcR5h-`&m?x0rR7*&i6TW8+lk#gPmCS0tT#hm;<nqwN<8U^LZyTl zUCc4*s<4`*OYOCmdbzqP9BL>l-cXIKt?GK^bdy8jn%K&W44ZOXP1u-0&YHcHqm<p{ zW{mE2z7AhF0nwJoHz|vF4U3bicX<Gc4PyKalhn$+^QiiUW?i<3sp>?sH)XYIWLf3h zu+x56BKzo$c2mQ2UXZZ8mJJAK51Nl_8?}_dt6{WR8g#9kO2zC{lQ5d8R^W`fHK*+_ z;#qz|wJ=iTap*oJXXjHbV&!_-7R|aOG9`Hp-CUX!N!HslO5yyxG$%_gkt-q-4Mzjf zn52$w$_wiq?vYgy>sblWO(IP>0(QC-CB5}YcvHbG2#QV{Dw#{)=geFrjlJ3<>hf2P zPB&ahw&EdMqo+%Gdv00b?=b45!5G7}m$=aq#H6q!Vk|;TVtTwDiRUX&d(c&abg>XA zIA3@yd$Y*qmw_2gP^UpCnNm*l$5;X|=#fr(z6{He%*;3@5C|=5wWgl2>YN1p;BPg> z(qJXFLsFM1%aUNK7Y_TYhLsrARFqRc!n!}|rVPTg7PVlm{iimqv|z<eY5;}nB5mj9 z2_*gpXF*7tN|#i0?J*gsAVATT24=Q+C<~~^G}bru8Bm}jv7n80*J!V<U?HSZmS?m+ zLNcYu_&r>RZ%g?eO@tv6OC-CENFI6<7q{#4NbrqTrJ)X~SPul9g`3LLQuFx8$Ou0J zW>5)&U1B%~-((aa4dp{J13q{$G>nw9JkD=gJrY~QqL?m{YZ`r2PiSeF&(J{|)c~Os zltgMz!11%e!DR5RI!d?b;v@0iIH9E5@{+?9F<SrAy>+=27SZTxV@f?qX>=l$aqPC- z$f(v{Gb~V=zI*Del3bDMfeEQAxjHh`8saW@u@korIl3ouQ%{fM<xkQZ8wB^bb*(X3 z2VNXC=jH@fWi4L++GEWXdV>&)sn^T0!<8EnqZ3`Xv*c~eA((W$ZlgYtkx2YEWn%JT zVl}lQl)%?^i`!1;_i0kR{3FNKq_2*Fky5U^)teIMS}|R)s;2p;Haf>OJBkz`bT1|{ z@haky1W9NPa8R?u(U!h6aPGZJh@#*U`aLId6+dZ<i&E9a^5+$1_d&vD1pKw4v$U!9 z8Ev*(OXb!V$!iM5A<bpW4ns^OiR3Iw<Bm0HxTE|rjyI@FG_3u~OX6i}TG<!<kQkM4 zPp_SdY&<W+1F8w14%p+8gf>v4#L=7X8Z^I|N2KraN?MVtdm0H5t5|eTZ$*suAIq*p z!IZ3z4_!|6uq4?VH=Gz}f1YCvl!fL4EtNVc7yVYqdWQCnPA}-YplLYkF}mx9qxjX7 zR0HFTP%5l5T&Mc{dhHA+mKN)jqm)#p8&?Ki?NP6TIJ$j^ffZ7tJVJHQ@ae#`CAONz zq20W5)Q+;;k={!GU?h%722LHI$uBkaDMXrRdf8ZOG`{aVi7n{&o8ed<+g&Kn4G*Gw zB+GZ~pq9uj>-Y8?j+36oSc#`CQd!sHH7;NGXuU#`9j*GIF?flC0X4PmsM;v<=+p5! z=2qMUyWMe{xIuX>G*fc7dCy46wZJ(3xuu#yMHo1%3KssEJ^_tW*D`Z+^)!AOqSZx5 z2Sb2ydEQ{}`rYf~FbiLstc_()xJ#Iso4C%3eZV@sQaQQYgAn85eUWpik(M~)+LI~m zgf-YxahrRj|7L|oNzYl*8gKMp>l&U0Jn(7tc4#;kk2u9^JBdNmQw_f``1DpHXQII| zRZevRTohdGXcde;mhv|5O@=578F-}PUOaD1v02$7+?XVNXHce89O>hC#!ONe-CWg_ zvR=wXxXn{QA~Q(Pi9aH5Vd4<SrYR(cwe@k3KsO{6sSG937*b)HHFhIMPKM$J6{fdb zER6O=BW0|40nwc8@rtWac|0Axgl^Xq>AR9DXftGY`wZ!vdFpPZq|G?wsVd}_+@q{l zfZ3Y@eK2^jz3l6wCo@oncBhkEZDraNk`^Q`O`9fx3nNG!`y`~Z1jp!F>!ODVL`hV& zbRkh+W10jahCgYJVK@XY4M<rfFD}1F*aZ$ly8Sr8B*TbJqGU)XcG_Bn6B~Au6ZN%( z`5*R1b3@<Ro7VqsL|7p?m>SzG%lWN)<?!9L<W|>|Hcv<n&%9&~-VwKQGD0PB&WyxT zJ8Xt1&Ll~DrxVA#4I$~skGj~s!XT24*rsKJ^!CV{YsZhCZ@h3f%qru88?Z5VHFPU& zSE+w6u8*U7dav6Ew(zLLa}*5aU5~Cn!Pu-RvwzEsM%?pY=UYZ&am&fe`L2huOe~cn zeVWG7)->P^?j%1dPek<*m_d`;ICgQ3y3aBFR-Q0dFqe~!Gtre1gsTUgbyBAOGXSKi zbr^3qvk-fUVc7yw_evbWyA};hQoch`(Qb+Ah+7I$=)J)bYE_Sr%vP0>j=807;u8f$ z#proiB0sjOY)7hk-1)rk90!Ky9iR2#<$xBm?7OA>0heBF+7}Gps^o6opyBusdd@q# zJu@biNLC??oBJTa>HVnTZ9Do?Ix;^=C+(OuSdzCfklZ@Ii6$H=2dj7&#jQG#aa=dC zA5{B4n)bkaOUnJHSZC}^#NA9HHGK-f?jpC`Hp2M*dOA*4-7tkdla9KcAc}l10-tHh zu#Z1vi2Mm#|Hv5yqGck5AzaXmz=Tc2y*>5F2tWo@O&o`>2!^~94D_1Yd4h(9sJCTH zLzIY>qS6dwVyiM!6HiMWawpd1sVo)u5wQd6TF$(gP7-UEZQtI;Yphgl`5J5X89S8> zTU$ykN8Gc~tzxZ#UfONCohfNfRc8!({k+d>`U(_|%Wbc|zno|3R$?pXSuG|j#=6AC zg0<S|R7=cQyG>^4nJj8Mk@No4CI|X#AF5!_y~XBlyEwsH9CSLBO6t<4j#?QjyW~j& zNg@@AU?=5GkC`byQScAvkRkfZA@Lr|0_~|uh9f82T~C!BS(#5td{`cVjWqvyoG|~X z*=Urj>ZHGhOliFAqfS|D#_c#YK%`!?T!Ca#f`|}2`D$cESz4-*EgF;P##Uw!dP~X< zk_BQ*12tmpz<7(^eVT}PD4FjyXMwNurXw`i0l8yxq-@8^$$Vj586D{u%pmw!;*l*3 z1Tw#Toh}la8YOk_cvMQ`L9d$AUMzkzq7ImzO=KfBJi^eC%UqT8P|==_<255SF{AX{ z8wvlE9g)}x>v@d!xI_G;e6Qg(4i*1ztRySbXl#n!k<SsJM;q<aWNN)1eRoJajd~K9 z;fQ9=z-AFFi-6+P5(OgKbRR}E+V8|-r<jvj>^emmD8yFMEtFh5G%0OdQJ`;#xz%b3 zlg*(%c_bzq(6?ZqP><zRSCwV>QLCY)Aj<lWgsBwvgTzRmF`hJyaP1;}iMAS3W}LzN z&|ApGjn)$6^Z22W*m<4$IdozhV#BLJIWnt`nGK((iFceYa^bwVWK3T2nq=w;YS6Ml zDHVKXv@~Ke#T}@+Q~LNap6($WCsXu~Cf!Y==t1gCqI71{h$)m~OLouvz@(WkB(jBZ zZ3+$>u`soo3L)k|1PdwOHu>6-FV9<PY<7uY)wnvvb0?$n&{q?AfSHH%t^<sL1MiPx zv1{UL1x^JfmGIMAc{gP3q3N2$R?rVa;E338!PIdw){dhQDz?#hxP*I8Ej8^ZR3&^% zfSZ%#U!0Noxl|523ix0we^+zTp5xge;UI~&GpAloZNTkez*;@$*wm+C6&6Q%{OXSj z6+`2_8|V1kwN~lN=ThH79ne;qfjg~B9RM1qi{~~;R{oS0EY%^X>=tMhoq;}~qlVBB zrL8EfK0a9%e}cmt!*bXj#YedwhBdA`jH6G2K8!mA{=RZE9b(hZ;Z+*JKmChnSUo<S z()+NBf=ifmP@V>0J9Jqro7LScvUyF(;F>oKtuC+7Fl~*LIx`jKrIK*rKW2JrG~72d zswnUpAIoT$E`*aqnY#w}0CdaAVFu(FpC1^+pr^{$Tm?4|lg{IF*JR<KuclbD*;{Po z|ABvKGX+kT7x9RlLw^Ul$&Xp&AbzJ3JrZXLaBA3>F+-G#+3Obg&1l}xCAgdqKzQXF z_&|!s&&p?VJ}1yCUCAw^)ASj?oc?6DP_pUB?6@hEM$=MpMxt}aB^jI+Sx&XoM&@gs z<|#6cYkPdgY>rzT%6Y+y^+x#P<IH6$ab$+*xH%bS#3gq*p>we|O}Fs)G!a{q8Huk; zrX-$`!AmYZzr>Qwp+5G&B|l!~&R%EtCW^GWIs|EP*ys?C<|!7Q|CL(IaNSBH(a22i zj0`*UXzLd`YcUVrU8xbvdE8V=RNYtlnOLe+SgN6mwv^p68bj|;dtV<t>L<sR-O!K= z<yFVySDgP}=96oV$MyZcvm!~7xCkR}1mt;0q^|Dj3to5Ww5*4pTQ)NJDr}vMFy|9_ zSECx!S*P}zc1&5I9?b%^aLGU-bCE#<A@kc~x^P)7Rm}^LRvo!BI<F5yo)!|hic(3_ zB6Aa75|yOF9$juXOtMis8RBwiW8#`gXwO4443F~o%-D6qrXrUN56ak2nPEHps?o9O ztE={gv50U|pMlS#k_^wpoX{D`;q?68R&rsv>_(@rk<IbwaES+5mzTwSM7zOdMp&{# z#<w?m%QNt=CaIoq>!hJ$)0MMF=yamn9PMArWjHd8wBmYOtGCm;gXrBLLuJO~$gLb& z{aP`_N95@m{ObJ9ED6nJ&zniQ`^?84e^TNrnzZ#KZVpEE6%^$O;IoX*!ykYD-BO<Y z?*+<|Id!k>Va^w`AJNGEhD<uSFp3UTcq~wgj`#;P%Ed7PCfu7~fZgZLNT2olDVs^+ zh;k^zO~deoj4nK?Ai(bwC>;FeFRkmme{9*!4;@+l@MqFxcRp{{J^y#<+(UP6-21>2 zlGN)?d&X92|I>AOV)x%&{JHAS-u}%OpLf&4U)}QRXJ7V}n+|^E{@3q)*)KoTslK># z{>#4l{6GHnH+S6ovS0qCe>`&K)=w?_>z5z=>%WLUx%>WIcYft_zxuiNY`f_lufG5M zcU-@A+f5f<aPGq|n)}-2bFGiMyH_k*_QmABTQ<x7{oD86H?#S+{k1Rl93Hr(wtxR! zd+&SXmUlkC?W^m)b<XD7?%)5##(ht@=>BD2+<W*>ZrS(noo|l+X!;G*o~eCze&Mgz zfBn91oPFo&U##D^_1JA+tvy}ecl)vZ=l}G(l20Ap|6FJ9*H?9(`_q4Y{=p~T@h{J8 zedo>Z{+*S3_HNz7eJt%H$_t0P=DJ{$w|AcZhhH1m)!Du5w|@D3U;d+Bck{tF?>%y? zHus@Bzq<F2KU-@)|Mo8*?A&$VzPbDFKl0pN`{3eeGrul3FMCgX_>pM$hA;f$@-KhB z*85xgzufb?E+Q{qOb*wg^x=okTmJCAeaNG1PldJTR&Lt0^@g{tUHi(v+_vJ1&F?<< zx;O1Q`wibX_g9mD{&bH$mS|(a=AV@4Yfp_m@zmEZoO#EM7k};gZKD@--uU)i&vvdK zxar1STb|o>-79ap@z<We@ut7I?z!hK-uA6s*IoWsH{NvR&wV{9UjNkk&9mFC|M}<p z9(v=qR^IfN7azRwh8u?7c<#$Ddfml8w(OIKV)N0vUb>>T|CN{Z9*c(D-1VRCJ+}F_ z+T7Q+rcXR^2=~*`{IPT2{_Mj$ulU%p+rBvWfxnO^j~%+d_6MKt{nW8{A9?q#8-L`p z*ktXFcdy-b@r^saamVdzf9vy4z4+6|+*kL1a`wDWxA*<!=ihbTrw-rz+<$kke$9i= zed_t=|H~h~>0AHQbGzE)%-hf2Q;!`+aCzx4c_SD{8~*kA=YRU9U7fRk>#;BF+WL*p z4&7Y5Xlpz(bLWG5I-f2adt%M?&wly~n~wj>@4UPA{Bz~E9TzN%P0koPSo`PM=$4sx zKY#i4+qRtbKUb`t|JK&xv6(}Wd+IWIV)L4ZUwPxUl~-JWyspe#wz?z5*@??!W?WvW z>p*99fB7r>{c?HM_b#!Uh?VlQ+{m(vky|s}xj50kZD6h6>mvhe!Cl1u#sZrnC*)|M zz?#vHcBt%I+{JqDS=NSb^?U35UMiVj{}6i<p<i-M=VCqCr+0q=4ZTjPSI~{!LdAij zN7o{wXM_dyaD$)jr&P%3`Ultm#MVnz-Rlm#cCk3{AU%x!&3HPcToU@&&gEqMBbE8S zkNiY~=MEoHvXPmQ5nVjn+1%;O$ZQ3<o%wlvgmEHVbdlznGTL?`UMfp1Yh9ojc|iIv z>z~=s?`_gQv9pMe{cJ^+7-#ujAjWqQ+v6rCut|q|%wqqB*_|79sZDh4`@q_c+N85} z>+Cjf6V~~{152q*)GBMCyy&9YowI0}B)YSaeOwy~p?pt}b8_JR`wRC!GjY6I&Y5m3 z^e#RaY_jav*|NocFSQAqy%chre7=8Y2b)Yh2nL%x`>fi8y>ri;)+X=Q<Y&tA{^VhO z0`$q=K2e)=6ivbW1bZp^<r%hb7UhkXs$6Vx3aCx63bppcD5g$i<n*xfflmKrooyRB zuhe~v*yMrED|PWS;+D1vWxsQ0fgL1AzEWhr;<jCCi^5iVI5ux~jP7jQ+S$1aiAM{a z3fbta#ZMF~vA3=D?zUY!cUdd44XXZ~v(RUmJ-wRL^FrYnU2(to55BYg4`35^7|R(S zo4_6ziG8Hmy6D^{pf&x(#3#nt*r!YNC;Ed;wq+taCyM?VAO4ZfTH1-W4s7y~csOq- z56-Af&}A$8Gm3A#^dElVsPy)B7PXVkQRPSVCC~jEHsn%rAruSqr95<syHoqF<J-oM zzf!B#Y-i+w<JiR8XX(BRIkU6aWEVS>b-&_<1)I=!nc_w4Z|tx~rWF4<eUhr4^<Cs) zmOVMNDE6Rk(6XJ~S!9a|Hre`}*`zSRzKX?v!6x)w-X=GwO|&;%!X{0%34QLj7Hs1C zuDrBPvI)9+)i-#XJR_C)Pl(ziK<K+PKZIvyD+9qMc{FZr6YaamZ7wCJ@O_td#7l#j zNw480XmYa4kyKXk6<IY!%E2r(-?Pw(C^PN_SEF*gx(eN}(WWo)BE0T{G?MQL4Er5p z-N(o+T{?A=yD1Cj?$ma_-?6mmL^nmp@`rL<o@Tq3UD|ZD=$=YmfSU*rW$uP3ULK68 zJAGz(uoCu0CSLPJ7w|e?Rb5rLt`3Ahn1$8t>NMFH(zhvtS-j@uj#KG;9u=?Ux+HAh zUmIWbflm&pD-v7{@0i#-xazvc4xzc~74InMp1}4dDL7DVp8Nz?@#iIvZ`vNhD|5O$ zy#3dk7mOv3-Jau_YOGR4*wc3fcgpd#kLkY0<aU;UT_W<O7<XFT8#cNtaW|<umf&8d z;jhGR`Ka!L{M*~r9ZPWktad{LJu055{#76N`pP`*TYkMcuC%(h@`tg>j`CjWR$AH7 z-M8rU8EAZi=>A7;%(~!w9ppK_);+mlWBbanGrqK`yz%nKRkyRQO{dXm<-oN~UeJ7L z@GXB9?Le11S6R6PepBU*&9^DtTQ+JQzqGP^ZSw{nMrU=)*X~t3ItMvjvEQ+WO*h{| z@N<Nnvvlcxo)>ze?+O1k*m7y$agOhc`j7Wt`S*W0Af5Tnk9Yp0^PbMnb%r~g%YK)q zF3qJ<_V+)`EG6_~OU0jp)7<=j0^ehsFdj|p+_^K@gyS5(XZa}8AuSDW)R7VBzq0?z zfBXW+GrYU=O8ze6?|%fFEM4yX{Y-%Rf8;wa=kHlwmd?lb3#+?={n+Slf@p%@vwZLr z<22B1C;k1e#wN$H$;bKoUH<;Z3&~&hGwk?#;aiClC4SHHaw6OBcSuiU;U0@^mwFHJ zy=*5v&z?^AMeXF(^j#mJ@A?yZub-p$8V)wmGfhr~(l(iizrgm7?-agAHYr&IMu`b7 zPXK+Gmt&KDY`-m6NAWD0;4w0_E=t}T+f<{n?=vR1W$6ae7{Ud9drDKbL3prane(vz zgK%(h2uo?>G;VxbX%Z(II!j&fw}tOU5)O0=-+BBg5bRmZ@VAvVX*jt+s%IPwxb8P5 z%Uznln{PlW?{3;WKIeT)X-au@_c!h-T{5lVM?$)xY!9dZW~IucER>%wvuWIHucp*W z2D~H}#OktV)6b$=8y#HUcY8c>r-6HoIHn#4?j2Ktcpq+)XO8WfiPFVM_@1H&^NN?M z%XbxPW|Kx&Nw3fw-1v3td=P%ddvvd$0^dy3bozZp4eI_zAKn+f%UGBOukt<~T)w-g z-)9u3VFmUz2E78l)(&`2=ivMIQM%?@Ps2AIalyGoX*8|J<C4a}G4OPrF34_?PJ_A5 zxKF1UJ?@q_-Rt*ecGF*y@sXE$dGe@tSsVF2<u38-8dlxH<Be(LyLzv8k$~&8EHrj0 zNI+WF@Fp=&LhZB$^xbBB6fXhGHpD4#inly^r_x(-c6wTI_$r!+4<Um{C`8_*+NQI% zNrC_@sYU_ExweUGAgrWC4=-C8F;;z4C=KJPWDg_$)hH-ct}Ty(C&tFHWhaq<ze@22 zCYAs{pYp5=tUNtMjBV?!l@W2(kPw^)4cW}E1iX*suPt&QL)w;mTp&TN5no4)CWs00 zI|;~#M)60SMjH*Qr^GLyyl2tHF7kgHuaYWvZjaDMO9G75i-O|Wom24<k=M9vl4MlT z&?>n?#BXxMd%0NS@n&F#CuiOZYmejh#&fnA>1%>p#ZlgN%8q6+kXw+k2CXSi@e)i% z!6fmh0maX9PX)s3xZ*!qBU=a=VXYdt0VCIFT%hp2P;gfL#@|qSFC10Fvh{je=xt;` zpv{yzqNa>39(*1wt}fy582pLiwFDPj^<|*S@DL$Dz~{k*6nT8^>g#Mx$h%Fd#~_bl zjOx4y(r(bx*~llD*Q?XJU3%fN<)^XZPSN@Y*cqcQ8ns#rE4}29%(IS971I}O4oX<z zc<}mWdDYY=phI4bB<;kAu01kZ8a70FI*P1XItIeP%y~BW%1(>5!8LF~cJ`Qw6=dk5 zKo!h1%^8AZ4K-K#3s&w2P$@R^<8*_n2s{>DZEiTPg^w{gN}La?8mEF=gbEzByXf-P zO@N^tWCFDwjo@e1C3VW6RP2fI=}HK)%&SVJz+KRVpzz@)F-GxpDC6oXGSVyjtanbl zL?)DAjx~7Z6~%v?6=43ThbZ5!S?4fc`oEZRh!s1;0z*d(9jL_t!!-x5wjdu}yy77u z4vqom#T&ve;TIV$N-lH|nNQtYG$&ai4J$ZL2c?&xX%@hT7H@A1QS<(dH|cnjY{}(` z#?nPQUduiMOYZs04B%R0oI$p66=mYPP;o4V1vjK$R#Lzys)a@-^RlWvZlvNKFDGO0 zS>>V`)|gtVoJH!WI2j!gv1(ieBhG5}Qn|u7smNop!=wqU43&UZH?2g(8Yz#FJ~Av5 zKw5-$`oJm<T{kU{I<?((m#k0`bcu4Eb+pop-`z?w5Ad9^26Di}sAD2nss3o?pRefo zPSrARxW%nx?S4L#xEAu@B)v}EAQ;VkC~;DVA|4DoT}9}8N&5&WyKW{Gbxr_tLP!I; z@vtEW4y1chVfTcM8U&kZ$vQ3hc0-)wM;=_{(B1WJZbp&g9p_^;tXghAny^q|egMqT zqUa*77EB%Qpj}WVPBvN!v#mTPwHW1snl5c{&9@j(PTFVb{#N%IO{M$YsAt%z9Mv9^ z$pO&>Hqvg6HKs1157hiR4U06MK!pRz(WF7S$~mj$HN@G;RIGMKR%Dbf8AO)Iiq&e) zY&6<eQw<eoXk0N^-5aTZC};F}`&}|gujhtbGTFB8b@~8H4TEsi&1#t{f9RtGWSQgj zL4huS!&}upoGR!+YtIY<65{NPDy2*`@e6<@&XipZ@{;T`RGN&qH?HZcGYWS^*C-&< zvX+>xHgUR^PgodM=e=SyU6Q?tu%K0{ZaTSZI%>qR;rP1EwwxwS&x~%XKFzWU%jqXb zW2tc6R&=+Pji+fG6kMdo+3Pl8XHz?DWOBxg$f|@@^8=36!z&QYfolxIgS4V4xuQx} zm|m5XFR7vlFvX+l2le5$eTlq5=TmUKVikF}>8i`iTjV@C+Z_@0->?kpt|mn^b&psQ zCPJpj54DV<vdjm`6pJog6w6>*VkgSjppp9g^q9N|ddt>H+qA5qbt-ej^q?`Bn$b*P zo~yJ4sr_q_5o5E$wDa?Ki4%5cB{re<*%(GnP6xNS!y|Bz%Jj5${P6XIFI7luW?ZJ$ z0Z}4|P#-$PTU;X6HWV*!uzTn+R`)dLC+Cd2yoVLkQIi$VvSnWG&=ECak56$_(&;p| zq!_e(7Y277f}AAIM58d)TxgHt$Pw!5fHUZ@NX<xqVwLrMu8dOg9+MP7CbiiJY0;`^ zWf47SCl9k7s$uv{QV-1sRw%(tFe-BzBJmM<U~;i~pS-9lhFYNguR_#X!4*y^R7pfF zYEZ=}1(Q^UYDCRQvIVEtQT7e^>Oe)cuh3=pfXD7hvuxz5G7&1Wsz`Xd6|ApS&4gvU zzI#<aFaZ0-O+^!(1nBBP2|b(_oN*lMf3y`3-f?Q5X-Dia`$<HX6NjQc%CJZe0q&8# z__W||jqh$tY{`SwCHZkXs<UYVYMKadC-?>^yeiJllQ9am+DOP8#l*)p=$OI84l0)Y zj%b0g$GSjkDh^QE97n-*hW(&cri?y+JnN?+Cy~|qx!UrL;b@SD5f<qRx+7+KhFP0q z*FRZJ<7H{$=+@+XjaHaW;^5In%YBuKej44&^Yn(gPzzoOO0g~$i{ny1xx7T`tN<fM zqr~#l9uP=v?8}8=2|&Kh=ER7H`m|v37$eTb30XHSs*y;`X^hc}wBUgj_$-@~jfo?p zJYz%rA)T4pCMo6%F*6?9pq3#&#cq@A7aNLJx%jGBjB_OE6J~U05gm{4y@8rJTuew~ zMh{D?&TtmBe6JHz1$|?fbK?GA^4>j8uHriIKlgTZ>rQLXbPugz5VBO$3^2$T4-8n? zahRHRBM<o15C&n#wnmsuupK)%#u0H6sBT)K8L=?nk^J~2xFJd`&w33>@GJOZ4}>L- zm&7<R=4U5%a5kI$ZQ?j&foz<IX1}NI?a>GXIQz%%^RYNHU5`^$r>f4W*S)t+6>3I8 zjkid_SQ&+ewGt2h79l2%DHO!W2Y5r3Ovfk=nmW22Si|N_JC;2JCbEYjsxY=#$>(q~ z@<_Cv5hn)u6@Dc%IHrlX4+nEr9`wYIMxBr2QO%e|%eXD+jK;`E#Ic`AoO#4vOzD0X z!X4@Bc*LU@S#@YYWRT2L3MkWoBZSOoZS@(gD>xNWGdQ1RSdneC%(>D!O93@O<hu#^ z`!QE2CDjq1CV}}ley(A2v+imqQ`INzTV-P`TRP2YoA)LA4Fj2^w5`p2c0s)YA;$sk z(lowZnH7Do_R!ud6n*rKvLxrs&PSUl>AkZb;l0IZ_ev{W5{*jNj$barCo`mb=FNl2 zslzsjrpJ@2<fca~V-}kh`n$G%)>$yMV0D(=@I&~-Fm}&~t{B|$=rrYwja!v6Gd3ND zl20#;TvlKkT(VnaZd7HYGnt085^Y*xErE!2LT-$Tcf&M!7(&_Ymbyhl$TJr#Ic*lD zD;UU;l-PS)WA(>HK8l-m9mt7{bgff_f;DAnssr9oP*I^iAM`nW<m;2>Y}3<JI%xZr zB1>@@(P=M~5;R3L^NjH1S6CX8-8DmxGQN60m*VVi9vAy<2G%ux<ALO^xYT4^jT&9s zVqH_Bp+jTCUD`Nkoc_4M>Ivg!gRrNx#O&2dj)OIEO*@7sr`X@F=fuPj-S0O_UAd_% z)ivh!0;9)C+FiLN9u7ugX@K{aj=S~jR=k08)Fir#uOq|B-h-vFyIi|(I@#Feyw2ou zSK8h8xZh(VpWINqvx?B<^ews8g6dAqRb%6+h7^KGd|XOmiW>`HIjf|loxyj-eNU5- zE$zuxrMok_*|Adga%xTP&u^Gz%GeWDVexSzHjbT?E*cT*aY$$0ign^ULi%Zsx}|vA zlHbu02A-zk3u$FFKt3-*dabH>eurTRXNrhMDVBl_gCve7L1^5@ewsdyx>(+QMVIVT z4PKo+$Yf}!gqNDB-rQsya&n3D(xMzw(k+w-K}c&6cm-#hY+KTyjJ!l;?!=mpTmjKQ z1MvJJn(inE^5Us*;ov+%tlW>#4THO<kc6yx<271Kw%0x=XXjYqN8?iNI4v_<ZL6}6 zu3(4n#6K)-s3S#*Rsvj2VO(1xIvE*#r4<`r^z7DckA5hA38kazyNM#}$E3j@z(--B z1fw_|-@%<!i%C?I*m;2h88UTJ9ojpC1kV(MEp%!<IwUkR{8|hBdoDP~!x^z1_9yES zlKjTcu;dhJwzAgYbP>$el8N`euiA{N3~%flq~J=}*{+O`P^%OrcXkGwG_q<Ojdx~U zr?I|al1FsDP6{2z_;064AXPd35t68wT({;KKjK<^u{f^{<N>CfLLA8&UN)K*k*wSW z(+beAc`MP-0`1Iu7)u2`mzwF4k-YydXQg&K<+S)@mi{NUmeh+E+1!#9dLm`zA633| zyh=VP(Ie8x`7djHz;Vm1H|&}oEcHXTk@UA~+s4L+#_uXUKJQMPCQ98%Tcq7KrwA*w zq`1Y4%7AAlmI88fd^Jq`3di*+IXTDVv_r~ZDA75k7Vca^4M|C1o*T4zu8Lq-yDQ2c zPC+PhXK8HIp)q<9*L652Q>XVOFDXqYGP^W=g>`07E*Ez?Pya)O{$i4B=%<smr**Y- zsx}m*z}}B59OYxbeMP4&X6XJwD3X!6(6nTUjv>-2-J9mTRAdliSjmbKw?iS{5UQ8P z2<AC+T2qCgUA;obWC$@Do6)@-t{uVyd42Oj>uv6XGA{vK5)H+Hurdj4Nn*z0M?Y+4 zFRxqqjY_55k>Q*Z9_Q^a@}Pv&h0PFVR$aCfyR(fCqXK!Q1@K{9GI%;Vy~q7Rjzp#p zGv?Q+BY6c3*3p2$0yM1>P(yz)tOUFd2{l|enFjV*F-FSc!_lBfS2H;$wAJagtm{Wn z6&kBsFC08$Mxn!VK{H<0zTf#aiT@D>vFhqPZpP!;D|B1|bVS0U7fms+;Ibd3XUCeY zu>ldvqcKI6M5@yo2`IENJm~P0UZU=dUF(TNS|6E$c-8S$MnENoC$t6ej`>n3VV+C? zSP51~ARFp<6BuR0?wHwvReJPQ;@LqzH64nhNkYPiq1d5v8k;LWqL}G8yn5B}0HIB* zt8RxY@tB3AL3NCm6b+W)n&k_C$-*g>Qzyo_zUGb9!{iYxbp^)=nn1p9x`faova;Ot zJA7V}J8#IGhi*>S>wGnCS(lG6jA;s+<KPTq`<=Gk*loMLFqN=Y9D$xSG9TMZTs__C zbcJ$;(l}DZu5bme8tGF+;AvY`>0q$q7pLVTDrvk%ljGj*laLx55Gi{d|1g?j@pNrV z_99*Kpu|Ekp<Ron@$zUrrbDKL1*aqRT~6B6&?s3(bV1#n*25T%Gt{cDM0KsD8sZ5s z&Jm)|C}bF%8mmvZNs3OPQ^I(lV~3gZX;3l73jkDmJSV4!N>kik8ciQsk+CC5*L0;* zapkdvQ9I^Yud$3ZGMmW#z8Q_qG7@xTv?fuPtD@(Zq|dY-G4e{wiy73k<g7okJU-mx zW*Jg!bV|N7#<=eFc?gITUeQ>xVo(cwP^1<mgEl2Iz7YklOZ#;e1p7S2<o*gErsS@` z<0s;qpAIny(~-tX!SVh8rrUt7Cdm><uOZ?bLz6(6W|m47>7kZmlO!gEW;rVM^>t2r zqoLuoU<OAP;G6QBzN@K<E|iwca*;;Bp)#9Kqid$IL>89)2vF3-hL;*^4K;0MDS{YM zH(3J=?sPkKd#x#B7<uqe#u7_I`PDKT%erX34BbYW7EB}?b)3?kpnY$pXw;DY6KY8; zLO`T|w15?_X5p0_m=L0&M?mH8GH;eCtFjb9mN=A~q2)Jvsd}_+Ktw*nw;70CtiF=U z+KpM%iW!ReDblO)vA{K^(*m=I?-3#(lrQ34Me6M8OTDUJ@GMk4*=H5<>AVyo9nCPz zwV?#c9R*#|J{xq5(7M?ibVC#syyoMTU6yx~BuWx(ITmr){=|xz4}={472t>=NHAou zlm@8N0iQrbhnF^%g6Btu`hav8tTHKky`WgHhQho-`pl*`RHcnKqF8=BgosL+WN~b~ zDCaD6DPjirNScAtW-^K?D_xdxY0Hv0s-@7p(`Qp|@Kz(^DgOa0ywp5rI^eW8_yI4W z7|0P)PBAG*ZLn$gR&iRv=LAEs_}MgqZ_dS@wR+PB;+jrXNTFahpiC$0XWwzg(k0Vp zgGmZWEMrza^(wh!+MQ9Vj9bRdF0Ha)3i<i>_)AOkrB1Qg&^L4WZe_64F_C{HioX$` zVd8TJ%_f;fH$R@gdQUzw9XY2mJrsD!&`;)92>G@&>lFNztcQGJEtU2$VIDlqS6iYw zWYc?W8wtm-qSc;X2;pr938s^xfvF@oi_JkzXNyhJN6o77HzyTuautG@O)SY7?!8&> zE3ujKHw#Bith=jmX>=T~tVTFwP_$FaIvI6qPUnqKjd3Erx*w@40hy&_j5MAb5(C&| zqm#8ZE>>)DL=u_XvatxON5UwRMmefkDC$BWj!bcF8wYhuMs-k1!T8L<i*IW;4p&^! z+!p6g<DvP$Ecfx2;<sNT?Guv9x}1q!e>^?PgYhM{HFowX@^(pH-fEc2sMz}07Kh4j z{J-YSan&*VvUspk?B`$vYWN?7JiL?Kf+Qn!x0@;pv=Q#pmSR^&k#xWinX33&lC$|{ z<b{UVq@V%vIW7hbt$An*{m+v?__4Bx;;PXo&LA0;Uio#UwoS$^FWeSVmW){f&%!8l zKyXvqqgp(fx#8+JUiGS9YW!2_V?4nma=X3hJY=S?>jFd@7E6~l3dtGcwGD6G(^$K} z447}{eHt1^H}At`{DswS{}wSXi@cZQex7zOtybuVNz3~lgVPkxFbkW8m{kkWC^9k3 zC;R4#2lmVHw>7Dsmx9-RVky=KU;V0ccD$-G^RA!&@*n^2>(74EPk!dA^ZVcO`SY*4 z=2M4uUH6^${`_UH_~7+}zjDTx`k#Kwdp|w7^)v4|^wh@XkA3^r&+NLndf7|wKD2Su zrtkjaN58-Pi9=6afBvQu&fm1@{Gs=jPk1{1wI}xf$9LBEE<C;Io!>lo-c6Nzi?ZAI zHUF}BZ@X_lyr&qz>lXg%qR#(5__8}kyl?tFyHi<3(Yb4j3zsCNi+K<I!;{;l72VLx z<@fHnXaCw8*1W^CYw{az&AwXE+(4b)UHZ(y%fIxkH~n_8c?z$2Z~U!?x;L*KTKvtm z=gh~+dVkaR_T1izTQ@d8ykq$l-@JbLhu>Y^_p#Hzd)F_$^+W&p<L7<;lV6D2w-{-8 zIdlDW|9ryrZ$9&k>pp+k=C}XjTlX$hI<mW+f82W?HKld@uOXAjG~fN{FTVAIYfqhA z`;Bh#^#5`&`uKI{Zz^n;({E_Ek;!w5W!<`=I4<mIs!V8S&E{P3-aSb!8@mb~&3L0c zdHbgGPu=mBO>ety*T4O*9Y25F=HXAjYt5z&LtC!@*MI%e=AXXq?US#5`%|BK?+33r zuxZ`fU-8ZNqUiYDOW*S4$Np{IW7mA_`<I^a^6yoKzO?ty*Y|zrm$w{x`FlS%bpED+ zO{F&!PWZsyg{Su1IJJB4zH>IdbEx};y$cJ)WR36J_pZ4EAC^75%kr9iv~%I9TIaOy zz3I+7k&(ag##C03%<OH=U)pi>j{M*|+U-`9iwZZ~*uH1~O}7nJ+d6hFZCkjic<;Vs z=(g7_e5U&5E#KPqnPQVgQ+eOZAN=OcefvK(nycK)H0YCen8Csgtu^gGe)=Pa4xRtY zKm7B5I`@tfzq@$(_Q!tm<L4FbJaNeM>zp2Kdfk_Pu=Q83{_M}a_ncq&>FW;t`Pzkr z4*g`!<CILA{>C7aLczc1gI|38mR}xy`QC+li>Lo-C%NOg>o%<^$muPW$#aWD6{w!j zO0R-+&vLfUk_+BYv!TZMGFpN8t=tZ@9i2Bc2GNc~dy1gNf#u>!QkEw|Xs*mHc0p&3 zn;P@nPlLW=IltBZiN7}g&+gaqe<a+inC`+2TX8i5h12x1pYc4~?Po;yxoiHb_j;a` zuZDZpQruDYRrmi4UyHOntKqf5lhG>o>%D2^l|{_>2MA@CHY$gdp<fl+w5kEezSQNf zJ&hg~Y^H96gctmQ{y<e^2^4phsg{yldg<~CP0#>)qJbQ@j%?msUj9yX%cC-3<dH|5 zVXrjxo4i}Yp9?x%(en&=4w-P<A~G4+p)#4-rC0WGo!+uRCX>rWEfX>!lw1D>$~$%- zYf6;gx^*l1j@X(<kVzgHPNo_JDh&1w2bs*Ardx<7GnqX6@H1tycv61XjvbnSKQ*5P z<$r*AUrW*5ZfZ{)A(QU}ncR1nf9$tcJv#Kei2k;jo=lSKPa9abdF`9NwyZLN_hn=@ zk>Ad{=Ts)U#v1{@Jc&%`qH?562GBgz@Kh$7m*3X0-;s&BYTHTBaFoeVXN_}INFTLU z2c`8*>rUI%euD0(@*1joKhQFIjCyy|jvd>VKfNf6*CP{3|AdCBvMKjvg;=^@TXp(2 z^AjsR|Idw^={I-7`^iu~a#fjxW_%jjp%ar&�H%xdQLAGHBtJPr92N>6_0flYwt@ zOHEHEX&q$wNSRD3?fZ7DRCcD@lL`34GU<oa+S8HA=l_PtyEaemnBm4r+BZMo`sGHW z@x<cH%;bbTalvb!sWGZ|?r1dS#b*AHI2v?b@7es3WJ0o%re6htURL*2GGR=jpG@r1 zexkQx>a|MSw=XW5Pw!BfoMoQU(Crjtpgc+K2hy=i%e6Vbwfacs>P#jtM-Y=-<aj7F z7KE;BUJNoB=Mo>qK6~t%Iq7aj40Jo6Qzjc8L?*qlE3Jb(e1uHOp?Q{f^y)hPfo@m( z3D-rDsg7NX_k}P<fK(<E_o+-KHlua9OUJH>R3<hjk2EBc31in&J&KvVA`@-NWrTSU zp80yQWsy!8yF%5Uk!r;|C8~4BI<PYw9)2=iylpo9ddbj~xDYPGm!~#Q1Sw>)4EHn= zPhU_d@G^{$I`=(V!=ryG_z5SS6<&+-#*tS7tUHG_p5>H!{N~EW@Gp#1P9C}v-9k;b zGt*lnU6>71y~pH%)Gj{M!&Bal=1MvDpilVuVm)~v)hkTCs{Ro}nw}11xGS>Cvqqq4 z2*1gi<RQwS4_w@vID84|AF4NoFA*6Ts@w7_`D%L6JMCH6gKxZ0?EoncrX*?Lt)=-< zC-fOL|EO&OPsvAlVs?gd6(35=q4`v@@RZs!&G&JqpyEo-Z%~_i5z!N@Zrj=JjE@;u zJ6@0+@6(7ktc{TO4I$o6@j)ionlI1fsUJ5-Z=tUU9zn!c5nt(CB}*H&tkF9XLwcU$ zQhM*;-o=-izWVz*&GR<iTNzSY)4lIO)muDuKXgNW@B2#gS{`Qt{tQ!C!Bg(HkR7n* z^?J7>$2G@_)40K(rBPZbjmnUgi3Ig)8zCP0s?GD1!nKYvC-JsxOMV!~wrj^bijTES z!=^ICKAI3u#`T`Yz!T*G`RpM-iH9BfjO5?rh4Ka_R6@SV(2&}i59PaD?dO8U#ZLH; z-1(^L59{UAdB%v<T`O}>aP~mD+G56LxMBSTP0oOzmk0gxi3#-N!wC`-g3h(x-N;m= zsr9#+(S)-Jh?&<gCI&rVp$zC61uDc^oojl%Sx#3xDKKVHFdeycT*}5KTr&`u8P_-m zvru&A_3kw}z85#0!x?)tZHK?KNUBkH8t%iimIuxaaRwra(vYW%6jufw=5O)giGhdZ z;fW`R&tN+-P^ZJY>m@&PDer31GWANwn69y?)pq83&UZ+CA|Efsw`dvS4Bkjbu| zOcwLhN9V#Gq?}^?3;h8-9|D<7qLZjHncxfvW)6-#(0Qc1IXyul+)W8~f_VojNh%Xg zp8&UmSs$CpG023`Hr2O3icBa&?mN9wXuXdnljWK6-Kk9Cs{?O{r>%r^zpH*NZ@Sja zKN-S11Gi#RBsjOc{0y0pjx;k`YT%hNS<Ym#c{$T~U!KX&Y=3^43``!D$=x=PeL-V! z@dvEySzCNm(tfhcebeD$_FmFdCVE~3Cn8*r{s`wqTp!k7qzdb-NtRjLm;e6nmoYg( zqC2r;Gp<Qai_nuIQkk$On^YWRkO}Ly?#vQhk2w&TsFXrKK@1tp=&j81wIgK0x+VQv z)wU-F?z{WxnGYa?{9k0DnM{_0Ot=yi`r2Ke4l)_Ib>P<SVVP*<4rfX~RvOF5#L|nO zewyX+@&H|SV1|Ce2^FE+Yd=ZT=n`|8=~YXmkd|(RUV1(QqeBL__8c!@FD{mYOgbN( zOJy>n>zmcOzFKTr)h-UYV6~<0{MH?`c<m=MJG6gH?AifW!`QXV2@#}5Cfg@CRfTgV zsG?HX45zjeb5doJo8jVj?I&C@k5B~6vt+_BhfH2@>_R5_R3^E7J)V#f87&{L{zV+H z6uvwi#x8nEr`uh;8?^FYi?+fu_<ydZQJILm|A}YHL@$EWkZkM{#;$?!@n`oFjiZo_ zT`Tl&4e!j-u;(>nT}BzlJ8UeSse(V)u_lc5^O@Ru-dbv_mBu@&y+wmBswy2iNuY=0 zXg1lc#;5tE=~m;F&I|hGr#EKzBKGcQ{Cd%mj+c)|+Gf0X5do?**$WT;deEgrr*b^S zn>eNCo{?UDy*Nekh)))zV+Zu^)L#nm^6ZTbvxe$1hIp0TJwK(<eA81xqQdur|ER{S zVo8l{WM{A?wP6`Z{sa8Tw$<pPS-P#ymtJMEDm-{s`89NP`1AXVw|yeqi`cu{QSWXP zFT5B0gbgWGztM}d(6zJ)%vl1DA6-dnl~<WAWzfY`e1iTWFN1BW7wKF5q$jr5bfnjN z7;Q&<Q}gL)K(L*EpzR2i+*fwbYP{&-_O#}6!?X!_-f9PbZdzj<6};mlZ|9TNl26}@ zIae2P?s4cjU-M3^tI3je+L=5g+KEYINgUx?GV2_s{(w>ZSS~o1Fx6}1N?w>JA+hN< zM$<ZK;e5+@>f+25(shc{vO4P^s~Phrb6Psz@sP1!kuDPQkX&-zEFaQmP+GscyQFR> z9I~F;L{l$x$PH8q?y%b`Mr+~1FEuUhIzI)HW?<P@Y^54}@rt=l;xqMqqGD$GRatt| z5tJxoEg1d%dtt;`8PSyCaU*i1XKPag1{_e4lOwf_b52{6<1KU=8E@#qM%-(70&)4s z<s4yJALC{zj>d5DYapm8LI&1Z^#Sozuv~b<r<7A5b5^z${Aq!vLh8H?u}K?AzCn;< z51u0;|5uZ6R@_O4(iCJFQo7XEQU^b=NETVGp9@6;1Dyb=#Zy)WX&H0mk|MG)t4BX< ziJr$+5_S&)vBLl?zc_@d?=Z}28j@H=W&8ZpnL)2`J%47$*xIomI7}Dt?1u5|GVjGQ ze0@?r0DNoFss;CG2zPBP^*8w0;MR=}AqKvs(lGrEhv%2}6&wMw;uEu7a$;;+bS(!< zf1_n$IT7+R4bHu^PNnTaS*$BLM~bnKwY3^+@K?$R%!Onlm~h@(^?5aV09l{9wS+Ep zrlvm}(kp!ytkLu*Qp2_a5ui$aYpP>d3WcQz3UTbRaE-0;fk+L7G-c|3wzr43(6ZFP z>A<5k!LQ_v8UT_9)Uaah8B(2A-gXoTR^OL!?lW$Btu^{7o<19*^H#zNnmfwufa(x) zjK5f4vk)zICGsR4p>Z%nN&47}ta$~DWf&ryy%lK**d`Z3bQDp%wY{=Q@nqphZO5Qt z`J4!SHUv1$$4VPhbuH4?p#`L9DUeZCQT(ltXBI*_Knt=0<fuhmNf7lyRvd(Cr0mB! z0MB?Y7Ix%Ud4#X2B1d4-Q1)rlEgES?P75DqeC+j>I!*H<Rb<y_^?+!^lrE5biO)$^ z2#ml^m5j9|VO#<g)rqLBI_LTRqobQ>dwxKsG<7Mu2Wv#+f@T=yINqNf4A3E8zn&mI zfio`Tak*|I2xcmIElStUR%C=+*%CyUwY->r0-bDZ$CkG;>ECI|tu$gs2yZ%e%<E&b zi>9}XF3APp0*Cy#j=c^FR4WDxP=hYMv6Ma4$8ErB6c=Mu+3|*kkTBxW;!0Fhbs@&$ znp8UQ8^w+;y@Sl9<m>d;k|Dho61)yLfVceE1fmeQ2!ZDT)~FpmWAplk$k>uFBidF- z>S;OMkJNq3Ctfk0KQ=vez2~|h<suuH_n=aljCkC&7E5*c4_=mh6zPuGvKCGYMY=v) zc6ey3e7Zis@aRg@>}5;JW9)w)o9Bi|Vs&Ab@<x1)Bv<)SG4pOW4YSlG*;M38rdjjd z=)xrlJ=GJP5<wR@?vKJU2m&H|F&p)%5h&s7^i!>SaE(w(EwvX%N|h4u2t0NMyapzw zDcxq?FENd^U1Zv)TyQ^OVi};Uf2UAD^_eM5UmnGb2t&Of664JX?|10>eNz<4IU11A z%All_$(OAn>c}7<d!8d~o0Y6g8FgBXT}*f^w<Vfcdf$mQu#AEokrB#xG3>h}=AE); z7i%+!Jn`cLQ6wX68j3Gjnr<jZsi2gV3*p>y6BWrbK;%V-N@KOwZCpCWM4CUHz+-rE zm=5{7CiFEcC%UJj6gy08ubP-d;kRZi>MO^y`RSqdPE87z`0;`luE?Ns;%O#&$=Y<u zYsrQktxtP0pfPdBY~c3oS_6z_UsmJ?WBR}4-D2q`)pGz6xuCSi$Anj`ltxGdsd<_1 zO2cU=MtB)qiu#-<cjW@$BV{ec4L&xE?UA+(?q?e|RV^kHEn8T1X(ToqYZ)nQN+fHG zjx1wOeYH!X6B2+L64SVNj1qaPsbpB>!r^*?Mk9@-PB`VG?bMwiFm^h8;M2NO1!44= z@-BGPKvd!&PWRVnp1PF4k)GW?@Uen}uxu#M3^kezzFQ!?UJRFepbuSj(vm1WkH(&^ z`}7fhengZ|O-nc`g?a1AF4WXQfuT-WXrw7`!FF*wV*4GXYe&<uleWp*1B4lwp7Nv4 z`RGE)OC2f8n`usUm(*23-&iOGQLsapmaPOSW5{J%Z9r@8wC2wRB=cFlh(cpp1x~yX z8`&VTaRMA;H8UwLWl~syd5X=(vVaR{U2+<*9-nj2ODxTVzgSbGz{RHwuR$M0V~JG* zQ%f2%j)YX&!UK>nUJ9;pakZ2RF;a+Xq9q%?0TT2xgvb+=6;_K#A&W!(A`!3EL~s-k zd>*O*-6Po9SO8h)L#xuFhT^ttaga;ead$ZuAkXwzvXW!5$i^}HwIiO$EQQw!D8RD$ zta#o=+rY&0L?$2CmbjKiAsdUtj|Ox@jSQ$6L`vpMVFI=elvYJ;>Z{`m@rc;O78U@g z4LE|#P4FT}$x10r#mh?Q#40(fAt>S~Ga#Te;2EHKH`k1UQJnE1%xe5l67R@ZxnhVA zu{d8#(~l!4hH#8{t<Rfw@XO}E>L(K?vu>2)$D<mD0=DDX<7gRIl=d6s8ShQjoYhVz zqcoYIn+-mR#ZwBerDaLT9LC?U?>5-(6%`-%LSe?G?xWaw#<>2D#J6;ayp&uRi+D?t zm^o1oQSg2Y(^^y~wWyAtRtMY)kP>jgJgQL*SSSbZ1=wJGOj{?Fqm&&F(<5Xu?!?Zf zu`th8c6s%>CdRPLTjqJmY2%7pAVMQ8Ic-a{rViT^wZ%v+xrlXHLu$%}F_suYx=7jN zLQzAzjYmEE)HQcFG}_1b=;b0QKqHRUNZf`F@uMyikH+C&a<<|EEk>*e&1lCi7Ul`M zr!UnRy6AeiM;dOlNpu~Q4Q17=fd;=yScRB!yOk5Ikwi}N7vC?l$D1_;`iU~O?&&6p zsYK>vDK$67u@o3eT@<%1)iAEE3&*hoIT<-n45gy#Bujx|+#>3j1R(fXtY?F@ibH3k z3I&=iEiyn|75xCy&$z3g%~je&l}i{ae#d)snzfnRX6Ra-0(nD6b;Lb=MW0ub%Vbt# zxUML-=5dGO6?E8UUU(2<a;g9CV+_R_7QkgH<r>pM&d%4ESw^Lm8`SatX8Q<@TE;n3 zf(S~KGSx!q;zQcN{fP%l2dju1T}h^vs7m-6iAJiUIqC15!fThj*fK9{$T4x0AhunJ z;4|VTKG?CrYiSz?N5Pa5o}}VZ=N><vJWfna6H`HvGpvbk8H;9>!LXq*W^r`*#LB|5 zi<5EM63uG{_#BP2DqTwhRR7o<wzwd5bv+Y|1H%vbYuS)I5T^|Vm&!uRqF^*)1Ys%z za2g64?%Kp?9;MefGz~8Ysq=qb%xry%yGKY6n8!{<nkfwrU3X2Z<2_>=*Gff$dD?JY zi;#ltl?ax=R7Lt=cz8~V*#tk$T(|TO#$hxJ<%>Sf(Gk3)Z@jT04>riw*R<$TsF$W= zI!s$Hxh*=LD~<Eep(BN|csWHJ&!QtN6QJDElEj0Ql0{6U5pSS^zEzsOQsy6Q<x90u zDPBlppx9!plc|#2E<P^(@sa>7M{!a8@tXImbZNJwlbcj#FOLfnle5xC&5j2SICpf& z3A80ne=dyw8qtCpx7Mq>7SR+m7KPx~vPf@Mg!a$Klh_A@Wux`fn^aZVGUoavvNu=7 zE8RXp0#$KhTVaOLSvY`|TIvWAaw4zyTzsV{%h?Zu=u1&w(9(1q1fl1LF%XdnVql=+ zr)BBu$_?TDi^z$8U5u-$J=N7x>3H6epK@)UO7tOqX<m!tqCFDdMmlfh=H0n@ibWAy zwu3k*JVXLFoz!D=&f{C|+EU%pP3%QuF4EO+u3Qqg-p7x}4<wEMZ?-loC;AJEJ4M>j zl(Vt<YM`OzJBuu&;9h#Foz-e`>=1_HdXOXPVP6lM+M#d7yx0rvIiiFHab~I0jz>iB zfR-P?p`)bwlr`#8q=w@OR&Ze)0b!COnAUE30WHlUP-WL=N>76dMB%6O;{yF&-9*y? zl4l4L7YsEvk#@l}xzfHY(JQYh46g>JupK;yM6CU5K3BsgI%5$?0PnK^wt{Vry0_Bs zVcHtYda|z3qImWyf;24|*o0L%?|=qpjqzv5Zm71!6G{e43{BW(*qq7}LBbPWO&SGa zfFrfOR(e6unx>gy_87V<RmQRmlU7L06=wDdn#M>Y^ayIa!!%WPiqW!#^lGO_6>bK| zhR$GGj3NplGLeFvrA)~yWybFw6yBPXA;`|F_72d9oQlAc1;0|FJMtg#R#$NN6+D0y z<G>0JO*p8*C~ReAz{OILIfZNOLTi%oR*^trH->m>ju-`5W0EehRVYSle4tx8*yo1l z`yK74#=9SPhBVVEipH(iFn(mn5-OAUix{qs3Td>6R!DuH1tBz}6s+f<@{EK;CC_YB z!&Y9VKaCjjQEFN)MWk+Z9S-9)#)<ITGfB0C$2|Ij<votmND-DtQZ&9O?sNT7GOcYO zYb;Kspz&Ny<3chTWrmwhlK$~{AL9o@q5CAAbI-Unmb{5BQ)D4sj!@DWGswcJOqW#R z;fNb1J3E*(r-?gEuc945Q^evwo!|2U6?Q5GU`owI$A1OH60m7liVOI|&L72<(jaC= zO)I3F)I76%HBhk%Q6KrI6MKry1k$Ifgt)O7z7&}S1R8;M?(C#k<LxB}(nx_bzjQs} zh*yKjkqWwl*U008fvK8VfFP30?SmaLjV1lE#yGJK4B{}AgOt(NW<{nchx;UlX}I4h z)FVg9-vL!E4JTvZq9L5lip_YHE(-Vj@(4rMBHln!f&{Ui8<PHhrAMPkQd`yE@LS8V z2Nr^2$EtwE6AT#}0}CMi!{Lfp6<)PaWcOJhhK<TRM6o5L$<k>eK-4hglhRd_AAZFH z5)9!x0j_@NXmJgsDKZQSd4N0alOf0lb9K#GaVfx<)!<iqa3AT9wI4(d{L;KDTWr$z zu~t;qa|2~1uu0@JH;s<V`*v)+PrI0U)&2aytZ8(hR9j=J4Pc`Mr;ZGl1{8v&iWmeH z3Pk87Ny9Z=08N?!Gj^b-URn-Ws1X`$nsM;1HsUlq8(&h->TuGAY8z9jK$M{4rs*Ku zgNS?Oe#Vf3MMz?WPErkCo;!{Teg(^-EfkGKt=_B1ED(D|K)J1x)0U*GlQ3R*{uUzp z!)a3@n#DUqaOw}{%JO0r?zx?5DKUcsK49m_HaN0m!L8wGuws>AD^arW6@NNhM`<jL z2d7dvT3cf!#qu3cQ3ppGK9EWq3sX7I(dtr5hipRdsyizHG}r!@zI8r=LmY3zvI#|N zGVJiMxyG+e;!CzXAT6G1tm|VaB94OcGUX=@@tk60a75Cu{f5_Z3q|f~wMI@FDOMXc zIoQ6zhoX%pSM8Tu+4Wtr<JKp|ZcB-@SuF=KjP=RTt2d2Kox0vgw}B*LGZc%{;&RLh zlassvP%UbgW5ua0A4nS=3`x10nz}{~p@ACiI0skKwQ4{`S-4th#9s8C9il0hL;vbU z^Kp*llP;$<9&2)zy6QkKTCR|<@nC=;fr7SB$_ir%SB27$0mjBZ8}gf~QjE&5cM2*~ zLB-5)0$3Z)y7EI~oR`RYxfuC2<b2c&WR-vqt{`hXXO2F^F%<cv?_4`(rq`CGE)R;c z(dkn72#kp~@J4ct_*33z=9CbECK1G98D+V5vpkTty2vv5^wmpK^0+6;EyRlT#605x zc^+7oth*Tw7YB;#Zb3H1;<|O~sAuu6ljC)qWD{58>XvHtWSvsts;yQf-m)c*Pp+Qa zE%sNdIRQIH=twU-CR~_V1f?qGlRlkHbUsld$9!_Kjf<nBdgNJk40>Hi$IMnI7Yfxg z1-hr6S}><pV{_`BQ$MZwo(kfw>S?EP&hwdt!l|dNV-#FdSl3@zx2_*O)#Frmi<dlf zi4z{!{BiDTT+Clwne*Q(99+71OQqeBJvZEV<E_QBx4-L8A6jfbGI#nnUpA0yw|TRO zOq4a==OX2imu7x8HarV8&%Exh&-~P$kB|NO^^5;=-QV1L;^z0vEx+Ze4}RgxAG+m^ zcy~U!?v6wIfA(vSpZ2T!7w@fh#_qfGZ+zP~_w3$MI>6nnpL+T7OXw%pykYC)y7zwS z%|BOqNBq?Og00^&R*#F{xFnHF$?kb&LKT@4*6<4u27Gn31Bx&rXm?he&I<g+>RuD9 zG#w((XW?q;Q=Jmk`6S>CEgTPe$N`_I9ePJBtvH9PP{@UZ^b^fc{|oIxn>NC0$GFg9 z>{47P1VIrA_7n<3<j()l5L9(se97ipK0Z0~(yy1Jy?Y<s&K-}hTQD8DF^5d%?z-$< zfBFai$;{7<J+gNlGSR-FGC>wz-9b3JZQO3L5$nvS4z0W8n*$qv{mb`#Y5RM2Tzt~c z7XSLvD^CBPf46u49dXMy&wtg=-EqRLH~s3bZv5xZp7AF)Z#ZyQ$G4li<LdmCGWN*L zWB)bT|LV7&`Hs^*V5UF+=GPBDHt<*bTU*>MU2gAHnQSB5=a&imp*}if1v?wN0!oel z3(z4z;h8q8+yFhidU|di$+L2Vk_6xCa`g~uuRuyJn9xqX%_=O_N6Z3dW`a&1KHVeB z`2RLW+uUnkE5iTLpts+5{XO@HPVOW^+jDtQwJVEs?V0bW>}e?skNgL%#au40`&q-^ zgf#N1QaQujW^ChUAY~S%NbKZQUC*Os`TqxQ<*6o0*_~PXh>&LAv(>kP>WSw6u7oMc zVmV2IV&V!B_SE+v-RR2>;faYLlZSf&N7y~y$`ecA_3X-tBV_WnRBtgiQ=ZX(mB|2` zf)C5&iAH|&cC-&NnJgBCfA$yudu5XG<6pIObYu2dtE;y-GVXXHlw|Wu9QO64;gV{S z1ofcHG+tAtF|XC=fe>Fe6nYPYJv$)PsBcp<aFH5Gw7ksQKe9V%QY}-JZ`%{p_3wwm z?aA`9WD@EEtxP5z-5O8pe{BzcWHu`@8CU%4Q<;2+esbS3caiRrOeWiREbrL0c?L}y zwyd*>y(g2IT~9QYH*e<_QTDCpa|6}I;-boA2l@o6S9oM*&*RHPBF~UXFU4hUBse#3 zRGy#D%HieL>k<eE{g@YlIImE@^7sXTlg>0pI(SNi7QjME`iq<M4CRC#dUg6(_=1$4 z8Da2KC}AA#83a#E6nnf6GU<O?r}N~KK_*AUTcOnBZXS(U9lNxJK2b&{<y0oS(y?nh z%H|WB(ZfL_XJY%|u?uZz9lK^GcF|K*CQ@8v>_XS#-zk%o0{yr<DnEm6<LBvewN%Fu zJJm0Ih_5>g05YV0i+&^ipfg#Q^gcxmx9CvXpj*jFE2)lWjSngxA)QgW#dfmo!uD{j zh`!>LX?pIIRQ*Zx6oZ~*@geAQ4<vTFb)~j5rMC?{dRNIqj5lHU(fB{^-2TzZpC2i` zM)d{%k~<z%$C3LHRj*O?6VYF!V{SuVG1YTKFOlBOy^QMD4>Ep(+B@5w^M<c63-~W5 z&v<7}?WIcT>dv(r`>R9OHuh{#eZ^GwQik4#{^Z6lqNj-N<;H6Y`-A^p{OB>F1DfhV zs*YyRUyOO1oK6Yvdoa-f|3v_6pWXJdv8ebH6Zbv(sB>Z5XFOWPjMZ+?sWwo#Jj1H$ zaJ+%?#O8^a9G3_(<|<9}?i%^=+}6dfeN9&loUpMY#1COQrg2&U%I11n09xl;2@7Lo zeyi#~1${zLF9taN`_HOnCKE>eiANv3{`!=khj~r>XUZh_%gZ~I?<--G%afZY(NmjP z-j&LvZ`ZCM6I~CXN5{zlI|41u51I<9^O?y+ag+SeZ)5I%QORV(HCpc@Ad^Y)CuDK` z`hQP9(Wj49P*y+vWCsr)Dc|$m;!-~sO$Hw~K<l$dnj3c7PxPq-UB-#<6cdQice*%^ zzP<=Cjy0qF><7V2Cd!5N>wlzv68NR3_~`i5<IqG|7h1W^6FahgGC#33$RzX=p`RR0 zCi+woWTO2<A6fMj4q?^z;*p6fUsjx=;!&wwbZT=?WALXoyX?_lWZA>#zBGJApYiJ& zkMJJ8cOT<tiWfmh<FC-w&tsLFim!9n=rdxI-@abFSiKR4^;*$%LC=wJ7Io@Jzwu<J z_?3Ga!5-5PCBp|kF~+-jQ9VPoa}R&QuGk68Ko2oIu%f>hSdr>Csy?C(>!D0<G5Cp) zdp-J!UVPApB)!tzhClwL^p40(pHa|<40lP2-+h(Rjr-e9=>@$=P3Q3g**%S^zoU4? z9@{XK&hgVCmOo6VwN|D8OKSLlC&XuH4V?8Lu&o$z*hfN)*Mc9z)(^7%OTBPQ>wh4G z!hWTlxYQSNbRLg$7V|Llhl~#US6qI@rG$<l7~V-`3_lmlwEnuSuW`7Nk-jF%qOD+6 z8k(}6VT^;vPCY!-;CM|fkEw3`30f@rB?mX8;tC&<!0~xQKjuXG$w&8>U>oozoHHAQ zeloFg7DG+7(_iXVoEFTI=GXy_bUjhTN!re=+CJ0{&4kPqylU8<tELWk;jmmV6%n`- zM5jzu(2oWwxXi{f!R^#cV|gr#PrbM!hB;Tm>qxKgY%`!Svdn^awYwMoV``(n(@cx* ztyhOr1GaeDf9ehh@oK>}g($V8iXE?rN_m$>2;p{$SFMULHuw`S>_)|noiSR4p~?7h zNQ1hBSe`z^JAqz4k)i>s`)YfV$33se5bp@#DE7x4l0WO}-ucmSwj6r)+QqGo^FCoq z<S&u+Rw`t7FFdrFfLu`sCLF6B^PI#|$$Ju8j;kx(^~~Eodr--=I_gqj~9C;v3C8 zo0N2PvIIuSL8rXd_uu*y6KVe-eKaMdmzKgMUb=OUt?eb>trC$J=>fv5lR6KZRudmf zu>S4Z`a+|GLk1UG2mvC;IAhQ`a8+1r5QK)pJtMPG*LdfVm!@5k<Wk*5>G*aMMNXzd z@~b27<V3>FyvndtiDtpyNlwRm2sJfJBA2Y#V#Ef>a{BQO?Zu{WA)=Uk$?&|xR^xPB zf|`Pw5Iv@0@H@VAJ4!IKr48KB)Aa{xXyAFS1#!MGSh&h7L;BvLX3VdR9B{<$l6WxX zjI9bBM&G@iSlQWUd66tv(BD*oI;(FpcSdCw)wmEvbu9D<HMh{H^zj-wF<#}O!i+|= zBeK8iroKfqYZJcv>mCb1jU++INa~h2+91I23*a*Q*t5`=)=I+<_IG2A(c%qFRu#Cp zEpL!8<(75ugL7)U(H73laZ;)cJMPF74hL`cp<NNN@~y%#w~ZB4Jmr+tZQ6B#zA@aW z$*Fb<LXI5b60cRNeNNe2bF)Z_anD+E;l@H3f14eal3W!_^`bC^fYvZ9hz4*JA$6&V z@7RLm|D|@FUJXsG%h!wrp|W{ln_d)CY4pf!>5P)mtyYOx_zg7l2Z{?^W|=&GJDCsi z)eViNg_vQxN+yg;rAXs7!8q}=CEFC;$T@<Pgh9+9{5e6`fKvm|8DB=7I!)JN+R$#) zAHZeyF=iFN91lnFR4iZhGVV$?wlKUZQmDZIq>xyz>W)>`sW-4X8qA^i<egP+bd&!l zWrkPQaaB&&xHclRvJD1uEngI~!%Ly&t%;js2e-}IQB^XGYfMUQ1~})N?O&S{l6U!e zrZV036=~Llswwmwu~X=08%P6=KftSTjKZc5G~mH3eA5cjFw0Zi;-KxD2RQFN95BaE zUoldLTV;D<Z9PFw#zu+Ukh-g($K&e8=!a}=(B61uXhefgzQT;aN{VHIw0Fzejx^UY zE=GCwL%YP3jAI6Jk<$cz%0nNSTp%J-rsCOxpu9Kqw{cK_RbwQz9U<Zsq2Pjf3>ky1 zRzLH#9X$A#T&~!)YrFkgyXqi%yTv%io?*{91iqBC3rlP)lOk&X6%^1-2EE!yptCdr z=Uee_jI|nxUuswa3UZt9)Dd-SQgv}xM!sMz+YjfMKjz7xB{zBBZTXxe9cXQlSX@`{ zHcVQjE%eK(2km9r<sC9}Ezi<|A-3hj#pFo_l1T%(9b0hX55{PSUb!2;SxoH_SB1$e zm`d|qNoL_U>fx+OX`pd(qHGj%L7Ua@(%5IGxX(h$q78N_N|NDOmTwOAqS2f!a47GH zL^c_HFsOkrCYn*6JL*oj3Ehrg5&wR|twMAS2CI#1qU8GN8oiVYgU?*FEPt)%3YGEm ztds6~Vf>u74V41PUkt!PIPTueoL%9evCff4vD|dvuVTgy9z*KMd8bxb6?p-Hcxcb; znnzKk71L)Je1r|$m6!NFdycd}lGv9GN9E)-lIxq-v)r^>#T^shTU}V|l1A*H(_nqa zv*AxxE;e1>VY&S5fw&<qCvqO$Lf>4iFUVR3GS9j%dXv~ldl7CPYY`!Bg;>WrZ1^)S zl&iegb)=wGO_XclK^jizifGI>TRhz}aKKS(tPUUs8TmL8?@7TFqGn57F-Sls3a$kr z0r8b47rDuj<(d3E-3vn>;14PDhJ@gHDH<cv{DHNsA@IlHp<d)Fx{pL>u*)`K_qT%X zY4hqh#$o-h4>CBQ@Hrwd9!|kkwu<ntx8SO2G0^lX8@TCM2+szlg7f+dl2mtI7Ycrw zwR3nCl`$5AOai3mEs2j&sk5WV!bPSzdZAl#I#)CNnU9PUr?f$r(4M~7LYhquXs9y5 zyUS6x*P;O&dO(6>9yWRH4b}|GC8i!~n{b_U&S(pFQM}hF4)+voIAuqCxuR6tF$0H- zf#z&pP@#Q)1+5znqBzX!DviPGtS)AJN@7N!Im(C0hMo1*Mx%5&Iqq>ChxS@bCP|sD zXlp7(Xj)cg?Iz;nk13^_jIn}?kkc^_o0o<YhVv0IT~zd#jHOw2^cR=N^t`|*RC3U? zgAcR34jB<H(6p9;g)@!_2DmF&TyW(y#Ii=_keDggn0BTyCDqy%P$rtIaH5<pi(^f= za09%yd_=kA%JX<}u;d9KpB82u$Z16M07rrq4SNFeQSb0SJjXQTc*NErUP1c-4=6AP zSEOuGFg&z8AJBi`qXMs{eBdZXl08=_O(!M{gO`Swu9Sz}7Cd6nKE;b;iF}>3ZDMPJ z0N$&`yGylc6qE;-z8g5^;ZJ0*PRUwd*>f4Tc8Ubaz$)z?fU=2^KEP6Dr<Pyk+vAOT zmD`z&NYp1aiO1p?r0DM}tOlHBwqXgMxJ$3_<cPw4BMOPO!1*5VpcF|+sc9oEO?Xq; z3qNM<{6CgoHzuR=wo~B-^EUN7;S3g8FsRsW(*^ukn>jcx-p+CeNL=lzdx@ntLwgUR zAosTo4i*QWFbm@HeD~l8$~b=cyd?K{iEE|ORYTVD@Hx1}TNVYm{B5o|{lq<E_cUCe zoU=3{d#!tQ!`c1~S0Z`sQB4+ezW=SI-;<vz#yd6@w~)6xZZIyEW4}sh#5^Wp;J@3- z&?^SFX;sl$6ulqty#B}}`G`+Z4Ea%Xv%YkfO0(7Rjcja5SPLtIl_gab2=H0gsq(3$ zJF5ei=Xt_PT?Zu<@bn-jWQt$AF&USGjrx|$Z(J)6I{T@6?wmXS8{d|1M7f(5_TSEf zzxKybi9Mum>&Bv2hx5v%atVY`w_gY^TQsv{8U}W5-SMSYyyCle9(-)w%?sZ^COckz ze*X!ZKbl{=d!ab8-JkxOH@7Q=;_XAbk;$b=;}70-?}ASXt%2s_9w;>bTidk6x8l$J zkB2||J5%TW_Eig)t{r(`-OHb{$y4pV6Mp|EHoWT>f9@6efBL;wEbe{v+&ydl)6Apq z`qtn7%aeci#FO9u!Y2<cKmF~!Pdz&Mtw%rm@l8)(GFD#i511Q#tL^{oUpIW|b?-m( z_#Jm_I{&gWWNKj3raQ`o`4>SyN$!5-Uz|UA=&{ppI;U@L|DG-LUq9i@-&?n~kgQ+3 z@KkYZ&B4=m-(nUD#SfjZZ*H!8$=K4icQSS*``hb$*Lxki{#(1v^Lm{B-2b@cv-4kF zf6u$SPbDL*8}I&1xARoX<{qdFzH8`RAN<=-e*R;JzI^`c?>YZ(AAS07e)t#va_{$_ zxcd7)eELg=p8oEw|N6SgZ++vlcWhX$#HEGYsK3GccJtT&b?Em_`l(Gn8)OofE*=;f zy5snD^ZSnJE)pu2{2e!uO`t!gdq2ZI)oT9SwA!c1Nx3|_JJ}x56p3d?gCUF?GkSYi zWkUDB>(1W+4%pIrRKk3e?Ga{=DW9;=Uqn?AYV5vWQtiBnC9v!Z<}Xc~%W+9a>YfRh zaG%dijsqnb&wwZ8$$trsW8PT*PpM48-rcZ2cUS)0Cq$N(W=@+qDfje~$V9ok3IfYk z%Y-$Ng31U}V;_IKEcW~;F)niz6Ed0Ex;<ZBo*54^87IziZX%~r;kp;3Ci3?w9lgUv zwHv}+S2;iK1A6PyPo(k-zGK-~bHCoYiT)g%4%gtwuDj2Lw@cj6w0zQ&Pe1weQDj2+ z5i&^`l->ihJh@{r@Owoj?ABKO#pUfgwr<Bglbd*jj7;Q?M~2&XZQn&QWTID$$?pe3 z=`B#)iZmJabNeUKwZw$pY51amO<&e#ik>NLFug0YdiS}Zoi3iXc+!*k95P8|wZe{> z#xrDcb~gS5)oo<LafLDcF6%e!pf3+>-=5EJM_W<Hu73JSd!nCx^~*E6&}LLPQYK1I zZ>kD184nS-foSRd@6VjaxD{`EXS?!+kxGo7q3ShSV<p&fWw?Ja9;yeuMmw0=vT~nd z7OwX-hWj14E3(E+qW+JdzxdEj^b!*jZ-eefitU(t5>;<ehEkjSGC%h_l1{cwNpdxt z?P^Nb8p3<}jiFp4l9uZj7e}aG^4q=p7rA5dm&Vxt%e{-&YEZae5xqjyYs9O1jKMCs zmg+mk@5}TUNf+*Sd|!JHcS@QC)kECa9}j8x8OETmi2sY|Cvwl?#(QPx{pc}*zeCfV zVbGVnde4U8Yx=g8#ye+eKBRkPNGI$BP=9tmW3OD`j&T@#HS+~`cgxZQ>#u$u^Ee&m zv}JLwSMh;xu0;7ej7Agd*RNR<#-wyyN~5TozsaSQHB^ep2;|GWB@S*@T6&6vhBAHV z9c{u11{dq<i@o=$W>&brGQ>u+PD7SIXGovRPv*B?eXF`Mvd6GB__^ioz<mQUx!jWp zS9TvElT`DnCzD%m4Lnu8_8^r2GSOx8xb20*y#aZ+x+|}mrtnrK{sEa}GUXr%l}R3% zG?wFu@mD2DemOokq4*5YmM6{3@{`W_R3?vaesRd8bpP`2a+4x535uM{Z(<F^ic8R$ z%Rq0*3_5Z2lSdzYZkY@$-AxLfB{CVQOeU7AXqlpE%H7kA_XnATP;RJHnJjPJwRQRG z&`*+ND_2T$iTR4GCw1L5xq}NMkpwpl=C^vfGwF_b7+T;24?QnpiB>%@iA<`?Ge^jT zu`9^r;qNS>oBiBl*TB-lItH!CBs~v=(Mq)%xktOv2xC`}iQZ7DGMU(w=e&qGBn#Tt z+|C$07?Zm0;u+<4`MimiHZsv?$`^x7*8Sm0Kb4e&-s0<=z8v4De(Z2hqfz}v(1N~U zaY|pF7ycpjqt`g4_?~{F8O;2pj9#YaXmTmOlIbZL?sMcbdsOM^L6+jG(kc95xZs6- z_Oa8g;DzycB;=cq$tTh;j0#b~2K(4H?mm1!qwNT96;pqDPowcSJp73<eP%<?aID^8 z6!{8%Y}H3pyLT^R{E<&s{lWp>&a&54?|TgKE=uvyA7=iqqTi_LJ_=sJw;lOPeEDzj zHkte%*gabZ9e3I;(0h3ItlIG(o9R#v&Ept{p&NKdA1W_StE!t2^gE*oKKwStTd$$A zq_GKBm&5kBoSI9p&4n-V-U)90sv@2*TExdCHN!&(bS>@_YCT7w*;#VIc8MEX39vEF zSZ2klZDX}0czm!!xT20JSoef7I6ys>i24u9Brw3G5mIifcrxU8srRJai{+Grl>(;9 zCWVx{?7P6LKMf1Outa=NE2~_a2K3C?%#q2&+FpQ_6{ol!@))rDuTELSXZZ|N9<Jxn zUg6ba)i^pV_0T>z!h2kmMRVngamIZOF4Zx-x-wG?PW`2R%Hh?Yl}A&?U>x>pV0jl{ z73I~zKi=;3zo_L8JW1;}pZR)N4Yt`&-C-bFk&z21H3A7f;@cIBOI-x`fb}aO(<`pv z;O*E7K}CduP!3H9^!TrCpcJ-AxDcLV)O3fPKlZNvQw@82x`{u?wh=zEw_GHrv-iy^ zmtYS41jE7|-8-STTY<C^r4{!fl)aPMmQ^mw&N$)WksBE$2G@oEo|N9QNh@$p$?8^> zu$uzQ6HbDH!JYp4ARo3wq`lN>89YA-&>}n&3m&}u9g}eUPe<+)lQu=F>|{yZdW%Rj zrE1#voXhib>|WsGIDD%_i5$NqOzWCJQI;8DT3!>?*+Vh!V4BGr$<G>bw{b$flc};d zo|Qz@DNhS08S|~5J;07V$*LtL8zNylQlC;18V1`}O({2(N~q*0jg`ZY7S$7)x(<gN zGp@dGSvKrQbg^7&j7(K@Q*I-kJv|H%;!Hfvt`#~0e1?xs4DUxkqmyufw2RUi^J3<c zq=kkeN1o}eO>oQ7Lz?h1X0x1S+Y89s%%#q7%i+ifl7i5JMx@^bq4*2rprY>@w7xc$ zRByM_UOX7<xo3+2s5m6gxYeSctcDO~S7Uzs-O<1Kv=R~e2C&men+6O!!G?7!oPe#P zj2m>1D8%KCu9yvAB|jW(h)N7tMl5MAH7C;Q2d9;X5^+hZw~&c^HFmBO*E*2osbeG` z`w{16<t~X%l|fe;EkX-9+d^LD)M<UpZ$nh&F8M~KN*FS`1P~?rh5jj!QwV(*;T}U! z_?Wg<)TvY(1m1WZ5=xPAaDYJsi3CVSu}aDnk6>)T_2tyc_f5eV9I*{t!>IywV3;J7 zS1uJcBDJne`Vd%{8r4MP91Ta7$Cuw>(gGrjk$CNyR(YQ;z&wsf`k@PWLf9`B+*+t( z4$7yM`s{DQwT{SLG5l*VizkMPcWJZMco_{Gr|x<MO3jOR9NK84Yh!slhD)VE)EbE2 zWDN9kf@+HQFpa-9+$}CyLZ!;j!ajXGg`0jHYWjp(@UbO~IL0T8OSd4~z-L=$3mJ)g z?8n$1%L`|(u>ci<67lK@hB_iOju9C6-I_T4yZtJziZS*2Xho~1zfy(eS3w&p7y7oD zv?~@aStjEWqguHrQx3Mr`G_g{qfYm<YTG5{v{kDn;+m1;%D<%U>|GagSC+70zhtU9 zFG*C_oxlkfMr?Gf!`wBpmX(5zMHgTm5LSbnUl0yTFxqP*vcE$1CGnmz*r0KTOuXPu z1%-8Nz?#Q-ey&QPWn{QjYBBD|;!DjU9QFF&^oA|;G}D%xm!e?2<=Vckm$|`Qd8CvS ztEwN8M7cRk>Ef0#_Ee73#>a~3DIPZNmu^?_qA_bND^=D3IaC;2WFyw%#TJ>w@t&r? zBB`F;l_h#9ghcMQ)*Ec?9?V;ef7mD$OEeB9-OCyr$BwbFJMNR+BW24NZbht)JC)>A z^GUI>_1w0pN~Xne6ZWSf4%N?sA^9%1252wwkyN|@u62%-ope~Y(f(VraZ-AN7&|!S z36i=KR!y-_x=D`bk&%+WJUs8OkL2pu-Y!UG-jjDC7bW8+pOnr%VH*OrvIXUR?uNFG z3@IL4TbTWjk5jM83A%^P;QX;S^0Shhoec5}K}VrsMvN<0Ft?Zd1KbNt^{BTO-*Kwg z7EjgsIBsCjM=kg>EMqQ7+9lUdyFD1ol4*B7A?CrT&2=FrLVTroUJBC5K{)E4W*fJ= zrgrDBj*&~($w(p_ZJZ1y5!Y{$adEUjBY^GVzJfTGaCO8l6t$D+py-9-9Ubh^(Lb)( zzPB?g&+4LU#CWRC>ZgzKCo$erCGR7!@Pd#LEO;yCW(E%&EPDf&@>1ero|Iokj4!nk z$KFT5%{d;VWLm=61IH|b&#)x$J)H5h0?Vlf^gN^^V%gSDq;auyIdU0|%PN)^39LWg zD|5!UPf=^^na|@6Oi|Us05`xA&mx%tgMIbLidnXzA+ZnPunrlVLca)@8?c%5<ss5@ znNElqL>lRwLx;-2@HD07257#zj)<CMTTc4UqRW~KlHD2x<g6ZpV^>Kv#UI0FVX8{= zbdoH!nLc5#T;Xypb|ti82b%vX?10HVMz+G6C?}C)jY@b-5OYY2yL3Z>piDP~L?W-$ zO3pZjLu>TOavVfRP{X0r)v%!Z!nrG{|3ayZJ2{(~-OxfrJS*os3v~^BalnR`B&N=H zrzI{b9*#;y9#4S8F0mF}fpMK}0jsZlV_8E-a4?<b&C5ia^v#a&zRRMQ8r4W^xTw*{ z1L+Km=81v|74U(2N-V`d&N6Q4$aLPYia-o6zHA7b3YTRk4&RGt<U)=8q)>ey%yb}8 zXU~t*gw`tPQsW78ey}q(M;>3`82nV0rr@#g(Y}FH5MQip@)4@RES|x64G3V0MK5xY zNnPof1JYRt_<0{9F&lYp0gRwTdr`niZ=#y%eTp8JzIE^USMd!T4+XLDI?-pKHz<eo zSBh$^ihj<;#0jiV<Eju6=e-#;_lIZ&IX<JJmgg6xj<~ASJRR3sa5+3#7qJ@Q#Q}pi z8Y-%5o9eu}BpjM%0?$0$X%_Sap-$CTCUNCY7`KdgCI@&mNPA{sRx0$LEm7VWAM=Po z#K86;#;>GkOP+Vd;26Pv(L*MgZ_UpN3Codq{`lt`RprKFREf^AL^R1cv9;9;62`Np zW-CURjr1D97Y(dym71JPo-E8?C_EnI$F#*ZTbzC7y>d*XJy&EEP*NTW4|6W35<wPu zK7lcBn^7q}JZR%)Q-`3a)ojWf6GBr=QJcJlsXQvu+B?Nf2g7@tWG$rVV}vRcid%dM znq5<xv-8CR(q8awIV&Q6AC37niSU}#mK=G8_{Y8xe{12tuHCuk;Qp__dw#TIA3L}v zYBulO^Tda~?v*KAptx_YxG<EI%1?LZDvzCh!o?@FcNYxzG~T*@|GM06pFgLtae3&J z*FL&?Zf>E~+|z8{zxR7__rp{D>x#vf4RsGD6=X7)B!}ki_~K>9ef@#?tJW^;mVFU3 z5lr?nv|(}K<LjD3-#ho-xv|^M{Pe4D`SQJ=eZwnJz1w?lbh<yGzx{37KU#Xzi$^9W zeP;cg&3%t0kIldP-g{eP`LWS`dy9`gHoU$0J%oZx)*zF6PN1J$ITtTHmYi_^n*O<= zm~*#|+rQAy`>EaM7B(FkeCe9q`{r8n?dA>5eSbT*@6oZx9$EL^b&OpHqGaLhxnwAb zmhaf~#q~GMf9STW_Aa#K!%aGZ$|M@v@Ouj%UU&K{?)=R7oZWxRfBxK!Pj!Fmf>*xu zsZR47KSe(|Bp*BHXHG5e5{>?%fQ{YW{@%v;%*0DxGBK%swy8e5_PVPyK+tVtJAPfK zV97n%-yhJuaJBg>m&<vXC~xMG9$*DFlihEZg@-h&i~&H(dA8ScLrS2W1s2(;Ji~7P z7Y#5bWIWmtWP&j8Kfg>;odzz73!GcI&r#_pWc13*sZ1uy8h`7|@^i=p>7%!Yh?gfP zk;!s?;7FM)7WM9-7n4jj|6`AbJJ`m~9{L|aCh6UQ$b>!BXz;2YgTk|9vKigD@@BLs zR3<wPUG|p6m3Y0lAXJldW`;W(mx)>4sxldv3Hp^GcDO(D#U+!M{A5oijY;_tWs;6v z%LDZw6UMHi%cQ(HuQK7TGnktnh@L|x%6Zzt#3Xy@k;zuZt^vlb(077Vc)EFUja|pP zok3r)81xN`+@qNKlWpi5cJM!hK4M3Clj6l(nCc-8qL=sut1pLTu%mB7&}aMwq7Cho z_#R&CsvhI#@f*uq6CT42dXuR>W5CDtx_<8`@st?iji{bv(1V=fOc8^A<IZqTqtr56 z^&~?%NOO!s-+SKo2c2t&ulei!L9bEu6!E{*{B5|G@r-`%Y2<!JWrE(PIEKDsiTf4d zS<o|7o3&FKj{YLy+unC$=juR@czo`ypocilQ)eaBn<N}M*?o>1ARD8{_)EMQj#ck* zO%e}XJD%z{sy?KKr+SsV@ptSYdr?5~XIHA3&CSpB*S}W0PvlK+nn1fw&>5QfTDB-# zo_SK^KK!+bnfvbRU;e?}FHh%-9s$&@Q|H4EtG(PtFNo6zqsvt9dvPW1r$0Rh{U=t| z2Ael?`z$w`ZANiEmqTwz?esph9_3@)UjOGS6?_hv?E2accs4LF!<BGBCcQ=3@{CRt zI!O<Nd7daMv~bKkQzj!5uRtczq03&4j1J4>2S3mWXO&Er(V|0vZ!>c~I&+w*y_)v1 z?OylsmHIu0OzOEJPZ;$&hj7e{Pu6*M;2B1N6Cr{<Fai7f`+tzHuC)DtS5>(HX+Pnd zf{8AN74w{OL_gWKyok)Dzqi)lv4)44{8l~FK>LZ}RYN!<_9C!eSSGu2I|6fAZ4CsO zJgc9eOR7C);7Gn9sEmJ^Oh$rC;^mnm`^lBd7r&o~hh?&?nvE)x0s2W!RbPKJneZ|> zz;oNWreKEBB}A}oW(N!WiX9@Z@;^JCQ#vd0pB)b7a6T^-@5u1k6U*BIZ6@c;?&a0< zzcItVvFJ!^^mO!K_u}>ZZ&WnlJv&6lzY@=-;XV9HyqeZ+RlJP;8v|pY*UL9c|6KG$ zW;Fv~Hke1T14ZyQjWBykW<NW=n+3-&a%$%=)DC89mr{Qk-ix;?T%9ZVJQM%C{wV3= zZtGc%v$JRS^6vRhv9pXNvhx&cL%h>6yBDwLKP3cfJdGwhPs{AX@s7kFjyJ0TI}gXR z!QacTXTK0VC$1~vJg=&q5{O-akDamQj)Pk4yac5BJ>j0$K^$o9olM7`$eQ`%((iDX zEGAzFoa3{Pli2A7X;~t)j(OFRms!vD4^N1HV0wutGChkI$LpQH!dX6}CjwS1^wbuq zO*_Sac7&_j$`VBRV|pb74|yx(|04)ac{Jc=9SEm}6r8J5%nZDyQLwR^-lfr8Y+^zJ zE=#9wZxyGXWD+UjPfcfv?jmp<sVZYK$kGNM#pt0^+hQ$=#&$6&v2D?k!qza!Bjm#_ zG%%iUnr`Gs!lF6CQl|o&JF2NGL+MwUin^_$E$({QXbB_1j#Co{b6mx;4b$-zVN`N* z1v`|DGteq%n!r0JSMu1UG*njU7uZI49)k`vC=a9eY#mxM%kLcG;2zha)o}@woh2`O zCGJ_uU_kO;_<iTnNW|nn^$ATx69tS0C@5_;qwv#eE6nl>ZpQ^&#CQ1f<d!B6c~I{u zuo|Y=@N?StdT}&x6}aHm(E;e?lln0Ek`q8hKslAl1t63bp74Xso~FR@k+RX1JXjg# z7k2p}GHeH?fy<Y??txY4wTLWV6_Z&CAugC&ea+6NUZ*22&a@7t<}_aMb&LlUtqomG zekmBAIegDS21kI!=V^ko8}#m;v>2reLln^bD=Y<bwP8)qmr-8vgO4G@u6(ai7(n>r zgBZUaSJSvb;Y8!D0w3Hy($=mJOc$KE^&47BO&o32ic_lIrSt+<h^s!!AK=s#ny&hQ zBQguUrYfT((0ue))zobDw7At_!P=BOO_vxNU^6?7;^NU!OM=l}SIMI5=X0WSua6=; zf^=+8(=<wl-M1FA9^5zp&5O(6LKY#TXg)dsKBX6;sNr1Z1Rt2u^D7$4tG$X^0m8&O zjiY~RS3{WQnqt*#t#WIyHn^S{oGzG0HI!<vLH{4--UrOGqB`_lyH53)-DjHV(@irp zIL=fb+DS7(ZD^E<B2#@h&T9#IG&sW$MY{3w`Op}}=yyRSOr0}l#=|&~hKz{l!vo=; zlSFvx^QstV(IDm#A@31yUalhM=9zr=<^s7IxYro_{Z`dJ-93N6<oj~J@2>7$e^#wp zwQ8;UxA&>qo1oLGq*62m;QJA=dfvyVe##(1tRijO_!w2kj!IW}wPAy>4~hxuw|t== zD1rw$IjM@Ku`!MX-)F2m0WHN!gPlGqzT>x4cz~QPbjbrErE$IXfT3(efJvZHMo?`B zRUJ*M<hLxRz;AlL2ay=6I}>7QQAZB^JUAbj2W+nP2RTk*dQw6EDLa6|i7g705BeK? zeF9`FHa9oy5IpD~BVXWomSmbmA@Haxa#hR(;TjmW;3;TPv7|mdOzAX2fdG9)UHzl@ zX<`j0?N_v=48PnBgb*Z@gYE<fvd?vb3A&|kZ#DS(4+DD#o0*I*HiLL1G?PI~?&^?B zsM;CL^wUWUYx-_qw-BWC#A0qV&4&Z+I1ps_TWL|JR-oL0u!t5G8sow%l_^;%#|6)) zC1T(Ua6~;asnA(pP}Rg3eu8c<pnmynB(tBCQw99+SEQ(Rvyf?v<d<{{b}@US)?U+j zau~Sk<YNUs)aT3I&|HFQ(kp?uR`e*IPc^-faEb3k1em4X!%ow2>C^EDqr%n4f7%IQ z1q+5sFog7JP`t|*mDo7V0fgLX&+B*l7tNRs26e<lFij)kk;Q8$c%G2@i)NL-76hoS zy|@@gQ2q0vh#TlKhFh)%`_3C7`a+@P@<UR`wAGf-%nDu*<Pz$sWU_)DLd;A&oT~R` z2r7_kv?ziAM8V*L^3glO36R_kUyl({zgAg`%ZQUr8AeEUX2qoE*u(^ZoWi9^#Iv7N zf@}H-5T?&bK4vq?=tbv6-7H8poK>NWV8q=~<&ddJcMM)Lt{a<DjgAn8VLR<q1(Hw0 zOS;a7g{+(98OGOIIj?D&M7_8W7B7RCcymmLkuY{ks<QRvd3aHs><Xh}gVa1Z?^W5Q zjb&oj>kD$Hg`Y~~WxK;e<0km|%-KdP>E!;F4J}j0s{LM@V!KmjtH*^cu`M!rsk6%& z(ymv1h!K6Hmyr^@H?VU!mIMW4mub~7tyNuXB}5+z&i6>4$6?RiM?8XiLgVmrP!lxh zbjW5tZUZ8okpo3b#VcP&kLXtwDg<iBSY*6&jwsK8k)%Qhh+Q@l7u4bu<G{^x1?U2z ziXGElS4o3%70ClVE78zxNzbeyqelWCD?}azty>|rhD2P%r0}w9;+JmcVYmp+L*k3H zs1~9m&A=>Ar}8qFYM+QG9ubwYOk=GMKt+rdcSqBWjL7}g%L0AII}osP(qJr@*5o7( z>8pT9FW&Q0Y9dbI=$`N!wt;QHqcr%U-_?{VaNtk>6&{98aR3&JK9ckAQa9rf2>?Dz zzEckfHsC^~KshsbU9t(iPl4~ysmm3xAqkWMp^Y{qv<x;@U!yViatws$ZTYa^E1*)j zN{cFqmHp+&_9~K@Y#@m_bVAEJIwb~--m(u<ZuZyQ_{cg?I?+N|?Kfqq!x#?UEK)K< z!#GXbPznPnB4*>@oHkfbARx7;Y8sxV922Wb#8`3wA@a}=V1;!4W3wx&0~I7|zv_}M ztbCJ<nl(o)c&mVVMQ{igP$(@><311xoVRAYN3?#k)f1;=lQ*>*AO(!HV~LqYwQpuN zSVDJ*8y3z&E^MJtXG(d6jh5gk1n2tTl3|(Ia{4sgF-}@KKviWCx(JyM_+>FrFu-XU z+M$ubF$+7k@tamVYmitcNz(#3M$E8>h88G~x)9c~MD@XUw>V>rgjOlYaDZAX5O^QJ zaM@3GTC&()khhP)f#Ji{xxB6os&>XgmwDr;ESJ|>P=yI?4YV{zvn%5QK@%t<foo~T zNQ-OZ9}d;oGj451WWV@GYvC4}V?nuM=G0F*ku+i`JT@=<ezl%3>uD25P;D0g2Fo;5 z*5>58nhPZ@gHs{+b1rDYY=;rfsh#*}mNhQB5lT1(9r%onUGRc3K@1?a`qa%4AO_%S zK?B0$kw!J}0XxspBV%gq@mG=(5{+wI<VE<$dX7x?T-aC_Y!GBwL&og<TEj_o(aVhk zi~Y4fW>v_*9dfCANa`UwZ@eLLMU=QrzkI^8;DD18*OB0~Rg_|Vn_y$HdW##|v<G4N zk`idznN9~Pwmv8*fE4OL^yQ!TKH}Qd#UVx`N2lgbKXX;IOHx@RnT#o{&1(q)Ic?~x zsUfHlqSPceC8T7X%tX@RPX<D!r&vH6CfD|Sc)RtQQ&~B4MxYJT8098%F_HD_6I4BU z46zP=EGXk$OqCwy7uZ0lS%Vgs3MC)`l!6K5;tGZptccgy8gN|9cviy@i_7IgBa4KV zk>~^dna2|rpXALvL9I64G}<D<t-d08MB$D@oqVb&rs@JKtM)+<4M0jG=<3EptYxOL zj8h&;a5y-k{&18uiny**HAqbohg1Q5>Jag;_R2m1%pJ-SgCDq553+z9Ugkq!i9~Ab z7X!@X)<UV&q~oh#Xj-{CF2Kt9PAU^MzM<j_*+;^JzU6L0srh14z@OoZcVim_&Y<*b zE97<Ht<qSo)sW&7v2G*#7a;LkJSwj;tX_o#o=0V#7Gpy#h|CMnS;xpS&*4pFy#hKk zIEt%<aponuQC`aDS$k;xL=d(!zJ_mr<X6ai2Ywvt$lPChwVoDQ!E8d`L4rm9FqwK* zQDsE=e42&frJy|ZijxbOD1mpKyiEr^*I}m8m98T_5gJPsBIpOmLfG#`PVsHerx*N5 zNMPy8l5y~k?{V$(!Ou<%$<QJzI+`1jN&jrHjP|p8j9g?c3KD#EmK;|F&+r~g$DJHr zm+_Hwy?ZE47+Lrx&D`s^Z5@Fi&Agi#5660TDT}Z)r{prM4Jj%*(9F4MAp2|pbvVRf zk1Dme&`zvYq6pE`HY8&%hL@czsbD!yKy{XyR1Br)GCn3o$E^glxFZ-j=^lw%p+7t{ z{1?5rCor<gu#VA>icf$>|C$hO&fI1uw4pE(2xU9I@S_tz1f~Qm94Wc|^_++I!g$AM zBqh4!Jm(%gEuF=u4pz!@(vfw-Tmeo?X<#pIx|Nw7Xsaia(bcnjW}XuDm&yWmOh8yq z++vnqLgB+X>#0<NNDFDv`6-(0R!megv;jrAfQw&CapX#L@L3J3qfRLTu5t*GO$y_# zzy!Qkr#Z;EUJT4{#gwRSLZg>(hmkN=$BKS^o|>SmG_EUj%~^d-4#%WD>OmQmD;U)3 z1oS?PYy=p=g2(5iBd%D7!?%}v>crPzK;b%ADqO_n2WmCW0F63`wdRp5B%>%VI;_m* zwM9=FaV1fRC8r>6R)l(fFJVZZn8bAMxMO{n&uqr_kuhD+;Udv6H7K}8${vr^zAsXG zei6k>ArG<gE268js=zM+=HEpfqHQHQqMt0lZz5`Mg#+^$H-mZTsdtQ#Pmtd*=%=#a zgea5EIBqjQa2C!4HNX%l1Y|&MLw~{`#5h&K2fZkzj~w(9`0MD}Q#hoP;vglbgE-F~ z#dc)#*~q<k^h}D4GmgfN1g{8KCZIg{#!?j#@r;rYJU^K%2MJ^t3FygDB&oN|E+wks zBg=ws%7IxER7unnzg%uX*CRN}ANda}s4yU-qc&UVCo__-^b>7diCa(^+~P!2&1ExY zy{K!w)kQyv$|+mTJl<40IBF7NH{q-cSd^%^9vBYQY1q?G7UYxN7)IOp5Eh^-wI;K+ zOFC(S)~6VeT`s-?aDTzH9R2t_jd;}1zlN`@3O?#-EXHFfBK~z8H1$x_jl<Pv`xK*# zD|hISF<vJ@!-!G*p##;o$DfGT#Drs0X;j*TVvYh2#=Gjom9Folww;*BZ%Y+^v`b5_ ze9M)Zm$5LJ#A&3k&%8`bj8Sd^U-%^U0E`9;&)V^_)v*)jG#Y{<sZ4AfPsx^HoH%N5 zil!-nABQfo>iNsG1QJEU45<1vC~jQ60rF}A@lphQR;Mcph^Xa2FUGU_8<oC*S@j#9 zrFl{3q!Ezhk2FQMqbr&9>}{;FO-l!Pf-!m7aT+ot8B=x8RSpHk90qPV#-L$j$2@eP zV&{Ng^p^#2P$b|zY+7zF8j(tSYzR_wF(t6PbxtzY@v1=u0+K0X4S;I};)JwuI!B0= zr_-cfSv?<AkrG21=>?*XI4<P`PbfMeCaL3!OT)*4b#oD0=$a|C7#k%Ym+`8c#9eAn zFAgFX1>7n#^}3mfH5jKGp;A+4dMB92=^Qe*7-u+M2Q{xH915O~XIiNnrW2Zk;u1gc zJ~x$mUB>GY4jppb7L98uAq{d8v|Nb&xlCEc@YQp@aoVDanqVEL?S=ouQ3=6BFg$VT z1=Uy5=yQ9o6~T?Viz?FeB2ZJG|70m)GK?8BFcEr8m3!utw7c9S9Pt8N=!If4bIC?4 zIJXi!GL$``s!2dqRT%yOJL*~gjI$n&wN9pT>KW6JKE=i%d$erq1TnOdqeWc{L&dx- z=lb)x{LHZN1KCa^UUpCqA1lCWSj(+eU9}!F<1qW!oja@E<d+be7Je<#>QEb9#;FP> zjAT;olB!$D>hT#oZ#<$<oAX`!G@me~=)A%=&XJT?FVt#;Yo=xHxWm<IB~v@422QcH zEykRZLXg}ccXUYCq?Te!#*tn-1uhDu<gK`HkW*^pE8Q_c*wkuwa2X9zJ~2Y4M0~9R zyV@Nf@C<2ssdG%g((hIE>C(oV`e~z^ui27sH9DVcJjb8&f&2{{x1zl`doN7t-2^vi z^@p$BGFQKN%cWZ;UU}%y*_WBq&d#@NId{MgM9_CY^LPKl=hm+^=brn&z2`$)t~~9o zr9Hm>j%%%{*Ao?IyOiG~Hu3d=Uw&2nEnCih*Oh;;wDj7G>$hE-Z+X|boq+=^(DM9# z2e-+|YW>{$*`{8);#L3cKmF}1w=BWw9cK^FKL;4SDf>ViqH%hIzbPALhPK^&r!9|X z5;m7>uW~Xrwt3CwecIT{<uaeXdBwQhO!~OBn>XKmcP3-9*={a7H$L81-n@<m`U>cj zWuLO<>diuO=VojB#+ft5?;M~LIFc;+K-$y#?D#A0Bxt$D?f7Y$u5p@=Vkmc9W43@8 z=*4(E)*t&xTrBw=<D(xK+5UkzpPY8KKl{t}4X1rT2L}C{L^lrQ)Q!1z<TvZ@`tCCq z?>J%S&U0Q>zwFw+^%qB7=lQ_Eq@!h{?8S5I-^<ReUvk;My?DpV-@4SQ`;)I7K$E$S zUDz4hg{tza`)k%;R)5Ei>n<KSxU{tO%KE#nwI}dtCwm}jyUXw4^pnZ@x#r!*ocor` zo*&sU^5?syRX<s6Y<Me@nz@bMUJSA#;l=!3z86bB65pf$ALN_v{~*Ty6R=IH%*K)^ z#r;OQ?{JI|SxR?f_{7JujMkj+c#d@S6eFwQ@K`SeGSO=VoCcGld<o&icnXvHBG7jU zTh||X>ZzxG@W><I`qm?l|NJT5DFlc-zx1O=zD4Ny=bwM!TMz+{{0#u=JI_D<%nIGJ zKYHp%ARmAH@$Vc?_pN6hdHmUBx)+}P(Id|ulkQ)KU&T|!%>GW{@2N~PVPzv9t^6tp z8LvfP6+-$q%y}A@3oFxj*~sYyeU^OLTg0XC|6SpKvhWK!^YO#!<i?85l$m5K+IZe) z_oQ^52aKs)<sTY~#ZTW5;mr=c^qwCVe<FLWT=3%<&RF=7m+zqLO+4V`l!r7GuYQW# zyWpWyZMDD`bs+8|U8YZXN#C3N71_Xox2{*tGTn3zzFO23{^xnkUrp)e&k8wiT$U@z z`SldHcSXK9_c)x;`ra>1NFX#3<6_=&k8>0toqOE87WC-ec}KcAzexXIlP~YYXJ$16 zMZ7=xrI{lBox)c-z~n{z`Xc_>d)#&{=i#<*Y{l2|1Ab`Fs9&1dJ5P*!L{tu6<mcNY zikA?gr%vg2+Fm*Y!ri(0%|&>`IC#LEdN*kVx+z}Ly08R-KJi{8u8_WW!uLzS$2fkV zq=|c?ju`g6qRjw!m1!~2WsC89DCA3Y@SkvjtSS%r_sk#<amtT!h0yk?PRTEwPg(*m z-p8kGj`*V*5#gHG?F*VVL+M}?y$E8Si#b;u%bOsgg&N%*4AeXDS=5lUcr~&SZvq*e zv=Jr1gNyh~<RJBV6qb8lg$WL}i_#)l7Ln754Hi<8f|s{@PncW*jA|h$0#xCur`P(2 zQYaAqCYLNWaALK^KkQ>z-5lIXOw$cm1;y*1bq#4yM<200XA#+K;!N!WXlraQQGKtD zu6W5Bft#EZ_yw*@>8qG&&?&<aul$ywM`BG;oTm6hix<P*_0l}Gn9QV3+%gi8T!$FH z)Zj|@1o(uc#P0{u(AA8X_HJcbfG&)D5mxR=3U$HqZz)GXb*7qIfzh85b}{aw!BW;0 zf?g7Ae1Y`@wD<%b_IeT11YkA)h&YDh!RZC~!ck@PqFUvM?-6OrG2uvg!?GB<pwyVU z=}%02l$rQ=O$78OumWuK4L`@47}6(fs`J<YJHcxVcp`ZL9T*RH*Hbv*1#Ms{w*Wli zi|la%|AD@NuQY&DS5soFX9g?BJ*KJg$9$5ja*NZLqJSi03LoLF*kn1urBGN#6oF-g zhd+9%iaU}dK0i1b`BE6}O^*dGGIvq*p7?beL)NSo+Q$YCHTMXKQGXAEO0|*OhCl>( zCCGJyy>joas(>2Lk?5isT+&<Y9%>e2yG2*=4GgJVtf4&EM*g*iV)UxvtiZb4Vpvn0 zKI0`*q*Da+%N7J3QHpk4J+rMsYf=PCI9g$@NQ|vR>!zdaVXz$4vbdJxtEg6RHmpLY zAOd2koN6OLOD9vrgb~^aUOk!M0uhDRC)l%;5>V)T?!_|)IVH*@-)?-SAqTd>Ga)C_ zghguPHUykf8tozTB7p+oXcd=u2Mr{3^=!7B9t(G*mkTB7*cHc?_+tw}$-Y1x^jW`0 z))@-YFu}sfG^F5TJyIA!6(W@`{327ezT)-jf#!)+;+<O#b4wZt3f>HS^GM~vHMnwh z^ud9Kkw~L(No-Ubt6{ZJ66D69Y~s7SrP_YtK;+nFm(vW1LFKfpV)Uze5*i&gSUP?R zI@ND&Xf_omdm^Y8vi@wep%0}m$^yHEFrA{U{*>+s2FN4FFnz#>>Y_M}(vL#54_}Lc za)II*7<^32s*U_^iI8U*xF~vv0#k+(5y3?_gZEJYjH-&z7Yx%{^oMU%aTr)+qh<R- zO#*UsX@eG}LdDsSAh+a;iplaewM<*Rtjtq~xCfOQ$P7=Tkl90q*9jej5PjM0G>GPa z_;1F90j*7fyg#2MOzksD^$}^|B+cl?_+oT%dZ<7(dGDClD#F>b7u*k-i6HD~sg~Iz zi)k|+b&8{rVhsjNXxRRvjM3;=x8tc<b4(kjCuzTHX6&utDJ02MyDN2MDr-%GjDZZ` zhxl^K8)JygE#UacNzt*<6Rl}!CODp(qiv0J@sKXIvKc~jQI#bivr-T{>9_Eu?!1^g z8oU<Gg7?y54-E_>k;Z%73S3b70=)AiQYKfID|l{ePzjQgl1S000bQMU%1FQyXjO;! z1$m$7cx=ETl!4x4jdF0Y{;1Dqyoy*dQZ*w#2WoLVY6r#D)e6+;;tn4)H{P*sgxkWA zZ)B)a?@VewGt`-fUzsH_R68fTY(ewfD6|#b0Wu5q@+?=S1RqQ%cf;d#H?x=3tIzGd zovg~UQC;>*R5~v}cC}cHy#-zqZd95xGvX+hYROm_t0FQFRx=p`$(#S}D&2tz;J^cI ztw;pMK=PLUQrM@&?{$d9AeINKQ=v6Y@{p`nG0vl~SU(WGR@oPH*Rf_|@X4q!dL_=v zrl*>iUu52d)B)-g;k92oRgRjYNq)`3@aMQ`^*R%nwsfK_q;yFmEZqPBGWf{IXt7ZY zO-ni;F%5~%5z-hW*jqYncv50O%2<k43VdEV;a=l)>$8rInx>7FL}qr937`T-Ho8Aa zAq3eEk-l+!Aq&GbY4wSdSG6F)rqVnks23=~m7z}n6O|5_D0~ek7<EB4rBHZ1u|+m+ zCL9pQ*$l4SKSM=yHS~1?=@CN!s73ckmJzw^Xor(U7v&<<^J97_;!a~kjs~@G%HqUJ zrCLEYg~`GPnbwMtD9YQ1t%C4VPLP90tDWRd$}zmukgdHV>_%%**m1PVd_vP(jP)ik zhyerA4(#5akFRO(-1?|>@O2V!TSE(X^q=R(Vke_3i*@IbhZ&>wEjdp1hz;V-hgw^6 zNB&qO=pXmCG&R};IUp7WO~6Vitd)MJtx8K(&^}G`b_7E*-S7_xD|9+I9tK(usAdb( zP(@hFfyMpWRWvoIxa#sxsuPVzNUIzq$a%>sIOF<*CXg}u%7`{YV}oR!v7-T@#n7OG z92n4+A(`WDx}4}4St!JT&Xo){W#yy$Asi`3LdZxPG3_y3x6-!Os7`We!So7Jz~$pu zx*}t`gsAq9W(yQn_S5V|BXO+ax@cA!A#1mpM{EezPi=2!^(*=P^8=P$uhO?54ZTfN z$g;jF+lt*lLpr}^`GyDwj6Dki(?#wuv`7#tCu0n|x)RbD5W0%g00TNz3wfv`4xr*& z&~g%+B5c3X@0BbJ$+YIBLkABDQ#RRXvnZHogh0R%;?PD28i>~Jr6w|17_PQ>@MLHy zQ-D|sh&Yk!<vei8zZ89vRGEr`F7=Zh`7(dX)jlK*aq$kt;j5(`J!As`=Xk=@YJ#S@ zuv@lF6MzYG>~M=g0I~Y`tt&et=YFv2f*)`?!VQG5Q0Xqxmz-&xv45uDhma3d?q3jj zd#l@A+mbyFQ`nnm=Tc*HU@)hc+D&(KO1zNNRZRGNpgoS@AblRZHcqx3GPk$7peSkV zeWDG*>7#&5Zmd>~FLcpYr3di(IkW_1<6K`?rOBA<&uJUJMb$FmnTKmGumD)h&`trZ zyt0kp)eTje4Rf<d7jitsMXgeTkuVXQq9QDU@h)&%QlS;z*^x@%SyF&$&_CPsWXQ*y zctHTC(@bbF^vuwS^_N40HZ|nXx!TF?O2nmLW5|eWyAUF##eSoCX@!uPAzx~TnU+l3 zV2zYKMs$M1S&KTfRXgIC<E@6g#eh1bd7Cw;fH1D~>mb}{bA!T~IB)Ptgsd^C#OVM0 zKKg*s+M}bJY@izVF$WN(fDtzw0}HJ30--c5q(m#hrBEd4IZ6f`cQ+2jqk}s-tWR<m zxUDR^KIchL=-d%Vx2`2Er*Ru7P#d5AA;lOt;w54_c&0u<iZlF)H6$ZG-Cu2;XmO1p z#c4oV5a&`RoH42D_}MO-p!a-~3wsyD(<We2<8@(9+qM2FL_e`D&TA=W&%!gyf>d9T z4TQaUS87bv8C?KzJ957tLFp3K<CIxzQ%ZmpVE8r**(k`=5Qh&MRD!OY%1>j((2{$d z673JSJY18u*tVzS{qTHJ@m&3tvhxIm3WdnDA}`ljTE{5n8X=KBDLOf7Ps)peBY8In z>WXmEZ8QrwhXB20nxvc-{UVR|C?Qt5&qMl6{gS0J258Tas19{Lus%vbTr0xrl)_pZ z%t%;n4j!hoZr7=xfR$=<A2i0bb+HJ8z+P?czw<a}v@!K5Dh03uKj6OwgHBR8AL1Bo zH7zEL!wsOyB0i3GfldSjuJI%&k>SwjL^R5P`W7RXjO)GDK)W3TFrMN5<h(YktHv=Y zFG!tSx&B;{oAJkL`I{kfeeCe4PK&<;dLyIVk?MeN^D{1=I}+VNNn48ip(U=a%F#-J z7%qZ{;#GO1Dy()RV(9ahO%5jY{zzcQSwO7HLLL?ysx|#r2+{31#6tYDZAFn4Ob<LS zCSEOTfo}>{Spk}%i!qF<5G~J`;jKFv$Gp~G#bMIT>&qriUZV0H1uUb*1Vxw2hC`G{ z+f{+PG9Iqf8%9e+>$xCKPZl|%#G2db#3<=_Uv0>=_OjVU5(2Y<r>iR0VDkA)yyRPl zCb&^@jc}@DzIq4dr4XhAlW&*pjxeJos!kAW&;W6Rr&kEd7tlxwY2YXr{WTgxI>e({ z00ywQAw;=Fj((B#eRnJ}VpInml&<HcrW_(Bg<}wbD-S!nuSZ-U@#ceuaV-2pW`f4G zk)whbit<n#ddyG(n}>$7w$EpvK`}}LL~&9uxwUEQCtxY!nXZ(sYCkQt#q2jr?1&kz zibHssw2bPUAlWO~O{3I$a+2FiLbg%u(tUib&y8@4*cInOX?$^L9r=%I*ykk6D;v%q z^6t+0b?|9#(1F`Bqvp4}TqdrVws^|`M<4&)c*JQ5hk^GiQSVnJpY#D9v5B~%6Hw<s zjly_2EN5`l2IEOzihFG^V!S91LiBQVK?QZasd)9H&hoRv8g~F?yND?q-;3l$PU1BD z9crQoTCd!j&GfN=YK@-nOlc#NZgW9&wC5wrx$0Wwi-6NI;SFnVm2BmmE6alVQ1W9s zdN%Ulgw+c3K4alJInL%cZyDcud(M1=Bv__at@(-hKF%@)CUwR%={E8{<0q;9aJFG0 zXvOvGq5L*FBR%lFz!APXJnqGNpHPLzvdI-gQo@(c7{e(2DH2^q7Of?!irQM0vK%Bi z(iDgo74J~9YBgm<JqZw^7toHdpgHHgCM&7v!6^M_-?DB8)_Y)9Kimt>i-TP0{#md^ z&x_`M1LF&Kj6Uyg9%LaG<aIi22W<hd-iPsHqmWK0l&WBZuEK_x#_$UqhiCw7B@No0 z70)Qv!5XtFd<_SF6tW67bOKwRRuKCwM~4}V6kw`VJOb9$Nez^*1BWsT8e0u<>ZEjG zD;w@=sH~2}@T#&ajaX}U6iq^`5+#x>vtE@DM|#ME)__4DaMd<1W)D$T^;ScejIkh2 z{e%2uk$sn(9_1CM=A#xgF=K^wMhq}vB>kXK1y2>65i=uh3s1@2SmaP~x)OsC>!wKW zpf+JxCzL|3fyGO*mJ+UjEAjG<0ZiKiN8@Agd~qlT(h8vxReZIRi)CqATwukgbPQj} zTR@POhI&I9!P*gRICGBoW(bA%OcylA3WO9?n`bNgNTooljkLHtKOQ9=AtU&s;7L{@ zWXN>d&=L6Hne*e*zAE>Rl*%KEkKWJSMy3oW&*prq49I~=s?cPc2~Vt8aP9Q@upJwA z*8FhfdY{ema-iYLaNU|$xRKeDRF-#Cw_-q_W0T6X8@Q->HdUJ+A3JsAM3yn7J1BP~ zm{t;ul7fZ;_tv^tjPZzJn$rXzL>C2V0IxvE@&CmRkC$~>(u3OMI#z}ZrYzMJGC9*s zwC|J=+s6PBGlwh$<xxNgaBI3Ix(yK(-OQfcb!OcVkv7>@0rcAY+ln%>McRB(kns}Z zXj^OsWge&u=N76b_itTG+jQmWjkm6Qt;0kqmr8O3u$Gq20(`?bccR&;tpf{NUe<r9 z{lBh~Kj}YxU@c#!(j04jb+kHNvcnIbz$*u&uWrPP6SLI}{6*Q8&+D&-Gy7kgH>Tdh z?fS@YW*+wU)=usAt*bIUoiMO|%@s1EQ@gX_s~gY`_bC3P?Zeg4vq#tIY61umO&v6x z@87RDPyv@`nNr=)$gDwyLXVL)B53IMahpbEY}O5t$-YZFVlV0OhHC$g#X!T`qGMOe zL=+&Rg#~3AQXDmgHLxK@6Pg%5FMnJ0${2}GNWrHgeG-Z#kJk*<U}NKk!f^$z4c(D> z<dTNXKVNEeB-5XixD$Z9O?tq`TY)Dl`_Ek8S;)pe(z?@J-|G9LFa7L`OF#JJ_rCap z_uu^UKmEr)THE~iS`+rl>wos?*G+!=)%KzP`tf}SKazdq?fVBVx@gPvt`EKLvhVF) z|Jn<F<DWk9&^vd3@463P_tRUyaPf&}ZTr!+Ki#(N56=3|^INak^@erVZTr!{n_hkH zY1jVr`(JzT(slpe8(#C95ANEu>$@jDc+Rb#JMq)|_C?XOM}{b3YvNP4j*JYLA=)wW zVw1^{{rL`UbwsgAGloKwa76I5>fv=$XMU_SY_o3A#ISGo*EVjv^ql-cY9H<W(I<b> znt1XLe|cox;CcoJtGv0gmW2I`T^d$szP;HtSu5H^d1q_?;GF-ob@y!_Y*s2oKPifQ z8e-Ykly)1cR`#xNXXn(9-+LctT-(}DZV#c=w4u4_-u3UQpT4pGw>NG4{M>s#_NGk_ zZak+}$xlMec1ySu5ae40f4;xdHl2kbw{PF(;m%FjLtlF4!QXi6uV4D$4_<iHQ~&+H ze{JmvC#*GVBzyg}qg%JW`Gym3dw$dYo9=3^c}JzPeYPgMuBn{!y<fWcRhO;(@%R4d zt!KUEvIpL?{?^+rK4<OU{Pf@d(}&;rg&WS<{e};%+qL_<7hHGEU;g9U?%Mco?|Ja2 z8*lyK_$j~qnYp)azxmByeemRsC*ErIAQE~r(rl}IVb6w7-O3!#Jp@Y9r2Qi!`-N|h zYMh3t4;=VgZIj`@7&vkB$FlXdzY7hSK62ex*R6ZYhJLS^1o-HVpX{Ib$RBK3k4+5Q z)78QxX-m+4(u{Tv(yZ;Z*rcibB<5TD?sI<ruDQ#8`ykV;>Y9AGNcFUqQ_iG~ml<r* z?&LRz@t#dS+YX&(E9~2N|N3{?^&3yR_SNTo>D3?j+~u2|+IY^Hm;OpWbNn%F5}8M5 zvIy>;+qP}zqP!sDW$@}=`qbp1L-BhBvh>yT-2>i~3-FbXCA=xN>_4z+(<Z`$Y~SCs zv}uz($6H*7pc?G!;|n4>TMrW3d4s+wb|<07cs=qIcavOv5kcQxy$bqfS4Cp5#79Mz z4x>4oqBO8`5mrdl!#lJ89X!2^aw=|a4u>HGH;%`OQ<$21$73nfbM@io5kNyY3YOnv z9OaLTN>(My<@M;PyvnWhUXr<~t1ZttN~IM!dvr(p%Qj&<Et#=Np{qzbHCd??Hu+q# z$*x_RaMoE%e1T%;)q`_`4`GQV$p;&Qa~d-LRo|?;YuD5^Y_g<M?VKZa^$i3D=Xe7$ zHW}O&zi@F3o18VP)mRO`$R=yB*c1&Swvm`|qNY4nY_f?|Ky7k3EWNZ%F1P_%{~0!U ztik)chX$8zvNOJ{m{zBHL7C`iX%L$<guCj0mQ9kFkG(~=IP(>cB{}gibhY#1i&-eK zJL?I3%Qv;|K7Qyhn}FN4ZEp9r-Gh`2<b$$pw+7_7B`~{|uHM~;ziH|I`>)=;YY94D zd%bOUY03Sa+9brbzq+3!TVU!WP~rak`863ie|8x1u*l0dBi-C;o8-wR%Z%m*{giaP zJuI6>j<U(pS*vL-xWWFZWLV}%SnelDShdO2(6-oCl@y!AvSVANMWU&tZFAdp2YO{` zX{GHP$@a+j)LWKzGj?e&OE%e=-sS$p6NhT5X+GOh*d(<-zJ{~Jr;4^sT`i1P5YR=Z zu8sl5u1%XtOFQSV2~e$0ZR1_kbAuR~5A!UKU0R=`ZE~c<fB!s#;7i)Xep|ncw0i7H zZwI1B7BIKFx+Dj*pA_F{D)7e`yAHESB+CXL@9|mokFbeyo*J53wn>j})21{^pgmd* zHi7P?Y|@w=tydcPpYTnN>zzK-cSHR%1H;z2(|<*Mk<&k@yc;rdi>6<}1Mx9y)=x`S zSDAJ0aN}x|cwBGy;xFb;^N>LK_0k(sJp;b$iO0K9%IRpFZ<O4kt4Y$Cf{}|`B+XUC zqo49AR$=HN$iA#0zGuSsNh*&N-#GyjdB_!s_wcknlK_;L*2$%^Z4u`X&&Ze2$-}*T z(=vQZrOkI#itnfN@K?lqW_=-(en*9TmF4fOz=yiT@2+V6I{B%S*d$k8r`)Zq#I1|p zO;L#wTqEIResVTdFXv$Zb9raq$vjCv_;2`T2mYrouQtiYH$x;`qUrB%?>l+J2kgyN zUUvl=eMO&}<-prU&_@yP;g9sMk$uHoT^Q9N`WoqQPstNve9ce#TPSDy(4*lJjTd~8 zt2wCyb*~(i`!rsI4*!B*-?NvCet#r=-(<rF%JDlW8iyvz_P)0A?&k-B6`5n5+p&&~ z9?$q)6m)WBFQ3*uudTnP_<jluWBb$Zn(+M-)rInp-%(kUuU}s+=&reH-&;<zX1jh5 zh5SZ+>JY!5!qWnCdCGqfzc-nyu)H*%iBCT(wWMpTAdfvZ_>A23?Qb7CwDV_Ecg62W z#J;~<`>BrokA?8qV@r%nvXhkq>n-{v1J)4zv$U(PuT+YQ3_fQehe%~0W3@2_eiy#Z zw>(hp>*LEHeS@r!c9Lfkd{^dSM2h82p04XL9oPQ3@bWbxk3So!qpY&@V^!VM(Y!Bs z@9%%~CAlt-Nr?*CrH`c;OUIRGJ;!3u%hxCp3prE+GO$z5|ANXRQ}@XYjDuRW{FwFY z8$x?aQ~!WXV){3}F*p=$a@Wqsr|$aXCwqEXwh5e)O{T79%%9S^gPho86Z$>ttP(3M z813A)WIx9&vu$u2D+VY_yFl|*gU7zNF4H-mS*WqfCaYzO;i-SIO-g^K-<yfrD0K09 z9VU-qllNZm`@bLQUd++_iASU;?V1`qYv`<<LsNWt?xmo}_gF(ef&wHSu|AIt>|A>E zEE>tw;I>zH@4HXr{XSAC-4Rf!t$XG$o18t^Si0-mkKA===Oi|{xn~pADB*3IJ=tX2 z?z5H#w{6?4^ZCv>waF6SVA&OIGB}3~4-Ia+dN+ZkIVctMixcF<CQ7My8*_?=@5($3 z`&frF&N!Z-?WNXPM^r>MG1k5M)e&3h;tPRsfOW+x${2p;nd6UNg*!S}=(d*-ZL)OM z+@`Cyt>)EBUh#{3k2Rh<hD{iS==p=RQa<r9D5BCmcT9eRQykOPb$#q7aqM~kn|%AO zyZB<us<ErEiSj7MuEDvp&Vv6O{UX{#{XXtTbnF_On~P)D&bggeV~cI{ANtAQpy~&k zU=;E)cFn!$*me3D+9dxaHd+4<*o3-jwrE$zF7B3&VUt78?D`klB#vE!n|3mWycG0o z*+?eo$+5)u0eO5Y@_@r8GPS8Yw@C*vk^go~n^ZhWS^590c*eTSexkA+L{|R$3X2os z#~1$4@fYQ~i+d>1$@Rrqy}jwYBfBXe*ZZo(k8_W(A<kJo<y-zN^fUVKt-#+Hf5+nN zUpmXT{)g&wPVyr!J0q6UeA!g=`-*sRHqn+$<XfIB@LxBMa<ruR{AqYw%AhV0^YKFV zyOMwL*DKR1%gARnPFb91)Oh)aM(J11O7i?#)2q#b&({mTS@2t3SDqwtZt+)Puu|@g zm3oXj#b??29~SwGvz+LbWXg3(clIt~hrvBgqo%{TLiKOC$GN~*G6TK?;=ICt=ia}a z4>@aJ=twv3hK^)C4>_;i)0@?$4?(Z6Soq;?T^fn`+k*aj@UStTy*sU49&XPxI>lK( z{N~Kv`Fw}Hg5APjEd0=(VF7|Xd#_Z#m4io~>leYnPv#{*{$hALWak{A(r~^omQ{L; zICc5GOX7LMC_6cn&TZ!zN@=F)G|pMcm@l63gx;JQ^MyTcO86KL5WaJB9%bmiXCfo6 zd>l33gHI}Ti}|EAz<I`w)~&!3*L=%ybUsfxrSmn{;b4ML74MCB{={+tHo7=7qF5o> z#dO67b-=;VBLuraeTRTNDx893Vg=v!fm>#<b(D5x;0cdrqcUC}?9F&zcdg(THC=Op z#`n(82M@#uXvOK@BHZxNy~8)&`gz0w;UOm>C+Xxl4Ji&jvPb~H^~7U{fJS0i-5$&r z>BQ%~lGvC+kjNssiRl`X^BID45IPn(;kSMgdalwqbre)U)zoTOTpc+w?2AH6BqVuw z$!S$XMLDZ@v5<a+;=NK1sr;TMR%CNzVX-?4uBt(R9V#l>*i}(J-hmNuk|_uBL6H1O zM_9=tGRfg&9L6@~B;9g(mn8HA1#p@Nhga#ZUf()U3NBtn9z~=AlXUa2@DVpz_%V_k zM*~?EJuK)_jJj(m#yo)pS@fcQqNu*W;~A0Z6pKh7-Gn&YwW(4e+2F7=tXpjs8R4gZ z0%C~Y5QRPYS~-4+kiZ{l3o_Y~7ID$IVtsf5IuBK9D$)iIaQEspU7RCk6%|tT>iEl` z6jJTNf-bSZ&t;w@8`5ud1QmSDH~;dk>$OMS3$Y4xB#0#>+-%IUlI}H_tQ3Qcyoxyr ziV7&+9hIm&^@l2b<gBj#4y;cK(uG%m=>-n+!(lNZel?;ey9<<TYhw7*-!%X*1^9vr zbZo`Wm~l-?KmNzo)0O-AOS(Y+@!XVS0CSYbm1oQ4qK$maL#k;ZG&GdJ(5tM}Ql!d0 zZ?-Hsl?S#+5QQL)4{l-TcEv|xZDtA7XWLRj7dY*1T2}0{>K_H^QH(nR-(%AADOE|* zrS58oaz;@jwij|P5fxsH5cV<9b0*ZJYyzu9=5ZL%vdnO->H<4LXQk4LiA6i9j<E01 zO`sm<DN0K#C!Wsa?vBzx=K6d9TYDAryC&=SHQ#z|Gz35HfwA&=I+>64spm~FJuT*P za%^W*+}s~k9AxyyQSnNn^y%^1q{dVr-3Q1!p$VPviQ*xPCMi3ozLw9MkW5B2ZjTYi za}aM7?_?e~(ZoREI8Pcv;{|BtD2U}n*3srrAWE`OL0j#YY01JgH~ts)vOCA(q!&@& z`xrCPUG1bZN)(Q^Y+z1L$I(2UPWPY()Eg+06owrQ+sy044+KW7z`h{`T;9i>(WkDk z%mTWt%7V`FVU~jdyl#EW#(4sn^|_(YYz7(bLz>2(=}<@bkWK5afgxZad`nrrUz)IJ zE;h~lg82B|iYw99BFLvF*jE-rLZf|s&aNcSI}66YJ_G}?LB39TfgF<EXoVp!D`&*R zBFqB$_AXL}l>)qG5?mnQB0_9EV96}f1tk%D8q~BYz?wW%iXY*#W^89#-mLVc#BqKx zmAY+arx3TS&{aXxJF>;h>n%>w8MTK?k9*7*om|{(b%9|0?R@m4>Ie<XSYVWSqmi&( z>X?S=xvC$BvCFh4W&CJ3<W;5)XNa2k{7u(6hH+*8mH>xrKBKhZ8j|b_U0LuWQP{4Z zl{X<byIdBktY^FMK)RtZHmSTb(3g<UBBdGYH`on5`7BWJKusZKySF-&6@&v>KA&dG zo?@aS)t5QKL8{Yrb|KdZK?&vxG^-VCLKqw`5KQ_hdKU@PN(B?IzLy0tur(o@Clb7q zKyk?FD_T4v8BePB?3b?xNT`r%rrxIaQK%mG<PR235*TqR)fK$Jup?r;KD$-$p6X{Y zNTl$S$Dt0gqY?$jq>wR1R$0-CRg7u?w2haHX>Z{S5mE#b(_^bKcA8t=G&l^ggJXQN zMe8(4m!Gs_f${wIzTDQv%CO2S43lKvA<oBVy5KCAcRWeQUk2TPA2-J<M&}<&Did^E zS~B;WxGS*k_h9P+2!2kN{;IwMSn1;ZY=|LZIkq93YD=75n79erk-isG?qyL0>N-9% zgkY$Af;zg)h*^0x-LfF#B#_RXWTF_C_<q{NH%oQUSyg)zPm?1)GSh4;V5lx{Ri#uv zTy4raspPH$T?^h&sb*`2?g@n$ZYnS}r?qOHbyTgT!c=9;elPh+msGNe*@kS8`W>BV zu&h6V_9~eel^d;xqtDSPJ<Z4oUb^Gd>mzoWBPn(w7t*!OOlni}$ZA9vY94B$6r+Q? z0{EDkVoXX=ObBGn*I<}T788|{IG`?cv$?GV-WsI4WC2Qx*_CGjsci@GJ%swuh4{aM zBm?{+WU45{)HAmFrx0kbA%@g}X>gq|WZOg{0dpXSeD%Gb#|F1xRpx5&dKwYq&j-rm zFi=j<@FFwX7Gh)Bw?7bUP(|e7Er>1W&!nB9VsA%+<>z4=GDyssjS&-Ex830I;-l3( z>(j>73j1fs?)oNV@`OL`vNbI;EEbHNm%#nIK+=sPS1xO%69PtzB0D-3`LJfk#FGol zy&WuB-6X$~X;5DN8XO015(xw0Q?m&OD5I<LiVa+aue<}sZ3*&<<xj!!8YxX-ix23u zuZ^hXvnD3)2xFip-iE98SS@xRmjt%eSn&iD&CF)BA1IYVkZ`=wNyHVGY2TrRSWYQx z*+&C7R*oZfZ*`5Uj(%1u9tq8CP_70QH%s2xp#>Rd7HG@-(0rK3g5&Yc`^v9u@0Z2C z%pS-pZO$t0?O#9a{YW;#992CN{jKXC=LF)o!0_G?wd%cpmc6EaQ(mL7);d`>=BK4R z(ACx^<876jU)TueetVe4r%GNJt7!)m*B4ZWJy@e@5frznH%`Yc1#t#4C^@-Ggck;^ z?eM#=vd}bz$Tn(_NIG_|=%5auXV8G4PCEvOw-s3}vy8z<t2RJ5qM`);0Ndu`KaE?8 ziNCvm5-rM}5NOF19f$zPVte6;(27iSMSP3FvK1+vCYZd{8V_|=v)T+ebl&AGuvVQH zxQ1H4jJ0MsY#I?p$1XSQXLzvBa2?#l{`yQ_7so(6+B_I|k0(E4R&-*TkfyTu0|`6k z?<9GFqcK6Cbn~HB%e({{A1_W0+FlJQv<d{^YLVO6VFb)H&ak9d6up(8)6nQ%E#5oV zGQ`Qw5TPM)PCBq{Q+CCaT?wPWk2R%JJpw^52F)(MmP&&&G?{qw2Z#0nxa}dO5{1(` zt9XH)s{*#}5Kn;2xK>#Nyq1A|0z$5XY$4y$X_=O*MM@XDl#3Y(!k)+2wH6lGO&3Hm z$=lmX-7nueDtj!aKBJ8|SfuBK0(^B8WLaVtY9rE`jFSs$QmSbkrN_6LnjDvxGyS~F z$KF&43-c_2&pys}#;Wt5GShuX&}Uv}GPxW(Bb%+#3%T>p;;|*I3wnfKh4T|S0)3sW z(=%XI>$z#8lcL%xZ+}oNhz&Tv;BC!X=0t+a_6@g!`c9cK9xGXd;Fz*xgp3)}Vb$IQ zb~%x7s41B?J`;}b3QPiV2M3p0fdio#f{nf_2O=1FKYPgnYstv0%6>lOt0d}9?B`hX z9qyEcAoEaD@`UChEslsWe3(<&dVi*M_FdCbdfpguJm)NfR|T+A?{KJPMuU1UXH1(Q zCq#8iw$w+m34Y!N(^lzE&-Xt(JDbai3v%Y3wyTyiV_VKmPjklln`?p{s!83glX1%< zGn2Jt^hs9mVc4AZw44{DzQ9V&50y=mgQ_+d7p8g3val*WM9l{J0>x02o*uTL9B9oT z0;hnc!D*+-pQ+I}0#deFwy@Sauut03FSnOEa=PaPv&Ry2Gry<e=tFQ;u+l_<hy-|W zK^%37Q5;=1BLSqjt~QE^C$vOK7}!IkEc0MrX@fbF&eaWd$*w1JU<tt-*hlrrFx0M= zkW@b@6qrj1-10``>y=G>8A33m^F|9WO4zLuG8s=pg3#C*6zluO(pgqg*J??*AM41t zc8+*u`J{X0^~SjkqnyDv?qIo&UK&1jzK-9LhjUN8(warLapo#l_Tv@v=II%G?>@G- zQ&&Ha7K+;HqSEZq^RQ?%FOR7_QsP22jMrM;3WPml^tl43?Z-YSR~WnATIx}T?`cYF zy?7ZA+m0Fa{#!}s#o}rc)_*{wxXLXSsxbnQiotAQz=*dhClt#Bn(7!zqlgk{bPRA+ zTV_bnidaxkkUl_Lwr7S}tGWf}J)GeuI>xBDN5B|-71fMs0S<YMj9ER9m;b6C&<9N} zfO7)EwQ(ajWsOud`j2m9SdiSFKYRSxh_r*7=dr?q-EO;(Glt2y4&`1lYIxzSJQ^1B zeKlU&A~NlC^be4+EDv6102XR>JKglvj?3bUu2CkXX)9JheYv;!30_q!ed-K;nDX_Z zbai%Ks(Q}}z0(w6dHhnfM_67Pg-~F469ea;;GN<&tBYuw@hm^17vwMqyo@;#FOJsE zfM}i{etHBhI11PGU!Xb#OG7F{Jk@)e)=Pxs#DVY%P$zOL_~@2%cW=Mvt^P7T5O0S3 zq|NRX%Woqvo|W^hTvehss3MdrA8~5=F*iBlD8L!rFEw&TkTtVTjw87?>Z{p=R%n2M z%gX#{t#**9Ub6NCof-<%CDY<(15J^YPgI^+Ty&ASm>H=rW~@MXU5*k<J<93zgeAQx zqSQgQZ1?f=+;{TIr@WtgWt#O^KinD0NsGA{xX6|{VxByDB}nG(#gcE5=hfH#W%O~; zypCQjrhwOgc_V8izJY9QF|fflV~q-X=7CsnR$FvDJ*Ddu@*YRi2|d|u7TK+KnmKbh zS;t_Z_3YB-eC~Wb=ZpXf(yVPWQY&$zAx*?%#+v}=#LeJotCnye&_S<Yz1xt+<s%4$ zcB^CczJbXhrafnyve+4;kI1>Eua7ww0}2|Ql<IkmBSQ6tn8#zlqr}`PYBMxlC9KM8 zS`<P^V+x)N3Xp0@@amk{4~K~61>rij$^t1F3kGz=mrJAdBY6rzkeG;d0$I^P@@Wk! zWV6YK+!69ZOXT5`h9huFwlM)2=^meie65p)%y6?82|`gCoF^tTr+jGc+~myM?q1<| zOsN7N161dnD`X-wF|{l$4&$OzHAhxm-&6w00-t{h`Dv_$s-~_Ouf1I1<o!CHLagmX zKiZdhRby#c_5e|}I_A`864%64;A{~I3$&}Ck)VyJW${}%4M7a5=hYvrfi0|(3u;v{ zlmTuQN8zMj4${W2b|v_Z6>g<uAdnm51e`zv>IS0pilVaM6oQU1vHG-Srib7|ASFcp zggP_CYLaiLR8me&CE2P&-N=k~Ckb~rC^lOnA&5^LL59UqjoW+S%JMmY()KCYmauWl zNQ`Ur7?5+Mw221bfzl$!<B3%ApiRSXs7{W|F4(K$xojCeR|)llvFjPW5W$Ly;H-@E z=#p9&62+0oVFi}<aoSV%fmUE>o0<b*^=fKs(`b`x<U(plnIjiQ>s3ZBBr2zHStW~E z5R7!iM^N<f@1<OdF&Z(faTsfmQTe8O#Acub&fqrN;6rR^$<cxtHnns`YuP=}B;_Zk zjkxIBBtuG9ITL@6B68X!&2tsFl-Jn6gfyW8Co3+-3BYoVaGr%jf+uKvH7o>;8Cl;M zF#2Wk(>9K@_Jwfp4+C3WS-gJEFW#|blxDx<%(ZgSKIz^#FyoIKWyQe4tV34Lf%Th< zyKeFpxr9~n)1}fS*Ni%u=F1IETqw70kD48x=R|S!BNyfFgyZ?JQuWL4zU6M7HSaUk zwuI?$=Y-V-qY2?-;-gl(XfB`ivUZGKa-r}#xdE-mn5t8sVeGGX)4wBaQjYF$B{+Qt zh?SR*^RRn*OSLBAwkMt1K)&EaZp?YX>o_Y2PW^+!3~)oy3bbKtniZ3e&0vL0V*HaM zUe2tPw6*GA0k&&8<9$KQ-VU#DGow{rGLsF5Hf$`*ZIP#+uI_=mbPmer8BX=<D!k5; zIsQ)Z%<*PqBpj3q?}<197`2l?<rXrDd_!9AmMuzV4zeB74&|@P8`CmVifw32E_FBE zRB|EPQQYoSXbXY4#%I>ZFSSoPVaLImF`Wy_SF!LrZZuo;Gwy^@|H&*Y+%h|phpRld zho?1cnGynz<ilTk)iVkUJoXA^Bx`nUb$a1uss2Z%f&Pz&{iT5+xn$r+H>o<9`vTOG zZRAH8>li1i7M&{UW&NdF7V@Fl(Q*GLPk#DT*M~6&+C1RC*t8Dvh&f1p(?T1<yyf@d zF&+o4Cl9N1_yO|Y9d3zj+2D|dhl`5=^qyAeOx#;>=i2l8Pu@?{1{6<rYq{Cr<F7ms zJD>OJ<!3ez975M4H4nk!xDx8sI%T5D&u%v^w7Un9zb)taOAfBPim%a_jaz!{0m5bY zQoDj#OzGy2eaw`bO?h_X%|GAwxvxL@Z0oi!?)s(de|hNqXTJL0w~qeC+CM+%qw>>x z+|Ryn+f%z<dFz{ZR8Bs~?fS@;b8bELKb*7s#~*sl*Ur22)gOQP($x=L_o0*ToqO-| zpZ}!~{o2;spZ}ZxeC9vB?vhV_>iGvhbKNC3{6E)R@rln|@XAY1eDFQr`_jUjHs5{6 zPrfO)f1`Ql??3tRR@l3L&%qm-KP>+rm*05v?Fav(`O1ZF`uInGf6uy4{@Jf@c;PQM z@KCA$_CS3@ShMk?`!6s5;h$f~$9aQ{==8q!FF*3Ln?_%L@y0jIJ@e$(e*1&lKKNJV zfiGU1`(2gR!8M^TG!J}!p?%{9^T~Zz?4zIb?Fpf&w|#+gc_^4?bH97jekt$i3-che z@}8&Lf!AHN_B{Htubp?=)t|ed|JGYKj0`YkA_6wCUtHJuQs4ez^N9oJT=%-$zC8Md z8$NyC`rrBPCu-kp?{^Q6m!E!mfigntfv?^Ar4L;9l|MW1#e?gb3m?m``|C@8vhLdQ znsaWx?ZJ_CCw^kt7)9WPAdMaJW_#ktKDNHhBl)F`w>@+7p&LK`N1xvR;0dp;Ui{Es z{QPt8`R%bEeBvLz_?c@izVDKsedV^Fp8LvA9{+*L$=z9I(#!4~n)}0xp8CTpe&x2; zUUJs|e$qeu;NO2}_glYm!MA?vOE<WeZ~fFGfAU{Gf8wvt|KRGs{pu~3?Y{53yFa{X z_lD~(-SP9kyY+L=zv=FK9{$Oba{F}m?t9xe?&t7d>*gCi^^;vE{n-;Yf9^9c?0nb% z`|H@`g}K+i`H~GU{NEd1H7pait3p_7Z~W*-ckViM*KEFkO-|53^n_Ra-dAoO*fzW2 z&bR&isRuuN`F*E<$qd|iab<c}`KFtHC#+$D{ru<Kzsn$lP4)$op|1?9M4Rl_L89`B zoA;aYo;ArPcF)7uB<yLm)F$rgbr-zh)?3dUHoWg7*`$1D`D0%?;iJ{zz27->*~#bK z^6B5+`<VxZ5A0iCTRezO?quv**n`nq&;D%WOJ|??@h|_*mkxfcHM}pp>#slb<Ym`x zHs`Fr?Lo8dX6>v;z|i*-;=(v(9?vnc)xc3iS$x!-{^6(k`W|{<_Y3}zZo~(YD<m(m zHJhESyV++sH1upX^?FXZ4Sm1wlxO4aZV8@!uY;Uy)ZMS_-i~>v40~oLf6DGnwy3gA zlYOl}enI#5>!H8Md~opU6xL0gv$I1D(2dzkaU<+piiCuho=cd)59xu&(yl?yAh8FQ z^LgO=Vp^Z*az!{JnM!A)ZLx1OCgTJRc&{$d+hdAY2@&0$<Uaz^USvKs1Lc)h;{I3( ze#~Z6%{e&8;W>Ee?%+Q5QI}LM_P28$K`S}6ed*o@l>TTq!X|T^$pdx{?u=UR8`4vN zYbfIZdFX*3{F5AM6V4u~P3DqKmY&TXctE!(e}74BvMtpKsWxrmEFXWH6vGLKXcMjb z;Lbkw&>qVs4<wst?Jm7^Qv;G!Hc=6yoXa*jZin*k*@W|8bEFqGnTs}AiZ<CfM`?Nv zEy<=#R)F3DXj)9?^w}yn#u>}VgB{!5r6oqG7qiKdWheD2n`n#SY}@BPcj5b2v0oi5 zWP97&6gm7B-qZ+Oz3u94>T@P>PHpnxr_i-L`}4vk+P))fTDp4a5F502N1JR~diL3+ z2PhAlOg+04aV+@R#k~ouaheaso<D%iwi%h*J+%$?dhBwyEbZR?g7y=3+v`zEm=6v< zLzq5L*aRt$X_F=GC)$3>f3uA;O1pHwzn+`iwp+zlAs^eBY?Atk+T_QF+hk?*NNZWO zhyKac(Iyw%@c1Ar&y3RnvCb<_I<NTLbBkTwZ62bmv7bEtV@P}bWNs<nc^0jaZS0Dr zAIH<C+n#ww&)6Ijih>H8&~TM%3Q(W1YbTG%C)qPkn|tUVkFv?8ozW)SmUbWFcco3( z<n=2ynTxy!mtMV%6Tnzx3d#HtHlaYyLQYK`Ws~nGn-pW0a$40-4j;SJCL2BHFqdsI zb#=5!>L)vY;n<~BU4dnrBqLmK0cROYvg^n*mfG4h)8ynLCjjUsD>hj=%qDZ3kB&A` zdTi21ALi`N6-$SXId)C6VTzlbI_DZMa-8ks>>f8JZaruJzO3bO>lXd#j}G6G+ePR` zZUJ587gw+8K$VL<Q=@f_G;{&Ztz_X_l35c;^l6UjZ!PG~V7+I1X8?OXXZ|QJuNP<j z^bDcKx4*MJ!ucez#Tmhe!6S#V7DjW0(T|XvXkyx!y*!mwhxb>Q!5>ckDb__9ja3<` z^LkhCP~I>aPIYGAM>^N|2tIZ1ov{>2bU89aUC0$OdQpWlq2!GMX+CH3hh1^L5#7#^ zru;tXg!7F_C+I5bb~|Z@0gDJ8vFo#u<X<zXXYgeE`#Q~Ql#p|T_<Yh$&*UlHWVl4} z(Cd?CeG<XV>mqh2M|rA~Wqls99zNJf8du7`lP9eD@yuU?yR@x3ROmUzQ#m*J{%<y~ zIc<Qmhu`d6v*FtEZPoX8K3J0fY~KGZ_5W)9HRpCH|F72T`9=gC(KCfS_c8A~SLOIC zwAnd5Z893(a=G5raeJ)i29=ichQC5Qo->@V<<`)noogm2^K#B)at`qzb%<voOIAgu zPU#$?;e6pWntqM%AX{-Bkvc7(vGm-QBLlKTU2slv)aJb4rqD^%cRr{xUY_c7^ZU?E zlqc%Ytk-h2y!S~?-Sns2!{M>V1_t`>tITnhJC0e$>C;@+Pd`|in$>b=XP4y1Kkh8| zv8BbSsplqNJ;+0r$9r>1;#lG>5gZ5lK7%H2E?^vETF`k!o;!>6#^BtNncB8Zec8p@ zNYB)XzDHttoY3(*UWwh2?!eM}BPx#b$D*?b8C{tV2IqYE+v?!9zHOWXr@U?3$hWCr z_hZgR5v1&60V8OE?xiQ`R4!8f{`o-`rD!P00O|LY4tp*Q;rmlgfv>LjR%GnuU*t@o zvW{bEi7~tcJpOpKSB|Ew05;Lc?Bpai&=Pq^!O+kMXSr2)`Re^s*yOS0y#Gjjo_lWa z*fwFz9Q;AF2|1BZkI+S%=$uQnunE4`SW3|qSMjIyPL~#Y3|M+TB3J5r1kHx`Gv31^ zuD4iK=z?NSZK8ZP6~w>DCcSl*=23{!b=1WsKYxDHpQ0gF+*%GW*R#pvdPvQ`@B+2f z)3qsGX?kI75_3d%3DI<2{P^RO#1}g;<#6XQ?I(=Nv7e+QeLIRC_d2vRi=|4XRsBS! zj#rx?{4+B69IK52EFWg#%rAXR+dr^Lmrql;&vC!NdsetB<8=jEN{mgRyMIYP5%~rE zWX(5HKariez3KpGjp--ogjFZP#72s*H(#{DbRR+Za9CPi2PF-z=rn0LQu7S4hz-g2 ze*h}0rhX!)jP0VlfwvuH6K?6yjjriZKPl;H+fwPdpQUx%;X)95EZrpb#x7pPK#Pbr zc}_df{r5lj9H(`)E)kYkfvvJh)P73ACcG~q+9K9SZL*V7!S*M5$}z6=u?fDX?_RxA z*G2li6|G;Yqvnb^6ThR}U(ipguc4o4y|r!8uGJ>m2Dnyi@^^$^!Y0QayL$bEyueC7 z8JdeWVQ%H-gD&#IKg_934zCX}bGq6jW{x(|8vyMm&oQclJ*uBnJlM>B;brN(;>V*e z@<|i%vX@jI1@W_i#(_lN`yL~4m+Of7^~z?ie455773U7OXk7O8eDZOgk+`OFPE!4N zW^hd7d`mIX+ddQH<WF>^6z9xgjF*21b%X*xUEs+zbOMrSnQ8HZPnpC=_9nbFmGeMw zez7tg@g+kPxIV>ub+TFIS7(&Z-jtv3I!5|loP7dbv-RS(RPk&^%`Ehkr2e_lzrKiH zuRkGxFXXYGAf|FS^^OJiIK`I!gtK*lw*wxyr#laayxzw`3H<rii#V#;#8J2>o(+uO zV+^bT2JX(c<Co6ATdCN4sPw>jZSU}SFkASk9CLl!gO2GcXzyHOwwUPTe9~29@@x6g zCtjLK@zM;q2*f)CX4*4KXU-%1>CG|49O(J?I7MXNPI3L#q*Gpx#yW&>UKZ2!j3&Hz zh@9y4o0IQ=x1LiZdpg%hKGG*rSxpyZl!E>|&PfL7e;ptCJ@}g6e30{!n(u>~Mbphm zkv@ccGgQQ(c`29?FZF`J<68|oE?*Z_YD=Sw{8SYah{)pd?TN;`Ito7IfsFc-@FLN` z&z)>Pjw$Li)BysQ=fW`>Fy0$tT+0Oz74eISR%smZ2p-pq$Kzj12p~lA@90n9VuRNh z;NyP`E^0(%K9J2&MuW@xgp360c$KeL{vrs%xd9u&5uZm0{sss$00MAXC78w{CZ?HH zZVX~b<ojoUSFX#DEr)sm*9#On@iCrU?!EX)v?o-;>79$q^d~gHY9?+ky+)#=fe6*Y zX9>}R9;z2V!uN;8=e>^-Ij}Bjcj$cle-Rvu+%0p^)YxF~HJrex*GOUKJpsiWE&6Ir zW|IC=t|Q%}^Co`LE-?{}#!UQx<coHIuSvbU4l$t8C}!6)j7I@KO7(DXQRWu03UK(2 zWuE%6wqBD9Dlq9*R6<9SCEW%}V72Zv8uyUI08Z!y)L)<4!D>`dMi0fOwFs&>3iYt+ zH^YQF%+(zR`crWM9^VI^a_g0Fg+_?Eh|qqp(igOxW!-p<y*Px!k`isS{$cndBiic@ z<I51BPf>J{S;!W%@LUGJ;Ab64{MH#|R(uZ}ft1UIh*LL)QaO~=Xv75Z$veV@Ac0f< zv4HAEYqi{>?3lb-vLeGT@D9tdTuREcKnL;qR}|4}zsF*!^*9n%OSZ6_7zp|0m<D{H zV3!p*MrUoO!Dtr{-8{4vU$;W{D4y09PUMy%N6)J|AA=5-xs3V=V#@7+PC_%ckmFvX zxZF*G)tW=8MQCFUvGvDlj4hXRxOTg#XKJ1(+bq6v(Q8EJar^F@q;59W&k>L8@D)~C zuhxHtmc*r5UlJH`Op?<px1hvq@KF|kEBq|g1PU;R0r61OVv=c=-?(iMW91h??gJzX z*^Pc*Q}YK2On$lg`cZ^%W9)w=Xqrr4f&Kj+AR0oyN)t5VgLk?w4ef%1PnX<)&+wh& zt*(5=D$8^!p1sj)3S1ZZ$nmhR!`MpbvLO!i5GAv}Bey=PG-&5kd=FsQ4n#T$!2(k< zm&=Vrv-kApi|iijUnFA5)aD>L!3fg<FCbl;v*8^%l--ie%!APUq(t*T(xK+6@r+9! z&XP!;1Z>y~y3vc)*8t<;XLHUOrBrp{qA3b}v+B~`44&anJC}x89gxH0tL!fVmeVA# z_k4b)j~RP5%E(Lpl{~29(VwH1f#atFaPmv_gjT#3lIcd?Sv2f1!P^<Rx`=WSqqT#S zEn;kbk&~oyVNe7d*^l7l!p!)fHAowNm^6mko@Ar-l7ntms{_|zN-*>S?Mf2&1|g~V znCgT-(9ASZ<7@tnhKX?n(y|yEl3EbxvrwB)X_;w~q9rLO2eD_r%2+P-YD*KKjp<(7 z19bmCd+#4#S#jR?&pA4}d-ft$SBrMN#?CS;%dWh3Vu6SuuEWmC@>V9q5d<0RrddH~ zzBu3Z`-K>1aejD5ckeD2L9rkUCXS6|ifyt^W15nLBrO)0FE5gkn6!@5CQXXdev{U1 z<Bc8LiH%X;pJ&dwy3+1q(&Uf+(MLKn&ph+YGtbO3GiT1ZGjkH`hZhPE&BfUtg3wk$ zn{;&J{cg@o;EXj}W`l<$(2b+ZL(9A1sZ}ylrL99ho~Q-AIHI38fw?M0){1H}Cfy=% zaznh)2WirQFiTd7anXYf54Olt=@|U7#fE%u$^4j@K+%9(5W@XnwhK}>ygJF1F)9Yu zxTroBAryT46HlEm;Cn70nk(m8BU^Cy2a#@4l(@D@%}E3{^~!-ZCKUzRwNoWgFcvsz z{S^qT;#1!d9W9iY(Ee%pU>+xORWgFuGLh2gtQZ4mfQO2NSap~r(_%I`s!D0a*co^j zjWn5s;AUo-mq7Q#sKo~Uv=4Dw6y%b~g=GeaDEb@($SfQew%xUtNSW_!-tR?sw_zAJ zvxUXs<#w&SVQ3xYz@ofh;|Uo*uA!Fwc!<pkHuwgmK?=XS6s-scKpa4(@CGnx+~hJW z7~&e|Cj?2VO4HZILsv}G&+<-Q<cwX8x?l}n%=B?!V@#Y$NFpRWW$G<MQ8Wd!p-Q>S z?mudN<y2K1Ef^BfjHdKqMKuhT_oTtmNnEG8+udl?V-yt^t?+~#7*N^`4PTnZ0Nvzl z_4$f%>K=$)wAA}-b~Fx9#K_;Rts)yDL4od!1T)2P^>4&KR=k;!HmCcz?jlZb<FB5? z=L3YeQrg*<K42C|57xk`^!PZDg_foSkyUH<pfM#a-Wdal2w76-Ne*2)x`bwKNwM@4 zN;GKoPlr}L*J4RdnM$9lIj>WNB@roog-c^E&IYomL`tiQQ~}Y@-63s)cloRlR$V(n zjXA<BZ=;^h;d#8pBH%nAEX(F%&}>URnv^lPA}mwnF;cSB&(i0)S0!ktDbOkk7Z?}j z?ul-09DSmC1@Ty9r}J4T{;#8>;H-CNm-ig5mChRZ40tMQQBGDjQ^sH>FfH2fq}x+K z4Jn_H%3)=Rr1Hvz4+EK=VEm?b?R3CX)2d7C6;3&fy73O}$6+DU!R5j_tQ6nwqFVtM zNW0|VQ%0_Jpneo!b#<b}Nri39R?T1uOP+=%FP_v-Tpog>lIGk3v_6T(4(Sw#C9Iy7 zThfSBpW44320TKP-t+e`-+@AL8fwUCVS~Hc^o__jO$XfLSOx3AMgEc2MH?JyYv)_; zw#57K^bwK?(zYj6@@8vdxw`_}z_~w7Y4ZFRA45cW1oi|TPgNS7?wqu})Djr8SOf{( z#ixjw1kv0R;~99|bEcPFhA&c^D}fhn+7&d>J*+#W;rhJomO1!-OnC^pa>ls)sxVKk z_CIE>PgSj&K{6^pKqQbKvy?s}NOVjKhvH(0B0&i*FnieQ#vsHPJ#oZK@C(4uba5e~ zi#<+OTyTYp3n4^&e&Oa)3CWOq_^Jt7Xf4OKTWPpF5T-k@9uVHb`Qn&!&xo~Mv|F^l zqPzyO<WQb%W3KJ7fg3oM52%tJqHf0AiiV&j`4i_6rw_-ue3ASunZqZHos~n3&Nmbx znWhvIbfGKlHtu;a#bzF*rnDYqOk$oR?l{_Zw#V>(S{`a56N}K6q^@zOwM$4I)7S+| zR20It2k}RzVhSR!7=-FBi{4&Lggu+kbB#_0O;Y5?ZICBMM6VQ@=Xbf2iIDDkAg6-V zhbiU*5v*<OE_*5uWHjNYRl*7~eK?uit+xi3t*ZA28l7*)*r5^f6<S6d&&IbSL(B1> z1_bAtF~$5qYya8dwl@`n(T#ZSl^4b$7K7|e{KyPnVq?6b+I64FUfImJ%dq3ga}Y4p zJCy$eYzL(VK-mL9SYS6AvgdErrgE;SwNT2<sdruA1|kV4|5&sNA=098HG+1emc2^U z90xrW&CSK-MFfee2M?bn><+(haSjr`&4!%at*B)3C;hS_K)vowq|n&Uh?80l9Bfyk zn-=-@X&1s0B4`XqBtn^P1{!LX-;K>W<sSI5MO0C~rvmwCL@kRV)2)o6%;esgU{wR1 zAiCvtyF=Db<m@zD)qOtS>fJW9aqf}9{cd79G?HNE6+!bDS_5U;L&wQtbo*1Jxq&nx zwPRQ+g?t}_RfCjWfk>sCw{6n#Js!G7i(vzWbfc(YJRmL3j23o!fW3r4KL34nl20ob z<g8PXlPWm~v1id34aMmpuBg%ADj;F*R#QOv%uvxDVzf-<s1u(as7aT1vVr{mVAIPY zzKLdnX~;3gMkHEr%v{ruxn-}Cj4EebZS0k_0`4Dk{sHdkc~}`~jU0P&M_9g=!#&;f zuF1Kh7tnx;6~s)t3w^<K0#VPbs!k(Ch=A;Dx;v2c49Vk`K(4NJU^W)rf|zD`FuiM) z1~cm&J<ajovv3yuGzrJm71}GTSI757#N7ZWMKap9w?0$Fg}3N_)6&uR5mH?ppyE$J zYxNde6MAgcrhGwzr2)3`dugTujW?;erSK-#JDuqPyoySlTro7UgydQAgk~1z_$+V8 zEHz<@grM-a?vV5zzh}so89c2wc;(wF25;y%zW_%$8+g{)kzoq5$&oiW>vnBdmUe!J zq<N=~FOq?;d<|nE)pTE7D6+@u2L4V#at4n@%+B4(6H6Y+DHcdaXL98-?M9U@%T*A~ zN7+i4Rac>MX&w<4ZLsduLzM^*h6<_*7QtlT2(Q4jAg0t@)z9SYj#W&RsODPtv+Fs) z$AX?mhd=}7ge`?Z*I_-dbyf1pOyzT<dltNWAp4P79_W^Q5h<*v9Wc&4m>r8=k(YJK zp^O(=xp&@`H~76}=83p~P$-mLq~EMVBzG?LJOgAom^5|m0d-GofX_#}^YBd13%G}1 z(1trt@n@Bsbb){GJo22F-Gf|X3+w#|a)Lr0`<Gi8S{jKubfXt@D-cxLNK6IZ2Ny1_ zL&_*Y$v3+MRf<~i<8C34ftrN(ia!GknyQvO*CRr%sqllRVJ=)AAn)QdA~KZ4^X(ag zQjg{dr0uv@kj~k`^LZf!C@Z?VJTOnnI<xs;$QHLo$LU{)gP0&47j(UAE{|rNE4ygY znuu4=#*$VLFY7k1Y~m}61bIByGLEq-;K0`u0Zn(_8pxVjZSPV|#3DW$T>0~;M`fu5 z6-2=C&t&D?Vxn_dQ{9%i7O%h_X8>U1UruYH9L(=31!9#ndAU*JTzU!9x)=W3bqAOQ z=Hsr7MzFWs3hv8Q8I=&#-V1>JFsS{3bBufVT0ztYu@6t^WOUtCgucmT`pUeUiKUxV zDKodCv8(h=DsYwpaSP_~66QYuPH7{^iuXge9-%7*sIOGiDBJq+ic#bZ6eHT%7X8Th zz(?{iKQOA}ragbV$SOg5QQSLtcLrp0NFI0$rs!Nqt+Urgo%4aL^?ki_N)D#He4-U2 zkH^eZHp86|vWvOH#^ZN(vK=F@)a(hi7@0R^S=`L8>;x2kXQssE4LjWBv~6C4QxF-0 z15Y^S1+<BFQbWC8g?RxeqIb=s10^p@IZHrl7K_P_5j+-L@*y`=rx_>p=pkEogJ-A+ zP8KANf8TQ52HfYnxOewJ9B#S)pTYQw++VzS@qyPi=3ETrXnFt2*0DR{N^9%uPcDD^ zcOv-TV%sg`dPOUbs_)~U&5$%P@_2X*VT^!}(M0d`)F9)JkJ3*?BZjfC;5P=;S-~d1 z&#Q!Y?;Xg0te6aDd$fI9)vY3PmeKveFZy#{{!HWiYr|Y8wvG-Qm9RdbG$Y*1>8=qY z47kO`k-@o;&)&;DE-q8_tYg{sB~kwpU=z1EVy(@UmosmtED@El39);4R4QA6#PlDl ztV~8a^StY2uDPRNR#u*S=UDEJVjE2B7Ey~-^#y7c!6<KdV65!}4PvMq3$Ngw-L-C= zFY$qoxc}}a-4`bK;O1U8zB{KNAD!9Wm<+AyxgtNenYH)K<qY(BzGXa?JU*OV-dT<Z zx59m|W9Q9<$ayi%2lr=1(arNu`1dSdJrO5XOE$|cH6sOC@u*_JXIZxinTRXFT_|ky z2Lk(E1Fv^xx^AYb4>Wf9`06|S3Y|BsuBdVRQY?oCS`3^utg3Ef%;SUYW`0d%>^j#K zMDnoGcHYLWhM#fKwRsTh)e4eRA0meak<JCW;v9bW_H9S-c!Iq&jd{(8ymHslXwijn zkul^#=0AmaP6y}RyLZR7_YaiK5C7~dw*Bng<-q&yI8vODO(H^LQTgsz(O`tlvrGQ- zciiQ#DBkerw|Bqv_g-<s_qT3cdT2Dd11EOe{%gm+_wLXA((@1g+U~D?;=D_C|LF&w zyJYRaH{ScN{_<;ocGd50`}$kI`*ZL4@|Rz8$se8gm8-t}SHAJ#|M4qlH}Cv|kA?1^ zw)V{Y-e`Mi=k#hk{@iVQADRF5mwfK2Papfl<a>Vk@BdYM@3SBJ&U3!^w&t^Y$GeYE z`>CHaZi~A|hwdJ^zVpzt?jrS*q2GS*z`0+Yc|+KC<&v9el&#MdG1v5<J^shP|HjYH z{@m|e_iz8@=YDMJi(h%>=Wjpf?%VFZE7b>25s|{ib{>DVeeSmZ`;o)<H9rt<8Ctyi zrLX+a$Mb*m$W7b+=$`vtHIp6f@btxSVl{&ysGnuh9VLft{y6>QnQnRIJpJU}+wXY) z?e{EZvHAP$aW}rF*xfBh=gOD9@8z$*uRPwlb^NU6g`B_flGE8kwDXRgz$UkBJ@04# z`Jsbjzx(!k7CT@2{`_}-2V0O|Y{(;X&Q5FObF#^Qf7Ly&yTWa|aj5*#6|dQTSL@cc zCpv%hzFl9q=O6y=lRxo=M=$xofAI^$7k=t%Pv7-JU%Tq{kN%7I{@{Cm@XB*O@b|yx zmp}2<`@j3defQk|l7IHgfBDm&y696cz55UT^MCFB$}@iEb9WCc9e4YaP2TkCyPtgO z(~VE~pZefC{wp^5**&*xy{-A#y?*tfvDzlbj>O%JT}S^*=j0#z@03l7tzQ^8_xT^V zAY66bQhC!(-}*g`UDVu>hadm_3%`8zcP>8rd(VCKkKXg8wP$X>eF~fC$=6`j%6csS z{a=0j+~zxvzx71<f#WY}FCKa6;M*Sm(Rbc^qIp^Sp0Sz5McIT7kSYYpaalH@543j| z?ZrFeGhOz8mzQssP4c*PpuO!K?J?K>NMrX?<>*_>NB-<z-m%0F8H@h5ruxawl>3?7 zkrVocqH}Ma<X$;=daiWSWZ~!34U>bcWo9vEAMpK*tF$ne?*^TB@+5KE__mL4)r`0) zJt>u}T-CRMSW&z0`s?-W6SspU$)DmQbZKopUsbX6)%sK=-lu&?wr+J~<c1rrx@zB{ z^$dI8CF^Os<7oP-;^4qKSHiSEXNVlx5(cpEFljEg#>^jC8yh=#aAd^3<4EMeg98Io zhv6A~*SjY7ZIEjGbq(-6lMRvcClq9z>+slEz1nrqq3D#pIAm*QH}IblIKelTcv46< zxl*#U-u5)mZxd<5vf@7AJB?-&zK3EqX%0`mTQ*@I<Gy4Qt#2N7hp6KiWs|8LEcrjh zCbl-0YB<EkI@yH%SmgERe=eJ>_1UEUe&4W)I;DMD<$oU;l<uduiQ15CvSkYmJAGP5 z^=fsCYyQaEi4$vUYMXp_&w!1Zhhh4yzn)D_oRB5@Z8Gl$=LhwD9Bg9i{`+d~G-z!T zEkbs(N!s&pDraqzId=o=xK&0gNX2;3+E-LD>D!FN{oQ@<#wPkg<H5t&WS^sl%)r6+ zPNj8gpLE~SCe-5a2AlL}S$$J+c#!YwnN3>ndtsXllX~CUmMtjB7bWGY45of<lM%BC zwAv;lIn1{ze`{{;bp6Cuha+HfKjDk7$Y(wO@EBIcI+Pikq@5qskmdOT&Iy1?;0gAn zev7dy37L0uYxUTb3Y6%Bl})A&jhsgj|0B(OevR*Gjqo)sY=XH4*53SPv&p^~lTE(W z*d?3DeCU_PuD%S{$F91+X5aooV;3sOCi~o=`ia_z<Ziaf7~h~ASz8~w%qDE*{Oj3d z{)F~dB%7#qq#<J$yA0G%Y=?o!XM}nmBh%$-$yOO)uJ#SO?K8YO^XZ2O&f?$0YXaMY zSIo>6#;?77>FJBNvAo)wal0!17PnU(CeuF0Vn0iBEIsl}{#@&V!sW$v=^KYiH@#<c z&(3So9>fDP&h`)<sKfgSqsIZs6kOx9N6{Tn{=8?IVQ=!A$U{HmPS-wjRdmYTerNj$ z@og{V40|K>9mU@^K{$IXT&@zYy`AJ~W!%{dcXr(jo4(t4D}KSneOG0pZm78Zot!<O z<l9w#<+S7zt@Ib;^TVXl?O}_o?R$I@x(1zTuG7MePWu?Q=GP2pZ{BaX>j(7x#MK#| zaV{luJw>9XrZ4uu=B^2gH*0Sm&qZ^(nTk(>wQrHIedBOY?^f8er}&%kJ#_eYi7!ih zqc^)necSMZ+H<(;I`$5__PGoz2lUNDp8pP@*VW{^Q{f5rcPdPLZ?1A<XYppl9nd!r z(H~o#_c86IR9V(_W@_OIDXuzjH@CVMd$)Dw#%d2GI#O2b%l<{ZFHLn}d9v>jUC>#1 z-uM*XVI-`*l%BF&@6z`aRW_36ts5GlxWTc%QTgy4M%y<joh{vrn{3Pu@KVm?m)g&0 z`lxN57N)Ab<EKPcW9&Mek%S?L-mDR1tt)43{vXWGXxhZ*g&8yF=k;CYeQQJdo*FyQ zi-K;ygOP_FZ2DSl8F~IXi!VFcxFMIX2TER^#A=`#ou8R^1NLs`5WD3W0}dU6Lj%12 zt9vhL=MSQXeftle;n(drFvWiF!Hcx-Xpno1jT6$<ojf_YV)tC|fvZTzPJI5psJIGJ zY1vn1cPIOJGP$y|Xlm-Fn;e5q;#>QvI>AO?bBnpTY)ti|`$xL_@S(&*#y29}`6%Ha z-)BbZAYa|nSiVuldT4U)9oAOXDHWEq>?7AUnUa3k1ctSl%dRq;ICq%3J#>h<6`1Co z2M^{@uo~K&$NohR&@Me&wcyd+F~}xpM|ePEeY$y|y=-zLd0><C&eIHronc=g0g|dR z5@}zyt8Ajg^uRygXQu+})1FDDbfWF!l1<!-YHA2?K+I;7wNq_!P^Ts^W4LN+Qn~Wa zUaFgJ8a~*w$)l3D8K$Q8q1(xmQ?I}#U;paYr{1B{KGwCJ-(-`E%qG(B^uW`|Ij4;| z#U@ki${Th=zhE|T>L-V7f3NOfO#6+0U?8Kuxu>h2xS}{u{iI~}Ft2{1e5!t8ujN#; z7p>8!fVD3_uZaM2M$Sk`klGlQX4hZUPpTMs^9Ny{nE_)*EoXJO?0MOkUI@2N7qDjm zlRZflai)VM#+HZGob1mi=9u;<027Ba%)blY>`6Z%k6u4<XXqymUFq9(KY7O~{Y072 zPh8bcOh40YU4?ZFz8Bd~l1(^A!uoOdlN1A+<kfS^KH3!H1^FB_n+zZ1MK3nd3Se4$ zs^6s8MY3V@=|E_h79*Qr(l0-+fl9q$gH5yxbG@Ia*Ce2Bdp03!xXxbHF2TWz81Xng zL*t_4i(9Rh9KJ$ZfnwZJ-r|z9%0e1E%_hocAGmmCX6Pq1?-}}uw%pQBWRtId`74ZF zoBN5f>DlBWMlXW3%u0p7kvID{O8vK=nENWQ@X~+yw=eqtNbJ=>7JBHnM$$XUY?evm z-yC==@V^Iyo*MlaGf~}#UF2__4gv7yZg5s(=nqE=^hlvf;w97KdQnagqFX&pKFrGD z>-&M8kZBdX5Fk`e=61d_C}56=K&aga7A+vBkQ0nGz|SQD;4QBpR1nOq91B=VBdlYj z_Z82J^5To&^2XEZ;}oSo%$-S)T#%rUf!24|P-|IJLKWfV>jygl?xZ27ICZiV;Wq{$ zhEq~NSV%;#=bp1yHZldM>k!t_7lV1J8Tv}MA(7t@vQllL5Zqr^;weQrm3JehzgGKW zd{vK%H=$V_+bZ<aa!%0O9)y&2OIsL>la!X`%&MfASWDIuRBVwf(Q#v6F=HjCPK5Mz z;7OEU_3U@P>t2#89ap-)RMHY}3n`TxBlPf;LaxB~=qX4E`ys_{l++);5%0<J8|ezE z_P#a57iL-Ri<WglGEt-oioU3RypOD!dLigbW@xC#tG<X$>7#|~a>IAm;FD_EKt0qE zdFJ$6Eq!u&Ts@>Ol9vjbxa!8Uf$7u$O(UL4O**F9J6&v|=}S<3mh*jCQmUAk>c&@v zg86lgB%+VWm+M{XN0O@@INe@Ujg|Rql_|ROEE%n9RPD!>+Ck}uO~LgjUn%@;XPjGB zNntz##8c>2v}KVim4tdZf@toGbc`7(df!A!|E-fnowy8Jrq)%^#a$reMi~O4M06C= z5B^N<3&T6XJkvTx@*2buA09PstyC1Ch&X^Ps7;8m2_->CPN6``RB>ey`QaR?)(Ws! z2F+gC8J}^JDq2WRjFpI&vslOoN?at=e)Nu_3bN!84QI5Z=J1ltKqvQ;FEKq<XT>Q3 ze54{4L`e<9BR(o<5O9lx{*7E!ZMm$nk75w*CdsU}C3J)QScL-m>edmcX>7-p23Vp! z0rpqnYhC>e>jg5l_(fxAxt!?SFDo&;O{Y5uOF3{nl_ej5J4&Kw$w~$b<XrTkkWe9& zb``(JV5AY8^V3SI#Osi_WM8tVVu&Yy+++%G+yoP%5UG6KN-P$~*GTf==fA=cS7DXE zY*{D}t4nx~m;#mC6SGduqYt(+NSRptp7ls-zLDfsZf0>-ORV3rfR`KO_)HNN$(sf% zngsSV2Jcru>(aFB5(luDAk6oi4eWJHrO5^@mBQ`jH~AM0@ywiBttm(@NZ?|mklP3L zQ@@47I@NDPJwifNPwO!h+sF0bsaUEd++crmiKAtKb?$nn29(fC(Tff}%u|g)_Fy@Z zxlwU?dBZv%Tnx2H;i929zDCR^gzx~a=0VR8n9YKrIC)|5y>Ly(-^LzO!RE?K)D3Rf z5J~}SIzAOHQL;)@MZ=RW>U32*N^D_MDO_%J#(II5Bb9;>gAJ)`V!vN5dsSNdVvQG| zZq>iV(q9iAxHdsBi6QBxxb+<NlKDi%ZhE6uRe}iS(`MGhV5z)R_5n)D8jqvS6GP=@ z>mk36u483I&6ys3Nn{XLnP1px!FVi&5!L)!oy5+fR1;*>-g6n8-r|#u5^jX#NR@?+ z)Fhza&=Y+L&WMf#pXT+!>5m3_j(Dw-5E<UgML2JYDhTFo#1ioWPYq7W4!{lI%cX|; z8Cv`d6cwfAXX}2Fkw=CRpn4*R<px8d1O#WiC8;SM2J0s&vmPh$(Rj3h_4E)R=hY<+ z@LBl+(M^fePZUx<W4NiGa7#2IoHo@+t<0O&DPn;@1*8;2E)5IJZG3R66bp4(xhb$A zrxgr8lfy&T)~S)Ww>hB-op6Dl3<I)fQ!=Yy1QkySL#);hdPM)cm1Kgv^FX-j1m4Oh z2De(gmU3|T0(gYLe`^eN;Xu?7vi5*wUX`&x#z1g%*VUY7H*e+lq%nb^B#r<He83Hs zIf$<c30gjwrrcRyKS{%kGzV-_Vc4uCWF>bh3*rDTnGo#zz?N@H#~c=90kJ&@V0&Ce zQ9z)6;<*4^OKVOLr3POkFX-zA0F0zNyl~4)NQx1%4goY%M5WPsjGiMQX1br~ECz}P z1TJCn1=buBmh#a&6G$$ICRXdR*D*2mL6Y7_a07qRANt$CLlRQy(95xhoSH|KLJ{i) zO*N6C<esri#2HM`h_Px;;6ab6`M#0pW?>=ykceg5iQ74EE=zXJw<hUvy&}qH*SO0S zM2<o6r8=S#5leioyu>WFG<qu1UXw^8h{^>PJTC-CUhN1WjLse(rn7C$1D%YZ?Pic) zfhDVOUlaR$^srnK$?hjH;VESm@QK#%D!!H;i3d-$v(5yy0;{7sQ2BmS`2Pg|x2%E5 zH1p0p+D$s2xqL+C2G<xgc}pG$FtnvJJd2;kzmxFYdBBzLakJ3@-5l`$b#8v~(fmLl zT%4c6?y<yI48XMkD*ESZVio?h^H8$l7VUgxkM^He^gYRM9c{iQi2l(epK#)TEQLpJ zOMaDKRuKELhf~_>+|ZuxoV9tWWbs!)b3cgA9W_6HEXj7iQ~6nL^v0eh$<Xm5mH+vT zVb1n^Q>l2)05$)%=B1ZO?%kD~J8M5TIgO82>F>_<(3UlRt_mN`17Xe*HJ2AIi(Wyu z@bQU-$f*X8e0*hr+b^G5_9ADY6Xd%~kIr(3jZtT2l62tdqYEB#{@)3A3BRT06LUX6 zS3JrlNPOAsQKK);E(XyDo<dGU-dhuYJ``s?>GF~=`4J9^&y){g&i1r?<E2GP$FzL+ zBhUbNofqP2Ss$P9k#+TNH6qT(ebOoUdHFGhRO$G!5<j-T=jio{&pDe@e*3lXSv+C< zyb9yjXLU{-fX`k3aeo~i=bD<l{I&R!^V&*YndG>wN3Z{3(cy=kN&ccgAb;Uia(nMY zQ^4F<;e~uJr*HfWf%%qb>kx#>(N(*(FaGFPjqY&)k;04+6);4r;@|>XB#o$gF@$P1 zL{E_+;Tzpms0fKw<j87N*oD=iqPSXj55|L;TtTR=<PnNsMwPz-VjU7vY%aSJDq=H) zb%MUh_M#xZ2(IijJfejjeI0{znw*)xY+&gnJPo<WxOvi3O&7f-p6Q9mf*bDzD#Vsx zB)s7_MJ{Z}b5pF1d4UwnQB#y$!}MGQtZQXdqn*a_B+_36J;X=~rE8r&2Q5(z>33oZ zSk86!HfuL}O-ZdT?<KTHj5;#wk^2#^K#+5qoMpyHdL%|MoDNz(?uv?@q+U47=QKPu zZR@+i;7pKg>6AxL1J!NKow)kk>P=@K<+SLTBy8>Hj#a?ws_c(>@wo4?stl<d=EwdB z-wRY&VyxNM6IOh&zkMazI<LaK0l>{=&cJ05d)X^E&J_d8lv7n&8I5hdpuxg8=k2et z<ZsApa$}r@d`+v`6X7ABGLmbUkH!>H&=bG8M3920706(HM&nIEFCwLsOubpJVa1S? zQ%y79*C8flt_rM|xsD7`n0NafNEw8B2;k<fI}y|?m?^Jih68*%_OC90QbgkA;tOga zGD#4@rz_$aq;hoXAWWm+u}%j*^q(x!%N<PYLcJX12RCb&9L4sfTz|WQN=c&?5bq6_ zJ<beyEh$#Z3@g^So+QH4gB~7xq|JyllOlrbapdz1&(Qz`()%3I+bTmDrC^84UG5ga zt5-UYEh2(`ult{bi9rzQ03MIaaqU@zL(`DrvBwbJB@i>_ceE<dRu)z{>5P11{o-U1 zZuny;ViA!X8Rp_>7n%T0AW8@q5`f_gXfJ%*GrHMj#dQhRWiyTyu}3&Nt{}d($Y)6j z@-G`@ETQp~AQ&$M11~qqagmaakbuyL<-easmlO#>42TISZ21=4^W2E&5QXak(pxI! z5~}1zuAEvxv^rL1J`XbYosF0tNf|TjMT;fa4})IIyF4JS$k|CdgBlR|CTMgUfj6>f z$_*g2a>ZPYaQQ$~xq@D-sV)f5*$X&RFfY`-wmYdT6Kj|b?pm$3+#1Q$6V4gVRGE2j z6_^~Ik^snUPrE$eN3NXo{Sd8cit<_xJ`{b-MP(wKVz4Q^ZPF&L*v<#1)xC&<65KTT z!<9|93wRX~f?MXAR<MF-r63UOA0&baT8$OUq{S|5$&0EFljsbKViH8XIZ+lqj_5?6 z*y1G#L3#zkE1P-Yc@!H^P>K$FQlrZ)TsunEgRIP}#LB|1^*CWs<3&`B0c@D}L7})@ zv#QQr!DUK>AkTuLQwGKFr@`1SPbsT&zM`lEh@~XLt%4J=&$VpTP%^a;fqG6133ovh z;wm=D@an7^Ku4)nkQL+uv(IOs_)1mKz-0%GS=*iHDU$?O;>EKrTUZR5)Og(@G}ZDU zTVX8m#8{H*DIp~<paRP&%0m<#brYz95@SVJ_tFnuq#uJ;DG=({+s0<^%)MfB9rzW& zG6#!Fx?V`A^;iW)<T`ABh2cKgBGQUd98BUXvJ_12bXX6~kWEyu^f-0Bg^HidgW~Wj zXkkY}B3Ma+aWoWLmCT#GB#Bs0Om5F#jMZ}lwj&bpA%drgK&3U|1X13E+}x5Yh&&M@ z1+#oSkJO?^WCLBFbBaY#uyx3-sLb9fyHFe<`B7-)$|GIU7}rD4G(iONG?8**Il2t% z8Nk?5bRmNYQqa(W&*60tdW>s~V=ugs>tj1pAnCwi8DdpgOegLXh*I<O6`Q=cibH24 zlXhFh;zuSQp|z`$KqJ=59wRkmI8FgKhI7G6MoYin<U1D_0Ev&hEWm@2*S8Qw87zz- zCxOl2_Je1sooInj0&nfZLk}*8q&??B6*-75%zR8IFJ6-=mY@)TIe}nqERpUN9^*p8 zGR{2}Kr?I|NvzaYR4iWoWDWvE6~?=W_9icQ!hrGtuzrORIQQ*F5nT|?tsIFl5PM9h z-1XE1)Uv$hD*~lRzlewa1Y;sclcJ`l6FOYrs(8wVaHI%o;r6>u3}m3AHC1D&Z`gDq z3ir_`n-EuUNn}(dVgKBFl0@gXLS(e`J-Osy<${ZLJ-y20hSN9l4nbY&InjKaf~vLy z^`MAox}6|>s+lqt-i1H}-~*Sk(Jah`lC;2>v8D_)Xa^C?@#yWI3o{c8k&=8zserRz zW?qDkX4uxTDgxD7AE=z%34&{M+|o4ov|DYin#8gjU~JE#9X0oO_7JR+jn{VF9K=M{ zS>s2fiOF+a%hhtvQWVv?TU8M}e=!dPg`+qA0=~xw=fn!6CWyYq@JHQoT)&XHpLSIA zSlCJ;R|$x&Fl&iF#HgQ2a^_f(1A50e?TMC!9bNlX?tUdAoS^nCbD3GOfan?q6r$m~ zArYlsoV4_miu=9srUY)9=x#n{-HSmWu@^Q4t~#QT<Yb?t>e}%F+%b&_(YGxwxji-^ zj9s(7RE?>wxFk5ZH@U}ABL`>L@nhKnXyl@yw>gofq2GkC3qzhPL{U8Pj<UB`@f<ZF z51@{SiP1*K=+2^c^1S_kW9(XV2q7srzTO-Mf<Tm0B!q-<49bLP>bb|p+;U@_lzB!a zL|LDfYiHMyB-c-th0?0i?aIZ_55xm4D=LV$EJJELiqT4}h`x#oe!n>QuxKj=F~+&+ zr=m&Fyo$+vFfAQ^MpzK#pi#&))bF>+p_S6T^t%<6K)-@APEfX%8;S_wFDp`<$!DdM z&uNc{4NSjHZY16!6CZ9CY?dBCTR}Rf=yJt;>c@F5(lhTWGKO<PKoK<$BZ&)+|Dq_+ zg_18T5?IM&=%zdqK{6^pGMf6-+j93NjtHjTbQYX@EqU=eYbG^Js4?l&VBm7&bJ4$L z(Y0P~nh}XEM`b3{#KLk?Hc@Dhvk{ElAt8no5oIX-s~!uyO)x{4lJ$VwYwQJtlqckF zFY?fIGxXW*q2^yz#Hm2$DqnP?MZqz(dMpso4U(Tc7iWrTmCkN)qG`k?+)f4%WyZ*I z0HXBoRbwS)2cjB<w82&5ZWYQy#44|GajioHCCmJYyWqdv4G{DkS7+&ydg72Y1MAz9 zE)tjyS7&*kV4+opx+KtRR#1V4#wetMxFO`eldjnh-0uihwU6#-9V9Y}$#a3*6{AX> z0Ms@4eWY~3GY)I~V<Z9Esos^k<`r3xx;Q15K!a(yS;CcvRhcKOD*<{%wMzryd3dn1 z(xV9J={Re1Qck`y;KizEZrYf00ThZLrM7IVti91UIVAL0v~<&I>q)Ix@r2|f7<#^> zg)C4?JCM>9Gs;d0D)EWAa{VTsV5mqg2;*WJSd;Km!|fxa1TmVK#4C<aUBY`X@Hi2^ z(ASL`5cM_yodbiV>I6u|N_cV;W&d_Jj!B#FHd9&pVq;z>;N}^yLE!xpLKArNe3d~+ zM7eK_=;BkIC{eKb!DBeAL4zs($ck3Fny%+X8xLKhJ-TafhStx#)2Ff5KFw5dGB|ql zk&tJHi00^t+-H+?c+bI+F7wWy@`P9DtZ?e1E`|k@v#wuZ?}aeA@vYZ`DlJIi%y}<G zd#N=~<y_FbM{w>EWm#rJe!?wGaF4NxUg3KgCr$bi^w8_Tvds6w2~ol_W#CsLcrD2{ ziBUwF(_!_3xjwPV3;LMn5t)ig{AD1*Dt!-0P609biW-t1s~~>lkM?qDrZYSx-Pd9v z$J}*rBj;)|Oto6mtKArBw|VS@l9^wKOJj3coPl*S#LWZ?=gcL6vs|;7*uHXhZ+hn% zns1;B(t%hqQ>BE=HZ)0DQH07}wwg`GYcN%iV9Q6|c&YoChW+TVU^zn_b`;T7lE}3V zDZsho8d#AKZF~`*i=6^q5JB?0AG|cW!0+W{BX=3(vbmj6g(s`niG<2>`?>0ngWWco z=7T?iM85M;ZXMm(bcHIhxm$y9R`LN77u`}^MhN96u^<+6U2fki6bJ4EG8K7ncBj8O z`F74j9lgPiPf$Ch`VrNk>5cbjPS@hlBi?dBJPpLt&??d>Cy(ZXnW<+AS?$=jbH$;q z;W^GD8avW01K3%BFq(}V<&F`>#Q67ZGmKn8RhI2kn=Y9cdd;{++5pi)A}_O9BBQq< z)wWG+V6(ds14ou4XX3lB@kvXi;{eAp8(nnX21fZDKAM$-txir!3N@3_9n1-1WKBvd zoaGjnx1g-Rewd3q{~<`W$!M2kKeH!o4gjY>OuaeA)IF1pXV0LTfX^bxN>%~UC|(}d z@`06S;)MH+*crR}@wU%3<K*!8$a{w?>CBeG5}06N$~W5Eo<#3+W83Yx>N;s)vZH(- z7tp(wle;4^P~^7ztRlyfdT^iLIo|3t+R!2w#dF(#HnfK3niFGlKjQrOc;52aD78~0 z@i26_`ardZO<dOY+Z){J^VV+`$mun!!W!*<K9}OAFP!ym_8LA%JIc|X4c}HnUvx+J z@Oc=_!5Ca<N`3T}hUDi94EnjwS^W^9!BNfIX978mMMbM@MVkz_@Xpm*g6i5UDnkws zJx;4|admIZM|oa6?w#i$2@%bSE4e+v!bPpBpLZ7jv2TuDxja&w<!*mv>+K^$?Rb24 zznhsJ?JSKAW)VYff>=Zs)@iXqi`-rUxaP{aEY>T(LyyEL)!@-gW7o2a5dwOZ%@rch z=OHcXTZyiS(x`jx-X$rgmrw~BUwq@<_^~UgqPx%f59c-~{r8sK2H0<uuS%B0RZs<T zja>yJ$8HyQwsN{!>_l||*rT!PbbcS*9S>f5Jn(JXAr2N5ee~?%hRbr6<vtV%mP<ny ze1J;kacw!OUWc1M_#F@I^yN0Un=dN4#d2xsL_uM)@{XJ{Qj0WpsZ2^#@J23lk+V|Y zz2b%5l{>e&BY*Ub#gBdHue#0qeq`&|Bmaz^a%FSkZL*2!7gz4bCb6@)_9wsarQdyI z<hS1U#3v8`n?E>z+ixx(f9f};-u&CIzhmjg=C|JUHe{2%AbBw!k8U;g`bo4nV)J$< zr=OhnwfAnD7~J|Du^U~-EjHY%?s?Wt>|p<o@@?etwxws?t7ndtpT#C~V<*~0LA@36 zSMPiDzJKzPTNq!K$Vp?@x&Q4a-*Ed^5AJ>MjceyUcgc5La`R7Zz3_ph?Kv&aHE zRn{&#$sWkN<y{{viX*q|yYIf&Z{N0M^fx<4qdU^BY|_XJY_fmP<AZm6;l2Oi`k#8| zH_z_gvQz!!_3wM%J^9unJO0T>ezCJS>4t85>l^MncIB4rLm$3Jci1ie?{~cSl3(8U zOIwFObl=kG;EtdEf!pqT{>q8b9k1x#kvA5XcP=d-$Ao9!Qg)W<$Aj)Re^Ynj$~_Od zTdixl#Rs?{?QFRBKlb&tLl^z~%g?*}ft%jl`ib{!b)(p1Z*3FzKs!I4$774%{C`e- z^^bn-yZ-QBcOLyFHaTzU;fJ4k%P&6t>9=8%!R)TySx<I{qLH``Hks?U2{i(_Xy+Zh z9>pei?A`w0>#zwGl^t^*JNCzOuWsE%2)3djn*^UfZZ^rs9>j9dwViD8fjb&Y1Dc)F z6uEX>`;$L@`&WPPwXeE-?KRlsg4h3J*`(Y#w6rQ&v3(3CgJhFD?>0a1K~}gOyJY*Z zo!hr;X??+La;#D3oov#cx#Riw{)YuO`^|5wZ1ONR8O@FiV3XT3pAUWbA6;-?J8W}D zKYULo&yF5Dy!*YE{K-pxb=U3>?fyV(%jn|lZA*W4pv*f{*d$+EZY)LFq_L%p$8BuA z?aC{=^o$kmn?<do&K?;Un3>UOL!7ZQrxWq`9{Ml`h|vBjNT<kf4$(Y>`LBHA8=R7& z6XR^>r}sR}DxwGJygqYvAY6~$hg5q;563<lKjGSuE6Od!SN`1G+SL5~Ip;t%VOI^L zGf&pj?z*0nT=vyr4F+p7l!B93gx2)Rw=gGPZJ^JuolMbn-c`DI_eW12Ub}mqGY3DN z7{2)x`4{Ot9?r&@<47GcS^IjOesE)%E*c!(m(oqn$!7*dU9GKs^AAr>DjaW-{9D#5 z>1p@0;y?2loe#v>PuRq{Pk!>MtETpS3!89?7iY+<xr3a}O-25%+C<edXg5dc_X0Md zJy6GM?vr90Fq^EOnZwxiC8buJfU`imYcs;mY!j0v7B^t|&#&n)e_)eM4r3FYigkLM ztj*0IN;aXDT5{J=nbzS{o9N8QHBNI(HaUz<>?o}&iJjs@yz(^n57!RLmrd5zXbCkW zJySp7+?{WH<1?1(B0F7CHtC%=WGUABiO%g(Rc+L>CU_5Bjk<<mj;u<xk`h$)^R9QT zt-af9!s(v?C!W&AzWn7n8I(7Yg-+O=DhpR)lbCG6*-@uvZnA5e%&jCT^LECYai_ei zbQGH7j{0r#hc+gpej=M3;&f7Lp-B*mOijH5e8Nh$*(Pgq=^W2=>M5BFOTM)oORq!5 zprXUNO@95?=jPCtvFo%pndBt5&wS$>zlG%vaz58V<eYy#j_GMaabB!VTC&Mlok|JM zWRs`eyKU^^I6mO2t2U2ayPu&d_Q@th=h(zbg}{A;32guipE`C`Je&FnRr3Ni(by%M zsEO8jRETCgz^OJ#b@k0Zw4$KmMw^hNrF8qdI>VD+c;@sGx;?trh>oxMbk3QI+aJk8 z^T|EwJj-G~=Ur+u(>2wZo+<36kr7DO1J2@n#HTYrE1Kjn(s2f-FUsg!yniMi&c{@n z+9`fM2zj8A>#pG(%&e6ocNG0x;dvY6i`=DiGRr6TceX1XZ#lMnJ7;LVR6OWF8RXkp znv%_#o#m6z41A&^yLbcw^ODh@Q3QPV!uNQcH{oB+T4lV(ZGU9zsGC;2%Cg^?uG`@w zp7SQV+a-rO(e&22x6mZ;4$RD1{-mqptu7?T_^DfaJLC0+3&>nKEB_i7Rrl`3H|Y$| zKh{~EtzE_HsOX$q$@7NJsVw4+Pjqi~W#gV}p6Gtpt2xK?W_N6trQ<xz3Bo)&Ds1&F zI_Fm6Y;~>4?t8W{p<rHX<m=HJehkjJnX+}aQ7Rvuj|u$%^!M;K?H<dI6zNRQqJ0gk zOvJ}|o97d6`b-p@hZ&s8f&BCK+!*36<3V?B?xTFPSh^v*d-ZFF@&l%T9M0^Nhiv(r zqpGr_Q*c)oyo;#wZUx2XEM&@NQF;4FXvpVHL)5@ze8_K!-||UkdU950BM{FSo{N&7 z-)M4FM;T@2$=<@{jH~J})5<ng$zKzOhY?}@)8h?Zv=4Wf6So-^IPZ~+)+Xq%x<^+1 zk@yOFJ-Q}T{@2XcNF%7uE(AMdbBk*HIK&B{Yln?vqa04^gv!}_Kg=9O@bbCj>&(Q1 zE7drX(nwY%ui`QK)YLcMtuXfr!Zu&2IjeMQ4?Pd|?#V+OGs*FugKLyjqrA?17XOsZ z60_~|Mqiuvmaet7eQNEw;XxffN_`B!`HKg}_i@HzHLh;fMIsH>=MXjJg?((I3>Y&# z-ryQaNh=)wF!O`5$#C;EFWx4cq$!w6{im<a4(WvRI-G1`^DE`ngEc#QP+YZ5%Kx#t zI%xAI4eX|yv=gLS=udC>yEfW{NlV|Kr8|R7uG;rHD}7~?3%09OLaEx-)F($|lSaD1 zaPK~G;I(UOtE-$UseDr|O0Wt3`Zh5EobTvChG{5F0@kkAdHwxmRh%*QIh*2pF&pVG z&`+igTbhmhhd1|=Ef0w+xCQrnhX>zrXzhnJrl&M@U7Hwlj9&MX!)x>VQXN<IRhMba zJ)}NLKe=j%WP^jueB@g{*$3B@O(+J=N&Uplzpl#foXNH41_uxA(;zT7_~tLpjP>;s zl{E25SRec^*hH1hiSYj9D%PM7w8d)d>XZB8ZBn)5)WlSKwVw2o`g;!yWHxr4rk@<H z&Q0z0lg8c<Q-jdhb;wRgu4GE~x_oJCdDZ;{n-Es#>ibJv>oz&DZj&^2t=nYkocXJ) z3Yb30pZa9731`O>8XTPYvzfhXYs}kIyZe?l8M&b3somXq&IRmaWG`Os31y96D)$Eb zVxZJ3<g9gc!sz^l;7ieuI_T_gJgOBUgdfw(deZHw{EsA`uLBvq_<Rbxk-dbotnvB1 zd*rtk_a-^FCORK7f{whjkCB7J?T4wXC+A4>70Q=Aieu*ADLLYI*G}J_ba#un(MGGi zkd4pt@gkVqt#Sm-{Wz4Z3160t9?4U9PfEA*^ZDLjGV`E3ihp16eV+JcmhG(fCFV`o zly_A|pY}E8w^n*QCV9yJXbKm5nn~VBqVKHfA0d|wzbG7CU2v4!cV-uOalCYENBOY7 zaB<d07u}_c;Xs%0Wk&-c_8TtFGJT0h-hMP3K-9q77Xy6kGh7TLbNQu<v*u5vFnjgp z5KsClJbiRda*oX6BR7Bb(K*R)zvt-HqPMq_o-q9SAyGro>;dTcOBb((9^=HvmlvRK z4as*t$r-pTo89r*j-}0i4!=al%glEJv(ZUcx4>oa@d<lMz4T+H`4dO4S6=yVcP#yp z*^ZToGGA|bzx`u2#~pZ@_z0i&B?`)qPgq$lBR)bO`nW$JIoBsX_IM_Fmvw^j$^Q}Y z;(HHa={H;Pz4cWPC2#}yDwLP2H9a`z`2l(&IIukA@?!)yf`|aP5H9l#b8gkS+11sE z=R%YdaDY`*4?=L(`Evz((2tt}&lZaFBo5xYu<8i}C0RwtDq<jj(PeiD0and5ClDXN zA{?NoL*3L6V~zFsUih<mwh=$Vz1aiQ>W$E;AfhfHn(Ol9)vgy3<(vwZ!zO-bT8BR7 z0$i6sQ|3@zc&E&vYd%F4L2k%Qpim&B<ibFKqSoF*)w7b})v8Tp8LCKmR1U5#Aeehv zCmVgqmeWRHLY1OdZQcScp3)L#!G0%LM4jdgO#KPg@$kaW7}QpPIdKW2KD~LH9A)lt z>~R{LFK(FRxiV0Za}_t@BB-uDh~P@kLlmxof(E2G9Vk93!W<z=Zm9yOt`s`e0K`Q_ zhvfBg5)JCPuUEnd+8@JUVq~ufpvtRAq0O1_)L5mqA+}<wynJKujG^GZ6=&$BP1vdY zK5?LCnN;OD8IoRO^rQ=S-q%G@NU$O7jf68J#LEGKxm9qiuoQlsu93V?PM^S*>$IYa zicYSNo=0#6gPcv!KI<}3!X`2T)$l`Qi@b_n#P2uVrc~=ezm9^%4WTL^qOPjU-p~z> zNL;2%T{EH?#LDmYJOLm2C`s>hk8Mg;RODECCj(ZOOHth3xx8wY91Q|4xag^h5;kX* zuNM=Reu79Z4j`?W&+VlJL2o(YlAKl&$dQYG!0NHiDM>OqPVr|ru@2QfpI@y}{17Tj zpbYrw?XrLdN!gTAk0uFXjil&`siI1?Acl2YM+KP_NSx;!8-rMBndd1iw?8|AK{RfR zN6immu@zq$T@fG4IwXD~I&Kg-oB3?)FDC=PyfV5$c5lvLh>tt1&M2Z2;4-UYQbClU zkZsygn8)E4>~5fzqoxZ;5kF4HJ++^DaM=R$Rs0%CAETSK(0)=xIQ&+euBAf=M7IYy zEh#_X+|kVPg)u;I;VALT!L5LcJN=t(0aC%U!+v~$)njmrZw8Ho%T>7mMI%QRh~lLI zfM6vbN;ab$b?x^!4yR+1!Ii=!?}^4y5s2W&YGQO%u;2Vp2P<E<W*$@;ybq(nCgPxZ z1)*XwV9R*zY;Z23QM@%!%ieifa`2F%&m*>r4hG`&FDFJW=<O^-ODSsZ__21zbpwe0 zyVF_A5AKE9Sb)DEJ6_iX=Shtxpvpj6%FhvRn>+*a2?<bjhMfAnewGAUhR0{?Ths0% zxr=(O=yq9BsQnNXAQe2>qJ*~ti^XPIb8V_utLuDg4!J9fZW<OUaE_9Ig`74;n00-) z*1+4wpb)?+3AD_7gX)?MGto_t2f8F{SV_hr&7##}Zax#K<&gW3Gh6fy2ridr5LtRC zSTT~yS0HlSxp8>C`jjG!rvM=+p6m3&*k!Y0w2HD2muLM49!eHsP@=vSQzG?gE^&Gn z>gm=D^(^)9Vn9d*9#m3+B!yq86q^n$Pz-I&01vug=<n!$II65eMzNJ&h9+cGi4qqS zX&2;KN)fn$GNS>cCyQ>yKf_ZHL8MVIWmbt1>Zml8Feb^6qKN!V!CPW5Y0bN7!&BIZ zv{_4?0&?r%I+Mf-^jT|V((0mHM1B`5I>x^S2B583YkL+6Aq%1Fc+}og6*D-;zd`LQ zPzlc0X@U3hWXvxks*iCh&-OS;ms@kUsuIsSw@^-+ty0ZZE-c8QANPu2%|dy^hx%%} z#kwHXLUe;Jt<8eNG=T}f$J(M1S!&_|FDJ(>T9i*6YiL1h_o99YsuR`s6xd7>T<VXf z2YYAX27E{>{!;f&83mtyv_L*(YT9OPHGy@bkR<^f(?W4v(*@iLB0gHVIBp0kh{fYW z3uWf5SPWLYxL6@3I#PGU5J7EbZ7INOXp<Qh);Flzfl8n;J3nT#2+QB9+PR0sKbmTT zsz71!i3oHH92Fqie}TuaBgdWhQ7YQIPVs=#%CD%Ts!9q@&?%uSGP$*JS(6G*E1Z}W zam6Eu`&FB53^Y(!(+c5bS48V9`oKCB5V>9F)W>o(X^?7=4Nw9|bzHddsN7LuQT>Lc zeWnZvqt0n05_xiJh(dyF!b<d@uDMD-F}(-19&3A4trpb#GK}20>-EqBVcC|4WsDD` zAMX&o?1DU!8JuITD3L!@H2QYe$)yQ*>0<n>J0Xw5k@})nfp~k?RRu09g{R0xB;+zT zCa)@LuBG!u5fxU415~bU--pvuIMF~13Ku1k;#JVsR=Sc+0>lJ8rDf!)K_41686+ju z5>t~En;Ag~$dGl9a%xbw5u>a@R?01CF!j`%a%`n_>a4LRU~)3&JBG1K#U>Uci86zW zV5rTQLc!@qSXwwRL~IcsQh~R&W2<0)oA=Ne*-ItkALpr+($kXsGyyn`C^{D|9{;%u zv__0ei2cI!k$frEd)u79Ezf3XpSfFt!L3j#djGw`Q$OLgipy4=^F&0bf+!uM5+RI# z)^`=nE`%7zS-5q76i1gLdDbgZGp>Li$dV^Fs<66SM~Ysw@d6{js=v!ExEafBR#_5@ zQf(x_LV%V7!4I^-4U{smA<(xq^OfBE-pHsGo$uxk8bc()^Vg=?QN?3%plyus3Y4aT zG<{SzFhR&*p)GNi6P>PxYh4kQIX0u%R9dq+Mv-YTN=Jm#*d>&{FT*Kcz??R2K_In( zn9M^s%g35aU8Xl}F^^0t0kgkS^W-G7@}qo#q`+!YoqIr-k5oSP`*@_Fw)q6>=bbV7 z@(>NLJFy`N0pM697xGjoO_s+*W9{bB3ZW+LCSDi4^lj6^qwazQLv74GZHkC?RP_SQ zu2P!>1$#Ps&0bs)qq|E~N`y7aMmQjkJhg(rQo-g`+5Mo&fxd0R2IELCiFua-@x9L2 zYPx*Xv36i9x4n>RaWz|Az4hMAyZuL)clj1aucTV4D%+_Xh1Dn86-AI@2`KtA!l-S{ z^=BBHxPORse@>V8uH5gijq!jKmMY?Q;8rFY6^b$LMY;!iNHp33m9DxCxsiv8q7m3v z7_%@K3Y3mc!%3x%Ql$Gy%WWlAkfLHfv8qrJA&AtucaW4ANu#REXDIxTnLQARHtjM) zSy<(|7$5~<wdYxcLAiYSl+%btBXiSHku-eSndQN&i$p|F2A`gS@Kg|k5|%5AUIovZ z<gmSPu^5+L9Vr<JIv@NPDHay;A`c*uOK$S>;M}SM@MRP{M+M<lpaT@bf-594x|Qgr z^As~tz^n{L+mTr3m2C})hxuT7@T|%B7?S%iV|Cl9XTtbWen8T;kU1COkI3BK-rnlK zt0JWYm4I#3MiN~ShR{?@!3DnxN@^dYOHdRG35fxpbZo-0s;!Ew$S6l;Gmt1<K_L*f zNxQ&=ftzk{e%cBXRRH4TZ349<ry0<+W`Pe8nx>#*sb2CZtC}8JT%j7!6?a?)_Em(u z3IbYqQs5Jh+-XM&#G7qAb5I|o0PSx~>C+}R{0vQHnpcG1B$@%UAaMepJn9<KVw7zD z8(hfAJZI)s<CQBOLaT0WJAo;E<~pXk5-R^BQQ0g19_VNyZzbf{DWi_#mX|7*%)+%p zi>>N!5(aMg#YnU`YN;Pgil>ViVj0pURtk5wR43~y_eh3hE(T-jmyvkbNukJ~BnYz~ zA0eo>&EPu}_Zngl8zh2XAE~=p#R#IgwL=ZEKd6^`BCGoptpf_IIv#TYSO!W{9SJRK z`XaA`xPk>+$?sJYNey=9K|aowKhPuP0@{}v2L-Jwab{qhsM1-k6vW^%6yh;@D`k<d zA|}`$T9z_}M(eCFHzN`klb?Ki4@l&qUjoL19I+7aaza)6%@7C!v4yWmU8gWbf{3ch zbXmZhX{RUMJYz^2xsbgEQ8yuE2S5y!Rb9s`ryd0AfveKO<SHca)iv(bu`1v`pxKX~ zoJE1H=vBi&N?<`R7iWFP5`955%44M<CRi{fg62ymP8MRb`(=Eh;MMF|S6>M^O+bli zqYJIly2*0TgD)%Ww+a<#1>7_~bN&T?9Wr#Ij`*fSr3e-u2yPcD2BiVW$N&brVbHdK z%<a)O%oN$-6bvTUh?5E{)Tz-uH3W#J#KH}<E$~6ZO2@D2#OEHiAW~!;chQpHTMTts zBMAj@vUjZsd;5%$2)Du&nFk?#zKp@z5NrIQs(2~IO9T93i!tJTHqvWoIr(xV>xdOa zE{WGaP&Qj6tR9+kX_M%>*&EO)4TdB)AixDzfJRY;2%@=_)A8G)D`L)mqvbYnaS5?x z5@VCuns@^_PWXu@h-PXFA;t(D$G}vw#@h11c}qe>tPu8i8XJi^O2nrYu%Yu4v)uVg z-As)mmXXpJR9*^bg1|n;xw%nx<XxWA5vx|AoD62_GE+Upo{Lkq(V~(h;njp%RNj=( z^fP~?^nCty1bIdb6!c>2bQgE~*^zf)QI1@p3Z@}3zydU>9xUos(^3YpNAqfO!BmY* zN3$U}Nc9gWub>E@j6s5CpCn68eORNR4Ugzv%d7^L++8_wdCN89T;T?ODANt6Xfde_ z6TUS<ppxPXM7qT!UDo2Z0-=UH3ghO1u34`TR!d$3j!BtrF?-U2Uux-05TjSB<`<BD z#rdpun3j$_&J<EVLXDBD<)3g3cPDD{5a7D5SWzls?8=Iekl>wLZI^EDO|Q&G=k$g7 z%ppR?4q=9=-*WZ?%iTp@#a^rQ)J1bB<mE`dGS}L_b?hxiAy!2;%wECkIG@GEXoOIa z^8!VvmWP|8oyA;d#k}NY<wwS5x#^EQu{Uocq_gZs&$Sw|CY=&Syw)WXxRLQdp{&7s zORt5PK3gqv_i=aI@|Dx<HPqot8aP_Tf@+iO0>cKy8Ew6MoUC1IbrpK#GAA!wM>v5Z zwz6d%o&ZpZyCz~$F!s>AnX*mK#YkO3PtlD+zGaXQ8GyY?RNc#{&+eX~+@I??q$Ctk zX&UWGbVHq6pSgHxk|AX#@;2xhUrA_0?MrrP7!Ga)wPQJX`5xzdvwJPF3FcArF3yh` zyLfJ*c+Ce_n#E{$qJyd1SrLzXG_J4*F*f4^%{Yp;vV4SctI6??w%w&kM^QpSI7TuQ z-3Bgeq|L#lbMx4MW$X7cF<QQ&nA71Ktr!<w^ZvaB^`q(|4YCZVi}?Od&d-?f3(bd@ z-92$-sk}!~ofFOYtPhlF;^K$4yOmeR<M+GZo00Tfi+P8_ll(~2=ern;Gx~`O5>718 zj;@23<>J<JDPvdLbz-^r%p1D9CZ;AHTyD>}iHBDh&ts&_%Zz0OoOv#{2ielnwT%ZC zv$MW%UKszzzuI&5_gwq3*KQvh{^RinsI++O*iFkz5j}q9FP}Sc$q)SVN3MIeee4y_ zJm`x1bE^Cpo4uE?$>QG&^bc$j7Ginw-@e*i{zI?3^}X|N`2Ne|*1W^Ic=!F~O+WsV zH(Y$vuYc&d58VF#pZmGawQX$Dh`;yA+yCNiTjxJ_;+cp4^{ub}jrW;NM%i}n9RJL_ zZ(Eui?Y2h#)6q_(W*Vz)=)U33YJ{d^Pwb=byYJB>cQoEl^YP7p_WFPKgWImY{K;R) z@9Hcb?R?<GCA$~h?SswH!emKabh-QBqo2C{+?|W>U-`n)!^hqGZ+UpB`O8;M%(ou+ z#BYA~PyL@hcH6zref{Bo^T<Da_0i9s@K=A=?#{JYq-8I*pXjvPd2#f^_r38|FK?Ee zk*A(&6(RCsk_JG{9*f61{Em|?#m>se!166C&$c^9+_A;(&-~@D-Tv~6_r3F9E<b+d z^%sBjFP>^2za?&ow;f+zqQf+JO;9L3m%)z3&P7)Z{Lb8Y|Lwy+^{y+v@mFVm&kw)M zk2Z!M?{p$#!m&FhkGE-t@n`<xxevU){FylOc%%Jyuu13sywjFVO4%ek5U@#*P1@yO zV3WB+pZq63cKJI7LT!^P%ImNF$*U(WG@JbHt)J+#$+K@OceGD@_Tlfj^`hVS3^uvV z6+?~a9RCgHu*vqawezu~F}lTeW0iau&_Z)GG`9{;l%E>D@4h4L24mN@OUjSF>bc*4 z$-f(U@)wS=9}=6~|LE?cJf`sJI(~O3G$3H>+&%Q@r|2i~4%y_c?ES|cUfTA{c|M;# z{E6S}{IUPj`)~W;PyYBLk1l`d)&Jk|6Mojeth0bkV!qh;&rvpszjE#yU$<-bxg|Dn zKCE~(7H2l;9K+9!bdJZ?;PO2y&#Ips>&PZQ{{s`he*JULw6EMd@zs+jmO9ukE-fEL zuVj<F&n67<h+#eD%Z3LJuMP5bbuEF_y4d+a$i3B?@$OGod+zpqnzhM^ZfiWBe%b_V zazte@tF331H#s>u$4LYOZp+}v@VrZFv`vb((~!?OJ8;>qxLU14Roq56Xu*p9!&74x z4DKghlh_8|8Kd@JvSxaXHQ<u@-qvi28`f|2K+6iNZ`Si|L2*=nQUM@D`Pb0S?Ql~A zGec9_3xvGhpXt5DX<a?(H^bVL>ddXo4Vz!58XS1~>5+kv!Fg8U+lt&u-VQgA_%_RN zlP5*OCZ9B$tPSs*nqmi&J7J2}^?w+fr2Snl)+P^~L_n(V$|jVKKii1aSI){NQ}fix zlv{m~HaYS1n3eDOM_=<3sYtti<N4>c0roRPn{9H?4WCFnl}%P;6inQ!>$I^|VOj+H z0}i_#``qwpY+`yR3(|B2X5WO8+Uj_Ig$YB7vmEB9o_-p8up3J|MlDUEI~DqLux^vJ zwNI{%$R_M&gfrRXi);T;x~|Qu&1laZHrdBoW&N2(XX+;>PiqrIusM$XZ%G2K)=wjU z3ZIR9$tEXL>_&CJZb8KW*yP08T9!Tk=mi%fw%z~W`R8>e$pALlwhda<Pu5Oglf>fY zQu|xc{=0+XsQxzEWNrBHVYI;}Qp_Y(d`a(WrMBtuedbo;zlBZ2Wk#u_%~R+q<tA^^ z!Wz5GCK|ignGI0|S#w`>|Isx6Td~R0!-uwDlM{#f$1W@N!E<PtYv&wHW7mtfNpQ7I zvZ)x=Pc(M*+hm>{m&4WgTH8crJN?+Deu8#~B}g{ex4|Y!?=6SU+ei9?s<`j_KDib2 z#;()%6LB4skt>_**mnk-%#}Adx2N9EcwoQnQ)F)*`wN@w0pzvwBiw$9>uhh{p6&ZP zyRLx#_;qgA&7yNw$i2*UH6OOO7;ow9vN1gC=J!GhJl(-(?}^O#?D6Z47bf>tmHryr zQ`kJ9{MbAABzpwOkGXVpqZ8fpViaF{5+C;it&0&$caXp8u0cQI5AaQzTiKKONY>g@ zT+`Y0qs^HnvUdF_qS&uk-84Z2RUX=pNM8BQYr3ifH+@Z4;pUUlanI<UomISaAun|8 zjNU4LzuR@S<h%vlD0Sqq8(%9&EI;jwBy8y^WAjPs2)XEmpNVfS>6iGFvQ^6M!9Ye` zt=9V)*@Kt%1l}APv*V>Z@I#&Mdv@Ja9M_&c(rj;q1`Ac#^VjKKJW&+Kp^474A@YV_ z4-(q{D6l<+?t0fn{#M7+=;Q$Y&6CI8@$#F_Vh?HeX30g)dmIOP+<e2ackF`RVsD^Q z6K^(b1viV_oIQy*E8m*#CKsuvv?uYkW|QGhYv14mk96!Wy!f?44faGXqN8lmJzu=0 zChohQP@ZW&B06aAq~dLV;%kb=J<{=Y#nuDoKb4`&sP<4^Y`Q)n9gE|w$+$~pl5V?- zoHE+}M(vOMF620s1@D0NIqtei^13&_rr0`jepm9)4|y;DN$81BNjBO<ls;$<&!?ML z)xW9{)g3%Xoo>q^!kU?Y+n#CnE_R0#Fc=`^)bPnUn-|#rWw%!EJvw<(<3PG?z^o43 zRiZ(Dubf*OyG%02w71M;n>cf>8aTu-!VBLNBhk;xQ6wT={F7LfXY}oJZiwAV?)$mh zSPqkuU;ITAvdQ6y0c4(ByJ}$Ubs9~yADlNVZyWiuZ9_l)<8Ezo2m9N(D<@r)*NHSo zs0vEakob310Tre8)4e&RV;t4qaCnX`TKqh@O7*oZhYp@&JiW52cFqh3&tMa#L;$z> zwa?TxF@5@NBJHO%oC{846OnZ*2XK<aubdnno;&%fOM5!@^c0)kc(6CqwJM94=uI|J zu4~*cUiO-~lS5CF!!rlJ{^D%HR{DLUUDLS<dh6xf^Uv+wizeuZ*-m2<(;bau6J|U( zN(EH@W}Bq#Y=fD_b0$Qp8fsCqyYy$tU)UziVS7GME`4c8nEHvbuWa(P6tjLJUr_gx z=T1(2Ih|1w57+%fGa@$d9v&Dp_2pPU86G-GOC6h0Zai^p%oC=oBvdDqRQi}*aUfbh z8B4W+O}_1~te?#52aUBqgx|ek>h%4DmV=#Lub;3}IGRlcwz<QHQ$Klnil-^%>3YLJ z(oPxApCk|ZiL_2o`IB{su<ifb2chaGQzPmp`=$=B@%Xc;pG;N>D`}g&gJ-fy>L)UE zZIi=Fs(w<7NH!Vf0GFw$bVkYg*ws=^0qgz5wr9Gv=NLUszG^y^-`uKvNh)oboaZWw zq;@7biap6SX1JaL&w8cxllcMqNwUdzp3x?0FJ#?MRN1o0+Q{=KU-vo!)|dPHNzz5R z3!6G5o6t`*Iwh$5s%p)a8oN&IC)AAk*QS1wo|`IJ+}Wz(xbkN=gt@zpDZX&C$?sI* zr7GM`{KZpwihnT4E4trG?!-p9tJM*9-6YqoR&re>w~~k43f`J;)SLA#U#;@)Y|eXg zcyk%|PNy<nn*5k2|G_GpC;lS-b<0?#ca`6%<Std=W0l-aC3h+5sWL89rA+?#hHyTz z#lrc>E6pFcJWJslQkX;jQuy+$rS$oANnU>A|DxRMs(kiWa&Js>newK5kelF@exbr@ zf8@U;9<3=!v?A1T8~sztXtF3{^3Ttbe_fTwLgLSvn@P@#FJmRIl1ts0T(^+uSx9mR zF0b@OxXHhfS!^UDgUF;f2$K^QoM*@n7BFX3b7n;bODk+OKrrB?ya<ulkO;|#2eKfP z$p?$8Vg#w2Rd530^}@L93B}wMw}Ov4p`zgnkMJkJ=YRK06beEGs1t)>wPT^x6d$Un zKsZ(mRg{H9<C?JmEP+rSax~xqa3^vXz(Nsmfxxv&u04pMLX*F09Pk*`OT5W3nSJTJ z=ml30a$4|Eb)CYZWP-FND#b$!qNO-5^bFu@dJj1z0|=q!!f*BA8zL(DOF!Gsa0c90 z^bNu`@CJpd`$E=VYKtJQMdov2ssXNb@ayP{z)TIRtN?c<SK$$^O2=hTAQFzj(9=6B zJ+e{+g-im;gjeEfYZ<BMz-a%LphvBdWe_!*z;9DQK7U|E%7mmmswAj=3;j>_-UYg{ z>ns!e&(YD<(Ji^^NLE!;er{bWj;t6^Ty}6+(B4w6t7T)-vLgkPc4Im1m_Re#4lzj< zk7VmwF0LzXqjJijLLl5!J7CNs%yfokNUx5Fy&#aHW7C1m0}>C5>7LbV7C7UC45Xpv z`~JQ6xew`{s!G~T=#{_f?!Eu#{`bG1=iGCy9G)iOy<Zah7|Pw9IFR`9klIi{*3~Y` zeaPXIK?e}6XYn^7y22F4-%%J2RXl2`Et}bX3+1fwQ?ZxnOrsYaC|6Zi#gkrUU;APO zk!Y0Q)5sg1PyA=k3+7?u9GbS@R;*R2CC?~|)cTU5YPpoRp4Ok5esmAaOCXK$hz27b zSGKOM5tFlSPSwKVuLLy7OC8ey2DuAmzxHM;kS?Jda{BGD9}~*NH~?>1wHHhAo&u^& z3?3w~fu?`759NrL1IhChy>w}Ss%>&!BBTb@_5;3ow1OLUs%t#2-6vwz<Zlun8yt zpK7t2@F6yU4T%{+Ath2~Mz=?$tZK>xUsoc?w$uX&Jp`{3aveTaGiQl7{SIxXBik$B zkhQ5YxT}~vtNY`uP|@L=1Aaq_Jq!u|yRB{Hq-^3tQI;W;Z>oX=!R0qw_l$SN;c=@% z3|0wBT73MqHI*dUaR!9v@hIeuQ;I;_`Vw-KCO-viXGhu&ak08?Lc>ddO@j)W8Ttg~ zR*pwZkYnVbSDa*gY=`DRBO6jGkGhKGZH+jMnpE&+Tj7$-xoyZ$)<FwE<t4`lSG*>i zaW+$qV+skb#s-BBQiq&Mg6Hq?5!iM`+YrYGU}>Mu1xS#$t)zAmH+TzYu*S2djzzC) zHCW#9mo{9JMp^L&_<ev@(ws%V$Gs*6jt|sun-XQC=;jJ56FH5K_tZjbN@)}c1=i{U zLL;F_-HFFpM=vb(BHgkrXr+ZuX59v=agNMov<Z){GrkV?ibUI(qPw`81kl)kg4|m5 z9pVCeY1bLxDh3jr<;ymP&uvJ?$2A-tjm;!2QfVg8qiz6)9LAs#DaIGnTBOk(5;A?h z4`Ya+?{;al$l}`;q<B%S0KOOsf{qHjkm5cGP9Sq7HRla)nCHV;=;u^DWt;TMP}fk& zh^q^j(JU*lUJuzvk=IyONl)}H`;-)m51-zmR|=t!iP1WJ5_sDPqD6cQ*(N3Rb(z2| zv(i>c34Q`+FGMIy8>GM|OimOWJ{mE!$!M7ggk6c01&64amW}{O<LM*@f_9q}!J7&= zmrSeO5En}A0k(%`_4yyzg&oo{+caKN#z$E#<upXD!F}E!#|8xZp*C1B#k7l5{Fd!P zXh!N=Lkk3N)0d@ZFR<XSIY$4Zz-0?A0f&-v%)E7rOdBo7LgmWqO`zGPB^QVee<BR! zjA+r!bd=1ial>Yit~@CCoPzy;!GM3@BDJ37;D|#p)h}&Onij4#kbzO!;YR@leNj(2 z&c{F;SuV=-G$of!)q->g@^uCTbQS|dKLYfO_DC9<^E;v9|IwIwnL08?7<B6?@P>s@ zCtrVv&f%$9LzW~?bvo2CkqrfhatBRtrA-wN5^BbAc<~=#4j(NDsNxK4S<>0lNbm_G z5$>7b4~RW6f(F(GJTFR5Si9vqB7VC1dE#hifdh3LG8r*^eTK)>@COH`Wd|=lqPJ3~ z-p4+nNapAanyd>n2t>k`4<jR3!KGfvb&xdDBAd1y3rJa}IKW`@W;jy^gi`PpVBlo8 zC0F9r0frTP<)RRCalsI10O+I~5ZtY{#5x@u-cV8lvg2UeaLi!ghs-uUAmMc49U=`B zkQ9eI6yy6_EDAJ{w($H?>49Yx7<6u4eocKDp<vf{VhRv*p_qxmH)olC2N`KjvTbP9 z^hw4nlP4S+><}qSB`8OehMEc~#QFfJMuVaTwOPfX>tlpgI7fx43^(A$X%ZU<JR#8` zC<b|eP@C?#+#K1}+u*?%MBDJ;^-&bK+Hdj8KtiIagMGV<ZZOy-GH0>YV>H4C_%;Wf zEIEagXR4fA2eu~GLHqIqdd`67czs=h@3D+HoMr!NiOU#@22_mHEweq>rVRFa--c(w z(UTkgump<)rzsLv=iQBzAvsN=9Y_+3#&ZQDvWSnt-?l-oF_uUTC=Q<<px|gP&RPwu z*g!NIKdTl=GEAVwb8!Uf><P|O$v=en8`d^d*vs~&4t?pt$CnSAYUP0lG^0tsAbH;g zzB~7lNL?&JLCp|H8DlM*k364eb0ywVQCH$Dm^Kn`Lf5{oIeDM9j{LOY9A&jzF?xYu z!0>n{7S&>Yp;WddVLTnI8g<ly1E3v3nqdesY_wvzvicW*;e$a98{k|A3l?9I;qmGu z(;9FR(-p}e?hbkpV2glJ0>fXx^5KXYtQ<x*U`0}13n(~ENn?9`ixm+(qs)Ti4>wR3 znB%j*s%b&7$sIThatvbb08EIOVM!Xql2p_e2Le9{J^;{+wuS9C9JO#sp4C%khKN|; zO?()!Vw340q_(7NP?r{1p)Mh4g|@uG_E;TIp07fhaMA1qMv~A&HXe6@1`dCUn?zQG zrqD1qXb)DY5$nwj5lxUU63ISSSmvQ+8X_QbN-CX*zL-EpX6XfY4=kE=0t>VbJ};rp zIbTMKzo`nKl!w?xvBXsvC9MT4E`DB^*F+8;XAP(I%!Ec$OF>m4Qo5UXN>|uON`C>2 zlEElO)L@%LO3effN~oM+iC~OoyA#_bX}hrGSy<LM$VRvVDEd}y*rtHOP`lt>e3gpO zF{dS;berRG%S?$V(QCirGJZzSobU&Tg)jcFL-_#Rt|&{|?#Lg=4f@%@t3}vV+h}b$ zg$87U9S9iZ__Qn3+YG+RLL6NIa7=K8J7<+JCx~bXo)#D2k~~;QKu8{hUHo;i3_pZ` z-HFEWIyi3o_-d$PnTXM?NRu9(vV3BEX7T>XU#Q>Fc~}ZOmFO)iA#@D9nH4+gdkHI4 zf#pd(lr4V;xa*Oc=<y=fq~@p-K<Sg>0?LQxyP_zmMUHI`E*6k0u`Are@kPt*DmchB zfAoGFB0L>eXMQ?Hl)0g`vYNmt{d6Rl=$RD(0ZgvZ5h%M4A45W~A={6VU4jWE9krMH z=gOd~W|3$fY|;KMz~I!KFVPX8B8Eax<l4fr4hPa8Tb7A?>ssnfv`#*17|;A(i%S>Z zcFdCW+Q9}Ht=KE7sYZ&ob+DK=cre4Kfj@hfntG0BYVc~zMhKEoSqS+8W6;3Lnl>5@ z{OUq|;RhOUnjerkVQG(h{4l#F9IB$-<zTltV0U4cUDWJ>uZzJ&qnj)TN&XzGCrxvI zk*;`XBYfMdjj(|KAwer*8xcjcu{d`dFUYRt`q&q{d4s>T*1!j3A3NM3ZpzW7#s0|O zezEw6bXBso>Dn|hS)I;SZBgKPO_|7K?cUY9GI;>2%<3AZ@fv=FY&t8Zr^Vj2mf1B> z)<ADgud3bV-gd7auuDVt!k(<{VHfs#?J{}+!pO2d`Z9_~bWm161A6i*U&=Oa6f<n2 zHtIZmf!$1+cCXlF)2mprR;MQryD7Dczh8Op{S|F&hS;saBS1Ji=oIp8VaiV9?Mn`O z%w-HD11ifmOP2GDqFWYnf-WE3qR}E`yAZ-f!yv+X4ufikCw>Q7)1ZWMuUhwj#~KVi zdjJ;(Is9d@Jv`G>W-%JI19Uc@e@_rz!9$~5q^Vf_ZMELtH|S|(*O@-MeQjKY5gZ)G zAz=&^a0Wz%dsF~_C8R~4L_5KS61ARff^Wzz!Un94-{=BUJz*$U9n0~D4WLD5T$uGJ z8hre{3+B<j5!oOPv#-?*ooWIJiy$^He;YMVz;%?cOrklh-4j9@Ltqpi(e%b}G@c0g zw|m*>gU2*A><A;v%f#4D5D-Eut|s$MG>PY~-f;)E)D2UD(UkXR2+_XLHewtr4Zm4~ ze}*BPu)45HV;p~r7R)YaD}uhZ5o=g}9R@Ad+VRFR_mi3VhN;glEVR;v`8U13!5=+q zEYyD^x8~axmQCa8eE!Y#yV^4g_(`El8;#StpDbKg&(qWOd9I208z=_*48};Xn{yox zcHe@1Dy`3NycTxx*6BiiqdYHDuzR{8^WV2{FwbH4l8d45bf3D8Yi)g@Yd1E<aPL6a z4EK}kIYO@aQn8Hkjgl|6kY9_Oyl)rOpYo&YzHejBHo}*bZM>s#y6zfi<BjN+g;y?{ z7TS1p{?7c=Q>gora?fNN`+Ny)?6Iq{gI&gM;|{j5G5gAWix|cW-|S<z@yegg8N0l+ z`<6wui=Qcgj~Y9!wNrjS87-P(@h>xWbt79lRD1Pmy4F~|V&aG1bji$rS$)9FO~~4t zf9S5c?|WeF)Ma<BWmg=I`^j3dvbMIh{B~UvC$i@C_xIS%rqpf`?H+se>L<QCz2gw< z&Rp_icRpag9d`fY+waQ0?}58!Xm|fO>^{qVYE5qaW9%nt_b#;?`vf_EOB;zT5Pye} z_<4h+oo^*9azn)Yh<UFszKz^DS53BlsCND8@*C1Su9&!g`I6!RwDJ0j?Ao8beeK%& z-mo@v+5d_*&ajOqv*MuNGjDyMuZ`K%QB;bU4YW~XN4s~t>C&km)Hd3+|M<Y#MD6YW zWM+b~%VX@O3yVE-`99i38`rMCzo(7oSJbt;fAS3|Rx$@<J$m69{btms$>;is0Xr|- z1FMOy(&wePXO#oQK11s2fWY~nrYodtokxu^7>?i>F%ILi4-`%MNEe0}iX%}&UE|#n z`NP1FarwVlWQN2rhi1fyUI-WnJ0|@Ai(ea<^H(2{MMr7;+{CQ3{`9sF<yYFNi#{}) zq6<p6?6R_07LqF+y8Y4*O6U2%6xoqOdHa)p^r=sM3h${<<|VN^h9i>H;$59E3KGlu zP-DP7CdmwM8mh)i;tOkhiDHK>-)SrIeWPaX3)g-a`)XQS&UudU49w-d`sDDHI}rK) zV;GokLP7RF_~6s8d;a<7=_=qQvHMycbVl~y!V3Id5azF9vI}n6Pe)YaCGiCnzBG2- zykGC|*UaSxSxl0xkvK{JmtXoNd@a%bX`BFY!a?=8H#nD?(b_3qokTc@8wUTf?nLkh z(MA428@nBY^-|f5)m}*cQu*>y+2z-{gb`eK-hf-Z3IDXAhoo@?i*hn4Blv>CVqL%j zo#S9ryJ#fSRU6&JxBIhXOhCvCuq#nec&Y3L^B0u8RKC1ab}y)L-w^&r1ildg9|2v< z+94V{L!uJt!}6#WSF(toZ@zV&f>93n^glgMoeLF%?bP)sWhnRJJ3TxWA+HegfL3Pb zkhN6aV1ljMYPKth^kI3aFsQ#AI595;hZLe*%!i1PJpF?e%)M9}fp{LaRWiz1VDT7) zVvK>x?3F~ly?BE(?AR|I*g?~gkPR{v-<ujmEiQhlz~MA5hg_eC?ZY^-aaXdJXu1Lp zMT-x;h@Jo^se~pu)}zdtEV9rA8)-JuwBRvI4a;)G9SZz!k@BBUcpvJ&6?`o<ne%b7 zcHM3%uUM-0jBYPmay;tOD8&oVRL_^5j&kq(;5g`keyoRq;xJx6y&r?x1%WxYv>PVE z7ROe1Wx|2HOAyynK37q{wVb@NM{KYLeAmxf)u{KNSHhPgWii;n)v3z&nAPZR2ZB?Z zY8Mo0lfsm_(Z^FL>K}S%iCq8T_i)g(q*7j_BQuV+JVyH0A2fShVmr<Wqpy@~P{=Em z>aLgHhwRb}l1Yz7Z<%Bpcu_az){%iHECM0Yy?Df!PpfDC0mkmC86~{@`KF<foXjr` zxWRej&RtKj_YIax#j>~dk}^K*LuL9IJ(w1IaGe`_)zC|e^R1V5j`H9jIfwWF8xF?a z=PBC_u=hfJulSbr%lEd{YpU&wZ^n8Mzgit!0ce3Ag3}MvF7RC)S5TiN0`P4ugya;h zJC87H<KrBp!V{hjUrVYknV{mC%wUQ#q_kBYY4|6OWr3I%1l>Uo|IPtW+7lvuSiYwc ziCSYel<kIc&c(RmsB{Mo`^`U?Mzvkl!3m=qIJtX+>5{YVjzD5LCU%*PDzS~{jBby$ zp~j-o3(TwLoxMO?5O+gV2IvFm)Q>~W=_+qS-fzc&TI$$-Uc?5;iVuc%P$(+*=dK@B zY!}V$A#{NxHuk>_7=2Izbqxk&X!WD`4yO-R`>;I`8aIO+&I22WDP{%B5L8DD#LIaS zWWL&%?6MQ>U1;t@#FQT^X)rJ>kD;|et}4@|)OZPBPnC2pkJ5*)=%wrt>ylmCo-awE zfahjxq*=7ElmxM4P{07?Nw!yj^nNL&oJV4NW%`g_#;&rDd6eJf$`E;wqe_%e)#3Wi zc$F|uOmC|PO+}ObVX4vkBt>mBZMD|4<okk+IAd)M_7imjg)Z2#Xc+i>In0Xr5Pr@R zaP&jby&IxbOa8gRoLgj2!VMAvPI`i-w}@ju(HzB?ldO>V%=+vc>E2C*(q8HVwEB0d zaZfb}`f3?DWgzi=6|rt)OG?I4T)X1hVsTTZ7yOkT=E+JgHI!{h+p8^IF2rIzzICN| zpG;}(@4jF)I2Y!}r9X0E@<XI;q?d(27{sI8-+kULT_`)Yr0iAjQfAmJ?^Efu*jw%s z+GBKk{>Tq&ZU&@@4mH-#plUCrEVDr75O722ea42#e1rb?A_9y4J?YfI+Y9+DCbk?# z!y39MhFi`gWOuDafj3>jJ6OTHq=I*81+P)TyQ+dWSHXLE1#iBBcg=8Kwq3zHQNeqx zg7@AE-pLBy;}yL3Rq%eQf_JKd_x=jrPgn3hFq~JzV<p4JU@fWOr4_u93SL&h8?E5g zDtKcRyu5-pUcswZ@b*>kCMtLrRq*y#@D5b)iV9xE`cj*!(7U*TSFtYDD%Pdip$eNX ztKiL4@D5k-D%QbT#X4BKtitBy6}&4dcvn{NOa*VYf>*I#*DBWQnyaw6S;1>n@E)w- zZB_6ds^A@~;Qd4euU)}=xPo`Qg7=;Z-Xj&fe_6qMw1W4O6}*c5uU4`D)hhPCTE+fX ztJwc)75iVUV*jgE?0>b2{jXNB|J5q?zgpG)_cIl-{@DuN2P=3#SHbI4@IF+*J6*y1 zSHpQ@75n>G#r{54vA>U1?C)b0`}<hM{ytW*zmHYy?_(AF`&h;PK31{6k5%mNV-@@R zSjGN6R<Xa2RqXF$75n>G#r{54vA>U1?C)b0`}<hM{ytW*zmHYy?_(AF`&h;PK31{6 zk5%mNV-@@RSjGN6R<Xa2RqXF$75n>G#r{54vA>U1?C)b0`}<hM{ytW*zmHYy?_(AF z`&h;PK31{6k5%mNV-@@RSjGN6R<Xa2RqXF$75n>G#r{54vA>U1?C)b0`}<hM{ytW* zzmHYy?_(AF`&h;PK31{6k5%mNV-@@RSjGN6R<Xa2RqXF$75n>G#r{54vA>U1?C)b0 z`}<hM{ytW*zmHYy?|H@ko>%PedBy&oSM2Y3#r~dG?C*KS{+?It?|H@ko>%PedBy&o zSM2Y3#r~dG?C*KS{+?It?|H@ko>%PedBy&oSM2Y3#r~dG?C*KS{+?It?|H@ko>%Pe zdBy&oSM2Y3#r~dG?C*KS{+?It?|H@ko>%PedBy&oSM2Y3#r~dG?C*KS{+?It?|H@k zo>%PedBy&oSM2Y3#r~dG?C*KS{+?It?|H@ko>%PedBy&oSM2Y3#r~dG?C*KS{+?It z?|H@ko>%PedBy&oSM2Y3#r~dG?C*KS{+?It?|H@ko>%PedBy&oSM2Y3#r~dG?C*KS z{+?It@8cEw!+6F1FkZ1gj92Ur;}!eEc*XuOUa>!nSL_et75l?@#r`l}vCfZItn>RS z=HI@G`M0lP{_U%nfBP!t-@c0Zx36OU?W>r7`zq$&zKZ!bSuxHgE5_Mm#W<U+7-y3e z<7~2GoK04Yv&o8aHd!&wCM(9-WW_mTvf><aup*uZE8=;uBAy2;;(73i;r9R!K3T#0 z#l3lsPdg<BN%%e*Kc3I>(zhV1hWNBmwba)E7e6NS-#cvU<EU;|Gb4Ri9u*>g5FeyR ze#|3(FpvD0@8VxJ_}p%k+?_ug+7@l>mhhCwAIzhCqz~ee9`nfmM*jCU0*n5cp2VO9 zVO=}q%S(R+(tjtgPydGEc{RqJm(<sv(1t!oYj-&o>BI7<5cz}nAU*P99{GcL<i~s$ zf9(0lI%mF1cZkNIT*RaJFg(&@9_7E*JlZn@h9Z4f9>pVn5FeyRe#|3(FpvD0@8ajD zBkP>`^I^P28^aVLe=v{okv@n=ddwsLYt4t*i}Yc6tT*xp@j-gz$2{@}^T?0+F8;)q zBkP>`^M6nK<eUxrhQLb|fj##B%M2=8l;x*~)|rxHhO%Xlf1&tr%bqi6Z%}?0f9#J3 zMb3%uvN51B$p2dL0rTgL?s{5!KvVg)OB}?zoV`ouk{{#`;)7O8{>6_DvWDWN&QOVd z#!D^VU)yd>>*p+J;JNp7eo(-HZ&E%J@A-pxSAI}`P`)eKTN<?2#e1b#9<=wee={gC z4DZ?;^l?yQu#Q3bz47z6KUm-1__X!(BDIV@-!<q1^6|UJ_>p$cAH<_@<PYLeKGFyC z$dCFFAC%k8AN$0h%rHFKj5dbJMgB1P$dCFFA0{8=E;P4%LqEX_#03f#Kle|(2dv<h zTMvH_kD@*LN{V=tk8*=~<ey7^H-GvE25k+)2kRIr7h*6>KKc;#BR)(%%3WyQRt5aX zDSrUp`JJ4F2&aXw|8)6-c$Dkc2Q}C$<x9c-Ldidu{4V}wZ|}D>92=}-sN`TB0Wal; z;OA|>H1v(a*Lwu^I456i;B?>p|Mi>s19|?lgwh}mdBAXfkoRIphv1erXx{_Bm*|Dz z_PtbBewTmm|Ak<vD>JAQa2tIX^l?yru#Q3bA^3UQAFMCn&gVFOwbCb%0#DuPrxe$6 z#Dm;WKJ<gUmmH3J`(1mX<TDrR>+0_<Kgdr${qkrCHu_)>e6Wps%MaGk#l8G+{QT^P zS_l2>bLJb!*F^;OSl66^XZX7yvMN9H=~{}*6hfJMG@cB0<$=xNUKV=`xGGXON4~O) zAN&}6p}drfeh#rOm;H6u*C-qFs1xw|N+1M0U|szvU*?V_{nM)~ls*vwbnz`u7)(Q~ zx^n&`T;kr3*cXY}Py>_Vb6n3TK0Nnq{A~RYFo9m3&kfYmt>3DC*S@#Y<qxr&A3WOi zc_Y~H`7rvVSe5H0CKP=>DfdPkCu(d`#xMgIO^4^6-EBh<@72plGl8+8dF+coJm1U> z2$})>0#O^~{XlUzu#>bC$vq{Ka_N|og@RKgq=)`Mv0ZTvRA+nH9znXZ<H<;Y*^ED| zf&vmvW5H|3-<aKE%_(^UAJRdysvVh4|NFKSwN7@3Da-$|Ez9`3b@-KA&;@_PZlKK| z{+&QJtXAt8{`a%krZ}=p&<Fy+Z1FvTreivkcV)H|ij_u+XArZ6@d#5Jibbfp(t41M zUPjdCS7{dYO(|p~4T3i07nVhf7p65#dV7FD>#m2^_0=lXr(FU6+j{C#Du@3+*~_~~ z3%|n$6OIOu6C!sTWk&*lf1@kXjh3j(QVU!|5eG`@WrAJV20#9zi2f-Oh-~8*9*L93 zg29InYbeU!yLBbaOZ?rYG4{K>F#VLFau6%z11cQb+OOG*St4CihG;Kr6EOq#qk09z zDwYLW%=<)y)T}4Unf_5mt8_}Dk08R|u;t(F?S)=@wwJMI+3iXFT8R$Fi)JulHCFLB z<b8GUdR6(W)`~a=tUvOG-28J)0j4+NN(oeE-3QMyOMDP~)xms!J^WMD4)XY8Xx0qs z_2WJ6#kROTy`q{5Tb9&!UkIrSxsOC2OOai4bf^hpT_?JwXknKg7(vQ;8K-Uaa+x1G z)^jw)Z<Z3MWAITqh=mdd8{tq#9EO~6v&1YDKmr=Ug`cJ6?Jc4(2@?L5kdZu&1gj0! z8~zL}A#uR6#92^t*;E=i`unvGIev{62n!)&4t1n6t^-D%wc&Fc|0s|LdFQtCHZe%z z18SZXGA@sxmOjXUWn3-U_!$X%osM0Ni6gyE`kbzq4dSq2#PFBCiBT>=DJgMKG6T+x zB!&`RF4Y*zAiysEwl(ZygOFm*>sjM8@;1bOiW2<WeLeQ%pr0oO7U4fyVlw<#uu~CF z6X$c(fuA0XZ7?8uUpN|M6(1U2LXxAcXqlPvKF_la)_A_9P-x34hQMl&UpCakZesYi zJY!6#;~@!ch`3e<1oQwLdtVK>u2SeRCBSgC#U8z`1$rK!>zO5GHjoFiu2`3I=y8?< zCwk!`pL9j|_jduKQId!UMhCws*k?Q1$(B?GZH+MuO30iRwL`nao|5M)!N;nv23Q>J z3fpdRpyWJm2FMYO^kI3BOTo9HtQqjWJfPjI&=x!6rL50IH21N{1XeYuR%D)C0L07f zzf}MrdzhA5EJDNwgL&pL6PP{+jlep3_hk#))AAU{nW1Uqv!LaW^X`PYL5nraqsX#h z4ltVbB(aw{dZQ6E0v=$SLOR_edwxX=F@6GYdiH}_?<aZ8`pos|ds<IQk8KYwE?^;Q zfu$H)jz6uY6ylSQv51mkIxC(75^SnGBA_|NdZ3i+p@rh6muIR!U2d`H(+2+lyaf#( z4?T8q^@rc?Ejdwwd2eib%R$EaylXY!$Y9@jY*%WP!dUo{qMDepXnOoBiMwcB`CeN% zA7Yp2P_6A-&7$=lq5mDcL+!N2KP=6%BFOM}JFnI(CYkRWOsnXT>Z?Lm&v<d~UyeCs zw|d4I$y2CxN~mG!)wqKN^llGWc<l7r1w=hVq^ujBF^ynD0hWAixC-h4w1x7i?D2O= zA?7WjV1f=E0)z~^obh&%0g_VAaBOm<QxYE|Vzp$vh)Kmd&_Le{)IKa&6WVOdq;Xd5 z#KnS~RIY;*a)WJwrFqsV=^Rtwc+`3v6qJnCPqG@ZKIiJCI~8;FnRH*n6b>h}=KF6G zry1`{w&5J+MUoQ7DS9>Ap^BRI1@0#~d`k6PZ;hwoprM7>HXonB(+o~{5%qNyG}FuO zErS8+U2N8+bf3?ge!MFm=@P)$UcIhd<i{MG9{YwK4ESMHbho{cTgZri+x<zb)=(?~ zk@ihTY6j{kg$4>{RqN*I6Uz~N;n}hrF|cEUZ`LbPjw8;5T~2Y<RzjjBpQ0E%Dsii9 zpK7t2IA4VEE)6AMu9sjiEV?+jgq{HQFqLIAjY-;Ly}PgB2$JJl16WB-BZwMS+l{XQ zxr=!j;$ussTh1du5^^Uw#ywUB1>|551}>gWwCTeH0o72wMsCX0Kgjv@t?LC!;6&gl zy$5VWODkaZmSf$X7NvVEoKMjV6WV3Z^-D57gk5r;>{HW=uv|)~A+$ljgxtqt{7)m= z&70lg-lfv?9_(A461AWEV%{ff`Y<5DBu;pPoUa-;=c8HfaxO}TLZ2TdGGo4r5E(uX z9R?)a-|L-+D$<=$iW*HrGO5489UZW}tiiHF4QWErC_X3=a3}ZLl7%Z(fYEe#(&fkI zxZ%R&oWq3y<sw=gpeIRK00!tXIY<~%-w?ah=AK*d?%W=b>>Aa*atHp|Zk4_L^kx#H z=aJn?;`(Wc1@0u%$_8D>Hf8$7JvJz}m&{j38(N?7_WIkLND}Cti?k1qej@Lqm(p6m z%^}?*f%KTS%5#IgVo3IJ8UJM!2?+&FFJV_Xgm~Eait@NiA1Za8w0BI%vtGb38!4jm zG55^#N*mJfkfx1=x~eo9K2O8?9r2i-%Wjk#)Q@<~qg=?-1=gGHTCfmX-8IvV5S^$O z?f87;cKQ`<A;%FUPopez#<tN|kmZFs&(V8AuRJHrR63<y(qC6u57t1x$3?w}?`a&w zDA$`eU_)ac`$8I!^nHnu6K`e5g<A&`1R@@DU!`})myw#X6y#00SVp~QFXTz2BQK=6 zwifZOC6uzYRjUVkelO%9%JtYwzqOZFtgWr};wVQQ{Pn$Na5kz3NuR0Q+FH}gU)F@M z$n|SB2<tvXQnVM1C~nFPBFwIV81?8yea)L_CAtJ`_674HVD_e)_>9Z0Asze(Y8c;p z8yG_O0p~>NZ^$q!aS(Vn$6mL)vZK36pjdoR2dkUMXBcR;7F-71#ycrtt`1X*K9%RM zp@Mrcd|&vqhi|&&p)oaS92=#u^QA%hb}7Ju@ffl=-aVl|HQnc$(mqa_-qD8x@pcT) z*mb?%6&;BApaOBv8~4TjDh9-z%)$1Kr)phhK%y6So~LqGnR1O7&=^F!p2ofin+dY8 zpP0TiPwn@veY9vA^)T<c4RWNLT<N8JTHmI8iHCivk=FOJn|>SkMS2vz`27rvHPtbg z{eIGDe6Kbj^3z6X2lg)eKPY>(*G=!keo~~b+jBoTiv6U~*he3(jdf6$K-<>mb#YGa zTe#$sXMn#ct-lc&`GdZfxa;9x)u@MHrzDpUeedS`CGIC^xQB8L)A6$w#<Ip1F%EXi zwKK-t)6;H!4z;Ff^ux<T|737R^<X>N^|<u!C)ff&$1S5Y^m*u$3G62hQ)2nh9ol}_ z40dA9v2evrtP74QZ(8>gUAq*II?UHx6KmJLY21uLpHQn0R37`uT~3@l@W5?r&w#!5 zz{(n>j2TB23Myyfw1Ztovhnp_6~^Z<`;ZKNWt#WzCp2{7V4*UHM*}Zh^ZYc<*S>=} zF8sJ@!oSUUL0}>M_0Wb3a=Jp;RPg?5u+G=M4qAun0W<kyTNhaI`POlPW=rv}*8T_n zOvK&&9Nt;~XPD?arM=;Wn=`51A7<>X3wKpp?N!d@{&!~mLwE&`A#^Unw@};8OI~(a zI-<`*5lsTovzS)#cm;?5fJ^pihU8VvBd31<&%SW!$jlE0S1!z^$g`i2?~_m92!W5q zN94B4KmQ&{t~dGkZ6deix5ypx+w}F8Tg1w1W@iqc@0Omx*x?;n?F*8~S3W1ncl^bv zPl}wr<*}PZE<XI_f9vE&KYxCGf@`snQ!woC*&CkhB^x<4^WX>MR(b5P?ZW@#V)My` zZ9F;QqU38gR$_5{CzfJf#iPuk3fA;qJqC;Yj@28A`~UPu9@<zL^DMbgo7wlI2d>9+ zm09?pcmDjq=OvkJi~N`9)~8VTU3|vnZ#S;kUwM=aD$v#uw0}c7jX-0DetOd%iTuTT z{u-rM{BM!7;1DR0&3XO4vp`XC|GgO`_4~6jKlFv%r4F{9%a2>wtbKvXz0j41og{ld z|6nw73Gyb$J{NTY|KWTS6v{c~HPpkrtUvMk_##8;*-wBQ;J{_M+Yi~|@u2fuuwlH; z^ou>V3~b}0s*|BD^bNQ0%Q|7F?jQr-A&1*=;1l0#aoF-sASQj_akL3~(QfU--d^?r zsaw-44*E*)Ny!Hbi#)yNaXHF-{DkHrCzR_#9`TVCPfzR#F_0Mfq{kz_H&=Uq<?W@1 zy?m=3_0>F*z=s^cJ?wL}%faC$bF@oj`9y9U&!{K#>%4@1kPp~53z}u=gvxHhH$sZK z4D#q>`UnsZ(j4;CU5+*&XT&}DNv{`ul8t~n)V&Q?D6bInfbPm7A30WL)Te&YA8pI; zp}zTDO8o=}G!37~A*~;b%7B*j(}7u1a!pwp1QQ6c4r@W!!M_qn@fYzn)>7sW0@r&O z`oVdMZ=oFC*3f&$Qi@u9b~Lx_#{E`oCkT^i!HTeh=494mS0iFhw(N?uk<V-D<j+vP zHFY!y<5oJ$JCJv31*sLgf{(KqijWkPSB|1SHA*)4HOvEmMHy-NPlpnSP;o;8m{Bu; zjfKIyS4eqLvM)~t)dFq?>A3$2CkaU5Uj#0rJz#v<;-NUJMW3R0z$wne%5qum7Odb- z7l2>5TP{Ws7WuufCCw-Y^w_vjl_`AynSLgCTqae{qNYe}EZ}J;6qQ@jx?He8?N&_@ zems=*L_$xl78TzuWlMj16i_Vh4?>wM!q)_-)<-29ayu@O?XHu)?)>-BI`41kOOF!; zuhFa$e2NO<xo<JZ8RUf@%j_P2(cEguS7}hDZ-$A(N5S+4niPG~f`vRphEJtXMZxK6 zrbT+}98*4J5nG@vBqkOlDNCew={@A3#R<s!x>awWdx9;yhkEI7km(lzvDXyPQjCh| zpox>ny8ucr7$Tk)ZrqTt9V_j9IskOj(B5qzCzzg;y~erl^3Y1M=9G_bdxN>Tj5T>I z`oV~6+%1JY<~18&geU!MW>l3TeE{25-8X&YfOul43CINKn9V+7&`T08=zJDZPmKP7 zSmaonJ(!UDG0w=jz@S|p5ew;SNL@72Bn?Z5wIm?%JLMs);U%D%+J!y+XvnmAwxT%_ z$XEvfM*;wUZMD#LaJ83>Jlhbt(PmR`M*C2dj%0K9q729!5(5=A21Ku}id5yI&*WKr zB81uKzq-M|NKiAX_l~03_(~8@9m540P_U|>A|1)-COlSvCZ6|35Pirp>mNN#ifm~T zWInLdygku4x?&6Vb`nc^@F$p=LjEc|+`XB}678p>g*XSfvVQ$z@lNd2C~p4J0#Yd4 zoHbXW>vkaONKBX7%m7f`p?VHJXfJylLkR{XZ_3iRjAxmXQL$*^Gs%uDL4NC1zcsrw zZgZ{FQHRe6(Yls$$7kyfO1dL18O^sGHhK(&+0^2j&uk_^4=&?ovMrW#Ic{-ycYF*J zy2*@1yV|akKLQ`O9B!Fz=FUyXakmJ*vzx1%vgzDT-gLN{!O?CB)U6ShxbbJ4%kvrd z(m{0{$=#E+E%9JAbK1~?SqcJtfyop_%h5ZjZPE47;N*;dMKa|uIKWR_kwb{XS5|T7 z+)%{{YFWh<FS;{DDDaI1%z=j|3mw%a6P~~gEK{R^4nGMvxK6OJoS_H@Ch>KjOl@@d zE<j<tbxOgmqdy84Z!@#_PZsPMW@w6+H_icBB?V?Tl1Z~|JwA_GthDl_6n%ux9T+m! zZaT=?!*aWwLJhFl?9?WqkJ_>&_r`RV)E0NHsV1LSDppLxqGfCc?am@K`1tD?QeiJ; zd*L*wSU547i6p3>a?r~Q9Q6%`IiY+csqdLBRps7T6k&0~vzy6=WEehK4QiQ;7Ijpg zb1vau>irS$v?8QNuFg$MP>)4>C3EHON7*jW>r8tSnu$w`$+~2dMOMs1hF+?t-YQ!V zAXAD3HhL6wW#}~weg8~kme;gfmx>hY9%T>}ha~aer;Y*z2E&eX&8^JoI|>}i^Rj7E zYjBb7kYwZIZD~<g<Ahd2Z2=LMZCz>9HJC!K$1X(6y9k;?C3!l{d^*eZfw87E!1p(B z)%`@az;`$|7?AmiK>s|zBwT@%!+WR}1+ioxH%KujJc87wBk2Dm@8Gne`gG5LZ`4nn zJCbIKpliWIQG3op-U26R?-;ICTzIeorX<ncwSm7Ca?89<K>}t{7cT#|)WB-3VevNo zI6y@ymR{49r5Ii5ctb95@Ka}KE%sZTe4fYD<{KDEIV@Ym8s<1JL|hYrI;gta4qyG? z-$tUdN@)fB$sD5w@ToQ*SQz<!K;1}N8X=hLhp24=*`?Y>=OCG4!D~7A<51i(Y2k8t zlR=+BHh%(i%oa&bv+G-ev-=d{MP4e)NZTp5n-plffR%?jz~H69+KUVfpjez8hfgid z1nWrS_hbRoE&UJS1bhG&X@5A-7msRqX@_rqrg9A5pZsu!Zv`nO4vpNxhT*ikGpt1h zjufp?P6t*=v+#!w!Z)em*~@H{ap_FJm7F6IJ&Vo8wK2BkL5j*K$#_<gvBxNDOK#9M z{F9cN)wnXY_$VJ72Na;*bJb>TDd><v!wq==3&cU#Ak=_N)0lY#Y08~M0RpV25A@oL z`uycFK!PE}(_E1hBOQ5twCcG18XV0Qg9S*yT&Or%g)iXZ!?8%12Dixq4j>3Ry2mR* z3)}P-IdBa`D!>dguB?Yhzx*ni0vSdCoEM051c)}+4I@@5HKfLgTSeZ4MwVr?D-Puv z`XxJ#?LRNv#Fjh=E!i5C){L|a>~i_ZS8|!a`@wFSKJ2i@I_s0?F;5_qz<Q!*F`5KS zBZVa0ORx^$RWvrFNkzd;I%tC9B}ghE_3D_LfYv--0Bnt*w8P=S(W!L2V_-TB1Iklr zqazL1;4?aI`S^V9I%Xat4uh?M<EeuxR^ZgZYMPolTo`GzT^qOcu)C%1O)8*5NDTkm z(}<HpWLkNBTyj|9v%>|y8cpibC_3QqmK4U9(+13`OVF^0@kOu1BFV79QD}h06~duz z+i@e3BK$9xIVcJ+mo`$Dh-qOqnA};CZHDDMZ9r`s%9}gC1`SCXg@GlEvz5(#vfaX= zZE-@}ueET;Z5+ni=3!GmjsD>-1S=EZLk)86tevt^=$&+&C6meV26(apxw<%{3PrvI zDT^}S2Qa8Vf&-wOI%AjvQdE-Rr+JV+26<#i<qwi$@YJRqoZb+kdLmzRza|~nWCtqb z94B{)17dOcnsPaFj4{aQrDH8Hu+}w%OO6H?t)?+Rt&yH)5y5g;|K{8yJf9GOKZ{~9 zMN{C2K?%eG$wwy~`~$Qdk}d4p4!aY^J;sA^g+ruM^x76hWKL5iP{$^W^#}cwn=E%2 z@A#~Ng}VjVEerRDXvm^$z}6~8jmYX~249RcG3xzPgi%*CxT+DXVD=J{%;!*AmKHBI zosJei?nklTKryyv!(osR3k`BX8CqD05(;{7R(CNRu;)51#p|z`yB(a(cGgsZ(dki{ zOvlt4*fJun!xw3g<0Hlva)V@6GAGs`CSsFCOhE&lxQ6I1C8vQb;vf9Ui|io8HzfTZ zvu!z8)QU&AZa*P{K`d?p<IljS1diihJAD+L4n2qG>c15cVaOAyoxpeP7|m!4J=vUE zI+<?h+V7x+0U-A~s7>HClo~N5ji>PSvK4f}rsR(mX$Hx>k#Au?X^df>Cz;!jxeT(d z#kyH(z5$e_M%zF-LEjqeIE(}9G2$E~UFN`r)SP4(i_qYeQ*Khz#yS8V<nk67T&!uy zgNuctDY`)3v8dG}z6E^U$tk{Sa&xlmNAlBbD=PXN>j+LSCNJt44x;eP!52M?1A()v z*c+@DCASMY!f@Vl7|IywiRU=90v!ow0ThQHSok%JRxOjEF!ST1-;A5Ga~!>{z?Keb z=BU_6Ytlq+WlpBD6+e~*Bv?)@%{nrX76>BF!2&^)H{}mt;#G3YQ6NL;Fx9a2uxIE2 zJtgFG+BA<aHMEInW5_AdZ-9sb6!gsGZ-1~xZB?*?I??se?ga;P7K9XoOu<OO6{$fF zwUKIKk+lL)v16|OMK&%C(puV?2zZ7ukr)VVX&j}7sPK5U8&Crb+9X8WxK`pYA{>K2 z;JQv&Tp`8o#}$z&{7DpgICuRI@T<U4bZRljN{GR62X+xUXJxxdiS0%{k12dBBHDya zHc3s7IN`rp%3!1;EY6BqvWSC~WxJhE{A#h4r0qo8+k%vJlm!q0pk`51siIprOtX#J zbs(Yd$5a>o$UHCyF{7d}QALOP)l8&XE!<352q<PUnV7)YuYE1fb_Co7VBL-uESn4a zI3O7=8kE4ib;#H(3XZE5nJ}4S&BFo;9+<HP71l(@exBX}TdEy6xnHk=(PS~6V6r`d z8xsXR%-kl3C=d?57sI=-;;@D!SWDe_0p$jlqLmEF29q3OaKOT*Lp8h(LE<|`CY#tk zmega(EEr;%a{Ck9^l*)h{ojzL&9unJL%nQ`tl$Ii^B4ALoK+ch8?A;}UfyhAG@%G` z02>R;Yeq4vDHE1bBp6?q)&-8Y*tOL|s~eW{UC4za&&*0bt}R3u<}kF-(0M`qG+<D` z*;Utnj5t^{paSOkHZB+lgJnJ1Ge{LMG5y?x*<`g1*Wm!hxurqqKpaONV|cO<GpW&( z5>k{VUfh%<&mR(8kV|`>%|H?FW#l;O8F>hca82?FO!`Ic*l?N7G2_+y6iTNJZubC1 zg(%q#`Hg%IKT;s+q8T@NmSPHHf`ZezpF&+QP@eTolO*Ki8ZWW|6$^553fsVkwB>BO z2Ddi|dV9rt<Q0V;LRmU>3{{5!X{e=>e<zNM({5oQC4XWb1JxNBk-FlSq^-Jak`v_F zOdTf5Mz^BN0MkAb!?6SkmP9W=CLzU?Ll@4Ik1nm@5Tv`M=VFL>d8ck-iNeWE<LP@B zQd3wR9eUJIkhXc{jp0-zGX|xOu{ysK8MUG6hYKG6Fyaery^zf7c>V)E&~EskEuj`q z0w*Z5bYTz-rTN3sIF56jM$HY{@KelFeS~yiOO_yHHphmu@4@08jGd(h?+fFgh>J<v z+C=o6_Ogl>PBtIfWjoZhoz?8JY%Uqv$7d63HTDVX<xz^DC5NfzmNBAi?zjZd?a-7> zM+sJFkYaJ-&wmKe2v>eZmTU{WO;1zXM!AV~+p<KmOAJx~b!#vs2giwm0K?B<Ff^Si zV&nnP-g)K$oaTwgjPZF)RUsnGOM<o_VU3o}F?@Z&q~r4r6oAu|Nw!7DO1;RjJeY4j zG*`3o2+npR?Z<C<HvQi3U&*KSW_|VwBZo%v<RPhLFfZxkNt)br*mY>nWmpz4;?blm zPcunRY#o!^(adQl)AZ{9Mq<qtmNo}0)~Y4-<@$6g%SU8<^ofsVn^OpHIst2N7heug zKcBX^9o^tcXP^#eqmAN2WA#U_cA5OnWY#ha=VFo`!pUIyhSU3Tn!H`m%~?8~|6@#v zCbfBNz)?EchbwECx#qep?4KxRc)&}{YH{*^w{Ohk_SJo<9FnX+R8Qipatxnm;TM{V zOH?RgbQmPiLVJm7g3EJP6pr{brejNLNG&F}Nkl$FGIDV&M9BG=Dt|2}0>%kP*zsrN zxH&rS@<T<MPS3eR3)fxsJ!V=?E-cjZCRUzHU)P?qg&fO|A41)m_4>D6vJcjs9+5|J zZb5T1o`vgJU*KOozps_c<ZQmb!`r@-`3Kc!GzJ&;v2uhst<})T<Wn<G-kNr9!OEB( z$1^wyZnwZu^o7Hvrz}pTJ0}<N%Wk_r<FYfqO0UtEY~I1|d&?{?tF2|A(mN1V*`IgP zd`ebse)bHcChcaUb1<>ktLlq3m*#umoh-r794>tkuHSv*8(XR08DY;!VqQIm%_G@b z#NsWrY2$nhot@*#h^he?fVc-k+ye~2PrVF50XYb`GXM`UEi;#|KAJvUG>+pO5)2>+ znM&bc=&)CZeHzNH<sAAX`OlyE%yIMCU-`(RZ&-Y^e(;;#^K&2n(HDR8T|fR~Kl2a1 z?TY#4{KU6>>~$afg<B^-d~E-thiZ~Mc<Bd!^NRN*4{x=naTQk^b^Dhes5K5X>NE8# zE<u>n_dfdQegC1o`P>c1_kXm8ZaRC(2kmQ5A6sf=4t=7_1Zqd096PjMMrz5~pZ?9) z)&JFZ{qBLE|Mf>7e(&by`;JW<%2FAf*nI35F63WU|I8PEVCM3F{<du5xXI?9eS;H@ zEB48y&%OJVpZj+&ym|GrKecuI?RVtQweCN#|1U3IxMTUp|I_FG_%m<0{jJY`?3<ss z@`mrZ`($2!V0m8F-g8}}USGfFmHV#WswZp5uldU7-qkwrJ2HP#j=zwZ=T;y8+rRzW z%YN(&&;8`TyX4i=6IVQbl{<MH^JL6@@rw>!?R1{7Pp<Fat}rGErXjna-eI5Ymv&Jc zXP-Tu*H8XLQae`v;xotdwO_sJJ;h%hzjl568gwy&-tCk6$&>Z`VE!cIq0n+VPk!&2 z2acQH`IRU3zhU*!`pd3;@Pi+J_gDV$yMFxle&$`@c1gZAckQ>_^tumz>nC6J&`)Te z$b&!k!QZ@O--!=x9xt#orK4_Q`2ks|%|CTTeg73)yFSZ4c``Y1!z25Dy_QePU)4WY zTgKY;p!P`^DsW)pvD$t~w>HmSi9Y#--yQdT^6=*L{{4s0EScDx`uQ|noI3u8pZS41 zFMroNAK1VDfpq@a?}pcH-Z90y4u}86zj*Y|fBtnFzw_e$*6BB(zpgt_|Mf%jQ~&VY z|LJp|YaV~=iO-z4`j=mJ<;vX;)Q>;VoR{WLuD*JIzGU|8`{>s3*-t$6i(mQN_a6V% zE%%F~SX^Lx`|-bi`@8O2{?hmS<aNLN>pwGk=<<d;>9}^eXTIp<km+>$<fD>L;Z%h7 z;-5(~?UUS1qfbDXuGhC8lv=HB9ymV#N56XDVf0BpGW!sG$A4TMWqzKFpZsW^kM;Bk zTIJz8?|Y3r^^_b~Z^+rZ@0O2C<85#IM`v?6a%6FF^uT>*<|%d-pCc?JSKN1o`RGTE zkR}HXtgp-20|%0;4#dC9s&eG0-1>K~GY15^M@C*e@*+!<>yzNGpLxxPmpvn-ci(+P z<$b!2vbT5U%vr4$Vt*w^W_kGr-1{VA@2C2kpH^FEQ6qToj`HFjf8UwT*~iz{&lZc# zX6J00-6Q$o#`{B+Xyt(esPC-2pzTGTe4)$Z0H6FA@yU<TtC3G58VMVh{F2H`z3y|Q zTIc1LkBl6U#l`&bozFV=?z<sc`a(OSjUx!&2!=#IwC2C*{YTIz>v#W2<Luebe;!SL z$B`pv(Qx+30rbf<E=kmu#whlQJhlD;EuCFo|HDROef{_U;wL{6EUO%12%k~!I;!;$ z=pGq)adFX?J_i1zyynBa1i>v>c}n+_yYKd~_kAMh-`FR?njH9S`kF0FDEb!r<h5@j z_svgdW)<-{d-ec)Ec;O2t$nh--b9?(C)-%~)8l{A(<gG|z=2o1qW;&kdF05*i=j_Y zJM8rIi4rh2FS@9wPu6$$$)`Vk;Oy$%vVPNNgCBi;@{z^?S(g`g^$BK({8Oxm?|An* z`h@f302`wXF^I$Zk;HxUqx3O-4L!qr!UWmbSwDNW?2~t(7rT8D<U$NTf=*LC=^2k8 zjD9IZTRx_KzUIRi^YX&C!}qdJ$e5fOnUeLh2!fC88J(rHnB0}V20Gd&oJWLz^lqka zetKNKv`3%(?Hk^A27~AgO}T#&&$*u+mwWp91Y;4i<Q1<taQ4zmF?ZKvpBy-F7OMgK zWL<q7{m232TqpkKZ+xFj;?nc%`lXkKHida|=IrF3tRF$2oW1F@A*8AgS-L47dB=gX z=#wugGLW!AM{bIJ!q&4+5hE9&2bN`fd$3Pld~x(6K1RFOuE9Rpd+mySvi?sdQCAad z7skBoVD5N-xpuKMIf*_I#ys|k&#{;vmLs`+`b8?lKDq73==YnS-j)wjLD#M*k6!eB zf-n}^C)+q7^{!n90Ep_@(J!%2U^lE?oTR|eCxQ{I>o)x1+VzDm^z@0S76n3|$PxC* zO*iFdclPX)(Rb+DRrU$4HXb%`Zgwj>Rk!tLV5z_-TascXDe|Mtf#ZaeuV9Ygn*_yi z$A0Dxli~c{Jq=QisLJ26O8)F2=-tU)^?3dX3gCm?%>(R!FH_&I^7(HAU#8t9nRzEA zmbRu(AzK#Y^{x6*sOwo9v_<j(?}v{#OQuIDi!<eK2cMVmB#nj7Yy;Lq*w8l68`VV{ zitA9<RC9G^rQXbcTUKY5lLB)t<n#r48SJ)AroIF1`c9+@?vdg~@Tq@_ZNe1`+d`o4 zhyne>NGxx;69d(6{c_LNv0^5ho_>oQW6YZGlw&ivF?{r`;*euqTRb*3pJgY(C*FML z;<1@;$>b<-%vZpoqaMJ^Q~z4<fh!%y`WYNg(rDkyY6mf1>07tl;Y{`&ee2?}OQ?6J zY~Dzjw_0~624;E>@+^Nf+U4!PMcQl^;L6+HraIaC-f55Bi8_w5E-=#I^ZVc{zjRG0 zO}MAMN!{sUv3(-~lal{U6Z;OmMVx*)i`$QyzZ3X;hMRzv_mtr~Vt|M>;X@b~HMPft zlP3b~DF3Zn?Hj4jHe7~2xo7d%oxG((-%01C3!zx7@p3t;Blml>&NH2Bck80@R2!7~ zzdl=RTT9^|UO)3TT%Ub>^@WSM#7Xk-9J5|fz1P1U-=YHUJEQ!a_4PL8KX%`lW%{i$ zy-Fynz5C9nPv9qSAm6=v{c)A+YM^xBi0Ldm)pNxQk$r!@h&^F(@dimxo0nsCd7;_H z38*ppC3*VGFF39wXV37oas$@HBO@rO-QHvpX9Ki-;--n4+Gn3CcPg%7@F&sO?CpE6 zm0jiZ=;fCyF|J`}ySv~n;XcA(pLn0qCmjE0VxO#!GIj?)j?Ps`9&UwF%&=Pm&?gJb z?>kfEBRjJ5hkw}q*Ff9%o!R1y-5rmzPqw9rQ+VtX9^_~T6m})`&W_eSNiP?b$>h@i zYw_;&^_y<G;f1kZxc`T5xZ%LrfB2r;zk-qbq8$113*d)7ksEH49r!|9x0TwyfPIK@ zy9xh}NZBVx*4ZZ)U8FpEa)EIC-}~_v^ilI*pA0j(cc0X;t)df3CG-hm)9sUdVQIia zoYeq#-`&u8vVLSqo_=9x=l0v5x(ej>dU*{opcc+?>qo}r%zav4=!-YRKEXPp{c{!< z-@%`DkC8*S-E{YX1EXKM;p}&R`A6P;!ws*0{X0J9`{b<7i8^}hz=6plXKy$oFT4s( z`g07m9(@$ghCcDsGuXiwAaVvfFGBD)e-mO_#-d+&IQD_hpYb|jp8QwnlOsp&K3)3p z!|OP`__gb8^desv_$njxU$;+MI6Zwj_Q}WAj}-ZfJhkise?D73Vokf*+b88bLA}0D zxOR0q=-_s5pVa>Prqv@y&?g7(_<t9^<%P4afBgf$k^E8vYu8Orb57K8a$8@=0J{Na zZ1%}6A141itX()z)AB@pVxhCs+2Iuc&s1M+pIrRi7q)ig4wWZ}ZJFyGUf|erd+3K- zo__c-+#mszcq`zZUX=6)J>A=_nV?60zkakAb6-z#_`RhZ<xd3s1nx`1uj04z_gM9B z`~xeK{8~{~4}4ds`=QbYZ}UW``|-o-Q!yFafcu)Tk-f_Mn57{PxI7o-LjGLvy*T{d z`iTTzUIco9_a09mR!PMB@(i)v#1lsF5&8$A_c+?}xET4_ASd0tuCYN26;5C~W#NRg z%<%NmQFID(4=3CP&SoHmydS5Y=lAET4<)?Ir+nB7d6et7SK3(yU%%4|dTGcF{NZ5P z!MlZRdUm3{;h5tK--RJIgKi#t#927{if_8$+rJNO<ok^<RN}+i6!pv8Iw)?$`eUy3 zWT;o)n@k@D-QY_zi=6j=t+=;nOrtz<+w(mhOr95*b4uAkiJ;P@vs!;JU@6j_><A&# z6h|ZG7JZ1!X^KKG><}?bAn+D(V-I*+*6WCMU^Kg$ilaY4W4)zKYo*C=u&k!wV^K^U zE*Vx(vMHrA)?-)F+!|?u?!bA*A^~iGyG3~{cMdr2QraEl2)S*_4i=m(OM2771f-fM z@1Rbcfgu5eWaQR1A!p^bc}ig7_kjh*&j2LEO-ZyUDM=~a66pl1X~;5<bkmeY+r|CW zlgB-|Yq3|Vw@f)x8nIKq(#s6xT@{z|ULe!f>jM`)4ye|$YAY!vY|7|dk9r6`rLT)} zv9^AR<isG+D6%jJv{nrptPa409RjeLRwtC<N5O?0eeEIUk=~cvUd;3&L&|=PRNAB_ zHxUmOS!yo9Z($69ZKW>?bN^iwOGe|kh!STHA3h<KAHmZ&nxp8YkmLR&zWydR0N(7- zXGwvkjE*54r7q|ORfPWXz1C%72Jwjja(QKG#38jTc<8f4B0mG*9cQR)AE)=avyY*O z(X`KgiP+vIctMr!OGxKuF9?>bv1r+$?<hs)P=ccxR#Q?DoHAtimIJXUJ&{I`!s^fH zvqpT?>%r&zzAEChuQhl=ZkU^f`Z*VRSZUT<gD*z#jTglTvB0m-`EL~8bP|v*cb&2% z#Y$NQz%}0wxZ8wp)nu`UV6ct~zF)>u*7zDH@m^soZ@>tZa*R5}$skcrp)UO3x5sn- zqLc+1Zz;q|l%$CRpUyNSQ9K2{C3Zd_;yS@92$loQWia+1phK;V;5s;Jx`EsoF!&Lc z_}!Bj3+q}^=g5N<$fml0)KGK?^n3$f6-(YJ+74?NgTxk6va?ByB|Myz^9f>jg5%c` z^epJUU1+NdErdDrxq||3(5Q2Ub!eE(jd0JwfXspjeZ1X~VPMFr$jyb8fHlEVn9QWr z#MS&Luu+)D>YI3ubryvs2xGFdn9&z)8#8N|-~)*z2Qus{Zo|3*4+L}q?p%nqDCCqz z4=`ThV3&U4&9#+Lm!X8JLFeuJJSjLuLEG8k`RUNR?Iv)XmcUAI09}GNE|Z%_CoNr4 zW`f4>c_9zSQF3lmI%(3hTc}J{d^5ndPU~AcWltt_ItRYxR;9h;@IZAg^X~Yn!}r~7 z?B*9O!S!w0EbL0{B8Ne8GsN7Ey*<H~GSB2P>e`QdGT(wg8=rAUA2;Qb&O-ZC^03Pj zhYwOTxlKm^2LBv#v=Htv$rEcG`qQG5GTwt-0^Ojt)Xnl)<;SG8gu}KZVveqw>AICS ztukWi_pg|8tAfYcBbk_vbgV4PJ%}ShvXw7o%VKqywyaI=DQt2l{*aI)$MYGJnx&-; z*>2A|8E--U<V;KOLL;9{AKfTAh{zlsAz4jvRRa4C&Z5fkhhg`=!r9DqjI53qb9OE{ zl{B?U#iU!o$#>}qNn6VpSNX;g5-|?{DX@x`q$(+LHOf<VHor$Q4C^dkvY1mvC%tva z!oN<kVlYl%Y!rG9VR;jBsNyLrnnYL)UgTh4>@*?{6-+&_L=4`MLtztIMQgHi68A_B zTO+AMQ0*LTNO}q{AHzQY4u`22zC$zGL>z72$(rioG`?Nl<dU<Ui`56=9mFl(H>Acq zUOBRY2h}jlXGjnXO$0s#6_XGjcnuj;il1JnrA<6P*#>Ps+rpDcGk7X=KI2RvWa9=Y z{~FdjlxWGnkhKQCM6OvKH83w2A3zfXA>oTP*6)%HETb6X2!TNf&#_Yi3%C<J#(^K4 z8+CQLW(#gA@Oy;}pCnCalSRnm1U8QsMeyth77Kf?&C<e++APBw;!H|=SenOWE^jpv zlu?8p%P=Bu@s4l0k=W6>6cvmXpyM<E>B8cvdBbD({PHYoa2T*YG8RuN;k~_dKEsk{ zU=5n+l;mot;hO_t``OPeW~ju$4D2X|CBlJb8sLM(SJaH;%XmepeM}k<nW5mS(I<jY z$~gofR?N~VJoA8vXAVW^W9-2Q#}kK;m<&4(J#_fMf5@QWcnnJ+pTnC_ODH~L*hK;v zBZVs-VmX64)Y$zQN=4H&9Om)#j^NI`)90!gnHj#qhi7THSn=UXSQtTyYIP74j>>8Q zTDZ{8ES%;es$_3!2plNzG~a=e#b`(umw_9M$z?;<N^-Tk=rKeoA%;CAUDgM2XJF@k zhcU`(7x6*ej7x$>AVnGOBZc!aZB`+LI>)^@bsRVjGnx`uQ@C!zCCn!b6bxI0J|hFk z7J|M?IShO=PZD~$1!>8gbP~Kk4haXP?UX9$O(&*3#YIwDc|y&5+c}=UhIjbCLkoBm z?GcPoH!a1JVzG$J9K<}NFfl8#eXIj{c)bO!)L;-A8n21$I9R|$q&2)B|8e{t88x#8 z9Oc9b&;cE*fN5bRfg;?>+faaa*#t%KJS;QV$0T+rfTI?Z)ZDX-AGTkVnKaF2Cc&cD zc-!}rB2VU7wu#L|u#j)fA*N7r4$1WNPBvrVCHt0S^uZJ8<ZW!C7KFJX$xh=wPG%*! z71KI%sBJ56wYG2o+mH>Q1efVblVn(>87Ub>I#CqUEbD%pG@BH}IJZcfVlSfLbdqJS zO}-VsKY)c^Mjh_!pwukzY$@4Tj2(v@z`7zS3hUN0Sj-M$(Lpa@{aV9vc{^&s{LE;& z$r>>?IE$KS3~R+oq;q~cD@HSMw1!VEYa6T$@={>smMfQCF(;i2?;YYWFrVQu?NykP z3>a#eZe~Sd8)yR0z!#~V#BjA2V+GYi%tIm@7Ecv}m7C<5Ea7)ge1vk`W%#Sj*)n!C zxfi0aw`in^pW}cDx`Ebb(1k5WADb!Okghp{8U)qhOoBXCazgB?WOfTZgB+8&2@45C zt)(~z1>YXSU`HFc1fs!`<GCYeFpy22&(Eef);g9?JKPv)A7AV=F!<Ye^WDkLQ=N2n z0n!*TEtfxOI^1%_>5LOR-H2Jz0;Pc(@Y4!TQkNS24L6hX%{)-kmPxN_;J|_R*C2<1 z-GWE$4o*Z3=s#u9$!P=b;I;>Fwk(0SEl3*o45sxgz90EC-j%`A!e;XmIHtGi^-b47 zAx|HMD>BnbPs@|=Dosa#Via#D`YfoC2Ig+tTXXQnHe?(wA{KTew|GeM$@C#SrrDXn zrer#)fh?ad+<_lENh)=hNPe|*qpjOv{2tdr?3_&1-RX3P?+e0zyr&P(p~I~~@O*x& z^*`mO=NjquesdfX7@>n|E9J{)GKU#X0syfEA(7)9=NKCNtAWvy>+-9NU{Oy~EB3J_ zL_YyJJoh&@hIxwbFacSXp}Y8ZjV`kzDc?%U@GpTMxjc)<g)O3<rP;%Ca}Iy?=pYrK zwX7LdAt$oILnQ)R*vUMTWs7CeI(aQPb_Pjq+yAp{O6xS9w&$oZZGNlW67@apph|27 zxcA;VjzSHeO?>b~Kjueb%tF$trwKX)G=sf^W7Tm?!!0fO>y2h@JlXCvA%r_vmb^c+ z-(I{%#->+iU%O$KS8<N9I9p5`tB2t`@11b0=X>R1{>%x?We%@~pPX*K(^ymBD|9Rs z4s<Jv#}jn+Mv~-n;DF1DabhSgk;Uosk`e5+HzrTiCbGu7oHSdhgIQUGMYuYFa~Bp} z%%{vkiHm@fCbngqvfUL}?;3E`7#vC1X&5D{EYit0i)|wqII#5~I^Z~>#2vPQ6?Y4? z;+7A&O)GEAO<ncclI}bLNx&lr%Ql}1J|&pO=o;jx`a^OJJWA$Ib{0>IoGj8AZs8b5 zuX<tx$I<OhHX_oTQ@4#n6DK}Z%fp7+xtfv<Ots^3?9^12ZEP6Y#57Lr3Z_XL&Bz@F zxI^5Wh+M@eFG@a&XG~|%s{8SrGme@mer@Hatz&4=*QQlVw{Rs;lcz-&2K3&;n-{y* z)^>`xGm@|^bt9KLhe%;wf>g+<o6&XXlqB8Ql+<nt#;eU9+X9XKNb|1lW(q;%otT0H z8c;LnvMjSrxfWQFPZ&Ibo@Hq2>=p>K;~0H;y6ooD)`V?bhN^SpBtL~Yfj-B9Fd11! zZ>{7r6U+1S({2$%&CFc`4`n(1enjMOig}Tk$^5!>StoHiYbI7VFtm@tgACD`pT%*4 zPq^FE;E9pcN_u?@Phb=aSeY^Nt;r9YVx^Iu1Phg7z%PlhkjI!o(Dr>74q6s#p<tN< zDJiz|I|NO1pfDyywwxJh%t&p=3fFzKoQ>nm;)<h?f`SvTOw_=%(%!m?Z(QS@yL<Cu z0>RtLve{|GvN6Azq+5@O+xV?wrjvEr7|K)bu{6Cgv2d-0iWjBho<h9{m7UIK<~FfL zI=GjBWFWICvv5@sgBxGK@ympg*@X;T0DQyaHd=%VFqZMKxEX;!kz2GxYImj<v&I(J zLIieC)s}6hhlI=;nOVdLS(0oPE8?{lRoYfMxdJ&`pEAEJ<M#fR759i_D{v0OTdP<} zN5#}<=M6gK{^AF5oE2G_DGu^FJAwB$%Vj)S9);tRt5Qs&zT$buX5@nF!|U`wHzN0= zmSw0;Y}F>Xk=>lvF3NrnghUSID`*XaU@_2^P<kzFVyi5cISwF9uv0vu1F$Z&A-|bE zf}ydBvzy})`&n~%GToUIXBo+PvDV>m?9z>*Si+^yxUr3inI&W4)yr=)g5gu-H?o0< z$00kxxesv5iAk@^mNK!<p-#90;^O~_8G}x+yxo+vPRdm->1?V368M6%(>NA8tRhmk zGzwydbwwTre@-5;*~}cMja3{-u(f5l;*{c=Vz#i0lFsG0GIyqBc@=<HHL@*Ol;RHl zUKT19F>A%-jML~f@wT*;x!0n`hT+=3jkDpbYzZ!w6I3b51_t82D9ky7DGfR79_T16 znYsLP_=gMmju;${GQ?ogzmYiO45k8B9)V4q33nVOSCWkHzglEzRj`X;OiE&;g_p)w z6Pf+f6mqOiw<z$kCe{MsP@)Me_vyrYaZyyux6$MTD@PkM2LY6O=BzlAO-Scu7*>$R zx}9L$2>aTm6SJGs`D>aP)(SXh8{%deGO<{FB!N7@LJz%y0k?qxZ+B2V;MbbsI@7a| zCBA|eF%9iIizrPBMlZIBOdK-#W<*66(e&1vtg(28{C(RA18^0N?bt5z(cG<U+3A^C zv;+*<a$;sC)1=dxLtITho8d)5Fh2|ws~wJ`?wW}N9yJ|)x$G!PlB8mB;-`TE*IrW3 zaCDY!$x=8pw`FhLe^)B5CbMn0vVju?1O*#{7)%8Nnp}xzOF$L#r%jXLaFI9b&Z3jB zcG>;lx5QkFh)K(A07GYB_zC_CM)qVjGS32I1#dPjPQq*aRVwy+M<!e<bFK6qDNZIg zOdH8B{;7N+dv=-YVxGOh!kEK&-EU+ociYACa@rb&+B^+Rr0#Z@#ryUQ=A>o3mzR;T zz__EC=zT>}D~uH%{#Izop=HlNH3E$td<@>2Nh!Z2TngR)&)WOI$5mX1{&Vl%m9N%| zcUQ<p`0r>DSUAL4kVyz7XrwMixNfp0mJMz|GNIIv{D^sh$o#WMi*Od(v1Muq5U>{l z{TM+oX-P_OYKuQfAyHyzLzA|#ahf(SffPiHV<4b?-!petw$soizxPJkJO9p{Idjg; znYs7Qxr45S6X7s(z8&yMEdbW91|*aE$p_8`HltuC;i9DCxC#fY)oQqdaq+yB2ZzeS zI&oGm!@e4{78vR=lF-krD0v+L$pHGgI1s@LzND2tWL3#^LBN~NDOG6%2NYwh=;?l` zhX%v~l1fyst!-6}mQ%;UJ$`2?EynqNM6Qd-#n%2^+ljK)9)yJyCCfSSg+n;YPv4B3 z#0^7*2pFFZ2Hh-JSWT<#lAZ8mU5+p700B^TyMm}FE;-IQovS>0RAWGb$J!Pw@FIP; zV$EYoN8aL&_7nZYX&<K*FOuh-<bPa>;a^xsY6~F+P1cLxFr!;U7nl5~oNBz5Cic6y z7BUaxcVO!pKMpX>p1w#3b51Lt7ATrO59It2X*xg_xJy)e1P)?6>=PUa+caL7*UD3K zCSMqqW!}<q>ssG$1*>P>%Fb0P@x!!O@Oheet*ur3Ra(c%a&c+8<b!9Tw3&y(>9VBB zqyQdOXpG9?TWM>-rHfxp7g)g=l){Cuuns-JTI_v6eM_USWr4BMZkd$KsBi)6{Z>!i zy}nWVy8q|*s7q~DoyTV$zB3$n7kCrA;ZhJ@INX@X#S-aDa%xUsRCqoQW5c?I+zNZo zFj-t$$b|Yy8_ZdOHsOJjU%q^Lf7wv|%GmI57jwArd9oOwJR7l9w_!GuUNrcWucqFy zB@=jlZu0(hEqC6ftjH)xPk_sv>3p*rhic{<YSK)W;XM1Bs_F6HVi?Y`K88q*6W8_n z^*oj+Bv6qT6x4S_K2v`}^LT8@2R%|M5FQL#v4Xpa(3TK8th&KD*0Ue|$>YVr{k$d$ zRl^k)OFA}6XRI2iUpc5Y%2zK~F%Pz=khRa2#4_X8KW{B;OZqFq4O=I1i`1_^ke;V} z9y&5U!Oi&RZpBxh_tg97_)2NjFT<1HL{U-P%1#npi;MZErIY8IIr2P$Arz<uRxMC! z!Ne;U=#2h~YMlC%D%fYe7V8Bvc(FJP&KPW(8Z>*^29;|XPMnZk%&eNm-Z9^=?kHGK z(+L~oM3)td(~X&C@8M&E;elE31qRr>r~O`dtC#84u5T%IdhVvIYGL8inDCn!q5X-H zI`K(!i{@L5pX<;GHC39g7Mr>j+BX<Zze_Pm2A-!->s`<@{ZC3P<AT;a9AP@eesR`` z!?7fc@NN92AZt3-F+1Jg<h8E%bT%-|&LOuFIInz1(U*JZiT;K<%XB`V>7K1R?4KIS zyxQ2TZfaSqP{<RTRjx%*0W$4ZrGlQg!Pw;%L(Q_!TI*|C1-iL8L_hjT+pN4(pFRbe z?G;a1W+s}%T1**P2mWM$<d_}o7*NCHsee;yI1C4Ju{mj@>ySy{D}Va>t*fU@I{(}` zSFg<l7k?mlf6Knxa~FHzg6qfjT{+{_uax#}{Z8WT&A+&3_qOJfUU~56+kWusQz!l1 zKYwH9*2<G7?cRIptZ(gm<)q4mFU;IJwtnurKmG6>Z*S{3<(*&s=<Kt9JmpWXU%cwJ z7f=1^BZuC7VZ-i!y{T^O7w2vK{%`izRqnlf=0jsE<~;l2s+%gK-#zJ$H_XPZTdt`4 z^5#Xi_5aH+ieGu<+IwFA+ADRx{?|9RO?xR;_jm8^-*?%zUH<dwZzO)a^^Vz3`z@b5 z{@*{<y7B@#*@QnYZQON5-NT=Fa@`Y;bWXbaTX$}|=9Q->{p_CCZ(qOZr*#i4dSTlS zJ{Egq-&?EJfA@|1Chf2MVqSe>USpWf%-V6s)=8|<?pU9=`}6km$mFp}i7DIX-u=+l zUkr`?<QreCy!^^Zhu&KBrI)|E{NZoBn>l{-Z-)QsyKim2<)t@X**Nm^%ZG0N^o2ja z@;Y_f?y=rUuf6rv^<Vmz2PcjF;_?mKD&L(u@|?Hhx?kT>>^}X$^;_3<_zw;Dd+XQ# zWbK1r?2kS4#N}(ZKUuan-}Tet_UB9CrZZoeyYsE>*b6GUZSe13N~~UzT(V^D4FhK- z6YtwF<E)E|r}TWlTX6lW`{uTP_$xCnzHaQs8=m{owjEmz_I%{Ux9&+?`SmqtzIDOO zD_=Qy=-3P1+V<U-cdz-xTR*ye>gvjx#RpbyU48$JpPjYr+No1tedNl^Z&)?!rJY|R z<E?wveD~l>b5}jTHJ{ntbIF_6&b;^SLpQGY)>X3(zFq0lXZ`bCGiS|Qdh!dI8DDy0 z?~5Nfu;@!`{`H4TSO3{JwoX2K&6?{bzqRku-MjoBwG7Uhxob-5ABQ$9-MsiO^pxx9 zjqy2KC!IaBXYPv^-aSi?^_=nIqHXPO@9vp&!=1;i-*jl{`wEM;E}PqT=!^@m-By`X zS$f{A*H5ocJcjNvGj{A+JE4)gw)Io*UORA8|HSV<wl#VFDJR|a%3ZVG{mff$ZvE>1 zZG9Jq$4|L(=eq|!boJ;xv+VAdKJxmTTlby0?WI4z^Yz=mdDf%b=bpKJ>+b7b>ih8P zKe@aA-qk&m-u%YhmG;V-_iuh4nGDQXcFeuEt=>|3F(|xn+j{Td57pnl@WqE7ed&kZ z=<Czh7sk5%7cSho?TuNL#T`tqkzLDD)h2T!u_3meZ+|n|5!!81nWb{LM7x*%fEwBn z7b_!nL(iVwtxjj{DSMmQ@3~XOrzHy5nI)6)82dwGu}myJR2kYq$x1v^sgyRQy7T#S z&z&)Y0&ERU&Y0cS*2ccyZtwL>94r<8`)wIDk^-aKf3HqIo!z^DvIDrg&{HUMcgNfM zDm|46n<h*kMfLThV(b8JOSK8Y7<$G3cK3^WiFJ$Z71bo?vhn^-s3R}hLp?Oqp8Cr+ zdt0_I+s8grNp~)hNX{iN;(tHdGdp7jtshFIvJk1J`M*+`Y&J$q@_kBW_5xF&7VM6) zDif17B(V(xGK;k$t)enMpGBK^Qm$gcp&S-R>FL2UJkHT>e`|H$@Y7G<c_-{vsXN(~ z3`SvRJeML+84|*z{WT{tDX4cwa=C6ZOD$Ee4yj5;eW0>)XS`A<RIntQJ-adl)7bNl z0J*3g(=en;rRL5(C^9*)XK%&HBo;#^l}x5oN_F=|GGUu;s)9^tMNa}-RAkcEm&sIR z!Y*sK*W1aYLiZ3`%ATGcWU^zVFV)i)$)u+e$wW3D$LnIrJ@9wt-gC}TC-9J<Dw7%Q z@QNO@cQ5jCc7EMM?ciHemB|R{k()M6WD?tR>`ReMlI?9yCVjJ!EixI}neB_wgU&q{ z_z@WQan;rxtIDKDwauMN&xu#E2M(m#3WeC7wmAJuW#U+i#^?>M*F68@d2hJdL>@=U z1WVRC#qh2hq;1{tb|;gvz>doVOIEQKL?&W+>10ASoLr+sCOtjfDfVag$qwi|c8lF& z+gGWGOxV8O-hS{v+us}%nN)UEcJ7WXH3)6iEU~`p>&y4Gw^!H$o~q1kpN%ZV9<C;n zV_&N5Z3C*iEs{xlJ9X0EMe4|;r+Z0iWOnM~$Yj%|gWWykrRt_lOX0`slF2>rcSa$S ziO4~E>x_1AK)LK`k7P15bo#-z4~{LFjqB-omGsC>n>GW;qhykb@7TFhWKx+n;ZS8~ zU*8_6IQLx0lV0-iipV52yRtVX&2Dd}$Ly%|9Xu#)itS-YXBeOHJ@GbVB75GI`p1_( z6zxCzEtxPPkqNA;%H$y3h5=KSGDzuw_-dybbuwXmj*dE+i0;~5Nv{#zl`2$a0<KiP zj~(ayWp-B3T|E^wGGj(uWFoqYPQG)u>P9A!?m~k3zGQM-CT*%)tPg6sOJqVH9O`a! zb}IA-u{>eeq$Z2*0y5P$8)if@!T6_=icOm~uDg)Qlz%{X{SEyS3tf?k=&rsy>D7dz zzS=!>dYoS1WKt+(CEdA1LS%B3?m{M+jOZ?rNq43%yNA(-?&=oZRT15VW}U5&3A&42 z^GHhd#p5V6v8!;ps}dhUCfK8@TyLRp?5qiY?<)H*G7;TXak>lB@5;fP!a5|nm(E8v zcAYedHH-?*OE>*5{Q1@V`GiSt4kFvYW<f7;ywFJGx6?K6i6xoxXRzq*jPhkUQH7UG zDer6zYrac#cy%FMc?5opsUv*L3r(x)gA?X2A82@7V}q#I`-6-F@J{_PrCnKvWgItk zy0XmyG#Lk55Qt{gQEDi895EOa*kgdpV>=1XDS4LkL>sEK9noe-yU=0+bwVwjTMFL- zUyJ`%o0=8RWYC>LV^lxorENi4+OAJj_)s*?+aRrY>wrrudjX!moI9;1-ez2-N-Vh5 zl+QYwzHrKlHnCTHF0W!N-PN#);=>%qH6G_7D)BbU>%QmI^7R(}46CcKM~s7a#j$G} zP7a3isx5+7ZDJD$d<|c$8n5MlzLXO@D`N6+b~wNM^J@jK5!=A&L2&z3&z4s4(Kdhi zv$oLmSYso15s!Kf*K^}Imq)l8SH#u|E&1i^>r_+lgGRJGZkRh%yNm$*mpYVMrAj=t zQuFzm40o>342`W3&A`xRXq5OTKfku2>FQ_hj`E+&uTb{<R^HyKY8xco9ko?$i_qM3 zH8!B6Q*O(0+UD?9>G))9aC1xzPnZ1n1@O4)UfnMNM*5ITKndqYS#DKy!I)u{ABS_f zf51iSQVFI12bB2lLC<RIhPJl0e12!52FZ*{1<4y~@!!|?mYfBy?(RKpZZ)Xp%!zay zG1~t7yV<iH=ialCJ9e;OQx&=Vfo>E!QmN>XBwRF+Od=%zw=($yN%?QUkqPtRWg?TF z8W`q}@FVsYwfOJrk3}+B!q*~Pk@@qZwLq#ne(?9jA#fs-4DP+k?AXD==?{=e=FVsc zyt-ej?!tsvZJeNl{-2DKa+xoStSP-0xqona+ta7ZIJr~hIb)#eqH!|)3$^&~>)YF& zW^TQ$d(US-=8CWj%+33M=s3w{|6h-jnoLGV3x&huWU`5561DIDW}NWVnKi#Jm;Prs zWWqQ>cP-2uF;3)*BvYUNpGzMNvBt@S6=W{yWa0b&|Hg^P<bN0^OsT)W?g}k*dMv)= z*|(>@P>o0L2Rv2^QU17JYgLq9_Y--@E)V6#{RloC79BojN?!cos&VQe;_7pL4etxY zoU7(P8Q~j0Yw@;losn{zBY(;RReJBK!cq2o&S%8)cyJ&2z(_p386qS^y3jP9e{7WB z_{H(`^+xJ|)~aubdX3zED=8=EGZGg(;5(`fQ5-1eB6#&=)vwX>H1!Nn4w_jqc<D^o z-vA!kSaj(%83@5v5LM4(G>7B0bY1|s{Bd8Rz>9O|G+GG}ufe&v3RmD;yIJ*C(|dt( zaHXnm563+tVR4y|dhJaM*)d=x9@XP-*sL@$|6zQKU)mhb$5+@jDu<8vC+D-8mApHn zHb@zVLq|Bk<;u8ximC<GbnF=C6P0w0Z>x3I>Q<!)&c7QQO7aDd;1#%h6n9rii(HhB z-J;_Oc8rb=@7c&k)PIih2FU#pUTibfWm3>qWgy|Iv$eqQ_8n&(%y0zY!jUAZ{EO1Z zuZED65+4^D=S7#pVTU)8Ct1U;WtOX@oLt4pi&Eq8bh+!WyILc8?jqNwZ%CAoAtKJY zt6ZcG%WGDAEAhyc@B)6;Sdtwb5><h*eqJ#0@AE%Qng+t+D|SE{W9qEt&o2pxDjA0v zc-Nl*wxm*@wOZcLvZK=ovgi-YhN$Y2sQjy-H{W6eM)QxKC+&Z7rV8ME*@nt^i;}!* zPUN}#qf#UiQ9z!f#I4933?x;tkf$6b?J|zKR6&kNqnyN!%HU0-uj*eW+2!E}{0@7E z)1!icgJT!YIJ^K0N&_KLSwqa{hx0UP?B|d$uC4|WMQc|B2)9W%z(J!`u9j6zjq-Rg zazwf#B@jaLn(BoUwWbky4>x#px|DWhk4To3qZ|}f-QiFz<qz}qIJoP_<1q=;Xs;$V z>^>^@a72#>Yt%$-GNea11Y%G<s#@L4UrCeN9_5D%6g)H%s+WuAk2FKj*Nld=YVj-) zhlvUzj3kK5x+_mg(o*V3$G)W;aT}!>@zPeXQ`ups4+oD9MHvp(9FbEEORox~M*a`G zBO)%y<*3R8QZlMEXbDG7<JEpOq*65My#!pY_=jC9$gTggBVZ(tQm#(nl8)kB#reeD zhgS*9+x&=QvKLccWdhAr>hmPx+>ykyhN66ngQ#XpMLMuG7ArptT9h(c5cq;A!b^bn z%I=DUBk4&l=x~ijuHs~K3cG_`*j*e|Tyz9kRLTN@GuC+|TS%Z?4iXz2c?bj4zbT6+ z?PcRC0WA@~Q~I3W8cipz1VA|BuC)-9NtbgjOWnOLBv%U(x06Q6P6+u4X{5Pdgz!X5 zH2xo4dihCSl3y1;iOD`@<x~Tn;aA1c;Ub2sv2aa_Ne;-h1X0jBA=~WO9j|=F2a2=g zkOi+20`Qc&nsi@XgnUBaI6Ng`z~Bo;y1mqDC1fe*HAO6zeJ8Tl3n+1Hn=(=*ui2$z z_Y$IJ!z*o1LLG83E=VB5s?T)LiGobNnn_j=wL|c^H{leJ)gcfjJxKpa%WLkqn!(Jb z;ub6poiXH~FjWT#ag1B?l&595iq)&J#Y_-L|HByvLtp^p0P_QO@*w+&J@01fGo;yG z0Igu{C)cLAW}4wg0A@QNWYJzP!<DiQVW^&*?0Pttf=9>og18rSnG#D?<KW;_C?#$t z{w?#T6HsS{z3$34Bo@x%qJoV1QNc<DY{Wt%fu}$1m53S}pFT)apfTP7ebd=)Aw$qC z4DGPB&(cy0tv3B^m+c>5XG6d3-;8{)$?Up+ARIO&uhhayIoQCiqBOhKL{$bF%;IE= z4XH8%%169$=|SIltr@l#lEa38qBV`Spy^!wRII4aKv!43Be0ZaW1zC;K3l*>%nL42 z+K}jL*y{(DY};Ix4j5#m!|=sCU6|r(^JQb2vNYCUCdwX>(4QZgmh;12*QB&X6vnio zrw64VW!Rspoto#K@iv#@_b-5&NI8ebh-so<kZy@+tU-v<4R9J<vduW*vAaoKV4<+Y z#<=uk*&f#lx6502`U@Y^3s}=p=V0$TI|#vE9e6rJ6W%%548RTletMfs9qikdc86sY zm0@o3O{O=^NTc2Tp(!H|qnZpOi{e3RZ_ovH#lA*QnGXL%4_@kirCrD361g&7P>7=p z3D|(_IvLSc8Z?#dgmpBY=CG-j{6@D8%*Piblt^porD7jP>%^t|SsMCI?UEh%bq$$x z7LY{H=o?`HHL(}8p8@J;=wQU?7h%RqVX5C~Dl3~=&?8OFEp<RJ9)o+M?%zp?Ua#z+ z$xz7&Ts(o{Dyt(On(iee+JrP(;u{z4WW%WdmC}5?R%rn|o~UbfSqfNfCE|CcTLRO? zKDsXU1nG0a6Vsd?5(LN7UvKp@suT~L!CGT5MRln<-$*_EI&5VZu5>|88A1%=U>o%? zvVsOe1+C|&^O(l;dy>gMI_DC?1VCA0iz~Y1Ai)yb(h{qu3Qbzmuf>+c><@+FTY~}7 z)PWxiN+HiL+}}&OB@8vx%Pyfh>c-`h@YE9|LJkI`wso-1s$PkpjN?MRD=AA7q0CBc z;!0Zbd@CETlroy$t=7soR>lf4!;|znw_t>{$b}37ddZ2^D44ZSWJB5~$f!vuk$|tt zWJNQKsOv-&^nB9@jsZ(&S2wE(3L7jHQ(AR)v6USft?Gnzy3=O;E){kNHL_PbooH5t zf>Ks_%wSMF)3upwOKrjsrJr-5@K}v}482gql#KG($QDW~gh(Bf6a%KHX-c{%W!1ba zJ*xu-(VGUjLSPFSM*o#Uv{G(BqB-SvvhgYi4YiR@TP+P9<U<4;7X@xZLK#SvXbIp+ zQohF|62SVEO;@Vl$R2;8NM1$J2zx6i<UeMSq6VoSP(%hJr2s5;5d)w|4Y+K~kZyf# z;jBOe4$APZcZah;CQ4e&QiJJNe1S%F!9E0J9H<UBya{U|iZ-ZHr;aG&Dg|QH#41c{ zKLcrt4fK?y?RWJzh_#A1(~-`h+cE=ML!2Q~c|)qxu}IpZ5oZT`<&AP%u035RtVF(0 zC2w&Qrp`+2${i1+e`*pVr(I{Vz*t(vOa?CkDJj_HPx%_{VpIV-JetFJS>$Tw(>Z2< z>8&9i6qv>0P!gcQ*ex9dI^8%C44yfM60q7Z<Eg(=0o!Baw(<EiiGmmnN(*5$nw9|n zI5SboDEEFzh>x7@05l$#aU$A|f)2<Vgfv_LZv{;UnI}h_rNuZ4teT`OW&YmD9uWT) z$zgavw#?6b_><k!bXHY3txFW5W&^&KSsL3G&rfSFo*(w|%G3oPX|D5V^jD$&q&PFQ zZ?$eR)SPC5RY_*+RuDmt?nrN%5H@CXsMF<U+ica(y<r&3429JtC4jQB>J}F@oU4#o zCpiq4dWDG<^)Q8GfgvatE5lU-!8wVT%m#WT5!Sa4YsUUYucbJEYFVePvf8&r`j&+c z_o;eSEEU&LlWmpK+bxackO>CReFVd^S>Y531pQQ<1tNxFja2EQufjR&wllV<*YlI4 zNLRLH8x|LEu&!^Z%Gzcjh`_|6*5G%%_wYe0;hDSXb?9;8C2D45X*EbAbU4)lRFAC) zURkrpHqpyCAsb?S*~cyIE-JNd0JCDN{wmwb1kEn|E@>F{8=>u`2kVZz;_Abl{b5rA ziNRv^sD8@xvi`Chje0)qwGM|l)*O={f^D@Pih)X7H-cMulGW;V(0$cEgVy;*5;0+f z@lEbcb4kk_O)~yQvE5XT7+RwW#s;yIm?2lFfhlRNg7+Vz*aSM9k*?*}*(5N0GljmP z!klI6J`9nj(j;Bb=P7uU1s)<3b>}MWGlFEXi~WctajoP?xBe*v5dc~>G<B$En<WIE z228&+pxQ&(I2ip`%($vGn?1p2vut4f`S5m1v55M*Sr^kx(^@d$>R#o-;@>i4bUy^e zX`fhZNvd!??1jN9KA<=XNt6t|C3_#xN@%lz$0Jq7FcAjPaaNT)Pm2jLrq}1)X|1Gd zRmYMtn~tksp;FBpRp^5|E~ng48&7)UGW+IC2ArDZo6^Pr!0Mbm&S~paDMkAam5Qa9 zHuOgNH7bwQn7Rr1EH4VR=qY-N*9cFQQ3F%smL#QPEVMM#E7c3mhIJ}5%}V9Vt)S4@ zm_DNdKdVcvz!rnhG6o7oixCYnMHIRe+RPa@Z}9YX$b;SWf%Z;lR##12h`~0C1S=~B z`g5S3RogXtp{%DgfT~5Aei&FBu2VDVIBZ+6jTVd6>FGw{ezu%0tmNJaU`Y3Rl_?6p zms9e%`=mUAyoF1hgn00Kp~W|vRC*&H=BdMS(6Bg^LQQ#<EC}yfwI}bx=sGrOC-JT7 zfACkN@8X{Dd41~{moVyuXU{g|JiEX?W{ir5&Ch$o6Q7z?O0H;Cz2!-?Iq;LVHJiAP zv8t?A!7vEJh9HfQHPs7tM5<1~;3A*4jb850)rMq%mYj{SOFo@444Yg1hLEx8tV@;Z zY>cs<D0tFQ5Cccs7Up3c#hW+zQ-&E!9!BWXWDxs#l~ZwTxI6a|fXNx1#ik!qJkO}$ zgRE(}uW8Al`=wyr^D+E>NR>OE4$;9{Om)B^E_w(M2-n0RaNA0wa7E*m+J;y9#Qb!? z5qRVxCtUr2q$#Pu29mEPKF1}HP}QY_bx6Wcf>Hs!u}*CXq>Is_z}2=`I|S@oVVl49 z>e6^g3zED6m1iBKvh_NA>M4pf4TOO*pzCb_srQwjfrX0L+jHZjNC7QS^hvF(X=a+K ztqik9`nf2l$gv_%)6fBQjli=&T+6K)+>t3mCS91$O@)?1EmS!W8Y$QteO$rxdVw)? z%B+`@bd$Wqm$y`bM5OdbgMZf`f;S{-9b8pbxle?75qFoqKRB6=9t3lY@(t$>!RPx! z?!=PBH<YP5joP(YX-U-uet}`@1#>(NN)6Ul47Y-?aECITm-x2flDyYo>Fwac$<>C` zULZV<#)(q0CB^Gd>BejVT4A(-6TT-|6cXobv0MmND!y3LsUcGN81X&{Mt1PXQa#8? z&wNzI8nE@nm?|-cQMi=_e^6c6%HV7Ot&c2EDYPp3CsR~9;I_#&fg8kzCNWw$HdvQf z-TKccDs47?3+w_Kwb#%H&85JU1q>>;d!8zHQ8^WhL^D`|VdBWLgACo@->U{}P*y0x zM&x`B-+PT61DH;RObD6<TDmouCvrupfTHU790gN)bD&YO)PvONx@e5S0U1TnNiJ~? z6a?Jk$7y-0UW#g(pw#f<dbMADRRyJ$$lPJ|sKA9hCB%k2U63WYs?T$A4$}j}0tTQi zkm~e6?9+Hw3c14EZ-6XNC#z(=iqRFl2FeA={T}xV$~W8&hYhy`LT<D1RI<xHOE*jp zG{mK(b?o&l{Tf9fE(qybre5Y2=R$*W>Q@R#Ygxmgx|OUjn1h4{21e9Y!oC_brp4S# zqMF~557TibHqC0M<h5#|4DcYxihgcpi4I~0CCZ{Pq~;dJeCUQwYiQEVYJjFR)@e}n zD!sNjpbPb@mYXdf&5-^`wR+g|^`JTyI;aC}X@f%ZbnN|`A&p05v6BO@S*;*T=rMR2 z9<cBsM#^GQmQ-N(cd#t%QkWn&W^Fj!+6-B?2y`!HjA}I=#TpR!#7GNDJ9Dtk!!Pr` zmhmrw2n4M>e}5ku=6FO&DWL;3UtoObM(cY4X~uwBn{!NB2dYdyoG$lzy76S~E4?T% z3^-p6W9n5vbOv=Cs16~Rg+D(Q(n^&FG(8obfeT;;4t=EAs2Ir6p(f;%2pO>SU1N-9 z%_MNh_+)8IsMiPnx^ydrbwgGbBT7u>0H=zOjb|1u(crUQ1K1~%3msWu+mvpo15<&Y zq=|<k3kM(tl`VC~1_rJ5thG0@_8^5+GWi!IXp>gCVn|AXsx!()NCX4vF{k<sxtcc> zopI5**-*`iz=Z=?0Y-26_eV8DMTv>T%ZSEEveXiCjL_Ef<AjxP%#siLZlRfhZ6;WC z9&;JzPZ*g8LV6qg2!swAFDQojbvj(*6bl{&5s|A>uH<VCvI2`XX3@2Ipfn(~>J4hK z<|F#8qRC2hUsn$Gq_i!AUD6y%(p{xoScY(Syeg0d0hZ?47agX_4vh4KoSaK6u11CD zI=5oEUIH6Tl-?;FNo6TO6|%<r9WJmW(*6KiS_y)+1_u?W5KrB#G#Ly>ahibzJ|3c$ z!Y#JDIk(sejN1B{2{*erW%`l`mMgdxgEq@ql22l<B?u%>^S3xGG+D+!wODnFwHBr4 zs^;S9M_W1iuleLoEf6StDFs0_=c&=gLC#*X)dZ`A`2XTUWl7UVHi<`_C_1{8WblaK zMRhZJ5Y1$yvqVmdXPHUeNfmtY$L3)DDOaQUg@y(?@-zOGg*lv+81f7YX|>h`ja?Z2 zH}(1#@L7oY_KX%-iW^2ho$0fzT%Z=|#*;#M_?J(s(feWbFY7WP0`pbjW&y<{(eq0! zQ}}cU!%%dz=e4%Bh6$!ovZ;qJQnV&cvHiL{nUrQeC-8%{Hoc(Sb#ai)9#ijopZ>nN z^kAnx&arENJN#g9iysabDcvD8NHysXA|$?1)v$d-*2{Kawdi*;W8}%cnwEGT&vyq< zRK@95);GuyHCv}n`uMclLv`}=&3hLwn^#Pqm%g=AkXb*tlvRe$879Z|{x6O^P7joa zi?;6GU~ZYhd~PGwH~^rvt_RZwRaSTSy;K-9mZZ_FkTtiyp8w1+a~L=Ai;7F9tg~wJ z{c6jwv5$VKQA8V_{3ly{|5h_e%~u;!;haKOOT1xb=lROm@0>J6U42UW3oAhG2R6IG ze}Z<z!zt=JNXr!UTA3i#84bSwQFiolTa`;r=}->AdLrY);>*ZBwg%9=8->@BiW^ zjE8)t*)Tg!QA6pZ{`b(QemaY<2Gp(U@m9X(#^Q#1chQ-oKWgzcN_kLQKK1d**6@#Y zGkxjbR;tePN6z1zE>1;P{@fd|K=;!lT6I&|TM6^t?*@qQr1VIZ<x=|n=lZ2aBWUl~ z&J3cu?l{x7ULB~7b0<wnkUITo%@T*hO%}_VCgd?<Yk8IRy0Y08qf1Psth;QWlJ*Y8 z?WrENw$a-C!(j4*#IVdriS3+z3?`hFc2Sqlw<gj}S!Vr4KauDJtx<;C2#nZ|;WTS* zZf<$#B(r^cMjvmHk1ET0q%rAtFlba*Zb^D-&lww23(x+<vd2EO`s@X(uespYugslt z?bT<0_@x_ukp0ZExj(pK)7-HM;rWwS?XKH5b5-uTr_cJ?t*cjLKC*i2*;n>_Fjdgg ze|ARC#n+#|?BS~yoOR8OH?DqS?juv@&cA5g8*h~MA9`)a-){Wo!5@D4$rryc`1Qol zmM;t)Ossq3cD-b={@M0#&e_`dcPBsII+UEWd3u0M>bSF7`Of20KVTm`;lZzMxo`Lb zg)e?)Y~xQ)U3341FO97}Y0a8FOW)o1Ph*GvVtHla7Hie#`zO4(;hT?5+x{PKW6wAP zhR{favFnlhKGAl3roHn0M_#;Q(W!+G-SzU+MAPCc?%4Il4XYPlxapByGdBkH-nN$> zDLrz&dT{EJ`YEmR!w<alN4tiud+VPsuY7K7?8|Rnc*d>srv@9Rls0|gon7C2xnbp- zL)*s2ZvXk4xrgt2YU8>eKKTB#w?8oyCZ<nc-#D;N*|q(3jZ+Yb?>+v+<4+_$)7<#c zNi)Awm>6G_cv%m{FMQw0o8H)8I{BRBgS!v?Q)Sn-?Z<!d{<<y0`yYG#OONfEwC?HB zgCE;+_O4x5&N^w|yp1m%fA-=F9zOlFi<hmw!F>6(xl7dN&QAa5s$VW!^SQYXpSdZq z>*DMM$1Gd@x$jS3*j0Gxx}Tl)iO;DE*Zk#~Cw=rIvBZ^+KYw-m2d_WjI}g8o#Th-H zKK(;mKRCFr^`jSFG5Xko%MZLZ|Ko|d@xuEGOE=}0*r6%!&;IASyH1&sEDY@Wvx~Q? ze>meC?>}q%6UoH!8>%w#u6TU=U8nRv&|3c5mKFBI!au$8&iDT@_sY*NxcFx`y#291 z+jpR{@4KrHEm&EJ4Qyeg-4y>;@WigIk3aV-bl2x<GMTz-*L_V>>o>Q*wsGjVpI&uS zV*0L^XEt`_FZ%K7vDRyrc$*&CicCVg^`-LG<O?U;nM>}Ssi&MgyJyzeFW&je3vX3c zufF=Px9)xY_9bV`w3F?mAG}kzY~9S<TQ7X^>R;`D^G6qMdi@{PuKV_b8|MDa%x{Jh zrw6eu=&pgHO-x}??$>#r9{t4I*IlJPF@NLC@9<iuBk`+?=S<!7keB+w^BYb+?vz`0 zuX(w$>r0Q`dE38_d~N^!&7)sB>xK>4j#D1&*_ycT>kCuqjQXs{Zr92a*jS}fZ^~xq zN>cr?D#&<b4`|6vIGIf0SazK!0&Iu!@ycuL=WJ_d$LXt>6Q+7(XK1>TYJ2FRk-e2P z5jC{a>Vam<qbl~^(udioe&AfLsT3A#R6!-bvI>hwfZ1w_J&vR~cWz%E`^ZXn%+2rQ zrLYa`sc5B#uBl*Cw50v4KWdY5L(3fe@NhfBi+TK*uT?Rq(SxTGlyeK4Q7_Ky>;TJ! z*kpv3N=_OnjJkcnmCHtq?}gZ6Whxmtxkg=|&fR$9jg>WrRC3Mko(g9Pv17mcEKY!N zXVrKaFVohe64=CLxE`31gby^OW(#+2KH87potIM+Gkg7CVLK=;p4GsNeE4ou9OT&B z@YtuUDv1Z$ur<o|UG%iv4tmEdT`H=uG8D-KyC)3MO2Qu}lh0yrRKfa4EENRpsK|s+ zclSuVQi<&;U|i^ALb;tg;c2A@nSB4H%B&rTVQ8mJ8`?K%B8ZoQZGBj3WioqSk_aij zmA1GY@z8^vRn^sG(w36;0I|c#B#ZHDt^%)?>^^G^GKu5~L?jb7u21Wzp+F|1kxWb& zmnwGdL?$YQOb|3H5U3&8cG<|FLME!R7bCa>bWCKjYggsQm)=$Fhkmm|sG|pQ@E|hD zWTs7XGC7z?#16J)Do!S{AwQCr)O~I~+K->gOaG4TnQ-WU)C&Q#KM=1ZN7#9e4A)Fp zbDN|h&vBU?dV0x{omg*1GGUy&C1-nzlj2G(UHS;)1Utdm!H2NIt;$3lD?628qnL;y z6J*#U@O{W+_wJ;~1RKXh&nzdCN+s|Hn)w)|2hlsETz7XLwsw2>j>{xrE5p#)E!;Um zCY5vh`Y?HH!;DedL&2d86;^f*X^}~)XG!I(He`}W$ymV+i;bNa6U-cTaV{0k3W8S{ zA2zGQG8wI0LtZ44s?8~965TSVyKOHnelVV@?3>(<Om@9CHW`_`jddmVsf?4#-V|b; zHtm?b$Lwu;yQk-X$fPHJa7MexM64&HQ~%E8d_|!lh217cY9f<JMj{hc2}edQlW{f6 z8(ZQDbxTxb$FhS5<pjuU&O#=%vZp%?k-yVjYlw?XM!ER@BaZhX6V+WRT>~CQLQN)| zIxD&hnXF-)R4{2w?byNB#ww}4haOVh>GV#xJhW4mJBAe`M8g)P4jw!g)*hj|-nLr$ zdN+et_$M&pBAH;xxMwty2?A?}wnxjNyHcr_sxsl_-(9XiBolPk^pCi>;NYwvaAI6I zpoV%-7EZng4s^4_Khj-JCiI6Ekx5GS#K#YEf)Fyf@y1=1-M<OYT?f%!*px6%M)!h_ z?&xH)L-ladXhu1i2#=*t)MUbmr?~FETn^5nyCRu*L8QCB{Ye4uiOcD2VlFK*!3GkU zymS_3pWXhX?jE;{E67=f&FVb%33%A{<qHjW2wonY;On3xI$ID8<jxo*Jv5R_yh%Jw zdGVJ!yG8W3YABH^=P8zSbe5qeF;FTevcYe7T>K6Pr-Hm{lsLB!5#IACm&k`tEytHR zn==zT$!E1K54D<kjuE9-&pK>aUTVay@q{Tnh20g^t(4d)3M^lhaX4zYNf%lL-|_r{ z$c|CgYVw_N6<{mLS(4%RI|@w~Xm^gHw9yy1F7O5C4K!WQLOONd0^GNGzACuTg*=jX z@KxTp{p8T$>=>ch@l<$|K26}4m!zCO|8kwK?@Bg&^gMkkkN7geYw8pGM>)$7XdYhT z`Bz1DjilQPj<9P~pG-UZMire+DD_#uIgPdC>>$-u1N~<R9;L)akb6|a2f-L~pHx3O zoy6&!$LP*DT%k&<c#@UBO0AVSnzIr6SK<5ooJ*Uv%NSn%XP;TSOwNG>ZYmj5R;i-+ ziZc{ldU_@2Gzz`X^shQ4U=gYUX)nB^Ex?G+xr`20x$_jGGalu(oi;FvRla&|<K3K> zNZachKRY02N&55UOv(3iHl(Cuhj_QN+0ndmZPWCt>!++*xptYrRqY<JgS1njWxmwu zTuyi?_vsPO(z%F7D^&j(l7H2+!V7FN2jmP&&XcV22HsPS1y8D-M|MrOkE-mb8u@Hn zuKV?1v@%)|d$c49Af+Zu7<&i69ip!)52+!(sc;}3&t_+dAvd<jDmTPB2~5l>Z_2kL zQV%_Z3gl08c~SB5R1MM(BK5=yNAP4m)HeFMlo6~v1)(~p2RK#{=Ss8INUEW}bMx4x zrc!%yI|?7buKL36hd-WkbwvmdpFlOqDs`4yzm@L1bHv%kmz2gHDPSS9v%4~5hS+7T z;T-Huo1k2(F``Rwqmh0cLM2_luWeanHY<|KXDaOnuHPrwP=-x4Hr~q~ihV{_WfkR- zT*>Ur&K6%_B8LjaCAm|A<Wx3$nczOcVK0g^<^fhvMl9i;=5MHbgb@7BX3>Fd$;`-W z?dnt~6VAh|%47tYhz%Vw$!%f{IX0F`jaDvlGAX>>hfEG0%=RIZR7Gs|D?4bhDmj_- zJ+ZqoE)%M+bnuj>BcjUDUYUVmIUgrLCd5bFY5|#urM+WNO5|Jp;~N_lClk!%cNF&I z)};7W096&#FMsJ^z}u5?Yi2?z^id>}zDOn&6qmCR%VsM()lf1ic3GmwQ>haQLQ<;o zqIlyn*~zB{SZgvRlO;mINj4>7s(a^9TjjMqmCvv$tEh)auF52$Ti}%1>-q#T!Ej%- z)nvj4M(6~x9*ATz*(?KYa(5(?ipWGJtV(<bTyIP6#W+wMR99U-Dq{hPg^CfrH&Avm zVOFlnL^+v2AK!yWRSq2BnnEUfL?)@W7bzz)8Li~nEA0>QX$9dKgjCoh;;9Z9C-NNu zcKpm>aUo^hdB}T_iKIGguKg)FH?{iL-k#ZsOc+A&i9}ZGJt7n8IxLf&4D(D5+{f}P zE0Rg2TgJ&6LF{DG*H@v}w6{B(Qr0^)nWzJc=ynYD1EUAU-`TdeTgJ)k*{s3#R(>6E z!}a++mF}IDwq?lV*RPF1fRjmlM<3%PYL}EpCaw*0+lAs0`u$}kx>4$|Od_c6$;-|X zNyERV`ICiPRVFm9t=-9F)X8MX=`NAUC^EUPEDRNyi0(pLQdGW%5#K?duEb(}*;%t# zE$u;fL6&tg$;$Xjbt4m05QRl|sUmkOMWF?m;W*bCDQ4s@jDfy)Z`8~B&|OYsN9nG) zbE`7pOwpQ5F1+(-nIv|yz{<oZd<?xRl8HJ>CdqN#<!rBM$EHnxBc$MtmPrLc$T*oj zJJTj2+4D{`PNeUlyWsOfv0t+)gDp;XMKYm{<Bqi(Zf-j)p$Caf)Tr?Lx7_3h+=-Eq zOx$AH>8`#$(OvDC&;m~lVSm+Mw=jy=(vSAN+8Kq_a?zU$uTGESslgXRho^S-py2oT zWPP>#;qx7Da!+Poh{^|FtKzv0xHJ^i>>5>+Ue{dB-;8abY?ZjV8V7XNzt+N$A5`rX z$9)ZubJhH>)o82w;3V4%{A#>L!)>*AxYkI%8b3QSIzzISpPu#)(8Lm>3ZD+SB_*B- z2b03x+u(@s<jyLcH*?0Mg(qIPzSEN4UriS%aoEz;{7YR?Z0?-HCseb^tDQmUZ2c?% zQcm)#Hh;A^IpGR&tsOsm(7{QGUMW!ZYiB0bn<}0S5gqO<L*Q!ZwfcOqL6rI)+-!}d zfl>~~p0F@8FUoVUlK7n{uV%OCZ;(WBQF?4-oHj>OsH^a$D4n`p`Vqd;Qv8;q%hmGv z>}OfpR%;XWSfMrKtV!No(3Zaq^CcR-ze=awthDsIr|^X(<!b$w@b7Z=aAFj!CI};{ zP9F|Nv>Gy!)y&`NX-SpZ#aVvFT_yHAoLe57YKE<?mTL*52jh7Xu%2uVsJReIY!$*r z<dGGnShd~NYlEb()qol>y}Y*!2|deGfiCg}@c*5wP&nKvcQnI<4D6GXJb_`Lw0w(7 zmB(AuB@ke<&X>BRtyXneJkkQ!2q`a^U5cVgKW~jhNeB#JCLhIq?`r`_?{`C+C^`1q zxz+UFPL}w4U^)WS91#vAd}P8Ad1M^FempKzN)N0#T?@b`T&-Fck%Si2ORAK}d4_9% zf)eLTI_@;E8A|ys29)w*%G1?Y<a^{365Iisz;P{dIl}3~VdH}1^-CcsED1-_Ey@40 z(}0YlIt=a**~9VQ6|&L?j?Nf3I!dfIiU=N6jlA9dZyiUP^o|4M2<h?G)mkaI<=wdQ zO)W1FNO2x1?tYDO{K0%p>Y!u=?BT-G{t>hZzkP?7uATNoSTbg8BsOBw5|=MQc>+Ov zkewS6C4nr-9h9EHYiw~s84xZ#N~vBQHVK<*!g#QjpbuyKR_J%-7+`W$xZldJMvrVL zfbY$D9tx2ETi~SBT>AKnt3iP~jHlKlO`5Jkv{ZfZG`~RJtA|!<V3nwqAx&J|N{s=3 z1H{-^B8ko&#-*hc89^zkNy~FiT&<2)@`V~+rW#2fchVrftVEunRe{uk3oMtTU9HUy zzmD=O5#H5EL<`A|c6x*%A)><)!fB<f_&7t*N&x3ztP9aO>Imp)QUk4Nmh>ascqu6t z)fv?xFqlm^(8DPbHSS+ceZ=Mc?h89qbS=jSp@_inCe{+#FSPne%Ljys=cKo?FET55 zn|P})R9M-EBCb`0h8wJ*^R&xp;Qcav9rpR&Q(6TEs6n2IAuh*KX^ZCGm`lH<yg2LV zkU}MiMipoYDvuxWJi~~sHQXeVKrl*;0ud1DMp8R~AlxjMJVCriC7rMmY3B)BmVkg; zF!u)N;tmi>VZ!{xkK$k!wc(s`SILq3=s3<XCrYV~E>~6>XryAwL}5ppC3(h`F38ME zNmm$lV7DXn615aEfyFnA4e<_&C~p`n;Xpq@yNJVP#2AwY4~CyCpqw^CR+f_!fe1SM zz*tqX)J?pfpG-3rwWS3E@xW`k35!StNZJ|_al^7Dgxq@B7RKJ|JDxwj1D|mj)*H3K zenAamFj7*bLG?_3X~33xrIei96ggACkFz;X8BNGHRBioE11VaPe4pz2t7FCVXFWyb zo-ZuNNlZ~czK&bJH&|o?Inl08X=A%G_cdr*V@-!KMPopvI_snUYorJ~Mo0TbXfeKZ zL%oxT7nXf30CeE_wv;BQJAuKa>9<l&s^^ubmNe&}mzWP8_jx?&TBJ17<Agpf5-|YM zmi{#F(mj#U1$^VaqkJ=?1pu<y^M2xBbE02%IFu;nFA6%v2tk!gw#h7D`*2|qM=$7r zepz4}KAT(ACFMY{)mx>#1;?vSUwa)Or_e2fQguIvvh)+>QLBFr1gI_=2%WNxu-^?y zxXacb=OUsld(|l6+6iI620X8qKAX-56ohdy)scToULMP4IlxjYs3fU%z_*0VAe^wA z4n`8Y^_x_$)xa|b*=i%tyfs+U=n{(2RcHcS7fD+J<IWnyfD@<zW#|OHA!vP=>e?8H z2?Ze*M363yT_Nt7KcDcn!h3P%QZ5lDU^(Q;C6*KFL;|poGa`8o;aS%-f1v4BY@kc^ zJUUJnY{@Ufy8Fn?vgM_*KHIg{q-~bQDJ7{*H!IT$7UCFmWIJe*=V=Q?H`5KNTXg`b zd_&3#iCSBNuI-JQEq98IYGDv3U-R=3Y})ODECs4e0*>*nqxRELmF0N|c+@4}ps*xJ z>jz8efxxEoouqWKQFZ%Gk{9r^8tzKKRUkxDnm!>Lbd2?tPieNbcd22<GeQ;_`%!%k zgGKJbAY7iGwE`sphYv`GnPB86&Ox%_vs#@b8EQC|Cv@XZ3ekJ4Jo%9>BXKD=-wI{2 zkr)#=Wm^?vHn>D=7Ypn$_l0oOCVfQ(j~1dOt%e!I^&H8vfmSDC!vLRJZEy_d*)(q+ zFe^Mi+n~~qLNBUvYB*@GwjDGf?C3>{Tw0`J!Jz!%077LmD^b!+kXO%?=o!{CNnato zP#eH}<FZkEAi>eAtjhRysxy~@d_7UjFB0n#>=K<ryW~GmMJwisA-%puqQ;et_MJ;m z3lz0Lg65AW5`GpSaG=Pjgt|0&Dk!Q_f{dbdkXGa@?58-DGL4icQNGR|12#9dV4R<q zn_@Za#`P6YI--vGGYF4d$$5c(4m5tKyXvgqi}nbw1Kj+ZfZB4sd7lQyU~({7R&isQ z8iM>^t6|d#ZodvlU#;Y*VU2H<(A!LCU1y$l2TT)eZ$A$nwDgZ)JXB`uu`$Znz?w8V zF4T0t{tfQMVXQboOL5_tF9G_B)yyf(OWM*<8rN!+RvHGh-X90TwaNJck8AMwBB@bP zti$7b0-?eB8jO?AdDbXJ)$>10OkuUbAX7eVAhA;?*cVTxAT$BxL*Btj9|sf0l^sgb z=!lIO6=+tOMh&RElw{<%vFaN-3$P?=S4wdk2W}<=kmATxbT;{m@*TG&Cpbf{M>$6c z=%ZSN!&KwLHO5Imot{5AbB@Uj3og@NR6&M59B3VXGQILi({DVre1aK{Q@22LN++KT zB>fjU28(qZAfUFJ&@T+%>-}*VPN-Jj8~&(l7cSF0&pgvvZWsWT4R>8dL~>VJFP;tt zMq8dAL|B#>YLO@i3@4Q$&Eh#nmL!-&nxe|zE?NG44E`+J6pPBY7D>wE88)rkBI)bO z$}8GaOH9k!X0=1l&^LkyAdSyTB8yi}=pyBd2_u$lOlIgNKLLI#kV3!IY&|@s`q6?U zn1pc_9(0?~w;Zkzs+&~OmJFMyfRYg-e}|<)c*<%eMM*nPa=1fi_02k!&~!ytG5WS% z(;Ss6`{-w_91g4eMAG-mTXOO2x;gB&&dOK?gQdfr!XY5^=F@=JId6N2CCf>sylz8A z+ezB9%|O;EdrCZxMMschyQI?EAH1dE4q3gHuS4mC^^7uP7!>tsk$T7~d#a`WqGk00 z7%dFeWn|ch>kltMzjVS+JUhIAB;d5~^9%@380ABob`AA!h8uaL0Yg+g8+f5zOVFRM zvTV*7)~o{DLs7xO6wBj<@*m%-^8Ic_NS~}zj~2^1Y1l)n(a!^cLxjhkaphUxC}Szx z!jJMeSt?0~Dym7^x6ceKw|TXmVlff9AJ}uCtre(founG^tIjHzNC8^{xkbz++DJ78 zX>5pbNh_&9JX7lB+NC<}LgSkid3y6#8Ve|^lg`1A;S(5>73t)L0kE*~m{?$*vYus4 zYtC2abq<<Sj7l$fflmh;(ND=3qcPq&+?9qM9W9=j-$f((`C%*@6cvpz*3_XtvJjO( z>XU+J{0-rc$+3CgPEmhpg2B3+A@0jhtDN+7er2tOOUla*VocACz7MZU>7vEftChaq zveq@u4@jSH*v#)ZCsU(UEmB??)dk-KpAUlvrQh`X^(woPE^)r)^mO{SRLR)$sF+wO zEQ?SemQ>^DfnmUS7Iejc^k=Y}qS@Nhuuqo^$(IVbnre|7KX7@Y#5p`i5mi6p%B;gJ z2YYbSGOpy_47`@B=@>pvr3=Nf>MdU4>w!}(`?^(~Dy4^S@@;?K0>?ux&WeJH)@*1P zyNp)FKBSkyTBk9gXi-ViWd15hcs8%fenZw8l}(yKDnetcqHnc7K)0sTJtOAuH#I|| z@rE;2y{HC!slZrK$g&t@?2G;J2|CeL$LOREN~F~WS_p`PViyLffmzteAXy38OHWDX zhcS`~^TE{IJnMV$3)J0mS|MBN=`7|n2?l}+C-)D{DjX(A7ZnN6GO+uDbYw%^2R*YO zbX>}vrOzhmE+KK;fy=17{jfJ|LDoj{IvL8Lj04|LQ6qiPIdI^tyQ&FaBp9Vc32>Pw zOo31)G_miRu!t=52OUJBgJ-+(?Y(SgZw}%mb&1kC?DDjC0%Zc@v5DCPrzlVE%Go?3 znaa~@%Rob?R;Qo>{<P~}mCNu+7heUP*hbiF>6Cdo10u$aHeH_2d4cnlQFUGz|6`~@ z7<G(T*DHkt#5*<pG-WNL6{Vx|`UHJGt>e~#xC#akv2j$Ju+drMd&X!mN;`b5{7!fK zDt)^kbL=p89m53*x+9QjuQ&v^;8JYYPReys2&J`FN*B^J3)K0+Wc5*>A9fi|^wqK$ z%2F@A$T>z#6liUvo`I%xIJ_Vzt0#RKkz}C>dI2a%FTe#3+Fcq?b}3w>#>hpj_qi2t zacXm`3F#2L?g+|;+6tZ@HzwQF%w4;=BTv^;>Dx=R4f6(uymt$CfQ?38NlnEJkv`3s zDJc|JM_SjZ&=^$lU>RMDj=Q^;0-6tfJjXjs74NmGS2xwC9|%i^7hEV*c@h~;rWkAk zP%Gf&)(u}7emQyA3jNXqZI!Yr=v5jXp_&Fx?3zc5r4ZSEk!;w|knSas#R;kMNCZZv zyND&DekV)|Y$n~@6t-Ak8o6kn#eUo?_S&GzDj7lLY!KFiAbmggzl{Vm;D;Ucf?Ck~ zO)e`*WC1d&g19CGCq|^#iY2~09yg)tj45ncT{|NH>27dKkn+T3VZ1j<TQ4orMpb9{ zdJ=|e7~qs(^tZ7Pk)EpkVw&9T&!~i@jaG;1yyNAgAE=iiXbM73#!Ge{GdS3<($8bX z19m^KoqVMV8#@>{Xc%EH$ladK1zsH*JrI&KQxIhpWAJ7?!Kg?w82kApI~D0lF7yFO zqn^|@9bVGUP%`tBHi4z*BT{k(n8W;Z0(~6j_^7N}Uca8@6JM?9U|~*CarELGqE*3E z-^&FmyIAxMHiez4AukVXnz`E%<<5<<&S50G&ac<Hh>S?|1%X^5&TCJF%{N=936G0w z8Ou^h*ktHGv_Qi$DWGJ~J9pd#t|f92zG?Y4Zehb%)G{8O)6CoiI{6uS*!HMuVJb9q zb|Kt5jr7)}+U#lcfZCq+G<{45letGP0-*!VUBV=cO7#6I)^Wb=3V3i41U@%let4g+ zI&R*tT3Yt2pjmNWSvQHE*m|t)mEIs!(Zae8uC9L#S@p{W;X-<-d{&3AA<PTZF)c0J zy*OF}wT^o?UwNmfTkRDx&(P!sXB1!bQI)NahjoU2-K8wpAuc2MX%%;th5&<{(l}_4 z1+#A*ls{kr2HZ*>&L>na<=qbr99m17FwA%5Kbo*Udg@8?N%vHuZVn%Dk<lBuJ6h*( z<EbAMV&Nf(Bp~jXAoAU_a#67LUQKcPbROP!*YYQXVYU{QI-IkDt|%91W0mR}K%zxj zLM%aAhAT*?DY<ym9#<yZB6-weBNQzZfnQbH+=6D2is)qp964c?lHOtqQ~4gogUGO& z<2+B(w3}K$TG9pJlrqxRG^UEG+$eR`u)8w~T^aY(R4J?JUID&K^nHTjf&mnn3r$|+ zJT6)ScHW|hD9%!pIHqLrvX0QhAL{GYq@qAvIcb`)kPtb4@nX8mIfA-cQ7y+AhDVXi zpp}3f<RaS2#Uv$igFyh&e(pu8bqEb)l_c8{!numF>Px8GFO>KkMH?bww;0vsVOX_D zG)uJ{HAJ*l@%h%BQR(j1T`_rlfwOXPE*dh{p-=%gVXEbX4E<Yb_d{tc@<d>3E&4Bi zd{?fJy+h|WJUgrnS@~1RT7F47oh6nCTf79rwZ4G0o8Q}^cz`6Loe2t8qpwcl{*Fg5 zr<vpCG0Ln`1FlCK(<vXn3yLZ+ksE}?6f!zUK4WQ)X$d>ZB#09Z%HsmdSKNpOW@R$} zV}HuPlYSjTku^)O<Odph4K)h4aJI_F#0#}nhv}TlX`Ehc6fA0>5&DX7kUq&2F{AOZ z)`n7ldLUss18u!^svJ9IMecZbqDGsVb@EXjB(VnY7LaiA1-)t#RRo{c$!D#~%~QQM zv7qHFt+baa_uH-wHZ+67&|OxEO<mi=XM2;61?6=dJRE$g`dP<aiGER6TGrz{Ic@Zn zbPM%pFm?X08sNUkNSnFoFq&t!({&;N2pHFe*KH0>riG?X?qI`d%N!G)zlv2<F-!L4 z8@iq_In~?crze(uKFaeKgTcB+FYli`Tpaj>S}A=-c;t08aCCN{lXL7aHD`}W)AfUJ zv*)o~7j38l^<}AVD^FqkOVo;)7xUdAqq{HxlJaU|!rtexkS_)aU!5`RZTz>t&Spx> zS{dN%30D5P);TkS73%S+9MVf%SYG6ZOMcLKV2Sms;w>3<%fw>1&LK@C<WR`C%V*7# zO(fR#r^EjAleXD!>NRRW)?Z?LecBWd4k&f%y*#Soe&yma&k#eN=a+f9<{3XRFPT8! z4$N5}6w3XLwgdFEEUvX$v0qIts}q>UQIhmN8ikmqTwv<UlT|JukE;^F{pe~HOl%B! z;uv@f<*}+CUNrNj^w8Q4^~=jz5{sFI{CUWPv$`jbZQMAv`IHyBcXypR`k~*<`Sds6 zdG(ToAAhs)%Ij~vZ}H{VU%T&$x6S9?_vsrZ-u2KgCVk_@bl=vxx0lB9H+|;Y#b-Wo z@ipv_rCF%WE6=`i)tb2{uYTo+zh1Zfwnx4&xM^c~?a<~Ym%p5N<JQ(Gw}zY6KUBB< z2j13=`+DofzV-82`?g*&_j}8K9?8V>Cay1jz5C=s|HiEw{lqu_>AbO5e?2yBY~Q<+ zCfzfIaZ>mFv9Yt~eY*Rtsp+Z5A`w_<i>^1QssHK=4Nx(0^JB$Zj#am8+q!OR9V0K; zICG{qVQoAyk8v_32zQ^o>ya<ram(i0_x#HzC%v=(@{QYGzG?1%K7Q>llc#-s?5tCN zncTJe760!xKevA0*v}Jxcl|H=E6?9o_q}bGul~-<XMg1E!kgQ6zx>MF(XZZl+m8SE za`DaY{bb^2Mt|bJ`;(tf-nsiz4?q6w@-OV#_u$5DKk7a4Q@=Ux+Wf==r|&xZOBXh* zKYu8AtQ6j?j+r$xad#b0+uphK?0qA1HuUuT>#|*I|3B}YvCmz1!`Yu&eet|?$VB?w zx{YuDW#akn8-M)k^Vj_I^^14?^6gd2maV#B;r0JCH}U8H``T~5I(9`aaqWK|clNfi zrN@8#!oGX9zS>>ieg2wnZ~gkkXRl(QG7MDAyYjk~Q|Hb-tNqHqeZz14r~BUDcypqA zY50$eUbw`+|5ml+sm4jODoew~i?&W(dCi(D-+61+#f@wFR=o9AEO6t*``ASL^;l?L zxb^IrtGmzq-lDNr$HqRib?g_3)GaebCc9UUtzP`8+?zAg=~*ZgDjlfGBzcwV;v$pV za*UJkmRTYbbgRE{=2XvLdo#K#5l%^l?K5{h@|SmfVe{Ru3?EwhVa~!F*neoviffO* zQjJ}Fa9Q&MGrqcW_eHHwZocQwdcNNMM|)Ro+cfjtrK|t`)P?`D@v&X2bN_q&ZM(J| z`ovqWAGha~AHKWbo=0cC_{6U-`qb!GXS}+p@|!hpt=x8S%daaZUHjpSK6UT53opOu z;ibEu*reJojK6QoW9z~%-tzfbQ$i<`cc;(%+|yI;*}MB63%kDlCy&1S>RBKC-0@RZ zvWqfueQc_g(9qCIF$}64sua+{IkhsUSMFfj^~Wkb?9}Y8uD6tW=bfSIp39|6Qx%zy zat;?8QZd09*=u#NqsW3vwx>ELn@jm?>xuR;#}CTcV4MzCP`Pf-Ze;(g+t)cXbXiH@ z<L9`2xH}e($Ue`NqgXXMG*}C@edt46Cv#q(bE(rKf7TPcYjnZH1I_qBAct~DLMj>i zkjr3SD!S$zPFy5ic4tXTb5cIz``K(FS;?u7Kg2120?ny`?4j=-TC;||^t*cw_Rx^Z zjO!~0yHl4{rsZ-sQZ}AVwyP12HUlr`e_+1oeJ{qBuTp}df<+*EsD%N}=1I;4#PuaP z+Yxwd?KqB-&HZa+ck0N<&`>z1!tQ_hXintVDC?Lf7HO5r=q6-RD3>2CkB;h<oY03% z6f$9_uLHj(SCff55$;HtoGxZjBYO=};@K;`b~Iv?(8k|y%VhLDWFl<@!w#7nvufo^ zb}qZKkDN@}yN9~jHeRJ%c#1pbDWx`zN+|IFQvz%2EJ!3S3mWH+k_jjHL1`k9I~@jP zCQQio^|1eZ^y9DXi3pDG*ewmNx*c!#R5EQdX6&mRV4H7cT6_C0S`+V^F(bBT$55M! z?@09YVHAl>+A=#Jo--}kpFYCbco?ZlTVk<9Bonw9$%HdT-M(sX$z>xVljHwLWHK-6 zKM*Wc3*Cu?lL-f?6x3+BOdF9&YOly-M7G^8DqNGpDk8^*={*83GO1LKJyycvPCp%E zQ!E<;uh_xU2alwPcjGdNHfz(a6bC4_@9)j@b}yALgIvalkZ~G~lZa|;_K=AdBvtyl zmn{1r+#HJRR-{CwDwE7BHJKcA<7EE)-<Aog0Iodr+Jr-5JC{TzuMYL}oY{_?GlvfK zA(P$h6_E*h;TfqrD!XNzNX=_@?|2(60mmx)kjZ7!rqRw_*uF(FVc)lt$({qL6WDsc zbB7$_IBi-aleV_A+J!&Jf9KNeZk#yTFn*mxRAmgAd=Hsiv}O!UZyb_ViR<e-v_||y zqA+UVpOcALW*i|C*>xVt1l^@ZoJ?fT{*f|?$FWxK9@#6m<sHf}2d7-$jYf%#lj;Wg zQB(>p{T?!jpHoJbJ9jP|Dald>i|nY+$T*QRD)HR-C#NbC?_R>v1a<HMgU;Nrf$o2q z#8XK(=EU;oD4Af7NpC*0ohD>{^{YN~*Auyv(_JDH*t$mKf%^>Bp9d?I{0P=F*Q2}O zH!`^qnV`Fn2h^}1zb(HfCNde>DY^?A$8p`&ek^T){+-=uA<<oti)3QNB*y8kg;xSI z^330NsHQG~SYGwHRXIcA9Rp40d)PO2l;mtd6?CY^5}kfN{nki+-q{Lj`0mbeg!j%S zkob7{&IERe3^!b}(#{^z2QDfvsV<#@_@qZ)yz@$Ye>rlJt}X??4*V(9e}^PvYq-i~ z^BBkZ<M+xFzXd9JG@|lRn%E(pAH|z4z-J*Ix$~UeqT;hJLQ6A)z3NAHi0r4T#jCV& zJ0@_ntqBa(vm%?_IgLk^ua$E?kTK~_!{SqZSZqA8y$rwI;m&6io=5hQ%axqhC~L?F zKfv@UZ0xYD6Mwn0!Q=VhN-MnauQJXiukk8XC$gKOqVp1|B(fQ-p5w@^rxkih?>|FO zrj5Kj;(1AIaI(;|yn04q?L5YszkI#e77kOsKPTmvD_1Y=u#yg4)$<s0YlW}wyhptB za#d#}&b_Xq8(W8Va8S2WpUqWmCnLK>x|ySmky|I(*dL1BBK^yqqd3f2lXc{A79{qI z?+fZ1IYW{-G)FWvR(*99`K{!0J|mxxdp7TJPNP=CriQ2HN9Qv>OHJ;B56a6)Tc~$s z|EfSuoDa`jTj9CbOK!QU<l5dy+nbtre(|<npl_|IjNYgGzKUg_wzVC?)}FCh!Ky3O zEf!;<ZbmYhU%um1zMxkr&W#=&{m$F53f0-6+Hh3e7v-@C!X8@|X)=dYU8*gO-4d3^ z@983&q4EPgqBX|LG3NP30z2xudt6%2Wov|p6Al~!5ux<{{<l%@sj4?yiR|HP`Q2@U z5_Ej2rHSu-udOY1AX*n5nO+N{!`AG5wQ_we{s;RJKPnGo`&`{jsmxs6ZEO0<&CVo! zhj2d@d)t>eQe?suhU;T>bLdc|a(cUyNjq~cGC6@$Gx>tV5i;2Z<3~pmX9~Y;bsZrz zGB;y6_q$}m9L1T<@7<0_COr?xsd7ik<ej5rQW2T7Vea-{Wa2wLbrk;hl!-ht9sMsd zIpH-d&<=2p`Fl7xvKyH=yVLgo`R|jfG8y6sYXL+i*dH=Kwv{VRCcEJO&WD!zeu3P! zipq9#t~je;euoa-cOb`Ez-78W<0S1k#5k!`7LM1MVm-pARKBAsJY2F*KV8e{zDTeP zQ6c<4Vw`k$r-_L<-Fvy(I4S$bwpm+)vTmqZ#!12@6)w{wiuV*pN-ct&#CSj2?fL9A zF2PpsQ);=FjfhPCi%8r!Vd)+%6l%an_zaW(L)`noNmf*c{^#Dl-Fv4QcJ2(rI>7Ey z(+<#!C=G*T^`TSKH0$h2v{!auCCJY52p?kd%#x=pAb+ZR8)#+`W(gpR2rWXy(YTmI zLL`9!c?8TRi-|@}geC9iCmQv^5?oM3`u9C`yZ0X=Cc*b=rt8nCQ>RXyQ~&P0^#_@K z^cA&X50qc-?dXI%oqguPF@0lNN!LuahJER?nO>bUhoQ<zb<)lt%=S91B`?gjOK)dd zHal6OoghkJUMD2!n4GllYwdV@p16{eJ#<1lVJ9%NynS_|8tTlsx<vMJyX}Mz%6qk@ zmt;NRu1-XCa)7|=UWp0hpV}89rK*?jD|KQlI(dccX(v4J{<3!R06KZ{6*<2m-&X^4 zbaHw}C*iT^1Znk&>csJm^t2C5&SrjP`tR}iuqvW#@i9f<3x%4vZm}cj#;2nw(F*n^ z#p{N;@!jz2=breh^eOH?n4jDAy!lHKcFP`n>bqr=x0=Yy#_?J~1Fx$&%v6-#+9Ql7 zyH<FMswRD|m$io(A6y%x?o`(mv7)A*87gKfFxcJ1-urr42lKw`HBIuG7<(i9O8DKf zcV*EnURPGc>t}Xk_WBwohrB2W^@lH|jgOEnh1mr)mPMn0U5fDwZ2vBIH~iHRJ2<a~ zuy2D)=5N?V)pmTnX+_ut7b-{$%qS$+z_RL-tziY3>DPM=R}{pza{bzDLrN1m!nocJ z!6oE0tYy)Z7Mx0Wb_gg9sVA(IJvlyASY9(d%&Hk{LR%4Q=ZLNVRAc>*WiskxEkqX% zxNDNGz`KC1n*<hZD-C^NQsou6<?X)!OKl(;qp!w0Ner{gj`u(9bZNaB0rE&qC=36l z>)*q*9se(;(-E4-VR>Yzo{}7gjr`zUOI%Iw_VG9h(V0ZI^%%+M1EH3i1oTdZlmV88 zp=KDW!z^K4v4DfI{@;7mkFnI_?voQq6!Z$ScmLnbA3X{O+FGiH_vsR;Jz=RI7Wmt3 z_73fa@5bvEZ_l_r{0Qb%3}4KM(O+t8_L`1QVJX=(Hnj~<oo*>bL%JC~x78cs;W<rV zL~rZRdUh<!W)u2`k`F0jN{7nq6jzU2P9Z}whb$bV6|So})ZmZ!CFG<5&TGf*3Wo@9 z$SCIXqWW8k>pz}$BRz&%d`jNs|0Nznoasux<0=f}L)Lruwq9Mn9#2{R1;+jY*ly5n z{@p=W>;}KWryt<=F^Fz_ACL-<#RWjMU3KT}y)F$avK3)PPXjw$N24$`QK(U=*)2ey zXSZIeT%2NPkLO*hZpdqIAA%niKanJj^+4yP>Ae3HmVP0h<L7A0jzuXt#n2G8(Kyk% zLSZ1Rd8-|Ij<kRt7>ZH2H=t-Q*A49ARsm`9PMmZS8O=?Nexk*=-NcNBHIaWW=QM<I zyHHYkJlq%qJpIvad%p>FFs$QO(+X1Dl!Y1;3eFj2)h7kRk(2ZICKx81$h4=J=H~$h z8{_!6%kCCFG{2Fizz|zO9BHP+5~oNVlgjB}2pW!`R?hb^Q77DWJw~c;BMLOUDB=g< zgvpeTApjtAH!$N|;3;$?SagCKJx17XaarV}sXJzucb7*a2<#cQ$fZMXH`wbY#nmL- zf3h`oL2*%yY%x(UTc~`}^ki{cgS(s3VI1(T8l7Avjw~H18<9(J3DsDM>0}{4#7Y&` z=`8)7(^bzyKoi+tmM2$BBdUgrc(>PL9YB<93LCn`i1=p1T_KnA(9rMdV&m(5-3^-b zee{0Ow;H|K2`3GxW0bygy)G+F*HlnM`3y{W({X@mjNV2FFT@7A#07yWZ~{$7et%EU zlUUvX#tv{ek_s*{Qqlfa6zE^kay5%mvn&V2!Dx+9L+Oo@#B^ocb&Gy!Pr_bhm{8z| z!D7J_GS(hOkeEM4AE-BPIKQK}Y*9U|Pl*k#!D6`xhEwjD0a5>r7`<B{(E@Fv{SL1s zHeoTupk!TO07nDyCbNolBjChge@%u8GT1brO$?no66I$ai75n>V?=@xW>XXLKB+p( zM%CqRqFj`j>ueH#N&-2|jwhTSn-|i;#1!jjEL8MoNDoX$wE}OKjn;1#*lCRofqB#M zSY{j!fc~tySb@<iMUbym+H$V85Rb5ebN$5{Z446G9%pP|{5L3-3Ow+Bm@owf!6{(3 zQI5it%3ko@7#*MU(|)+^#=wP7XN_3(cFZArJpDV0EQp_~M9~A|(q-vjYL<XTWD3SC z0J*AoyUNG0Bi76W*9TQM#Uf$}hX=-WQQUzxtc#RMRh{XltP;qlAn5`Q!zbt}a+q#Z z74s>&H6nY4!<FInW|-sA9rO)<Nq7>z0kZS8W+Jq3Rd!P2B;A0VjTVQfvK0y&%Bz~F z6XU39sh<fR$peK0MBomWFqORptYa*hCnl?TR8#V#jx4cV(Oa_Kh1`XDvUD9p40Iw> zCym$(v`1i%*!}!%UVFr;*X<RqN=GcRqCb#vdW#bA%GZdl^$}{FQAiYU$Ud&+$8`i0 zl7&y>=y)}_8o>Zx1{b6x(5GQwEI(!|DT^e-Dm#dM2hEZuaF_FQ3|mg=3r`ea`|Y31 z@%ZvbxU=b7djloDN0|so;G4ZQ>fggSVhpth;?@RcSE|@R#yBHV!WA{zX_7TJ?liTm z^rJGY3DqbdOmk#bY89lMs`0pbha-bA7)6;&!N3)2G~{uNO+ChgOouc;Ipi@c4^;*_ zri$y=nQ9nTOi{x#AjYg77pOVltkzxbSHCW?q@|V_pcuM1lvs?H+o(1oSrIqSB3U<u z*0e`Of^o}EAxRe$;?*)!W&PZgfq0J%-w3}T=)zkdR9tXF=V0+JcC_$jgU-VcU4WxV zW62L2TnS_^0~1ztDf;W0ES+;ACrL+A5Q;2_KCCM|wP2zhKQC+(DJUzt%U?M%^q0hR zWas-1*5rfl^R<3ui+Ve3M0ocyJgU-nLD%k$u?|g9fOqdk9{S2|KfbCSuD~M+4T6-c z-Dho$ib7)oS%pNvP@4A5Q}kwW$yUerx5-l_vFmu?qx#2diA@q)WzFMxb9SYBc4(qF zGu1y{Wi$1Z46E#ZEBS}u&a>sg)eLVYoREhK`FeQF@~?AmWo~>`=nCAd7B<A%B4r+r zX50bDx8EWq1e{^x6|EI*49#&`X<(}ny`$%mdLm*p5>r*nC|(*T6IRO}FiNmqQ#)AU zAxOWr6U^)NrL6??7Sb*oJh825I4d1J0XMf(A7ic;s=K=EYb?kpqH{e0fdC9{CenY! z&6B6``4x$jVTD;R08Vs#3c}=mie>o4#St4yixOyvmcc}a+nhs*j|@z~F-LXAK>v>o zcZQIm<y-@<^-aPdu2&?%FsbIGKK$R~+G-{7mWk;pdN+>r4rEy@cNOEcZjgJ*6NYZK zDqX-T)|iz@Yd5>lmWGmZ`&ssQl?%B;1YV|PyqNFJAv1Vx873|*ShFUuOUQ<W<}Hfz zMwTRNt{6Q`WKZL36acG0t+C#t0TmS@h!8GERGgF|8bt3K2K}R|im;k=_Xf2)vV?dw zfqtk1WaS${K&A1LPwi9*du2tt*ek5T-NtIQus*Dr`by&K)4)$*GL7gW_^|*eEykCW zwm}UIm`pL6Xxjrn8?oR}3q)@u{dO=*GwKfp#nP@;N!4b#qlFdmq-Qirf^mw0KgdF1 zJOQL%?@iJoPVC*_1~LT`b~Sr~YN$NwpAjml=`aezhygw$&iu3EP{R0WBrYM#Z`>)+ z8(%FuwR1O&Nrx%62%iJps?5=4og05kv*E0;sX~!O4BvHC!^3S+dMv}8gdpF=je)H2 zrD`(VXbn+A)$I5rOd(>L3iq+z!#1e&c}|?@zb0YFW9SQ|cx+N&5HtAH7;ks1p8M0F zw&5<xxCzWB1_rQICl*jMufVw3GPl<~(Sn3ptxcY`k4qgdlK+v63<?J2NbXqGu~JF5 z=p0x+23KLrK#hs8TopSD%dz`zf0LQ-v4N4gSXgo7#9pt^Eg@-G1RJ-hn>deTpg}?f z1p%a7#V}9Vj1T`R7MXi}qvHU%+YzyhY)+?%7!LpQk2(8NG5{Ph&_@?vt@lNE{ld|X z*N@hJijoO5nU#4e)u3n?ENDCcc-G|q^*2sQ(wu|Ps*g$#y2vmXh6L0iQ~rB=WNK*z z<H5}boOZ}5_}69c|M_1R<=3LCm5o`k*s{l*@@1|$Gaw5p2uFcUAM?6f)+$L_#<^<o zq$H8+)C{r2AoA!HVmCx+NBMuDo%BEN^ZI{bX;02mBU$U)iwK_+-%fyfov2*2Pkj9i z4|7JTqKSIw@R*3lE@h(ALYeB|-P33ky6VUJb+?lPuJ(ETzpxCM>QDOxd^%djv=i?2 z)v)8|tE_ilM~iOD8{45-lyi%QIf@!Gw%+p#tRmy3g7;O{FF^e-f_kYc|F4bpQu4Bq z?5hjqbz;+KGxU{#xr5~fJQ*BJ@~to>Z#zQ&1(G*lw9*QM@7HLu@BK9r{|}M&vJoDo zyXF^&r5p8MaSe$}sD;WnlEH72@|->z+Ay@*XVO+Q=RNDHhV`wd8m4%@3Z<c6i=DsW z*FyGJAzA_{5o{g^#GWO_N-%kPbdD_R6gpxK_WB#A4;qF;|HAUO$XBP`=ppaa>vLl= zjM=wFjCg2%wvi5jKJ;s{g3h|(UlaM)B5Ob>qZ!K&O+>SI@^P70FaUKfjP<&!g@+?| zOsP~lv0Q#B6WSAhxb%bHKkCk->$V)u^)lqM>xrEU@&0?TuKGEFYf5>sIQ#jfa$KsP zJrG`B?}2-~tABkdza}+pCnXLVE87#q+VhJ`&n+I-ZZEu4&it^*iF{d$u0|!VyH4&- z?slwKH791!2@~<JpMUDt2T$Mb%H{H$gk{@6A=Hk2pHEJJTgOJ9TaJ^Z-G1yfi};^I zSorn-T)O{ys)SCRU&)s3Qgrgs1CLv^>cDpUs7vjKE})%Q)Y1Fv&`Fo`?kZGuzv4|d z=9jsHzbx|gip#xTgkB#yuU_U>E6qXOR_o7N-SB@jUzW8#@~_|f-uE7P<dJVavh8Ws zf#Q2a<oRd*^^t$Xw{6?sZToAGI(UD*C*Hn!1#f%X+xCV1*TaMV-+!IPe~v<@%&#e% zUzK|7z+|@c3b*Fr{qcrwJYAFGO<UaVogy5d<mj9N`C1C+{dJnIg9rYViqFx@;O$Po zE5933`~}a(u9tZ3H>vx49_0PH=ChG>Ez<dgGPvFQPBv+}AXs2JbRT5p_jM@`-Md)e zvBT@GJ6EntkK*gxPa<76rD6IzVP7Wl>IdF{hi;kmge5Xf^zIfv$4hxglKhI3fy=J@ zPY&tagA&)Rhv(mIp;Joo<H|F#m;oEpkr|5ueE5d^vK3BcwTUR^vRC0^!tVVeUH9)c ziRr+XH5DTbckdQS?;qhU*jxurJmUwyPS|aV&nC=0C_W$3$EHIEEKHhj=FxtkToQh@ zU#4&~k8NTb73TYCyp%WjcLdy7lJficj@!9+CG(iXi(ev7Eel2ThWk&9dcMlH_H;t% z-R}J)pmxI^-IRCTcjCj`^^&^C#^4KYf(NId6wmXnMC3EYvlZ@Yttnoh>~RkYKsBE+ zXE!0?KBMbCoZbneQ25ZfET$X&Oz|A2@e1?CcHG^LOB;^|G<#Ec=pEAu=xcF|+TEWA zcnc=fO;J1qt3#$6Ohx5GW^Y6fo5PMIrQ-p6SfF=OgdV}||5*U4$j})n;Eu?g<m6Ev z-W<RQl}E^XC_)ut3EMlw5_0%0u`MYdr5~L&6oc6cZ77lpD^wRC@S(PyUkP=Uum#^j z$4D_h5pwtyCkjpwR%+m?FSml5R>&*V>ZYqxtoT_mjwP%#B3zAC*YdC@Va1Z-HH>2G z6OqLZ)Qd6RR?9=eT|qpy^O&?JO#Qwbv?%QiH2+tcePA8dj@uKl%K)&HuicTB6H(Oc z3)csP5Fjg2_=^JL^H<Ca>{rzTQ)d&*;^P|^b`%<3fFn$zlwK!W979OKX(90d@vs!m zdvf)#kO|@#YiuVCMA9e$!l!6Yf;lu@9)9Ct73|kE0T}wz<Ipsze_YqFHxY$Yj=dqn zPOIqvOvl}Yp<#o_^zRjBM(jRNqn}ItkE~s%JRL`q>)Tf~iCnL|5%VaaUiiIU9+$tz z&-b)WjqT9k?O=+jPZN$*MN%2ksvbHYzq=(u7beoU>!4BJPqAaqp_VdTS4OPTw|kT) z0OWZ|T?lw=+C?%$-cBi~Aid+T`Iv5Tym4A6erYR9Vf)&44*;b1HD-6_Y1H00kprq? zMN0m&O80}Bf_9lb6=Zfny~lV4_lE5i>J+X6OZMNj@5=6lMxQavIIZW~5BmZuT`jkM zacuC5U{GtD)`AKy<<Qm<RFw175a!RQ&@{D(>L?=K!vSg8jYb4U{Vo+R;qk67>S`OH z8b67_N$CNISe~RtNqLj%#=xuhVfhl<G$(pdungIycpe%m$H3Hhn=Tk*sf0?F^mskK zN227R^>kDQMD1l-X{bY<B?r2WQH`?1@$w|`aorbtCLNiYVDS0WfF?sHDZZ})sV7|} zpt4pnM#{R9-Z09*UcWX1T*JrHrx0Pn_gn4T;w5~LK+^9ER}h;n1~J4t@=yjS6>x{& z&@m~fa9y$35K9^dPa&JnT`+>rxQW(C^<j`%sUNUH#=rwTH+h0&=*sYlsbfLb>bGA2 z;iK3Uh+%@Dp^h5s&)^g@PX1txr=?6+5?Dnbft7xl(N3|0q?N`i5zt6r6eSLHorjF0 z3RMC|8FIvsS_9+i6~rAxB&~oG5u+TbvFSSj7GGE;99VtfiO~^>DkTvMa&pR@)3i}P z-PZSQsG*Q}Yaw7Dc#5X+#(3N6ijC+hsp-ln2bW;(AJKC{IF$Mbs<IAUIF5;%kXo61 zscPdaWd&Kj&JqYG&GE}+F1VX_7LHSjCy;k7boOyp_)t2?%tU0t;xD`0!Ryt@%mJjW z$36F2Do$pC^T1Xf_MprJz2w8{<-plMZldZ8kyBE?=~1x3#K4I+fu*F9_N9<iUIP=$ z<Uo#99EgH`f80beV&lk;kJlr6xl$-oI!7cth>Z!+Dcog{4}gY~ie*V)WRVh!Wh|Ed zM4V$4jyNg1AQ+HPrb4k5k?YPrtTJqjB;+5YmEBTUM+&7(Z6TpoB966;-cAX=;Zowz zUx)*oA7$i}e`05P2FAzjCgdAh+dzN0q^8v(tW>k8$6mfnTI29)Bv+nF2A0_{v5D39 zL{m~&E1xyxspYL;Cd*90l^YXuJ7i)EqqeTQt5K)!DoVr=*XHmFWvY#cNp7OfMKP!0 zvSyN%s8iIVz`9^YT2rKzI9oxzYLpl<5WasU3qr%fjL0MSGH89oL`H2|rGGyKMZAro z(gVxMRY_>aO~6AS)AvN&aNHx6m;z1c$rLQBxr@%*L80Mi1k<9|XwX1d#HRU+d`k0F zgVeptqIIydHXu@xhI0xvUcOEUsI1gzucES6G~5umK+zLXQ1)33tC>h<I8V$KiGqE8 zgoFdGozD-eQYo^UfE>z}<{Hww#5oDgu(3>ou8>BVL&$9_;`??e!?tlnG?BC6l+1*+ z*jUNgY*QMQh|?(SP@Vj0Q5~Yrz{OM*IYl&E8VaC@N5CaBB4^jMnXhoxjyR6YmD{zl zifQSx@#WD$?uu5<Spk*=b@oq)We_Zbv5bklFD~U&xAV*51}&v;pYroZqI8l}AJ79* zAQQIKc33SHP_00`<LpxfI&z_djqHJrdV;?Ff0sG{VXSD_0ko1tPNUUi@%VwG#vH05 z0}U6pdbMgmlCv50RxNGJPKoa}2Yn`{Kc{F!7{J7ZBGv#<(3FU=P8Z$Oh<0)y?Q0|q zt*2uM7#)Ql7tW?fhci?kq8MPDQXylTB@vy#knc>Z(6`3%h^V^^Tttk7WuW1K3|7!| z(o>eyxCd!5B2W3UOK5j}nV$eJOyqWsmnN43=B&_{fJu%<msxQiL|q)3uomWlW3=s) z*=7w}g4rOFv%;rn`o@h1F09DeCL&RsXQ7!(a#5H#rv=c%Q~A4E)``!ROw@pxAznR} zoahR`Qt4_Dx@Tq2XjoiDEI%h#B;nOm5AE$SiD)0JI!*1CdQ#%D@XMlgt058H959y| zA0}Di_7i#xCNDZqJ}|5A%s0E9SROS^%hBn_O;DMY44FaSYHf(lW!YqC9(5CmiDKH0 z%03RW<*SomI4T5&?n35R1KA>@2JuIh<qeG}Aho_qu$g)WuZf(gyB1`v6Kj<|CxQzm zatuu)0eOVv8Cbd$gP_FH#Y?0Z7~Azo_^^g|1=Eo=U;$v+E)S&=*^{iuZ_*^A$H{ht zQh-~@(kddtEU9OaVS>6P%MS>K659n1G{$fI<H*MW*0O3ex#32U-Tj3DDGjDk$_h&c zAxTSue{Dit_A-iMp{f-?WZr2EaSBQeG<+|t5+6*C10|_&0;a_ilqw@hUquh!o^!hR z5lK~iPSuka1QkY0kzv(OMT|*oW&h9^DT!Myl~xZ0qY!g_mL=0}inWCjFK|?9P879O z7SY>Sa&f&N2j!*-OV7O!p{c8gZNtipgK%)bjO_`7)mA^CbA~O&qPkSY8VL?8jH})X z;>0;y*ZxA~1)qkwpSoRhIK!WtVP&HUlcrG~<8Yd@`0sLccZXIj;~YDG6#dA+F`(<D zS{Fjr6x4JO)A1w@V>2TSg;9u(<uuo#KYJQ4Qxt47t3GGt>#;M?%QsEhI+UqcoAoq4 zM+QD?iXaCsCyHA+Bmxc??boH{J+2<s!x%1{bJIL0;i0#XCdc51Eqrxxl&Hq=L*ZCX z+|QBhGF6~W4)%cMD!G&MoO&8QR!iy!$eja!+#Ikdyo@gG3|AVQ-MbF1s8g|=J2PJB z872qAm=qUUNT4Kv%W!x(IqDJLw5`HK*eJNX^Q|ASla`?z<E9=yMcr`WL<xG~09O*i zX#|Ldq~+H$ZYeS+ofe9%CZZYmZK`@m8$;WCS(K#U%KcMxRE~j(`kJ{TlIvYS(hNWe z<_i_Ld|kfI1l?)<^rquzX7J+TEAru^^?LmwnuBH++Bp`2_RuC+>={aAYy_4VNN6m> z0$c@7;t}Q1@B;ug4f7ppYcPrpdUXs263z{{gC&5%N_t#w3pj9ah8amc-cW7oy-ZOw zd1^&Z&!VA+VZUs!jbdn_lH}@hlCk0%VZy~DGIi2{rcr=6D`n?s(o&imDJ^ivZwNMw z2Lq%Se3)X}a8p(uoT2U?Ze^;WyHsf66C+A-T&^UGkj9X`n*8K2SPkSvseED6GOl}A z_RzF8)zCU@CyaH1O#OFckrgE^EpWr6+C`>9_Y_IFhya3MLR>KrvA3+PEm?a#RHK80 z8AeH(6ioMZh?|?Q!f^6O!;SFnFyg@F#sW&5iR-Q)5t0H&EKO_Bkxj_iUEv7xY_9OS zi|CuKkEafkM%@~FY$I^jA(2B^VW6lz)Hj-g9F9hERyL5!D(4*M{f3(Jp~q9Tj9?y5 zs)ORHgJFLXg+<%YIu^IwFi{CTW=(!GJ^-(g@Zb^!%De3C(M<h~NnjKsW9L8mdv{L% zOHXf8rZ>nNO~#rBYYl1$+d3-AQ;d9}r3gczcBJ%|w^IqjyZ%KUaxGI*4s1^LckW-P zMk<Hm+y)!jDVZ)YleVUO0MJ&TuV?6VoR}~u%cIq%6v@2jJdS3Tu$u76IMlhg_CUi_ zI;T@*vfFK7Kj!|z#M;|Oa&o*K5utChitsMUBw_}+H;sp1!iEkY8j!(-xxu{TDlrp7 zCoXc3vy}@OkOrwL=!GrF=tG>y;gYdhZzyEHJC_asFWFDYmru50*@|fFQD;yBZKE(5 zF=%0^YO#iaQ@Qm0!r~)Qvyvt&<etXq?4Tv~C&h?eRdIHx7$!v!EZXDTI29VR(cpyJ zdnULP@47hi6b(_9Kbk+-2}4L7*&KVpSI**6CNiDBaG_f^F@Si&!c-n+wG<7?;6{2! z@-4>E6C-Id=kBe=R!-n1%p7|z=`fT-x<!AVK_KOE3vZN)xzb2<B31gBzzhVz_(?H~ z$|(@|6d~#52c8zvf~&RI7mYFxS~^XFLx~A<C0Rk67y<--Jb-xBOt7N9Ch=Jk+A<G- z##9u#+?A$^5Qi#gZ#<W6X<R*o7quba^20F?mu5%@o)T;KBX@=8N7&H9N{ccq6iOs^ z+G)e5T&=XI=$aV#z#yI|8-~ZK+%bzjs<n}5<1`r=ks#DU(=*IT0V+w(MqxD7v%h=D z*pldxfJW>@PT)HclErcqPh2^PoDHb%@x*nlIDq<Kr9$$j_-W0~*n?ywvOz<0!wk8p z$D{lkYjIpGM{#SIJ8A+G1aUm00*YKdMrK-V&f*CZ-WhoJUyhO!lS~)cYca>Q5Y{A4 zD`~_9k*H9$g7NgdSIa_sQQ&|$Gp=D-&X8hPG^OGc&gQTJHOp#0eSMl#Gc$Rq7K4YF zV<tIzc%wzOil2!nYeb{*A)ghq5qOZmO0>c$$8-vbN?+S7-OW-*&!$h|$TqQYV3Lp- zY&^{5jSh%BCv@t@?hnv3#o$fRFk$-d0c*8>!u$@%Qal5+dB?~&^G<|1BEu|dFmr`y z#ljh;$t7O^#1&SD*jU0;D#H+XP+6qTYz_)XWv(~Ou53ow3DihJt3B2TLOB)6WVNY9 zw)v=)i8pYkD}MBq4dzPtyvYy83tj5H39ZUuj~_)s^Tr4u<elECIASQX1a}O_+Ngvq zkRLzgJu2b}@M9wXuf@xuApH-F(HUX^eroRre+DeX2qF!@D2bNH2TgRA%g|MT)vvQH z2r!tlZ<B{Hs4()A3I>EG4$?70fzhmezRB4#0%1cu6Qqb1G%N>k?~0fJ1Vd#ZTD=<Z zAlnIMzho8K^5rx=RzmA!s(%8RaursVJd2M%By#;!XlC-#yFV-)w*g)I+PSocS6cCY zjxP1?hiSKB9yX;)seh2?H7bJDolS`{O-V**OcZ#bGl2~=G((CmbCgS;W+b`BO%2^u zosgr#anA~cm!NeJRV<cqOSPLy^2xA-eK$$eFs9W%fDH7X8kNXgzcHe3r;K3+NLoXb zcMV&^!@9~|C1YB=W}pc$qVW}o>C`muZF=J?0Ki+sBv>3xF{&wg99pH1Meim7?204K z{|hnbk?I{qz-fcLMjwi7TolJn8qA<WfpuX3*H;WQ@?NnIL5cfxRkQkt%(Q_*!ZYC( zUJnn*j_<z$lZ=tfxbb_};VJ{-)FPb&Roxo4#hnQpKF5m}#l)xaiPcQtlA=XL^>Y0b z_+)ADJ5oDWB0Yw}DA@af&*^JAj8n@SVhP#w(t|4Ppkugv&GCAxs>yx3)^vWLFp@D8 zT6LsuYH$s{K|L-3!`rQ@7K)~=K~Dc(iqFKdXvt8#G)sS=IXR+SM1#}qN65=yS%;-j zw4>Be8YW@95F*I)aoOQeVu+f@Th$H{RYNR(x|xh)JE$MehZv20d}>4WK?o}yZ}opw zhE>ao#6T;x88JDOSlfNiN#}kN=kj`Ai6bGdqhy{UmK*#UK#icEQpfVcgj!)%>NczE zgZ{bLIDg-XQ5;oiTu(W39v)NF^gfhMMIfzmwN~qg9}|=s{$(z--qQ&?--FN_Cd@Ip z=`7W@N+&`{2p>lWPX^#PuuGSGVE(G5wosTnnJ*Bh-!dc%=LNEY2|aUT7*E_Bi;GSq z%VfOwp)(%;!-?YurjyXH`#CL}OoY)0?L9tRLjUcfZWuypF3wDcZ(qk6kz;rglEKH< zF*=PT^!mA>IkzeqUNJ8i*m2f-E?n6ww-~`>=Or{$O1*$FJ_>6>v(r(WlU)Bi%6!<D z&Pnd>BonyasodQqskI{O0$R>^bSP*A3ihe2j3CRfKN>bvI5T2h=<aA;zcF%ktw(Y2 z$m6`7H`S$;;@}L_r=`rk#ZzVV!aOH+goDgYi#DlEgh<`V)WtI+C&>wOH(r}e=fj{S zwK?a8dTHi2I;}6+UKxm{h76oOC5HR2@=4HR@+-f3_xn!H>vO_>$eO+&-%Aw>cr|nK z0nUublKKhsJ|&b_WU@CklJ;Ftar9xuQdZ1U^*I@o+cV+(Bp9v*weP?4fAgF)l_|{o zJ@Ohqp5pRqx)$9VMp7tB(7SE~4bv$hgG|(zSJd&_RN^~lv{FUUG8+Y}IOLmIZA>%i zy;{tvp^2hU=jE)oS@xENNB&;#F~yr7&CpG^!kcAYCQ5iWu9rLc+PPRYA%{B}1rN}Y zd5V75#jA9PZf34%3eUBVhT%;*b)c1=%oQqfLq=9piB_%Hm|uO2^o#^^GW9UEW=a1- z#KH|FLGMtuCw>UdgPK_!=LTx#_wE|HPR?MDZ=+{H!Ps?k@l|$XkIM}kv%%FeGEX9x zo0-lA@K70!;%0x1s}_rg(;xVH&x=8hHd(hoCN@ol5VlV4pz35Q@o{nU*biH|AmiSm zwM90GoI7w-(A@8g)2=G6A6T;Cgavt18d?%xyuiilPLPd973%SEL&fImKbT(f>^qiR z^30PjtvddXUikeRpT2+1Uv1w1wr3vr!Rg1hf9I6h2QGQf(t{p*KHK}Rf1R&><+z{E z-+so6_no}{(4U=f><5b%58n~h-G=Cj%Z@zt_bz(d2`9hhOV`~V^kuSFoWE>-<{Qns z)?ahYJ1^Q$UHMlZb6@_)-`Md|<JR!aGePo)AG-AETQ9x%%^w~6=YRUyMVDUk)A={w z@*8i64(wm_hJ$a-<am-_FnDYIq+Ctz`Lp@?1N<dI+1~$l{&aCwamj}IHGg{J#@eQ9 z&U*4s{`6Z{-8=uE?*8xjmwvK*{@9m(H+=C&=hk*!_BU_&=}#Zr@2xxkt?=*PSpA_p z{%zCZ){j4X!<M)F%QgS>zm`Ax&nut&#_w)w-M{*s=l{hCFa6b-AAj-8)8CUkf7z`U z{pFcI``Y(6{`kJn-tmQJcYN`O^-WjSt_&`F`N=<fX5QGNH*UM^hx32%*k9DPJo)L_ z`+xKM``>uuHN3g4&s$u0^23)6oqNLv!yONrZ_XU~%sVqZZ+g#1Uw--JOE10b{TKfE zwQo7}{cl_O51;65egDZfzyHzwE`GyCbN&fSHlDB`lw+4lc)_Vo&VOgHu{T$b3rSD2 z_xR~0>(I%|&;O+S@<(4-v*Mk{{Z@PPF`sOY{p(j3d}FHbPmcbPoqzp<FT~+Lo)#xp zp7FC=|Mln3-*@5I;+O9^__*JE)2Cg-Nv3i6dp|UIestOiXMEw#>t=`cm%%H~`S;!> z-)t2>I(`4!FS+D`W8QI1{+?5B-SLr;8*>i~?4JzWdgjY7U3T$1K6>;g{^_~%KXl2n z^KZWO=uD35k`6uins8niTyy+!i^D5!zdV0WeZKVPsG|qHx$(Q_Pv=*S9QB#{HGh8N z#=vbk>*@QCzpeJ!g{z*t?UD}_-+#;Y?QfX;=nZdM*xvTQWoy2e9NO;N`H^$~_KTnT z%inLGdHo&tethG$8`^Js>rZd{!J+^9_aFP>j(4^%eR9h^KmXy|Z@%W@_bmRMC$^vZ z;-z1`;MvW$oq5|6|NPGXam<~6GhN%@u5{mh>7E~N`#<ma_HA3f{=oLWzk2qzPt3jW z^RZhtT{(DTgWk5*w{XGnm!7}+!cD(3{o@5o%3nM4{(~32e{{_UUcUFAUcT(qBQ7~{ z<692p{_|D0&7Zz_!O_9Dcg*PlzAf(2s6Nx*zoxyWy@k`E$J(4L{0z69^iQ5N+IL`o zA2?v?8U6WYUwg+I&JX_Bw`BJ7El%Uz!E}g@?{EKBaX6*8my@%T&t;-$79;I~_VMk$ zqru~h<4L{CK#%h88~s0uzw^2F1Ly46u|qZsHyhk}r)-t4C|qW*<)V#ATU}Eq%$Byv zjvZ@$!^8JDUz#w>LKXU*t-O~wv?+6Qx3%A^Yb7QX`qq+6MnCo^1|O1nu2NalOZJ%F z3cCO}O*#3FWP@iZfu6f7(LCjEbQFYhD(}*hcQ0uNljrCK0;f=LO0lDK-{_j1eI-s9 z<_Z+W*!{;f8?*UC-U=dFw>f&3Bh3B%F7T1fWJ{$gh3?>UuL=ijS2$@~|MY<0F$CH- zI=NoC9-XXm(LhHhTT~}{UUwUv==s}Puv8~d<7S8!B8c<N_H2t_d&`S5`{^x5eC$qS zFi_y2OXLDECM>FxS^QftoW7mvq_4T7<aJUYvDe8xhmMuuw|%$jq`kSF>SQ$4$=naF z<vi}m$!c}|`q^Zgw02C6DSczmnwoWg3K8;Z8*I&c@UO+|1Q|=j!xK6gi{3x)h5U<X z6`ibKuR1|wvtyOsu^rEGr^I)5?A-5XGFd2SPTX<P?&F4r%KE<c`gd`Pd;5NL(r!PG zPO^QnCfTv0G%H(PTw^#L9bK`@V3$s^+01j3?KOwIbq5r<hi2;{bh}F@J2N}c38LSn z6Aq475qd+7kg+thUJ%=8n@XOYo8y@0=c?@|^fC?7soA9yxH_<3wyvaxp%=zuuM>Ca zrP=DNoU-O)N2pGod|Go*IdJs}2dlRaRp-9@9(VwqtO1NRynQXFOM^EmdY8)BSb$FQ zcb_xK`O=%)$mPyE@palslN395R!`xy_M~0?!WVYwM6>aR)+I+%+ftqMUuSK%o$RR- zB)q<TNMHM1?^-n09-T!RW9!GpULyAcwzttqdvg7Hy`4sNLhbHDC+Dnx*Up_3=zf0; z_VcHl(m%RpO@F&(v{dNiN$%D-XKbu1<27rxZ0T$F--k}9(Ua|+JKL(0(O&L%QAT$@ zw{xsi^*w}^UWx3Z6YYlpuGbrZ(8-)+pPGB6PUyRyrtb>$W)E&z+R8cfeJ$;~q<J)b zm#fu2_N`etql&DN%oD7$@AO@oAv*aS#kudkFMpZ7YYmCC?^63(DmVG-6$7fnGW_Nr zIA;>TW*V*PWb1C7Y>}NiwC|FV>Ll&Elp82{gHGB<Ln-aM`iqaMPUOAH<Q{!jb|*dN zA+ketBHA<c^{yZ5)f;*a*xuLayC(Z)XSMH|O#7~O+IMloiL|xzIz>jgxvsBe?&H|( zzS5J_ur|k&cmKG@r!71B@1yVP>zkb%<qjC_yU>Z=4@ISaF8d2~a&oF1daqIGLq^(n zp%Qe$%eUi_NDePcX%M%78{wL>G)z}<mKdI8rBIQ<dr83bdFXw(<qCI*1j+CKZaL7W zko4_fbhZp`9`^5UhZtixB)Y-*a8dpd-Zd@dp)BGKkiXFMyt(7Y>H*U8SQBw4Wi=>8 z<)q5Pk(z4yT&?+NaJ;GatdLLV{*$%-&JgC^lIBC-^_}s~JtEwZQZ|t+=(<dEt<u<a zuSt4O2~Qjf=LK5T-vKjVI`FlGP4vbxJS#8D)P?tD%Nv864R^6XuL?YZckX7PY|Q~? z-lFu@r967NQ6+s+=AM*+dxPYS(c0#~z4=Cd8DkkDog;qWCen%Ngq4oQ>-{AZY{7XY zcMvWUXy<RMJg?~vVrbBNQ3i&ho)EkX2o4H&fH0mCrW*slpD&&2J(qOFNGhaTodwRM zU&|xsJ$%LKM@6|C&y(8Hp-2Y*=Q>X(gwDY}zL|>;i3SG`&?jBe!QNF0m(IN==XpB3 zSGTpL3SH~(XHmYICA~YOn-9q^>f9?b$o(b<AH}hi7m$a#-Md!0ZhAk-T%{WxO7kjn zU*Ld|xyk`iE<eIEtlpDCnU?9jCx=8m3ocl9#p<J?!3F1L^!}5%iocuX!?_zTu<rC? z6hp68Le@h3z!KswKqjzUS=+2#kl{1$c@H-)Sa|W_H?HMX4sh<2ajSiq8dnhRsX+hq zqk0d&b#|8PwHRGr%MAiQq;dyAyLYTD+tzPx$6G7yE&u1GAGN>I?my=V`Z!v8X8SiL z<+<lBxxandCHM1S#O#JYBDBwG_vy%)(t%a&W28O1RaORDwv6>{-I15mPp@Xb`(3@N zD~>eX9p9Cg+l(qNw1acxy<ks<1B{`V9R$?XnMCU5$0plPc-ZI;ZDVu2qdSau)A(1n zCns4x@weHXyD%2DPwDFm^mu>zU#!cvKf^T}?aAlbzyHF|wVbJ81ms1A&J4CLqA<JQ z-FV9AXHr#}J))m+xUgoj@08A!Zz;}Y#J9J1@h97pqnRf%PrQ~cy7584oobn&51r^N zVQl?oafo%x6WdyhYwI^}U(;^4s@xFv19Z}EpU^(-e$`3Z=aO-%lOvAUt&^0Kt+QLd zt2$x2($UG&=!7vN*rk)g&YhE!^Uv9dPS%_r4y5xgbfTRvhIxcHgAaCf@|8aNIN5r_ zSNg`io5sJo-8VYcKIZ4?d|$B^Ejs0t(a}^VPd^Ro+ZoxnJky?hsr~%VUj8U!XKI)k za0i>}q`gRI5?%Oix1*CC?IZfL%@@|M8Ev0}w06h)db}&#H`?yo_QbYdStr~#=1KKQ zUE9ePZV!uh&`#u~A2IjqKZnX&g1yW~E}NX$v4eJ!x#a%MI{tRrNmfof4X?Hnc$kA0 z^AHRrmQ~T`XeYDnJd~>0N`-dPmWXGD5^d&bZ6`Z-cH2pm>$Vf0917ibGVf`0q9kQ> zhaakNRVS})XeWKa-)htA7~f7rKKkVEBk4=-=PBZWHCrxgGxPiX%eL><2{RMlPH6dy z`gErI3gc&+yg8C1h~i0&cEVJqi{|tyIj4F_4HNazOn;`o+YVmKmEdPodu9h)>)KAx zN!#t9oyfKpl3c&}@A68weae>~KqoX4baKg_I?=k1I<%ea;z3hv?Q4H=RkUTxEO*@G zxoxnTeHxu;JGuB`?Yr7fKOtZIqPCMZ?W8>!<w~8ttE-c4J6UiwI`M7iD}4<p_m;lW zSNE>w^UDnFr0<xY=U?1~gHHUFQ9PUI^8w8Sv%NjJO`d;%UaX79Y|Xcm(e@}h(Ymy+ z>F8v~<PnmU7uIt@<0(w9c4H~6-Sp6F-?sj3x@S*E*G;@^#Et%<6V7&AUPAi#2lokg z({<y!?(Th!S6Y!;^oMD>+?P7(JNpr%FbxlGh-*qex-$&}C(NF|pcC%kr~4-1^K0=H z6M8Hi{Q7j84%*<qr`{m)-tVPp+0Qsl-a+&)xDMBV=}mY4V%P1$Q~WfKAdnk;JZ_o? zGZ;^=8;;U_ki;MI53%x+cvmN$GqB#7fa(;nvv2W+j@yyp;GcKl`L8Ly?r+q4vy;ty z<<Jiqn|T+h#%zk0p_K4P?AdD)wjDTy*J!_Q(cy7vc-LM(eV6G?>MEY;gpE!v?n?19 zj}q^Qa2+=WuHhnK&G+gK-DW4uK1!{2VTZr&K1=p9x+&5HQ{bujEMeaFD$9NBU-Y!% zln34Wcs49_(}LorK-gnHqf)@^=2=6Op*iJZxr(wV58e1Ko$!`4zA3f4rka{}t<|Ng z*s&b0WsNASOT($#W79IS-_pZb!_WfR^RrCTP5pVi%(0U6DNXe%#%n_iOMK=O!>GmU zfAfgWbjh`AG=vttt(i25Gik`%X}o%^5ASKsdC)F+nq>z;-*iV^<PM5DyI?-fbjkJ{ z(8;xTZ@A2bSChu^H%AOvSVGp}o8VhQlfSwx;&ayMswyp`7+V3&T3+<)_q2zM1x|{F zabk_NW$-KIsG!hBl!InPLYX>QdLtl?FjDBn#aPBq%^w%jiI>V8G1k_xoei0y*=158 zmaiBd?-yB?8m92Te=)N9tipZbG%;?l_Fx}UnC-;;cSgJ<hywj!`ASo!#E>*WO7@iq zV!JToJ;APU2d-i2eb*=#9iy#57W_9+Y5VS@8{ujCVo=)7`Zb=h#(gM7>XI3j<0)%M zOc^2-A`gsIehHEjUn1i}!;~_Du7-}~CuPmN1YQ_uQ0F?Dqsf32@TG^Z$Apz}>qlf= z1CeCb@;nJcR=+#4T7*PC5_!(!QH8)O&2H$fx@uFb2p3+X^y{s{lyh%%(GbszmVog9 zEMUZI3|u(?TAn@P6|}GM1ZPsH+a0OJGCr8vX~-~M_;7HBPH=V^lPJD7h(wLyr?4to zQDgX(ysH`Nufk6aV#GF~YN#ar`a;8})HEGpX}F?lv&5>IK{Uqu)av5l1_W)=V-ze- zT52gttK9+*>DU^Els8?s6Wqfw9lw5s+?}tIdo_~;%^;1{3_FHqh7X&;%zLO#CM}_I zw98o0@@Nys;KPd@b_%=?n<@P+Fb%oP!}Ki}dYj#5WS6&q&-sw1vq+`@c{N&5wbBrp z(UxLZn4#FbsG=v)9!m}F%;{3L<5Ely3$RlfYAfB87voEimP2eBL#%;=z=Rd;0X(9n zz~Fb@v$vH}2Ie{}K!JB#Mj<8uX4KDm5aPSI8BZy%?{<iC+K4d?_b}^~oP<psS){Ho zN2&?24lhsG)Qmu(-b%BJG%R4{iDxqN>c(eoI`KE*NofHX_(utBlnStepaC`*iuJiX zCAtuNgaWv3cVZezMJj~FO}hsvqoHvOlWJI8o$|wD6!sKTqLPddrk*oBiQ?=;0lj{9 zSeCB~0TxjuTM}^tBQ=qDGMp<r`4mx7Bo!o74Fgb^an9nE;7Ac;<9yz-I@2g5HE<W< z3v8eczXKKSg_8E?bf*SlY(4Rs72`WlxMiuE&qc<0Wl1}5Qmv4*A~_qe8WwzzQe%Cm zqHRcF6N17lX?;9dd5#^975yhddG6)Ae9R&{HPP~*k*!i|tB&KFS$sgE2p41(oKqYb z`fj?4NBPuW!_D~K!CE^m6IQHMAV24NB&rFLbJ7^0fKlWQ(?}O2N3mW@OjAlTo25SJ zT(7XxMjTRa^8Pf67MVDhUF)vrCL4EkUAgO#i8u}>8s86wm@@^kNQaf6=7`F!WJ$5K z=7NPtD-LFYfM%$*j`|)xY7&{bJCHeJvko<K?+GX9gO}VSW%xHn8PYn12}A4Dv0BHi zE@-f%Y4K_{2{}+bVmbm`$ZeRmPU<|(o%A88EkBiej^a+lVKh?<<75i#2n2r8<fpYo zqNsw$%&2vpm!ZLCaRvRoMdB8Zz;*&fjPv}Z9>c;@_N)uNjSa0OGOq*<xGeOc(6O!= zd~(GM<ha;Gi>NCUojq%!$)Z`ALPORB{dYL2u)Icw-N1Mp_B6n=#pT7gUXR`TOgVbr zKn)g>>WDO{>8gT8s5Lf74ysEzus!u*;bIPJSpG8+%BO-EYx`xKoulK{{<c=%M#AW2 zX$GhSHmDHc3LI%gL3z205bfc@k@$%%TbBRArqS4riExo-qIV87d4bC(zz`68jFYXJ zz(LYrDR0g?CrlxjZbTC<$XY<5Tt`P|Xz!d69vMK;bF_3EXj2K&v<ing?_fpz3@Ciy zs){8a6`@NKXKjNOJ!zWOvZM~GcB9^CE_m|%;I4%euTd|>qKpKUUf2w2Dr`JtT~H$( zXqH%z0$E81ifk>oBetQtNT^|fi6-m_73zJGLyQAx%bdN|4nvpDJK|G-z8WQ-pNlj^ ztIruR)qskte_;czYLULmADJ2E2{^BvqZV--8@e;_W#IMn>`Aa{BNr6tmdeFA8_~#| zu}QNy+!6;e)B|!$jkP*u@nr`V>w?4(Nrk;mJhD-=5v`g6AKri*Hq*LI*ag8*NnI{J zVsip}*QDHHrC-ar3Yd2pT>(gc300`@R}VmT`2kN}g#H1^dZS$Ar(7DquBeZMRWej{ zQMstcj`X^E*Gax^8zW)lyI-mc6_wTEhFDBc(2gm(o8|OWq^aO!l@;r^SrkmHsn6Ko zF4wQN4<k7A)B}zdrI&IJ$fHU?r@J9qG4FF!7>0sWO18|Lz{*tgAPm@X7f%#;vY85X z;8BdhVcRn;Yb{SnE()!d?N}D^9cMd3vkKD6#9*WuZXiWY_(a1%h85DiMD&k_D7s4< zGJ7s)DRQ(1u@<NeB`nKXJUi23LaXIr@OJnd{*c@Xt^qMZE*v3t6%C5SRM)U7xV$#$ zDR0mw6QKnl8Ye-%QBVQt3eCC#D1VKdH8ysBWb#15>@vn{GWht*XsPS`0}K075zx%( ze~C0yrr3JCyAH`Y6Vvb0;l%cANU$i>xtmZ4lo%``85)U<c9412r5X~U|DbLwMxu#F zt)<NkG@^%`wT==+Q62))QWkAIPuN8(PzZaBUl1+LRuV(kCKD~zV+L~^HIi=%iiIU~ zI+)D4^dZC**@<PG?s;P<KmbEj6iCsztBLq2Cs_^X$15&qveXH9Gwd!*f|7z)ClhiN zFsZCS#+ruT1X3Nx_ih>Y8N~BSaT1uAQFs}f31d5Mq3l2nFK3x6lJUkm_@R{;dYM6` zM9Gx{jCKV`Ysya}7<mx60yQ&?^)`iD7KDy~wl$IcPolBACM1R;Cv;51F0{0i-mq!7 z{N!vM%h@Mcy$M2f%MquRjo(mYpMMZnB1&M#2W{g6GjhF+jzDjj-arb$swDo7vd$16 zJI*(-(cL0*@%*#t7OMGrnf&a7#!XS{;I<NdTUhb=^(k9*RTC^LSB5IRL44r3T)H84 z5;ldhXs>m7?+)@VNtSO)Nv*-*guw{3-l9c}n~FEBl}l>Kw<8taCx|10-?Y}<7H|_< zDFZ3X6p4(SW#+=9ClkB!6qUy!Gb)&saR>$Dt}YmdKr#-G&7ea(O5U*PJGPJEqqSkR z>c-J(fVx5op>;U1RtmyOB(3uy{H2LCe3LJklG68l1JW!T_5{&UhoQEBmSgO3GGijK zXUV5(1xDx`lUozd%nFN{pCUOm(K#w;{3&J;SC{McM{<$WZy&I7?oI5cU=9RptZyHX z(7?&KWBsKhdDhs<iDxD3hj0=yTec=l{+>RKjZw!|3VrqboYZL-@Reb;GJ`48<6y@9 zZ^;F&sPbW~Mt7^48@O*JoHP09nNU7`HRDw%M1=9<XzX^0KplY!`U8&VaC^HltR2Dt z6dk+xO&m(N(FQHYXK8^Q5OkHR1ROtQsD2T`sEf?lFsP6;Uk#(7!|N2y+7+NVmY0V4 zDRFGXl-r$gblJYcfl`>`r_!g>EGQ3$8!DuMvWQhn3=~)7T6we=wHkDqVc`(jAdDyV z-vr+|CSvGhM+hD>#SyX4p)!U+gPDbJjgOh%LQLVf$YWX74L@l5FBi+Szgl7I0itio z)R0v9`2DeC>!e`Rj{jh|@~QgFqb-v?(F~#<rrp<-$p=X^biyB+g@*2A@FbSrL=PSn zpX`Qeb7Cmh5cM)_MAb~s|Bmk#8<?}FbeDs@0vWAvVkXvEhhW*mVd%n*4xb~1V8WUJ zKYznghcdDag9s<$70xo-SVdRzZqZ)M<>E3tQe<l*nlP0H(K#$2VvRMR=*5#fbWH&c zXXI`OMT~D1YwdA5Tew?Xq1@1pMNFB;0vp<4v?Ca!sF)spNpPL4y4*5vxsE`>#1O+) z2S-1MQpc&nBI7-2|7H_*up=iG7X0xq{Z-gxC)}WeTQuOOMc$H}jKjl$ah<y3<Q8NT zo7RRS!y`?T!PbrNa3kj4dSR)B+`MIm(;-|?O$OK$Sm~J?ltK^hDH#cRWvY%4Bs{#| zQ4p#QrN~K(ukMj!e}@^KVXkJw>B3Fi*4UhjB|9UImOyG#kh`RQLuk3AMhfgcpfbhv zxj>3DdXUI<ZpLzzQZ=y0P3UkE`u>=5RA4SZ7Wy7l<%I;pg#AF|%==E=yFwH68qyXu zLYNKltWZWPaHZ0XoQbCO{2yo$MkREbgo2`0u+RlzRUg)YP>uP+%<23aSJ70sPR8TN z#{mhmbx}bnhZdGXXb)HdGw4TaM3zxU$-&CvBx;6{EshX?00v84WS2lI$~DU65hxAB zVL2?DbyKFwZW`@QCYVQ@tT=;~eCm1{$o_6fvQC=oj4Zf9pX9BT-gt#c9yJ-Rd15dx zQ9qD_OXBNg%Guhv^dU1&sIw+67z7QENfHeXl{O^<Gf^oURmp+67dw+I35WAhKtSx= zk|-J&PLlp&H6owpbPyv}e2}V;FPXTxAY9!Hb*@GI=S9Q_>1a4D$Z2ovg=f*@3e6$R zu;LuY^*V=J&o)_|qJr3MoQB!yF491%SM-M#rWGP<J(ABIssOarhpy2j5cs?d$<>rB z7Q9Tss}-t>)!D*?^}P*K0>1y#bimkUob&3Ub%+TA7x%N<HnbD$A8_bCs8ix(#Qu@i z2XtkF___%%Mw-S%iB%;W84Q7AECeg_B&<@ylKgNw37>Cepgu!&p#s_w7Y(7Z#AKEa z&o!t<)ufk)!eF3gktgY6YiO!Y@8@bUvWFX}_Xd@sP!vO7G<4Ql$r#u|k+E1KuuO=v z8lvc6%eIh2TvVbf4q=ln51$o-%mPY+Y$!YYGK#Q2Q82na3d3`u#VQjEh6TqBCgqNh z2r|itulCKxMP62vP?IrjN+fIOdE;S|kl2u%PNztAy9`|vBn-p#!V2*`A86M2^ij!c zbzoM|tL6=3-Zt#IRG#A*+EWO_5gHjR8(vJIhR;ipiq9;G>2DG_?$(QBA+G?WY)nN9 zSsp<a?5(4y0ZpO+g9q`*9e;J=DpY3%!+0?FBi>1t^U0@?l@wZ*+SYsg<#%wuW8}mQ z>W(`qSu~>^$3!Dcsy)HgB8A>NRQ5b;<nnU^Jz>vyg&taZ=d6QUCK4DelBPnS^l`$= z5KP(=TSq~Q1P%Oa??Yh-Ieub{Mnp#azOmplBSpEpM@AwCft*o9ss?6wDzR>45SjU! zB>^$;saZC~nUZ1l8kOcm9;g1$1g0VsOhFMa9BC&h$_F2MDGPp`en~Qy7&uG%Z=V7$ zStd&hAZZ6+LD6If6~Ti{57Psg(!Qd|y|Eig3wqfTBD^_}5z}xR%vx<>=BiMNOB=xd z@G<KmCym-s0dI)J6z^ss;^Bi_ahh!!dho%=l7X`0&SasrxwT;>6AhK@%8;yEFjT8r z={Ky*Lu8QIj}PkuQ8dg`4Kp^r(QcTC!HS>Kg2<F{p>DjE8XTx-fqHSuRnyl^^JymG zkPSb=C^qtD<0Uos@J{_ho;tQ_(s(H6g_H<o@J7|^Gx42wo~ffjY*D_RM)yip`>Ld; zKB(ze_a6DJAd=>zHxGaiFRwJoClI$v&%$xyn-d9xBjZR3e68%R)=|s4a0a40+QsE- zNYN8t@1lw`6O8c%nq6e-bq9WGv^_B3dA9WBiFFRzm^qbzFTGd|(+vt!Xgr#NMll>} z03lh}4!jrL>@qfuhvq=719UK(deMA}N^ulOfQD;{q*7x>)W>C~)lS}#L+q(Bl#Tl4 zG!#&kM2_nZ)-r2FC{)^-L&(rl-nkr4R8^f^qmJx>*CLSBkbYnT2xT9NRykY-upXt$ zLy<xa8r7hqrUxc7XwFZn=Vgq@l0kY$<Dyj@Rph|at%Duosx*yNgq0gTIDuwKWsLQV zAthXOw3y6<rg_6$7+RU;Lnkq6e3=k(Fyqf!@i6uo8XfaH`waslp`oQZ6S|tFbNsx? z!l};eR7t9*bj)==PQ%$0oVuNTlCZMNP;q^i?#Mx?pbXo3CL{g3rtX*&Uu6QdxTQ{! zACtp`r}V_CEDW0xm%5>c=f~N6t<IN+t7<u^FO;6@V3a#@kd7lfuMV#~;akKUhC`d6 zl?!wD<K7(VJK(e;=T?!|T*O;Qa-gm-KS<<*NrmUy8P#!^w?jN2OIhBuj(BVMFP&^a zGs^=S*Ps-uor#mwR@L=|p2+10Z<)?DDsI-o=&E@`S<5Ph!65mu&Cuh?;Jb5FZXQVx zSI6Y?hcmo~$r}e8kjq&eQg6mq2In3wMj5l|jw!8Bmj3#dxo|!^5bfungUXUSo5?}Q z&MmACR^lnu57YSM@y6{VTBO>>MpzOMW_f9nYmUq5wM>{i*eq{E8ais_A38l*Hz@Z^ zN6lb=xhizjlZhWo@^H*^nwgpUux*&1NO(PDWl6A-DixTp8r2!acrv<rzvd8=D+#tt zU-wlxiJITwsi`$ECwlOQE#!wb4%Vq~Hk3gZWoS2gex|VulexvwQ9(Xh;3@Nr<Xd|~ zlIs_~L3(YTLpby$d1JnZ2huxKtp17oUi_Yow^T-qtUmqpdrh^`oSi;)`k2`Egg2Rb zKDkluSP{3rBDtE=D_P0zO>y)`A(f}#uKs&Eg|L=XtEDKO*w{QVFH3CPbEeIgMyg;O ze8A>~rE86Pb%uutc{ZS8%9Y$WYWkNLo;-P`<3rfdM@l#`R~#5vxj4_oqs!}4ONV)A zV_F}7%f&1A%iCZs3~m}&Mn7VLp7`pcxW!z0<=ALoD0lH4VO18!hPsq>`lZOt`&8aF ztW1&fU~OrTr){&fQtU*KAEb6w<E&lqctYdOpOxpE`C<D>erR<r7zxJrn|Yk3{%MzA zusRCD31fZB>6^a!;01Ai|1;Bn^7ILp9CTs(FYkHrn_oEiiTr17pC7*Ka~l_b;@0~Q z>{~gxVDbGo_8t)IciwdV@SIs$Ua@fgI~R`~^oi)uTjz(lOn7DQHTTqH=HoBi`^hs8 z%`dEc^*!0>nDM1kSI)oUM*6PcqJ?K%bis2o&&1m|-uj_)miAoqTW7xbk;{Jk)6dQR z`_pf`_`D0(-1}#bocWIJEARMW{?EQrJnhQH{9Hpa!IhUy_db7Wab@tC>1&>USG@AH z+NF>G?1I7K$=k2}t@lp-k7qCV<o17h`47Ig@+ZuNhi+T^lWXt%#+%>FON9niJ1kr? zeb0h?fB5mygQrLOm)v>Hrqe(D`Op7k>cIBXZ<_zZpZ>)?e=xZ?fAP8xKDP0tm)>;k zw=Z0@;(_mcr!n;Y+di@L-~Rp+-~QIk+wcGI;)^f5@aS7lI75zp_Zg4h|3~*9eE-GY z+wjj1KXB1szW>}{%jG{i_|G>#c3b;%8?Jcv*`IuH;|~^I^^Rw^Jo&+|zWDZwR=xk_ zjf-zxx&Nvs#-2I*<BwmuGr#beixwZg=<Y9F_TdkH@`9IFp8t)t``z&Pl7IL{`|{b3 zU-+R5&;OzMalW|fuB-cQzUkn>o&=p-_k-}ar-E<()t7I6_zS21ulx;vGG9*o+{QDn zz46w}o8I^J`MGyq-+MsMyz{2RV?%T@v2?+ixwjnji3N*qS&+*`xhs0-U-5^b`}mp@ zKKb$+7Wc0Hn`<tQE;wN6L6^_3U$5iG4?g+QPu4x~m;d9CZMWU}o)0fI7p=MI#llB_ z-2CCqe=vK@#JO*K&tD#2&zH8>e*D4dKl|ogr`E2|hu7zVpmy2x{O4&WkH6rq`S;UI zb-^F6dg<kL(UNn~$+gwr{aVkWHPx3ta`ZZM(sS=^i|@JZP5=10%RB8P7|307&tMp2 zZhPV2TW3#O^2axC`@84=`JLZ>+u~<le(AJ#fA^=)pZ|eN7ay|vM}PbDOE0eP`_Z>< zS$5w~zIFG+w>O^t%xyovY{}+<N6uLN<T*XR^MPyLa{QTxz3<SU-1GGL_kQT-ciy)B z3unILjX!<c;s<X2<_G3K_O*FG+y27#Gamf6_9vp-zI}1enX3<e`pl*0A7|U2yXKQ$ zd-LW0yyAv6hyHZ+OCR{FC!c=%$Yk+LzuSKD)(c-=a`cz}X1|%QEt&j>AAb4LLoZmc z^4hQNSeG}C$6K1Rd8@W_xnc9xdGltsNJ)1;vEmvKduiASlpfE~YVj^o*n8I5BbTX8 zt`m8YeTJ;l_J${FOuM~~MWeIq^TOFtffk>dFb_)*WePI(MCK#y>~Bn(k4*NHE_*t= z(6;(~^oc;m*s0U`>nz`$oxO6iCm^Z2_22v0;x+oG?uPYS3zOu+exl1>(EUw^e$VPG zILsGPe6`zF?f#7Jze>KtzBtzT_bwXE>SE>o`?%Ps!mh?I7uK^wXjZn4zO|2CM%hv& zQz#MF3rks6m*!>B@5Adk=g8I!GST&>B;P8Te4$_a8e~;HCBG)WW^}Yv?VB8B&)-{1 zqoe)z^;O1L*FD+JwAV=ASYO{qGMUjT`-v`_T;umL?kelpWn+gPI>(NK@MR~Zk92in zQk^`|>gYswB53Z--aU1~s^zXu*35dHluBVoCpn#COZyq!%%wV6GnwjS((7uDem%oh z!Y4l0_BxrI?0*2Ap58_$_vzlN9jX&@d@Y^q=-XOgPv~Vgv~g7@qgx;Nnd(IM28GfN zTYKn4{-^TvM23a9=%kO`mZPJplfKcuS#&biyIys2#1UhC{rB}hG3j-(vsBr}MlRj= zHr8fEf0*C8C|%dv|HQVfNP{g7EZ%-%GLv85zebBZ*@sT@ha8gX1dc}EIwpPDEq&`# zolsG?_Kl4_&_*YIZ{g&2K+x>`^^di^PX61D@MUIaA8CeZJ5immBkY0MF+@!^?Y_>^ z{jL3nYPqQNv=gXwbn@JqS%i43uiZXca>Rkaif(lBIixh%{7D6zR3{pjY0tKw$WT$n z#7s^;{eT27p8oQw=WHEqPo6saqUOs!fbJjLbv4Z^+Q|+r%hs*vWVDD*7N4_q>oYH_ zP7Z2<9=7jlsoOPG^*pg{l--8xlxwfwHaR)AXmlq!p@@imeP7>!51<p`RVN^~caE+1 zI$5;nz_CeoH6F00-DxN2g#9R^Y)EC*cDw!Dn)UasVJGWb*<E_dnl*<UGTEk`u=8QI z{njz|+U%th_S|i0OR41d@XB^}>+IIaeY(*alix=t+IOLoE%~u^2q#T|JQ0)jF>2a- z>!dn~ypP>ugFv>UlXYF4NJl3=bp@MVj=qa-B-IIc+0z4bqJ7t_HalIh-~D^Fz6+gD z?S4exHCpt2S9^5(&s8Tp)H5rTyY!1**R;J(`q6~yguZK=v{ffmZQcLdJ64&TJn#Yf zE_xW`>~)eITTkCLHnwO{QkvAhYt3k<os70Kem^8Vdtc${+2__2?#p|f>{#Rbu1P^B z>_?Qzz5A{J@oV3;9-a8UOLtbKBKD<acRTIm8^}Z3Nt<2Tx=&Dazu?;fbsK~CGKXGP z5nffZr*6D4^apV?yn<<-FY9<S8Db7z9%qjqaAu30{fGXkN*5BO`xMvuy?VpYO?seH zu8`jFZh5@=|FictaB>vaq3`LQo>A{;(DsOtSiWnAH4HM2*DN@4LQu^xh=pKh1S|#& z)(HFZu${aJe?&O3t(s*dk5)3Q2r?f5X-%+$*Ebf9?dJ~&tg!5eST@2jad1q8bDj6X zbplE-w!y%>|EZo?2^=T6FZtc~?(g?%ce<)hojP@@&Z&>?t~$NA<MVg)EMK^CjSO8& zdPMmbaQnP?4d)&e4qd$~hypcgNPfx#&OJ>KIuR3*?-Jp4siCuTOTmrCaSs{lLV*AD z4v#!Bd2q4iGJk$i8X6x4lse8c)=V@EZ$q_#lDsKBpBUGOUoub{Ua58E#z|-sy~AAG zPuKH_(5`V$VU-sKXO_%!l%wYx!R(FNeV?|3=kn5i++>vZ2Q^=!K5c(Xz8-%q8Ep+I zXT`NaMc-pw%X!07qL?#$JgY_~$y$BTE8!Z+jcYnjt$bY1_yMQwJf2+5nZQaUoZ;)8 zQ*3XfeCSyp4>|WZzJS~MWOU<Yyz~#RvF=jjy!4t*YdNf!lrH6kdPb0QiXT;a#OvJQ z;|YdLJ)hy4(VPWRx+dN@r1{otZc(ql<%To-(Hft3pbk%(mx`vmTs^vRvGOKkIiL^G zRvXc`Ii#s^eb$%bZc1uHqq+Ps?;Th<^qw3yGJjj64URm8bBsya7SgL*IP{*4mx=r^ zyyokzYbiT?+lQ6@p1PJZ^j<Ar+X~|;qUFRqQcBC=ydrgsKFV26)pyR{re`wOjBcch zM>i#F-K9JxU3$_<W1MSQu|m&Yb291#PB}4DX5OqdQn#AU#5w7;<?>-KYJBiFI03|z z3@+=0dsZLl%0DZ-y_YqUx&y-KvSMs@_OdKpmeJ|;0B2|Yd2{WroKZgGjM>@XVkSz? z{>;uUytUi?+0SJ5faac%?(+?CoGol<ZiQfqg3t#pzXAGgW{}3i4PJHC1F!FPJLqHI z<v7#kml*)akDHiiTnMb7vv42lP`^;1B3(IY@K|BJ^lS!m4l4o%(s`V*?$O<DF!qz~ z{-^JU_p#OMAMj)AJi@;mc>H13LQI>lOf5dH>z`h|UYV%z`Eh$}R%N0#3TS7U`K;#B zd}&@7RGEy1J(+ZWBl$8i>7IUi=9#hX1FN6lWVn)R?|qo^c;C-zk~W~NQ<*$}h)goi zWKRz+KJF@&iO%hOfc4^3CO`U7clLm;$tSjLd;YJ)4LsME2}VXdKOeYUOPTR9VV?9d znV2|KCX4DXb;q-c)tAXL&s@G5z5Fz@aw^|6asH}S2e4;w(!{ad)yU+TCCH@c>z<+% z$BsVw=|NQMCjjsN?H`Y=-v9Kvb?2NjPv92>SPG0ij{CV}81J|1&!s7#ClgM6A`>hr zU|(fyovw8`=6Xm!*{`NQ$`kaH7kxhw%eg$%IqfG8G@#?%!G6LTAAMDs40am_vX_k+ z?I#DG@l8A5Pf%jYO8d#g!~vQfLP2mbHbZz2sd^rqt%TjSFDL7}JjV(iet75OV*35W zpTz6xpxt-T^9TD$swe+eKk>Tk`^f}zGxt}8e7~cmL<hS^KSfB-Gk&m(Od9{be!~3_ zYx<w>C#$Z&>;;xK`W*k9|FV99O_N?fQM`2QO8d#<^5z4`WVA1n1D1O%Rw=!H(nww* z6MI1KyV8E5GI{<GnPf8A(`6#<CwgDfm&xPEg!7EF2P4|V&W{}0Pu6d{*K0|&iex8@ zmx<oDsZ6ezI8-LbKH60h-eHjjm&v641j{xl_?1`Mtz6cAGO>EFn|&riCdq*V=xFM) zgW6A`y(nq7`$5L8#{Q@4^?$pcs7#8uKAm~Lt1|ibj9v3N{ud7%d;b6Y3h2`Cf5^4} zhp_)wM~+KB{(qqg=6`yby2f$yrOx$2>B?swOh8lmD)aNHJxr^<(aWLEq>;JQv)(g$ zb)JyZAa2HA_2T@`a3yT@nhsbl{xLhbQcQha?WAezn#>HS_a=s`Q=e#^1uD*3;!i|P z;JlZRoSh>?GSE6ijeom34z#WofMunvN2C@c)*c!8yB;&AYiyBb3ISTsL|jh!3VAr? zh;aX;l&#f#T%-;IZD2~S?kNyVnIiD7Im+!h%e{c!17)-rAI9&w^xf(~sr2Fa(L9z- zp(gcSc}>^dedx=O`aI~~qkq|154MZ`3}3_g>pR0925nuXGRm*c?;@7@TA)dn`+D1n zOIb+YIR{d=mh^Bjb<;O?f}I(z8EW3dxBN!ApT)xg<n<pf5ntwrTHs1h+lz){voyX{ z7|!y0MV8Df;)?b!x|G-Snj+}ET~DYfz+e0Hys-TRaF1(3hZ6AkeUyI05YS)WB^?d* zvyIXQ+LCE&CSYp*ekvh~uK?|1XeiCEGi^d{g&6OTij&uQXoaicr%pFh;6m5SX*gW7 zDM<Sr@BB1PW`-O$m=b8|yvR;muhIwkP%JHv+`1bFT)ie_@8jSrv7pZ>$F_Qjd=26t zI|TpzF6E9}fcY%E-u2;r3K6df3OoenaSmR3D9%ILDO-KMJ<RxPpA_gXr!`-MSdW+# zuJFF|S54K>L5d2o{FFK8{ex^;AQ7!dKMGW_k+;>Cg^I{KY~Tbmj?-9rjToGqk4ez; zeJsUD6WED$FzUB#c(@kz3YYfKR{(!5n)1-+MUx#r6lvZ$)h*MDhCb|OJWAQ%`=m;b z@v;&!0EwH>7^UuvkLm^I{I!44Scfc*t2)<9cQQ%L$P95MNU7rVvha`a73O@phO|gc z8bvk=oeot-3O8&N)sKzAEWa6}nvKifNu1ZV3^eqOFfc8M2PE80e#cArDJXFIFf4~A zl&}+Ug%feks|?%_(47^xkjIscv@{=KN(@?e;yF<jCYSzB&;%*-GafGp<tU%#;r{YU zQAG!n`U<CYa&$Yop1K4zNW`Xk!-)tUJM|neg=@YPHE_iG6qAStXKBoXdT`tB1@N>b z)Onvtxh>BB0rE(ek3@*8OBPZ^QY3hb?eq%Ju_j<pz{G=ZmA1^W{RJFNcWfLgwJm!l zoC|n9TBn(;kUHRe_QoC=2h-~QA~w>1AGM?{k-f!%p|zo%*j6I0MWMEkbOLybtV%e* zlyQ5ABNRn8>w%18+zQ$yw)ToUdwQ2dLlE?z3eh!yZyHW80vZZ#X_FASz7!yLh~sts z2+`O#2gH2Vfk>nMk(FKP%zcsQ{H_}al~2PZ2gZmPj;gZ0FxoQA%|>bH&binmrcCvX zkA@H)V9x*oB3{xu(aaiS@2uuSMbp`UGlB82Lf|2gOQgtg09CYUKW0ORHjwy~VJFjV zBV{)4>)fL1*oaEeG-2I41_i!eGK75-$HUza1{X&$Z8S}(ZMp0yV-vZAt;5A6sUwb3 z9JI)}_*KT!n$e=!Gw-PFFe|cwq~fB|bZl~PeBun8Y@|IaxmJ-6!3?=zTO}zqvWpWL zVrMoSf`A4igv6|hVsk0gStYT1l~$VqE`cmx!vNZUmJQhUet7?qbJ_;RY7#0T;#}1d zHyn<XX&%Y@#*e5vjEf0CmKGMM^&BxDPLZJD3yA5<&Kh{2#qc91FLPw(Um~#Ztp6ua z%5i~Wz_0H#oc_B|tU_04YUniRolCuGJU3D`oF+6b49ZnDaVL`%=``H5yJaA0Ug{`x zD}6;mD>vR`YsnfU7ur(uvKl*CI6mDVZCEYyv_u0mtTh8O*iIU9$>Nzf95zWX-Ar8T z77W+ehU0`lTtXYht0pp5+;DXS9uu@yLTW8D&huGfPZle7sW(N$NHXo3GlVquFFN10 zUWU|MH{^I1>6Lj43JAeyjGeohXf;Hu2}y=%s6&g`fJ7@LS*ydYQA+x!t<LZlge`*J z^#?s&D25)QM<Ed$PMh3nfR*go-q*OYqJqZOh!4w>g_J{k^Q+I}!&R`-o&{HM#;b#d zv2IRT48%|efOZ&e%tg+kwmj!lvYaF<OCzXh^--oqw1Lk?X(>&l*|63dRk1QjX8v1b za^joC1U6fcT#*`9Z85fFr*{npR))<;Mo}aL6IwJ5sckVZXc&B$dB9WztBtFUYv2Pu zpGIvjngTS^^lM;i4Pjjh>Yo_n^lBL}n!wpvYdXNMCWvpGW8PFSe*?S_qEzrJstfcT z5^&_#X)$6j?nPOjL@9kB^P?p}6B6}Uy{p2!mHiGCm=a_d2Az%##d$mmHH>S7<M{5_ zE!WbZY{#Kr@d>7>uz~SmJmpKAfep0a?~MS{4=#C@eVWnag4U(!`7@)fg3)=Xscg79 zDSmSw?MgnIZlesHo)NKn{#mS5a;!`oCGjPt3S4)H#GP@0s{Z5Bs}FLq{Q$VZd^jQ$ zG*!gfhbgd0HsXxF{F1dga1B(YD3xe=Y#VItOM;>4i;`V*a<^&;+s8TktnC%$d0l61 zGHuWk`>`ajHz|`!TKkUWR~9Xo8jsgwTE<zRO(|_KLM0_bs`bKO9Ipy2rCVN)HHRsH z&t09!2*N<XH>4~_04-|Dktz~u<Hhlktcq7v?76|fJ9hDP-sEa?YW>{^Cxox2O+Itl zHJj;8QFhmsmW`NiPwg~pt#Q#%FtoDK3{DE>PQLZJHPWaaJIb8RIR*)>oeQedVKH!# zEA10mI|r?6&U&&SZ+l%ZJW`#b65p;C<A!^i>>Eyk_L}56aTS=3Tk%8UN0%g^UJc#L zKWb=6{01K&Y9cBe*QyWr3y3MW4>APOeh^zqCK9@LqEEQxo!E4Yl}dbdS>u%fhrq6; zl{$1HT9IRqqg>W%cM-OBPJ0bLg;j(Fz-JGk=A|G5e%U!fTss8iu-4LvgwBj^X}cRR zf%AJp+HqO?e&tl~PP82kRDYM9la4m-j4xvEt&zL<EvuY*$*ZUy$AyV8!MP|N8L!6K zEfJU%X_~8<;}$UMCwbGk239`MX_}cm=dU%Dj$9}27PE|_&B)21Fo87V_}W!**=`zo zL*<w|qu;D_mh(!|&;5p1p}8UX%s5|4I)8ED#CJ8Xu7u^lyi1l1>vAbJl~MY+iH)I- zr&9f0Be5(@Dh!>}ukvay259|4XSFT*PPN#tZ2(mXX-Z(NXhYe+rh_8}qUl`}u1-C) zm_64T)7hfP+^VB9nQX$`#vC<2O)1X7(lk~l9j?8jj9#!F)PZO`bya2yj`F6Ffxpt8 z!%9uL)t^#Pmi*9diNa@t6fIjh2v#i#N@o{WmEfrAp<<I&5)_M7a%F=h0hMLeOP51+ zHN`~X_z=A)h^TJD*FtP@RX}bc^*XgHSw4uS+pqbcE9)CewGxs6iM_~DD2y<cz&E%7 zcz}pmin2w96KJQrldjHdFsdj<V|oaJh@Lu8=MU0oiYlvK@*xY(vL73PD3XhXctz98 zdgIh#5oA0K%~vsHgAay!WC-IB-;+~4(elZw<tYMj-K|SM^5xSj!1O~jJ(GMmybifE zy{r%O9GX8x2<Q8m59vK5=U>gNnS^Eq(#}CXj42Cp4DM2)A32Djt_G@nwu+o|bLv1X zNOPd6D+_z6QFSH586fC6<4l~dESoW)Rp<kpf7KElc|&@Iu4|lDtU`dgkxjE|MNPb{ zLvANC6}oi?reKf1URl1t|Nrv;l@=KFgSZ3+h8Xt)u~{UkVI~|o<{Nr{#o{f*Hm3Tw z^64mi>D$gs;_Ix&nYcV0ZF!l`{TV98x0!nIzW)$d>iTrZr+C-}n6m1BxL0nU-a{$< z=<tDQZx@qunjZg?J-V&f6*ON+S?ad->}>Y!X~NwbkLcUp?D@fwzwc?i5;x7mnA5}C z<?$F`UPhBL;GsyFX?{j$pHAWPwmZM&>8EtKUpx9M#2M6%Rycva->XA{AxqDFzDg<& zFXOz$r+e{-e{25NHJ&6}Nyc@y%2gea@VR14Z^QNAJsY0h_NIazaPSUEmz5f=_3&#& zjfuAbN<oTOSC3Vj;)R!Pjo+?O*i%MiAk7y(v^Dm0|9or5I^fN%(z7W_ndCdUM+ZK= zGkrUn-VgT}N_ck)+hmKKCKBz`dN@YXxb)(()ir%P^zn%^pkTnDkC&#Kt)jdHU+>}9 zSi<>yt-C#a>H9?wAE>ovln%m~>EZQ!c-A(Xx9RyuaHR1sq-~AAl5*S=f221W&Jq5F zE;=;D9U76)-}!0zIP0(JMaR#VJ6k4BeT`V-Z^p_NK;3b%G@SZ4=dXGRQz6dv0d*g+ zdi56#=yNdc59av!sJ|RxHM4hwa8_zEhhOVu91k^MtplrWJBUr}GGDTmp_Os|Pr}_z zji*}TY-cX-=MS*#93c?H$hMh#tpA&nwyirS<Ia+@CE_ZfjFUgqAWms(yzgvHz;(s~ zh_$|9k4q~od=>h0F7tB`asdo8Sjw!CAC{P8IR@fk7~D`}bA+X)Idxq@%4xn|5=dRT zVv95<HrS<Xc@p5eau9ih(4=@)BC%=EUwbioC`=Q2clY3GeyC>e>xEf4c?t?A#Ng1U z*z~vx5BX_3DBo2W^*m6$&;rJVPymN@6Je?+*1{~bz^`SZ8c|>Y`JxPYsXwWp5%SLZ zt5RX1rBGjh1|_u05H2!WbT8tYO$++h-9fp6_p$|?M0#J|cg_dWYZ8KaFiBJD${6Ab zd4f1!W=B&jzm!`~Jx%03^c9887w*7Moqx=j2DO@A6OXP?y=lU|wKL@Uj5tDBEz2n` z&S~9>{OTHGiOK3Y{on+gkkL@4)8^|{8!ITFr3@0#MW8O;qEJiFpHoCEtZ?Ncff^J{ zP7r{bl*S}aRDmnV=ZK?}a}QAXh)aWf*ZJRcN(xO9-pg@q4HMcL-a|Od62w<0Vl`Zq z!1{^<fK_@gfqCb^FeZ%-8&a@8IiIM+J=-3V!XZKFbq^too9Ea13Ij1xrYM?w$z_BU znx>?}?sZ+w3VhV3)fj8iv1FLMBR<X3wMH5AkU&uT_yp2Ohc&AMZBPeogy#n&f~Q7J zw3JW`=#^ewxkV&B2BLL0KBggEIBVaI(h`mDyAVn1r8Of2-a!b72P@p`LiA+r9(;;; z&FWB-D4c;4n!rHC^izAjAqOrn-2SsZAAlz?S+qHzsk<JW#SWM+J3O(9Z-hGfXV`@J z=f|CZ52fu3$XYC?#NoSCijG$3wT{-vFjlW+kN155u_#IdL~<VOR3T0C8{$<|C^7KD zEr-5U7?1_EfMn`8bEO$BzbcG?V^0V5SGjO6J{bf?b5EWPhp{PWZJ1<?%Sbfd3IpV% zmST7w<c#xaG7L!+)H6N8Rpmg6PVo_6QYRcw!k!scL25CvEQ0_DCXm2Li`|GFVoF8- zdoId?oVs~mE6#|d@s?PhShYX^V2rUY+#bW64h2@IH_h$K2tv!-ZKteQSB%nL<pR?# z)2jn;=lEg85HfS1sLy|>!YZ|_V#JVg^*HNTzR_Y;?KUvWjkzNs!y4r%KH%)4J<{Yo zq+^t1<cp-<f*f~t0e-|c4@F(f^BT&tb56q8Iv0qeT-P{X!pKoC+Jo{3uo>7{>2=6I z_*Ua7+%tGL<rnU>vVUK4IGj4$vQRI^yAT*vX-R-Vs<}YX9S5QVN>S@NT1S07IIxma z3uw?Z@^+Lqmw_~6Iv=|Uo#m_PF3C*qa<GXrdYN~TGLNgFX+b$8<a9RE5g6T|G`8PI zS+#@{(Q)VtImuZTd^&B^r4`zlY5mCY2)EZ_+tcW$)L8r`9cv=$)$(<_n~o7KE@vUA z|9Y`k@{G=)yy-KNw}+->XjbGeZgK{sh6`mT<Xsgn6>D+{^-$&-frPK3pD>RElaUL@ z16ONC(*qaK4h?9H^O(23#10fUqOe25Y#It_J+twn@E_*N1FORE;zjjairX+L`gUH! ztx|5*oEX&3=9vk{pvQ^Us&Gv%`wnL<qf#~Rf=xLWz%(T^QHE^IS{E8QucozW4mYt2 z%N&(&>Z1zUO(d*xl)oxj4O);e7XkB{LYRgLBMEe1G*lOmwr=XINI6lkCzHasYB?zi zbOLD5R7nnCAj89VgX@g(r6s1rxrJd((e(-s(PcOXy||68sPhdTMGgg0=VdKzqxf`z z2)>NsYjMd4Z^a`u&=DGVCMA+JvdTzOW?YAfL~e~ouopK>Ryp>marqcitjH%wGLaQ~ zq#KeV>a1t@v&k^6k+{eN69(mRPM>!({<0Wl-s64b;>wWAwvvG*D`Dj99CeJ-m#?(! z<ObS+Mu=?06cxD<3)R3RWuBs=3n8uPlBQZQz;Kd_gh!}t?X@yMRbV?B);8320|OrT zBNce@Mi+tbK%cY_UdEwhq2~po9;M)@OX_Qc(Uq8&5!D!=#S9Qigbtm+>Clc52PmB! zS=Skn>kWp^no<{;F|-@45C)Tz2_JNZv#QaAya`*F7Ct=4K`8b^9!*SrM^)0$#a$N& zhk_wXfk1(e>oqitB!0l%s5vMcd~%A7VBA~0C8F~v$x6tO;w(rRC)VmzLUT_`iync< z!y9>Viyj~ma9f&&KjZ&-b*T)5?Kvu~uV#kyyP-mfIj~!-nhp~yRmY&^ytHNzFz>A~ z$x(ARZa_1VyB4?wj_271jL{#&N*Lp&=pJ<7g{%5<KDX{dyIL)r_cE|UKx>5ybu8AL z>Wm*?sD;zqTqoPkxF+{BZ0FGQ87H<ji7wQ`z-U9jES;F|LyzYzrw@|?HY+Q3S)k?0 zY5SyoOKyQR&Yn%lVdyaMQL_46(Jw3`DLWSig^X)64Qm7Qtdd$fM#w;o83xg$i>Z!@ zd=`dJiK=FyY;rE9Y+UBMVhTD=j*8U%lT98jGJM)xCEM28MQC7Ik{oL-zVytAC#`NE zRB(7aGITI~u0(zm_%A+z#3P;_(pvGU3n@PiT&r_-W_b{p5!%a`Xy$ZhkXPmIFo@)2 z+5{Qyb!|h>Gs{Ak@6apsC(e$dwHv-GwkZv?(r$)l(Q$&VL8H5`5uLQAf*@2J-hnXh z7$E1v1U85+l63E-B2fqVb7GVu1GbbU+GLi|W<N{YN^eb)Mkc26Lzu6D&E^1uk(81X zYCg9eM{$h?r$$i=`|)rX8nK)op}_>hY@65NQDSmYDHsh(0bh~e4-))kdH9W`5=4}2 zEe+(zNQQO}coC(Q<8LkTAbq416ed(Ii#WBt)RpA*loQz{E*J2e9`1B$Qs$vj^^Ng$ zVX(-AQQIYU;N#KJ3AsAiOgK^uT2e1&re~Nm<y+D=qlev9>P(j=ZFCPEq!omjA)B!> zXPDd3lO~dr+cU$KIdnr}m(mW?yL0DY;n*b`5@UE-J>mumI!`u-qMW4;ZP;g}6WXHN z?X*2Of~ZtN8L4S2t8g@q#JG&`qZ&dh;bTmbFg=U80VTD>_=V1(!G<1Vf}vXF`m&fU zcQWFbC*o6V`|Z=NWk?R~Oq^iBN}N0>TgKyXQ<=ggY_WwQv{O;&c$Dr9$@n5Vk}-!F zY;u+}awKov;&|G`!yWoc-o!JZ<55RoP8oHJ!+03pU}|oLH%K3oFJj+rlA~P}F-yv^ z3r9PUPBH9^jWKIClf@QLJUm4nabfv7Y8(H`WSP}m(z&HG9G=YgTNw!sYd^t)y8!8M z!*DcW;>3)KRF<L3GM5X&Xfjh6mJ!OZwVck6@Ddb87iUJ8H>55%%F2aUr(t}c@hWnn zZv3HRyYpQB#)}ys=Op9jiqOw`TwFm;q_jy1N6Vq;o*=p^%?krY%A{xzH$|g~IHRZ) z4+QR{xdFq1F3K_Zg#i_QV$_A<K6a-u!Xz=BJ6Rh>kz9u$J8w5lsn3+6Oi+fYfytAQ z@TlB*Yn_f|gIn@e#zC{Iw5x`!DNBqfl=e|~BUkN_W|DwpU_pCcrp;VJ*Sj&0b}<;) zbB|?2YEz{Ji7N(Pn^dQc`Ze3rEs87jET+SrJI110Ca^5A<NU{>Y@4Um+RLVa1i|oG zNs==qS>B{pLD7y5YiZ$hC=sd|ZJ@%fI5{d)j0SY>+Z{NxzCAKvqBErwwdZ7fgn_MM z1nobZ`FkK}W^&gVGwp_|lT^V`l9m=Z1~3VRnd6H_8I4V}I53W+I#m`X;CR$(3ZyJ? z328jh$&nZq{IsGrm{eR7>QctBjV$`j(1?z(b3qmpu)`g2Dd_glI=vqYBQ^asU1lg} zzZNzXhqpv+nQ4{Rve9)~K9Mz{E1L~RRV8?m5tvC7rFosxf_-NUX%a2hkBy&^Fo)2M z3o8yt7;Z5eCA^chHfTVMOvf9OFjGf~ta8a*I@FP=4N5GWoXfbG^X{@OT@J-mw9{e7 z*MpIpbA@svxm+ecHmT)GM`{cq=R5Y3h3-`-*of)4>-OH2jOOp&lL=?0CiAkARtT$< zp%YgaPS+v|b4F&}LK-lhaZcQyU+2oCJ2%HZq7L&AEivSH2lfdpT`?v)8J=mFxVgtY zxF(p4-DGWmY;es5QE#<5vDgW+xyFD^?~53d#5;=+DO_8|F91B>y6}Vv1v=`;3Kej4 zI66aDqXTbbh$YcEnX^(iC3BOB_@L!@$$#nvo6LW7!5f3K%4}fUos|>p<V+&$BRYl2 z7IVweq^M9;rHvNw7fw@U060|;mNFv*wP&(~&jdcfxL9r+E;V>TUJY0pHpBrR`ifwe zSCL_bH5zT<9DC--<J^&~P^F!zB~n#WTTxAxZ)rxY#jK@V2jf)|T0REBnk<Rppm6oL zm_|`s6K%;FS8%pmVgKGkiR1@ZLf0g`N0M9GH>vfiY9OzY8Oa!(L6?oNY{|BDiF$7A z82+f1xN~W%Sa`DYMMwXwU{r+qP$NpD>#z+3tA)bOmjaB8EU#FfM(ukp3Vj7pO{Qyw zHRExg<a|pxS!`mlcS}^J(HMO1*&~|SR9OeWb>8NoyB0&WtXs`E;~Guk8PKbBd}Vli z(MTpp=5hsxBqV2`o+41RI>Tn%f(C#xwne>Ey<eccLuopyAyIvoxS*Nja$8nmfVsu} z$!C$A#a4ME8F0JU#c16CdkF?$uV5aGbK?yeI*cW?m5(HIbbnqQjTZvO(N)l`nPF*6 z73EyJIA<p#d7ETh^NDeq%1V4#qiUp9Ox)svHR15+^cIsy?eA?I4DezKmC%XVT!dBO z+pD~tZ&C+i$_{weiuE6wu+i?at3xb^nKHsU<BefNrDSypn+{pzL>a?pGEz`_wLLG2 zfunuCIcXt~B9h{!PiKkC;EU3_VbLdA9THD#Cr&mFuML73@GHPl$6Cp#rp3dF%;9sJ zFef-GyVc`afRsT{E{p<jI#4R7C`4?1oQpag`eW21$I?QaL`)8me161QI3vdlNBz%e z1|Y&5w|X)M64L{K`ia8<35eHAHDU7P&71BPYZmu8UG9W?7@z{fhAgdFXv$X()wz)v z5scV|3rg@fO!;V;Y)#;_9y7zpX}USV#&VPeG2-J~e6LFaiCl8xNM;0vliGr}MHc@P z!Uv~eI$Zl#64$4tlZhFy$ioVsLe)7P2~1h&TalYV8OJ@?aOG$5s$v4FZb0MeDu9Hy z!(9D6S2Y+ZTW96Bf~GX3Vy<Nip0$;HE~;prpv9bijHyKM(M<MffemBK%-SGpqDo$5 z`r~3QlDE@N+Ib67I3+N?Y+s}@QK9;=!yaNzWYh{9{<x?;JkoHHdNw#$$5&G$h)VFh z>mjhtbKvk;P?=?qM}#IgDJ;$rFGnZB-nR=U?qj?3(t>1k4j(rs>Y#XCQYg%Y<|bzI zjWbq)Dyws+GE7I;!wYP=aMKY6qvIjU3eSJgF|`CN{V2~4Jn`Q`x*DZUOO?^ET6$<# zcGB=2=Uqwh$Qh{2M0cKQ;vg5C<a7=<l&nIDqVbsE)yI@d$9xQJG9z-brtooe2(lt) za?Vy}h7l@Is*(pR?d!KpvN#?6lA@}^9z?p1kS!u+6da@fL;Py0CrF9rYbunL3*VJ6 z_aGa~IH%+Q#^_>`6t$>}db1YRA+_~Te(@~3&e%4ZBF0Ch_%e{kB&m~+-oo<-b^7>7 zlM)%OIR&tX#zBfh#{5j^EMA142y^hO!%5Q6?!`_Sk`uy7lC9PcJm8_DFTpktyvU^X zGt;;ZoM6E#SzAug$mh!<A5f9u&}lN&nC1b_93eLiU5}}7cK_=nr>qxJ{`K*kI-kv# zOdb!g_&QdqvuDFxzI+kt$pdIsTUI|&t`4PE0#}?iU<o;im?xblHZ;Q+)(TK%BjI)7 z`N0_>tPJbNR6x~M<|1O4dNW+P4>8;p#dLVl+87%KhSw8{la^67#!3mpl)ZOSq2^kQ zP(#L+a40a~(N#+h-{*k0tPkURGSQ97@I0)aMiV;19=_X2R-3eMbCb5r8jU+|+fy;K zG6NvB@kuB19gbDjO{)}YTHrYirS$qd9HduT<;Ro0jZl1Ag65rej9osXMBWRD^#F=t z8646$ZFdpp|L9y+kcqk~b7Ew;XF!KwpH<K;LqjUzq^%?Zwmos;VJN!#vSfL<+#G$v z$aMIYa6Aairb{|l`i!nxdRH_uS~C6#r86@p)c46$vaosAs2gXNXiV=mCYi3tlEUpJ z%mvE`IvvZtYRS~Ke7d%Akv4^ec^C{v6iCNzZ;T>`?pw!*5=V<ZCs*?}JtJ)uvE@r) zzpd)Y^ptR%q$*|fM}j$tkF-hKjRU`=bKCJHXjN{VIxa8+aVz=$OorRF>EL?FpCY?h zR!4V?UNk7-&rs|!m*^9f0xZPtO)@fIgQUvRslXz45j>2y<@n8kV<_2|yrnw8M{|=> zGP96<ucL``118?HZ=gLhj)}^dho*V_dr_D(EtVb2;gKmRrqXC!F{iB>Rw6sjlBML1 zS)3Q6V^?5oFqPbyr{}~YZfg2QNwNWUCABSX`(F8~6GS#~2j#?x+%@F#<wk2}X|$!C z4HiUmd*r6**Gl6faV}G;7Ns#{ZQ0sQaUoeWS{NOY!q(to+A6;6dMpPY!`f_=h>4nE zV9te~^CZ6%8`{}f#;yybQOJM1lo?uFko<8hMcxe8%WmT^LaS501<l|wi^F=k?mLQI zb+gzf2b|>3aws%&{G*YRCFpV$vd+aB$p`s`2$wT3JavoaB>XRPnY+*4<DzJWss$!Y z7NKRV=<RGv`(>sI8P;w=v}~ANi}9LkBg=V*=%$6P99}GDfcFrmFz5zP<d1OS)s&hK zTp{3@cYBV<DwVj-Q*jx0lfP;ngs`}ygJBbGqJZ(4!v><{!RD_pWFFQD6K?O&j>8cs zC9+BU0{v>hP`qix$Y@0_%ICj5VH(w`Q-7SVOa$@!HcjpOOSAaqCAZ~Y&ufaCcD(TA z53T>TBcF4nZIh~apSbP+IPS!YZ@uqB-P`ZG`A=8u_}L4e`)GH?<?sJscgJ6U?|oOi z=|>;B_@&prckPRn%a@*c>iM5t{wJ^f$zOcv-sNw(`lXk)e{bUW@BGg87yj!zKk%6s z{?D5S?^}IldFY)Zi(WstFnRQc_n-RI1*J=`$bbIK6K+|0*iinsl^f$X56Zx+?_V4( z*|zEa+qQo?I_#z;o1?W;l}85R;~waCpMUzfhdwOVulv>~cUhi#E-ba=*~NEnU3GJC z{j=Yi{YvqM;8WeBzIf!h+du!Q51#kOk6k*|-M`|?kN(w@J9gavu4Q-J@#KyF^wPTU z50-!Nuy6kGhrjfL?^mz;;nOdkx_^E3=<ZWbp7P8i*S_mR$GqbsXWhEwj(hk2;fdW< zYmWUdpE>WBw%c2`J^iMiJow#zcx=ZXZ2ta@AKCWEQ1{`7a$oxVwRil@^Y7a7r6<31 z<GmmM`m(P-_S~%x?0m;a_sK6l_T04xzH!CHOaJ!!zuS83+ABViTlzbNWlJmZ5#ge3 zIaUhCe&ToE`qs;q|8(Jw`#*5OnOBb@lZy^VCYzCoTu}Ms-))}y_D4;!V9E5N*Ozk1 z^!68?|In}I&8B$UhDmYl*8P{?`RQeE{nB0Cw{E|G@K;Yd{{Q-i+wNc8{lG&XuiUVH z`|2f2-}<57`N8k}@7LaO#&KuTPwrX%gY}O;d-21o7QXb-{gdBabl({hxBU3spF8@e zr(AvfpWgq$-<=KKddi|RkH{mF<4%3*vPz?H+~-gGWn>cP&%CM-zd1LsaK-&cg_&DB zufP5F?YRY8gUut2srdKfc*{4R-TYg>`{b>^f5Y`>ZCLiLM_70~vu*R#lCvw1th(;{ z_O1=fe&252yzuL9T=>|fr5&?hU$SW5B|EzN-~5li{n!`p_~uL7-uHXI{+Xpe`}F4b zo%GxzM?O5eWBtPClH>PBcYN>zH|+axciD=+SikkiAO7?=SDnA`jMv=$@Ke9De)aA* z-1^tuFRXvfXP#?4_1|y){5xNacieFN&0js`tNV`I|7>@C{_nnY+(n;T@w2yHdSJ%` z&v)N<%9HzU*zx@rpLx@lo_y$*JwLtT#ZN!*<ENkBzUkW6JijoxIG<k{2S>y)GLc}@ zZ67-As>`EWkG<orGmrb-<?qnGqb_#azOsJ3+LP;By}<?(&J1^oWf{i8%8I=j?`ARN zIT*+HcE9m>SAGMlf3mlZO_p`fjE!QMX8#KZii1jIHCB+OX4M9$S{cg9&h7z*MkPRM zGJ%;sjmXY*>)!Jo{IR~xoM$JxpVb5wQ3tRx6ArFBgP7VdnO&>!N=5l&hCG1%P4C7s zsXwqS_5JmLUaz_;wTV*45v>nSG)BY2$Hq>08m_yeS?p|Pi}&(axjwdcbOjixjT&qV z6(#&;_|4!OYWq{Lwm3Ex{b;Z}Ar#dO{z<y{Se?nQPVL}~o?gU|-eCE3>VX|RfW^Gp z5Gt2hZDAfX+U+go49Xl<h@dqgn3U{hSVs-6Rz9)!NWdIW^Pj6}vV#sIh~58wh)hyj zE{6}Z*qp^aVNWJcWig02xNO<N!EZjLGI>ublK^{7$|o|pckphRNK%<}y9WllFc~45 zC(@#nZ1;WKcbt!m4{TYtPBUWAuV=?dO@}GsL{}x<=?uyl*cw}n?NVh;Wr8ssuPrP3 zGWkQFAcM?*^R6e8F7<9S*4676Uz&YtaANi7H}|J9Ir``oXxF_vWj5;@;Sib74v%*a z-+%aim5Iz^N0KI7@RPxHV}s8i3GAG8GlPW}^7(@@dAxuLv2~}@GPLW&V7ItBn?)uV z>^%0^!R~24Qkk57dS5084o%HqYYKy_IPCPSSe-FO%}}n!{@Hvjx+;@{{RB%rv<dT& zwv5UIBahx?v0yp4e*L}O{470yeiD4;M_qYo>^<o70onUPqbo0<gsV>&dxkRU9jHt< zidJ-=@*NOn@}tOP?8|%iKK$?j`bjDiZ|_Scuv_G163~-;`GZ}RNw+&X-%mO{nH1`K zWe|n+`C%=*CuLBtv)Gl=exfq5b{$USUMxbcUh&QS*_~swI5K&*i%cfEnAXItSTVL2 zi(0C{RPgaZmC5T~R7;=5$FZY1*pmrbt}=P1JJ?-~L*;S5vf}X-Xx_Th5e%&ek$YF~ zq-N{Z4Nf4FiC_MS_CS@1nyVZ8WekX-sSnS~<kX%_5ZuJ-?jbT+zwIlB%0zpemS<EZ zQUv`_nOt$j!oe(dC{-r17n#5-;#HY2>JS~JpCA+Mh{$$tHp`R@JUC%+tdk#20DoEJ z;fHnXN@b$9JQZJUL}|I`8)bHGW$c0j&D<Zm%HW||j9mp;aEMHno~!wKuA%<?*tKpQ zeX0n_#)|Op?9TF2;WuIN*>vpMIa?%kbd<rZu2!lT2gZtnI(8uwY~<=VCxd?MqJK<G z=-Bnl0jyPZ^ZC9^iYpkh%BLSdGW;VG6tP@hS00>Lv0`vy!JnyhEi48i6X=aip#NiI z56_QXqZk&|enMf$WTK1h%yHPaJj?!~)<bQ0df(gT9F{et2i$eOT^|HtgR`FEE#+aG z-nB$(50huuE14uXI7fa~mYN^<TCSvfJm5XQtu{W*V(>H_mmI12*0g>3k!)tkjH~wf zX{fDFsa4Zg&SEqX*R!L!5}))KnumX=Z(FnPuj!~Q&A8T&<16k;cx!MA-lw59IN{|4 z?1-lLS|?5CZp>*}^YI?MM_0>M`by6#zVUSmeVY*P`%)LRiz-VE8YD8u?Vr{)?3L&1 za!ZiJE1~<vd^^9A2iiv|W-uCDt^SaW#|<*V*vOE^ui2A}d39a7roHi~2twD6s@=+X z<+bFiu$4;fRG#8x;*L~&>|loK%V=kmE4F1)JDL#7D>zxZ@u&<>rxTw(AZvOyK(E=O zbg{{4H_~2|E1l))!ymRj;}H9qJV{d7ZCtD}ycRo}Cr5v~GC9j#qINVnf$%xPmuWaT zi`yRjYyM1aZ4!S^Zd`rxTv8#=nv6@-mS^H^asF+X+5!D`iF_XK>M<>Aj#4&Wz(uak zXq||A8=!Ndbd}DvXQjUM())CJ`Rjc;*NWOjm6gi7w%M9a>)H&Tv`eh=M_uj4OETD7 z)iziVzkVr04P(V+q@}i@>l2Hl(0x|S6A64XYAoJcNM{y}F+6pTo@JfT#ZV^;5|O8V z-0e2I^xW?8#~+Z@>&YQcJ-xg8(t-WZ?S5lyJu?`+eB#-$6^sk?;fWU}x-aUQYH$T} z7RApoIAEKQK?3Isp%c&Bf@T0_eqaU1a!ALpU+lL3;-vp)DU4D&9{+1){`&|s4rh0+ zUVRXm*-~9^obFDnF3N%8!@6QyQ6Ice8>QPlC=+W7YBC&|s9k%NiDa;KIqw>)kA|L( zj;TzFKkh!$>=ui(x5|WayN#!w7G$y>k#)b}4YPI!28#dGmr0Q&K=;6FvC`L*36)lv z9Cy`0nWz*}`}OHO<7Gm*|C&r<bmc$SE&Lg)Rc6ZnC{<=i?0_GA^g%kTyl^O}_Xo#T z-+SNy*2HPV73;be#+P<jFddYM;ug3GN&5*$5|K%_Ypue)8}y{d)K27Hv2#xy*xMad z`_;#b{75F&$?V>Vr_#nv`^k!Bv%fN$?`l8k4zAaJ(oMP;eI4ApdIjs33Hb_SZ@}O< z{bc{aHt)+My>FSF-8S)I#_oT`{-rFqvB%+mn)jd8Pq3a#Kj9(Pyz|R7VTF~-dm_(# zkCjPQHr#bx_>)WJjQxl76V0|lWnxkSuk$iV!+NEkKyYHhb`xY$-&;Je_nA@OPqdq} z&f2+mqFzTRDl)Zhk0z`*eP95Yyw=O)QP$O@zV@|yDQB#^#IwbMBkd<Y>6g@($szrO z_3S~J_3;k+NBOb&VEjKGO8bef9sgraW%4ielfkimKgr*FfG;8FdaSVSz=i$N;wh_- z%|xH?Nst?ko%*t?=X0O0@u+`Zaclfxt9ZThihS$Mr;}glNc6EZUTXE>0KMy<8SItU zJ0}@Q@9d$(8!dE8*Y)vweyiv2>iOG_yfLq*1MxXK81Rf#20qve_s=-?&nx!heSiM^ z;VbYr`M53wl_vhtz4MCw^NYPQcg0#0UZVE$Z_V%W@gzT&`aU1|^R@@?`_*2&=f~4L zz=TrTM$yOf`y>b?oyUQ5ZqYaq-N3FcJTLV#58-<j(zra?i_dHo175IaYh2e1{d0!o zS9o|zdj2qcLoc7=c*hySPV728Jj&HVX;2U6PAJVUch8?aOwSnV`9)r8k?!N0>><9w zYrHkT^5r-kxN5qCdHQ+rU6V=;)DB7aG<xS2=g&L#&nw~|Qg%na5G&8_!CrZ$WwedL z0es-tn3_@gvHVd*;o|<ta8<ujC#Rc_Z*_IRaV>45%=xVuMf1(A0q>%IVBWX$p;rdd z1+T6h#Y-Wc^ZbbG6T#OP<>-Jy>>Qr)_d%M2x60OgFff^7C^&V>lm(g0Apv|}f=@I6 z0O9O|VcQ47npNNNs@L)yOyt2iy;>Hpb5f(&oTPEb3i%0AFf2l9lc(H~I6~HA^G3v% zqow1>LLA2yZ{2W+(_C5?;YGORT6g-6zrc@IAz++Ox+5(9%G&};HpB`ZW_jmIZU=xH zmfcWl3k-T!Ct!hYNu!5e$)c|3frSh7qK-%Y-q$NX?}efDu=HYRLGs!fQ5$HAA}IwU z#3J5*CqNX)a1&%S5lp?%W#1lJFn!rZL49X^AY$)pFQgPlqj@gtVo4x1w_kVmY3o1- z5Z6BSGz4vJ#L%W`lwb8!B3U5%bWy3SB$w_INOJ~KBZYS_n%Jw3lFrcDxU?J%Nw$VU z@Qz7uWP;EaO;J4;29$bmmkRmxPu~!yh-qO;&;Ql?`F@c<PuL#B=&`5(PgIjq>Ls*6 z!MNB>JJ<pq_wmbGAAi?_f6z4udX#>%A52Q&>7BiYTD_(`@xIGbYx@Mwm@ewSUJX69 z^a_%GKb?Q{ko&c?d{04N^!4)M`SHj|)C#QvJ%+zaB?4X#Rg-YUs0Xj6Q->7QqS}qZ zi)z<{9h?_Z`&JkXOcjZja^&Z)q1pQ+(p(<mTc}Uv6~0kM=Urc@+W4se(C%I!MT%4% zY?^9gYo(^i!~C?ARNJx|n5M=ZKoTnb>6EQ<U;vjD%I}~Xkv?2urkCJx44Nq;RzYPx z=Q8cnR7q7_nwD1C`VhQn+5DyS9jQv0^2otEXr42KaBCF;i<WC7fl(GyO1ghu)7Yd6 z8PByF2cl)E)7A(BL%hPEYv@hvWAO=;K$<Vc0`OUtE_r*k*P!?^eZZ&ri_he<^hMQ! z97NF&CAbvQ^8h&)nuat3Z!AhH+jmNVx1;O;z=ehuaiOaz%j$;d8f=3L<0$47k4u%9 z5f1)Br<&~<(EK*)SQ(#F?#M%0s&rbjTw0eS{wz(Ch&k*W;EWdFS>Ix84ht>>Q|Zm& zM`;V(T_esLSQmAql7YuuujzcV5w8nd>s6`JIvY6gS#p7Hd67}w0uX~ig<>O-$*_4M z8gN>S3zal4tepXYlN-=vSkuiz>X2YZ99JkY?&&Tq0~jRq_Ml6}NiAg91C<Xb@ZWdV zl4=u6Tz`nJXz7yPRb$%`dqF)l90bTJIIM-3g-bXtWmV9funiY;q2*SwN*u?rF5NId z!}Ds~`QmKgV4+5}0yiMn1p{VIxhT%LHW-~`14TPo#CO0uK^6UW#3Z<a0toYCyb3x+ zZA^`SI4LA`T`x#W^Fat2(k4|gph!MHyOLu0RU1|ci~%nBtEAwvvYls9S@s&XlRe}( zpM6ttGQD_<Y_T?Y`{9;Xb!_W`Haj4S)gUK4fAjsqQ6IxWKNhpW2H6nI#fPCL7VJ%= zj9WJL!qX7rJEc?O4zc(s-qTwPU+S#?CjeJmh~gj_T5+yPI9=2jGAg{BGb)mERYi0- zxH2*p9HQ3gJZmEtF`?9yg}{boYGc`Vpd&PUX>SbI5TKBdJdWU`s0i4D5RkJ9aE6vb z5na_<OS%Eq2a4euTXSfIr7j`cp`s$YH<ZTG4}oWcFsWAS0U`a@YH-lgG0<biakx$? z2GzC!G2K?oU};+$oec>EK@&xo=BX$#XY0mRB@8dH*15<+*VUju>G$|pc(K(orG(r? z^W)@}+r-MniA6k#SU9`DHl<8!2IO-x0A&X)6a!Ltq`)7($P9Q5Xe~BMutu}0i&SU0 z@YAb^<EQ-_=RhPBY55YEK(iPzb=$Hej)bZX?Ch3^D37P3JM&=J_`G_(6UEg=gpJe) zZI9BeRdSJOD+Sb+zM&;py+Ylk490O5py#KQAJ4HfY(O>Nrcf155V03o*&AYikKcCW zc|LM+l;h~^*)FF8isVLY(&2Pf=;8|VLK4jI5m*|5BY;kAxjAq;@DPGSYf8<=vN*Pq zbv#gta-KuB^dyrF9q}gPd{S~Uo|7yk>P9=|hl7^h@9jx*kPxKdboI>v$#{U8`Yr=5 z@?_Hv?Ia=WLSF**Gm*hkci+@8<0Sd`n|Dq;pMJ)2LKjM1is4AL(|t%h2{hy+l%e)+ z(rhxC5}#PN>eu4PF{Up+0ev%a!g=v@o*rY&_#}xXQxIqDIIUsbBB_gVDRbjo;19Bv zt4g03o9_6jLU68-YVrog0rhB#;xsHSO1WL|V@3(I#pgs4a{_HeJH$;n>!7Aoqr@uZ z1XW^s!QLPV<NQcdj^G5XarkAaM_IHywCRlwd{|P|#Sup?2<w`{${u7)`NoQCD2?;P zF)l_lvK<`(DGsfkkJlPA23YaTDy2TTx)bAwD}&zo`l|Q@Qs7Cb9-WdaGOaliNykFh zl17NGUy>SISQCzyS&8OE2MR&Zv9q3pwzd{23`TV6l^Uc_=a3At)Fi?#EeN2V)Z1{^ zbvll@uqM$$O|)Q2M^k5V^>FC|TTsKd8}n3^&KONfr1<EEgnvSf7x_bp+Q>Q{()ZmI zA$Elk)(U|~%f(NGj3LKT{G=ts;&jqE(-F>;*Bv7d+W?`!-Jso#4@L+*{tGioC5NE_ z(ZYp~MxcU9vB?aSjTSK1<R~MSb~J@}q+ND38&3w2BZKg(>nVk~AkrGmDFCgU69=z( zl_)vWA0DLpPVY-`+D{b9EK8j<<g(<&St2zpP=PpF-UW=F?Oj3vG)3K@U(AJ+9<c&w z=ni-+_c=qNjJ74dWPsHJhpuFi15u}0c*!`lVv@2r#oTKGjx*}nFYf7FE;s4Wdg~;B zDl1VVEcoFR4d618VLHG0G-$z%MB`uub;gxQN5tGn)}ZqeOll1r^v<ri5G@I-53&!E zC0{KGIjC~jC#WqHrrL617{<AH=0qboD~6$Ovb~5_^X@K_#3KRL@BDhWta@RX2ra_< zN~q-+dIXzxBM~<pa+cA$vvpInj054^rM9NFnOL$Qez681Y1EXQNZxVjIR_q2)yWId zCuzjt9@GHJ!6mRA*@92bG8?ETDep>+lIHL+OG;C8WF|BYZr$AyPL?*BfJJ{m@*Bb+ zoDs)cQ%fI&hBH7N#;s+NaXYMO4C!dU?O699;?sK00iJQ8H1Z@y;UpR3a$I(kjuFL$ z9nP5kIx80j%PYZB=E}}-g+|%}(qt&*;Zjg`mV~Iy9#f%FHq~S|3CP(Y`>)MyaKYLZ zTN^on6veV~bb!5=1G&J^lCT=el=y>va6$WBxhQE{t#Zk=UCR=Xj14Vo$fUivd>1Us zgT`q_)jTJ==fqA5PTQ}A0cJew=AtlwvRvedzRWo)f}Fi`H6j#Xcq(Io8Ok-aNwhoM zm15X}Y~mauBZF}xszJ>CcjDd20XD}0?WmQ^>}DpM3o*JOwc*H^H==_ODt!(9;)oXF zoAs0-=s1|_z#pNbQHRimBZV+RvqK3qLD*PaN0Io?mZ$F0dvmF0of<UQkluG5^A5s? zNzU;(Gx4Jr<961P6E|QOznT&+pmo@8Z_wAE4cQ2drTn@>V?()QL`I~k_z}$*C647( z&gCq4%pwtGW=FF0zF|AUie+RjxT!@KGLeg~Bu}o5obD78%kIX;wn$ZbM1)0_rQxaV zLZ%D`OJ67Dxo{)Wn3SAR%AN;OsOd0&BQ&-nrf!QwPV0<wB+t7vNW0LY=<aBkP_27p z@mK!VHdzqfw8;khg2>+0F;c%s+Kt>b9+$yO4?RwD8er6%JHvchcbsPoBfAIs?>@`G zj1#fq&<>s}5e}@v+F_QSB&KCEPBpx<F;72mJW-kq3(3N=ynB-MCrpOqujeE*^c;1$ zHKDX!$m)E0lC;jW<sN{lgqy;SixSQ)C7nqsEb!uFCcY8ci7Q9Wl{-OPYkx~iqvrhS zEDDJ*c#s-j)gj1@?SuQSjQ^7UhzQ|Y=D;B4RBv_RdDOy7Oks4ZL^tj>K+Ez(CydH0 zwirJ^k=VAvJxGEXZE=fymLCN{OTtYfIxcj|ls^+sa_u`7BB~!a20>-cyCjUbJ;I?h zJqM1Fk_{slc+z5dwnN|cvY@!wSTISKCT*v*&Xp>5EZR}>I$elxE8&TUb#k^#E@8dQ zx`xWes@8!CqhdHcsWV#Z>ZqJxyoF8Qm82>wlgg2Yqp>wHL7ZG@Wr+>BqfU7pd=2tJ zn9^LlAS$A9zWFdPFVQ6XqfZWG>){tWdoCOA=QxL&Pi!IFC{h9M%Ar4(Q&4$UQIhPd z6sK?D_%w`PxU?vSA*~!xkyaxbm~@G8R@Yl$oJpcv;DuaS%!@eYfzRmodI2Oh*9<E& zmRj<k1WS=xcsBR>xSC9=mjt7Fah$!Yf|K@zWME`TFc7>=5!BIB4eRq>{ZbfLy>}QF zOGA4QSHj2wK+MB&4x)u^BKqJUw4ouGF!OTrlXQky@Nob-iY-v)_?2;7*O1k_1YM<4 zY3G!(Gj#~x6OS4nB_GhpC0Ez1;|Vusz<xjxyX9(mg8b*_q_`_sRI{w2jEh=Qr{6db z9tft#zbf&J&;%qp3+XyPZkSKA(42#8-7v(<NE@lf!@=$GN*lIpu3#e@H0>5CTPc{N zqYpBYvtya*Sg{s5N}fxodyuMfBQ=CrK>TZzO@;j9NM~&{cX!mh&AAGlW0lG3?FXmS zQIAO%^W6fC!<crgh>_C3sqOWHNfKrl4qQ@EWlWtx05C(FYDT6v?`*PIHG%GDA>gt7 z9Tth&v`B(K6_JLZ>XsyXEP;XB;J7mmxoFhz`Y1Y^M=lMqBif5{j6FwGY$R1J1q;lK zXp}z3<fTL+QetR#<cuWD(y7>4#wvp8Ajw`SR^A>Bo#xEw<u)>o6q<RdPG!fBiCZ(` zDjUmK0)$?s2`(56BT}o0JYgbdX=^hnhLOO8Zx=BJ{U<#!D9Dw=(oTvld@w*=VD5=? z;NF}fC;CDE7$~WfBU6U!p^7jPK!7%Z#%OF-3XqG$Oe4NwTT3=cZd9u$p+px9n+U8B z3i-q##-Ml_g|;ID2+7(&5yi!A^&-8f)8nfg#3m6!I?l01@tC@B<1m);@0KC<YL&7j zY!t1{565jZ@)F{<9Gla^!^CKI;qVFhQ8sAINwQLsOA>2=peQs4V?PB@D|PkCqQcwJ z+6MQMT1WEJ%ftA@Vokykx$;3*09wwzGborGY!{l7p6l~61v4F$^eOF(7A8krP$|(V zK(>*MXQCPZlFLHX9K#(+MRM^mC7u9G*3X5rA#N0!)Y8Dxh^->qkwmVJGle1=m}eQl zS=UPB2HKf&(p>h2g)_8OnNl1-9lO+Ni@FdIn6S<oOsBzUDjbOm1*&1Ps2QiZakl;E zQVA9_;832FO<1=21VPTNN<j`c;CU=S!>SJRF8|KjR410@`4R<b74-tHy(!-o7U5XO zfF;LRmP`e8*Fl>(@g%QOYu-wRcN{s}0$;NRVVo}+4&u1@7CyVD=ipHb#X~0`Tv4Qu zPQgh(is2vg3vyc68to*LpAIVl$FZ?#%6++#X1N_u9ef8vO)*!da1-JKIRPKH_=}yu zpIg+z!1e4Ky|+gVd>pu65P%TGEvpF7U>s0?npB8M%eS|Ss0+@NUFwG|WrY<>8Yh^@ zl$1_p=w<~$9?hmRatDNz4jHtE%{zny_oDNzRUpNR!*B5Otq{ON!)i2jEyokWU~9@r zO2}zSj<So%t_tH~6!H{U+mCso9JI{q#Lj3>WMwNdhm@VPS~AB_<ywK0uR6g*LNM$m zLjzJAV<?4g!c(HPl)HOV+)M_7DvTR|YUNO}7x>{<ilD30N+{djw?;OAtmUV0K_Q$X z5LbWUn1)}4B4{+lNzXSri2HaS&X-hHDKf54YXcl|?22=XRA!l!E=-dhaHSXb@lrpu zT4T>!xJ8U3GmLRY)&Nwe^c_ANduO;hekDg&&P%Z)HXur+4{@qYl|$k|^lf9kkf}H7 z8>Nz$tIMVLN_jWOapf2(3<Y86A_nAOL#94iSeD3$w3MO5+*B;t++3^1q%N(^vTMoo zaKkYWRN4)mB345i)3;1cc!ns9F~LB*TRR6sd1=ws@uNzgIw}|%XxKcAEfASJrd@L8 z{g$tFEaVZ6Nd|6`ZAkRi(lB*1G~ejQx0!3lo04^up}G}4o(<Z}k)&^EG4maciU1Dy zlh+;Nau_RXwc*uF$G0WTXmML7%E0C$2^X48b1^4m^YvH9@d>e8IO^P@-9v@pcBV6) zY`Xo1h&3UPuv+ARbyrwqBB`av?*SXuG{J_TbuBIGFrgtAIR=}{4F~!S6%4k_u^ne| zz?R^6?M<e!iWPA>{6Q}W8$7LmPjZ%8rNfomzMGmV-*%)#%k!_toQ}c+?g~U&OWm@m zV<$LlH$7F>6WfKk3#L$!DT&?dTFEJ|speyzo=ET@f?=_dbmm+bUlegn(r!ly3(Jdk z%XCB9_nBO2CSfJgkUTFA;@_N{TrQz?opAa(hXx=pq%p8bHhwsNH{-uc%<(kZR>|+K z*`f2}$?9pLSzDEX##{5v3#|?6l*%(+<X2N}u_N)8j!hm)3d>J!3u+_QX7l-AI&Mk0 zB)X2fmQLrQB(x*3U1}Bu<&pU0P5IlbjPUKBCvFoNu}*Hvi^;{qC0UqJ;w_hFzH*j1 z{301~lIrywDH779-IU}PCT3S>%H4ca#NfxR+qZ__&-)(ArBROL#*#03aWicmy_086 z_c2(xYMgV2-Bax7<aD#-OR7z{oS!hzr1vwp^xfv`fBgJkAA85WDwA*t=V~*I_kpxN zG<)VtcdUE#lw)tZ?M(ZcTdRv6{MPl?eRcR#8-Dw#&pz;b_x<Dlmft+`?Kmv{^S0xc zKKM(!w*K%(Kggf_=nV^hxc_e+SoO!nV}JF<AG~z?`k8;c|ED|d_>;>&zNoXRzA#?@ zsra`x-}X{z^CPX>Z@lN`?O(e5s5?Hj{neK}cf~{b>u)}4=dtn!x8L;M2Ttui_fL1c z^XcxpfA$YYZhrLspDg?5XKp>V`_|ca|7?$4_}=cb<(Dpb$AZDu+rqn7G2AWv+#TP) z|H(hO<4ezNyy1o)hnxQ5k?iLDnj1g4wYvTI&v&OjvG1|l_iw-Q-GBV|`?hy?eE-A; zwmi4#hV5%=pFXEL`1F^b{MMiT)svgI|Mshw-SB(w*zv%MKb*YbJ3~8uc>jv27q>pQ zedhz~!awfp3tD;3OYRCL3yZG0IIg+PfA9w@7XR_%dv@OVz)>H$Ve6*fd*tuG`^oqJ z^oC9QxBs_Xcm0=6${%)WlrI)<`1|jCdHd>5{^{0%_@BO0`OYJQ_x%3sYpx2e{Z4CY z+p1-&CZfp@nM}&(cD!`>``>W#TlzBD`O=TSe8rVp?(;G^oURq<9E?o9aOO+Lulrtn z<ZV-DhR5C-3?h>|ADr3z`OUk(@R46R<JbOf>Lcw7I*YeHebbTa&$?mXBY(d8rm6Tl zJ4f~(|4$EG{AZ86@xC{F?|XlJ>A+X-zv@l*|JTy59haY2d&B#eTpD+GT>nCC;n{PY z<L-apn>)_G?e<Td`S`CbeD1NMuHU)rf#ZtTZ{KnM{tv#t`q)RmzW?I0FZ<xNJG$@P z@!|)6{kh|>`J4T}weJ1b9N&F*#|MA+AD=s7!}V{Tc=f(rj8*4-<SpOc|L7UlesbG} z4L47i3+I+_zVXq4UB&S8D|S43{MJ1?p8e6yZ~V<SJa*idk6X9?^^d>dj-zjT^TaQ0 zYaH>z=Xd<wDc5}Fm>qx9{osdoe(9!#_wIlE%&$H6k<WbdJ1@Rz-$QroIBoS6zx3FV zrOi{p+3~(rA6>NQ)V~b>V(X6LqOVUZd*s$HfAkC6mwb5ZhUb^x_s`G1IJaZTTW610 z-}v79PcICf8pXxpeSi1p?8dhSf4XEK{?VhAiys;M%wK=+nAc12z~<wQU6pBMc+#4i zL?%~kD;@D%_0o~POycC?GxD>XjPYl;4%q|x@p>VfJ?Uu4?A^<b=0V-RJ2u97F3!nx zW8L4Jo^v`@8ly_9%YM`D;NvfHT#3U-6SET>o0(-Z>sYzS?qJKNRWp;}xX<dr(bbB- zZcNy{n$5hYy8?H<7`aOJ?hP(3pOh4{<?<K@)yK-5ZsPFay5LjUoe%Hbn_-?kAP2(o zfde`#W>+X3TxV>eQ1B-Z<s?RigD&N5j0rW}kIlYZwuKTtke+)2yRP}3{>D>JjSUtv z!NTAKa#O=|I3>hZ>p{^?(rmYVxtpEUz1V)^KmHh}B}W?sJZ9!$rLAjKu0FR?N@)}` z>&AGrc;|}Mt35hnqo+N%dX$X>=V+FBH@kDX2?MnA>gW5w@qg?flQLsNDihtm`%GUZ z@}7e-8Eg2q>2||z_lCWDkqPo)KRhzQhSi(P$mBpO6O+jlyQ|quu4N#7_<9zZbdgG( znc=Dfd-uL{F(zAzJIm$Q#d6_=v+VrlP}NDnr^@ArcXu+Ut4!AZbNPiAJOy7aL-G|e z_zPsRm&$T{6MY%e6BV3r^D@akTkv^LS|@c<yi6vf_%IC9mgk()9Xw~<#MtSlgHNlb z=T-adqW<jc>)E4>G`(0@$F4vod)@hs2B#GtKK59o!5(K?^OZ7rcwKjD%FCpy=`WMX z*g5F_K{vZ|baZz1H(tJ1`s1BBFB9KSXfkB-9xs#86<vAepiDR`=qbHKGw<BHckj~| z2P%_6_Rwp~uUkjA;oRti%+ODY-SsOtljc*jPd@vM%EW7NaMkKmCYKj?t}DM!Wx`?3 ziD%L4;8UEp`%x$JtUu}Yy!2!O59$v8<t{Qwb&*y+#2xE-)8m_T2093b{y4x!1T9ds zlk{jE{kr@33tS(6I6GK`<~ip~jHNQ6>(i?D-g}Uw^TAXm)UhX%)hd&2w1;z!gT=>H zCadRVvU6wg-hMxM{1?ciyL$A%Io)%7yJ!JFd3xJd^0U)TJ#+B#eo{v!@BR!nK~wor zekv0^f%4gdGV!`7@={MGW8I7W*hPn(QJG{isZ8EC<7G0qenr|}fAY*;c*r6XPI*F& zu?v|57q2_1QOvF@uhX$>^=ig0WODY?j9o8u&iSgBNnU<>z&8!K)WwJC*hOQr5B?Q0 z@qPIJX77E#EGw!*-?ew|)9gNToZhEt#^%pJ^|6J95IxdrFnI0i=FHIYxZX2B12M*K z2-lC~yElUWMiZ6lrWw!l5a=O;B0=aOJRQb7hdlo?2n~`*yc1_8K@)E>V!TOA;ujbL z<G<*f_ghtapY9n4jmG=seeY#;pIud}R;^mKR{h(1SJfUVdo!?heexho36#G#O8)|N ztwC*{l&9GCI?{Wf(|2JL-*?H#PG8fNb|u{7`!0GgdTZ^wrnK);Y1q?PJ)riU%KNVO z9xSozyJM5*=)1g4_FRychbL=u{oFgJe`I8UwJ-{PpQDV)z}pG*acBqAzjVAI**S#S z-#9SNERQ{Tx<65L@19QU*7NkYRkrC8>A~wm8c#=54qM{;WB0f;ngbJt{gGEnKeyky zZ&LU8rR&H1KFVURAK`otBCf`d<F-6qzK^lMa~|Yzxxcf|FsIctx94em+G79Vig?dE zlwpugNXydM@96g#uE^W-<7Pu$FEhG$6Q%2GWc{r)F>99jhdrAOuM1goWh=e5JeRJ& zx~V!Dyik~N>%AxOS7`bWeiWSrczbix6=x<f`EMEsn6S^08>Mpz$DC;h-o)3{ec11B z98RS1cAM{OtZ(AHDp`LGuMjo;HGUssfB&!M`x*6#fX83kx>nMk6ZR+i{gLdGq)+Z) zk6`QVB41mR)!m5$Nq@iYSL~8+tiLYoS6uV<H~V<MH*p|=?)*(xK+oPqZq;zv&-lsf zpb7g7{k}%_I_e{)<$a9GGm@{ZmoD8Cxg<q=_U6FjO7=N+vCmOm)s@mKzw7=-i0|x| zw2r)MaW&+<=DL>Zq=&tpn_hdi?(OVS9l+nt)V0jub?@i;>mF;>&#u(gU)So^$w`ck zyso&-9ni4UyJg^G{oJJ#Ffy{V<mUx>+vyE`)xkH^C*M#ik6f<<^!u4Y`CgyiV^@1* zkG!QyciOAx3oI>#eo*HY{7p@5>~-{6F*kK>_?pFCWTaz94!z}#&8d-+Vy?eFj4c{- zS}(VcE9naQAL~B<${$Pevm#(r>SfF**mXT~$rKM{KHSx<`qTZMIrQqjGQY17cci_s zO#j_G+8FJv9~{A3kUdv<%d&gKkC04!0`2p>P3-*~7a+T)unC&d{oqH~<Wo;EEAG|3 zbr_UWfoj1bkRr3gDRJ&Zd#Qp={PeOT2eV<d$u6A-Tz`GLGtWD%w?>&(*lt<yALGlm z{TPCuSu`8>IsV{>Z9)d^g=yXI8KCWLvU@j&JAmujyOEjS<jCm8(RTe{K@;4rPMRa} zzMXs<T;5Jfc{>r`PJXBDY`w1SWHiJd)NxHN2<^nS4=%|bzsoFdCzoG-o-8tf-l@4Q zG0=OP<nshjlOsBJAJIp8>B@G(ES`4q?6cs1La4s*Crt2Dld}_fk^@qf_tYJ{q^a$s zhZ`^2Nr&&`$VR2XgkGn6YGr)A6>TRVI?W6cwlW?A%GCcO+eu-Q_xpD8JLFccYdhgC z4`?<YR;F8MC!^X<unBV)Fu6_Imyf7T9s{?co$UP+C_GG#OtD9MWLKK{cG9UQQ$Kw> z87g@H?{@Y4j`kGO`W$-O!6pQ?opkVpO~Rh*uD!J>?xNYTNINN#=I*g=aum^j1pgg1 zP!Dg{BZCZeF_G9F?h(|#{^L0RR&f7T20xqhm!GrDhbh{>6;k(a<**|CbIS9x%w$D= zlC2E<`}tR|ET8@NljE2<KbJf|6Wy6(X6cd3`7%TEn2Nuyychzu67HC6C!&%2ir1)x zTM!&cpNQ+w7ZkXsJnaL{Uo{19_PNhhNLb%{5pSvj-yz<R2drMLZ1N$+xsK0!xHLQm z?$I>PDg_t7F>Oh_uaMRF5L}4zi9CHyo+C)1iFBFr5m0afc~s01WD*}4B<%leaP{to zKbR?9z%8d32dDS1iVslux&khaedn1+>eH4SI-UZdE|tmO4Hr1pD8bva=6;MfrW%yz zpW@>~PDQ?&(o=hqK<LT9E6?+NKz*Uc7IKChLRx((s#;T=;m1o$eSWEsSu7tk8sa4i z5i73+Pt7t8+2+Jvgbr!kr8yi6mS2IbjD0R@r3rCP9RA{m*Tb>ert>iiE3SIStFS;_ zF5u`KS8^)+%haSw!rZ!uQ@Q*dG*N+0W7Ma=!jIScc~8iijpelXP<2XqSYP15FaLnT zgrI-zSy)n0ig)~$nHUWn4PF2(+sg9gSuB8F;O{x%NQ3iMJNzxFyOmUW-HO}+uglal zg@r4>95gOK;d2DR6=;oA8BoE|u!6*C99b1t=i|7j&h%xqg6sXdItwAEkXrAId}f}1 z2<4jdF<xG8BMl}jv?}BzeN@s*k#XSTLkU<*nANIC5^_@M6kAwOW0xV&91+$9Ek6VW zw;zi5Q3w|zJFSrds=fWH$7R#c@=lt1U_7x=0{ww=Tv>rYNY^+SLJG!ToxdK#I3B;u zT!V&R#INWG@G_qKrFV|Jm?IMssp$YOQSj<D$Ts7BMaaqW$fY!@Wxv1{mv>Dp^~!l^ zqz9wi;p_<cRb0-nf?_3Ar1|nQI7h=ux})Qc3MW1suAxR3!<t==!P|(S2`${?{Y4vr zSnt&V6P42iYw4g}U<snyKrN`C>qWe)Thco9)8_b=r_zBnP<c{MrNso&|AV*!ps~8@ z+S9VASrSJB&Qn6?3PM(WE6+S^6s^T`)=Q&nI&>u{VM&~0K}YI3#Tj6`yymUC?6F)t z_@h*G3zvmyFe-u6YGj^2J}tKgcn6ntM0k|nkA#B0L$qv3cus&$wKiy$O5$;((e*n% ztb#N&zR9n|&(r#*<?t(LLc=3tgImOh7!WxW`~rpQ%=pwH7hV*E{7QeI^j4_?6mADY zW{HmtF+rn&b|IHJP71Cbuj^Meg`Y<hsgTpxB`>_MpJ2Qxd>Xny<)JSpP9&{vQP48t zQ%gAl#{!bD5J@%eb$Kk3fHQfNk_Cwrp{uKaS&Z7Wx&VF{MizBgDv?;{3lgc<Kxwf^ zlQgt;i(F%*1gx)KTz@pU9}#ViimULF+p*HBK(jE@<^BvoBA%NQjuF#iDUWr=dt-lH z;0jV#Jo_*gLkaTIdX!ayrWZYAGp;?b&0K6kl5QM}wyZJ_sL%^cOUNYPY$po{#f~f6 z02W6X{6t_o)COOWEaVWQaUZE|T&-=XmM74GdZLo!?HG`FlHkW{ebC3OkYt%MWZ=V| zvbcoN@Cf|c+w(|Q5aH(8t-usAuSBU|bE1-Q4P2KizQ)ed60j;{#CC?_<+mVKi2w&1 zt&<jip^q#tC8={Co})pt4~0EChOqItaP{8eVwIy!?Q|$9L2VqWdwynFqhL)d=L0qh zoqB6J4DG44hM)F%r1t66L7bpI4IB&Xv5-gL682@~0|<DPM}L-IfC8g#p(c&7dWrR- zQW$mP39*s&>6MG+Ow$R_)A&i9SWh`2j&)ceeG6+qVEm`b;Du{^gM?H$XxTMizQ$%k zijb8HfetEUuarlC@gaZxp*W(fkJigIh%tt%A8Sq*C&;rWn?NqRC`dq&=&_PZdzx~k zYf`hA{JEwWO#v+ND->fG5e#Ad1*jJu8{vzg^javeP#&Y5obY@vT`^-g!pOdI3&%RZ z;?-U|%FDvos7JllYEINSLbwbeMBAnYfSO}eGnUD+wJsXe?D-qAs(pd_O-89a5N_b1 z?y;JkrMj&46uB1i00i)AiSRj#Yc-17!Wh?w2|hPyN`-`<`Ykm^jQR#cf62!7I^_ry zeCmySklPNydr)TqI@;;T#`soG`zG*sn|WJ8tA-7Qjz|%MQC!Rq&`bg6uU=Su#)k^I zLKN964Ez_Zi*#Vd2N9TveyagbuM0?*!K!!pGOHh;V`RYomM@9W26TR?9JG00DGl-C z-L3An*F0$Neojn;*(|cCZb{gBqgI+uYmnFNwTz<{KCv@gO}Z382D52(75qR<rZJ|9 zjYs_i7aM3{DC^WqJ+bUKp+TsSfW|1;BMMLZP=LmgMhldXgxy121LUg8ZIB~>yhAdr ztR3jNzvC@DRy~mLuU^d|c&YSxuAr8g_<M>FVGGU#trF4by%R1WmLY~%+hN5Bf=JML zl((uUw;|Eb?&|AGs4=mKfwAvZz3X#P6fkSFQY*FNH6pITV_XgTMO@wAZwz=RWoWct z)ltZEhc6htD1cR14vUZ17?0Hmd;v?q1YdTjlY&U{Q5p|=<f76!MH^81>!dS2&SMm* zdF70hSS4hwe~YUTEsIaB1Z=z0qULg_2OJI@=(C85l^8I=q2&NAy&MaSB2_DaT0{Q< z|L@U2-A~^nGKf?cL%-g0Qt*$x7}Wn)K;L2it06J}YSRB8{HZmtYV;>b>V6X9|DgT< zy#|iaula$K=`S}Qqc`RXVyfZCrOgs~%xyZ-G5s*9tLUU=YY5B!bp9d@>vKGHvvia7 zZa%I>ML18F<!44elGFPU)TaySkH#1A`I**X#D)I0bc@fYh|fCt2R_2L)4q`BRnQdf zGVT1ad5~D4Gxd_P^@tdUB9=6OT5c;}7!Y1lAj<1Q*pow8xJs{3wiPC*%lJ+@OXLcC zkxpHvxP0K)1Lqm9*Cf+~eNtS<bfm&r(JkEj0zO*WDpzZQ{QP4*3)*NHcfC5KH|i2@ z<gUThbODZZBfBE(pwOR(9PhUJX`P;Ftq&HIZ%4jBSX|SSJ^_s*=zLn|lvcy)dRUgt z2Lm16<@i7+c^M+(!?x<3$bQIpggf1b^=#;n--iM^KO>rqbNG;HII2E$UXJB-#!uap zUTO1m%Wj_hSdLkVOnkrzc_=u&SQlJzuJGk^$3(*}Xb&Z#%_UM;Y{qRbKEU$hqu)7= zPOlYweZYO(hx{DX<zAh|u7D*Wv4<tDr0;#whr)9zP3f5E#vZ5Fv%OC*PUgJd!68PD zB2No8O*9I5!S3*>p<rU{<R*;(TBLWRQi?#0tld6Y&dJvzAMdz2pCY(=RhaYFf7NBV z@%}J*q?^69q*l75&-=8biqSt^%@Ew!`=FrJ)_sgp8GM!}4>b)C7qW^%h%3DzSEVU% z>iQ>!IgO>*0jG$9Dnx3?_78&{6FnhG<<V<cIS|RXPtgT;P04;{AFEUboXRHhCVsqY z*Q*CjAIHagKewkj79jw>4hnD0L*yLqhVq2e7EB?z$HYp%62Kxx7WH+p7QIWg`O|^R zlW8tXUYbzufDY0GDMc(ue}5bz^Q$SMHP+)o3B5NLI^aF#sJm|En%F!>R-_E5SbBdM zANzmB6+~!TA@RAX{|N3HwF2_EqR|jzd@Lo4lcIPFp&K(Y8&ak&xD~5z&cYfEtSD^G zDPTKSUl`j7{uG{cJTGfR;xV3tsjKgNwBD&Zo-@@Nq;aalIQMDXAio#-u#qIu-XTaG zd!LB$ZV}Rest4U0^>>^Q;2M;-tir4`o5!g<3S-jX8vGuSUo0h28q+~8(*$3Q7%fE- zIZva{0KF_eWb%|+I?Jy(|1-g_blSr7HCY!SeZg6aUg*;)SAxKxUY-Mr7r;kGyH3!m z&l$bK9k6=!dT@%dh9F=qp>)vGXsyh6nr0|@URM%*YAk8fCnSxt(nFj^JMVB3r+1Zm z039oqLyGf#_lk->;|Z0<@FRWlKNaITR&pBDka`Tin5(XT7)F!BgiHLCq4s3{p)S|c zy+1s?x!NaPgm%ygBcVc<+Bsf@&%n2#@{?G(sk~v#*|(4q7b!_>^dT-DNvH8kJPn<3 zTohtxfN)h=jTe|}0J2h)e+8!wk2zl=3*P51l*Q(wfDbd2+D9xeglwSE0>Ye!d}DQ< zs$RpSt}7V>6Y0fDLj2NZWR<0SoK%eBZDbLzhoX7V+D8iMvJ8b0*@iB`=BGH=u0Vz| zJ>QVV;-tT>g|z%BBpe@|sL&d)$Q6Ur6x3qQD6>>Y<qbFFuqsF51WBytOAJNh;c&)@ zW;1f8a_%4C1e95oh6AhgfECFc7+aD?YEw?RztCmk8YBfyZs_VjSTuAO(LmCrd22>w zst>ynL$dC=<(ilz^6LdOMx`QFX#+SMXgRV{W4*NMD61K+k#%I_%jG0C97e=(SE$ge zkH#Y~&>21T5|{t11rMuj!pzP@#?B<ek+c?lyR|%4jv|v!(kMxCF{x@w9gpE-t}TY^ zG=1)vIQ}f^BF2J5Hk6^>s39?KM&>onJNhfj0eX5MXjDb<F=d(dTo#-}N*}`qd}$07 z$gGyo<j>n<EpMa;Cuz<CosYGK6AQ_YQNvEe&cb7C+FA~xNY^FMP*$s~;cBdfn>ZI$ zIeB6cyh#Sri;;UK85?){P_wM>$jq!zJ7T6p){)L9#syr9i<NQm^I=TmTTKWY`x@3{ zkcz3C8?$g5H2BwDWo8WNn|5ZNLzabhvYuzgXl*>Zux}0u7Fm%>^#E8K^Ik%%Bgd8l zzbQj{F&fuRyVP0*5C2Lj8t^^>q_rxmKjM!tV9>5rkBaW0d=(!^gmy^ce71>PnrkA% zHg$v6#NCUeb5wA!spe%jYZf-|@r=@{y&3Sh1i*(9vvRUd;zbUMv7*Ww=!OGrgB4ho zAa+uS^z<icoj&b1HrWg#>apf#kqPbKvC=3LYcwDJI{;PeoTYvp0a`pQL}95S(!os6 zw1A_SIXwvq^)SyIQpwfo`pA3H%r+tjMdmpHBSB(^0*18A)DIcA(VxPf4{el;bUQOf zRV_h46`NBXB;)vf#zxDaS<Mos=GB7vcO_0_h8WagG+)Vv2(fa7Qd?e49p*}#<G3Yo zAe2wwrAN{r5w&$;n!|Z=aK?EGi-c7*_nb0KBIQaSRaJ9a7(|2{{MNBA6*;Q$I1hR~ z#+yg;pe5<sKtHQha+1c$KIBn}#ypFL6=Z`*<MHIc(333twcA35Wda|dJG-aAIgQoB zEOdZ%zIZct$i#Trq4T8%Zy)t=Bay2eU+Y}cGU-)wiPbd78qY}LD$=(K$5O>$5Q$rd z)S6<D)<KZ^eCrSe$A=$jG!A!;GP{(Fot7GX>LK4wCO8e<*L;^cP2q%=h15%;-jmMK zj~oz0(v~6&UA>bz2QyFLP<t$fbV*cG<F&eVDa0bVQb~{{O)SY3X7!T9r7j)NmVD)P zy7+=OvfVK$ABkd`f}=1O*}1v%<E(egzGqw*(EaPMG3)d6=R3kkWyfsRjdK)>an9Af zJmdm*#B&03<Ly<0JVZ+3y--M`J{5Qw)EE$Wn}|*Hcv_~J4(tLE3z=N1(KTA^BT>HG zJ{C)KscQS#^G&R!&8qpd2V1~9DC=Slrh?`KjdlW086pdukdZYat|r<%9XL0>O@~~- z3n*gLuVZk4X~vU>vrFw!s{)j*G!}V#tbbW|reW^+qQv=pny2Tv@#T$iEe>Fznd5*b zrc=!8Gri+M(Mk4SrP@#C$hvewbT+=y>2WfoaZ5r`Vv??N)Siet%rUsz<OCfaVopBN z8hFZ>t`alj(sT7X%;_MXA(1Z=erU7{H}LI15m#o`=8?q11F&jE0Oc%lGBkm*Ly|#8 zI);R@ji<}$JY6A&!Ny`P6gbyfF6PWS&bO_#^w{U_S!(W#DaUf_xUzB+P{oFe`+UtU z>Iqa%>o75}&>B#aCA?H+aE4w(&kJ-`DPrcUR61uJ)P(uy4=%pywK;~oIVFWUa<RTn z@>GPJ%%gNyle1!SzQ1hLq+*Gew9BtI;F!lHK2q=bEvgufyji94*$L;+qK<;K-;@3> zjzy%r|4hOxN+%rJNap*}eex(6C`Io}?xDYlC+>;ve|#H9SsAA%LR<baHw{~4iy`(o z<ZimylIMvH=sdzvT7E)Po`tc&ht2`*yPTUP()qRtX2~uz(s54eq-u}F41Lt`a(16- zB&ds%d5?3_FPqmrv6K*m|05fOBjWj#1l<6~F&og=f=_1Uj~f&_UXoG`S7PfxYd=RM z=bv`VM|{|qR9dkl?gpnAgcPi_H01D0w#0%ts_j1f@uSfuI(O|YxF%c_X3>S-HAUln zOHj8GG(`I}-61pU5*>*v9L3q^w6^N^)~Nq7WJRphBR=`T7}-dtQ){MWHZm3x)e$#V zU60X+H-~1VcSz1j<+#B_j{voiNu~vetxj$u=vzZ{cylFf5x_vUBs$xH%aFI2A`$mO z8*4qvfm{kO>T!C>fwUQCXCi1{Y;8o5VtMq_=Olcoihh-erE50E|1dTVA;unYuyVC| z4n-HLw+xmSsTnt<H#k=r;&?hnVAl=hqdrH~6(?!KGIB_Y{v@8o+~lQ`u$D%9S0&=h z)A-dSOj|fj6KZsb0)h1FX-q4h%a{tg#)M25*$&S+XGoc(B__Mm+;*JKcV_^`9Oo8g zCXpQ$HfC6-MF@+=xu_?r>$$G8>g^LpOWqE;e<ohApthDvv325>Vk;R>$y(Mp2rWPQ z8OQR4SodAfS2CmF;}td~m3~_hSz;IFTsnav*urKxG<)n^;fIN5XFCY-J-ozX4T}lE zcdy|9+qu-C2SiHb(JbR3Po!<ITjFsU8XiaVSX}=^cJcXIu0AvT^O-fj-@m%fW8PSX z8p@seD2dj}0kQvW&~Q}hqV&f|e^WxNm&m{tKlV$1Uvo=joFIk6ZjSDVDW8W1DSVwH zgxkByPo%AZ(vghf^0VI6c9Ui24p)qAFm(iXKH+s>Et5z`I6$1Jdy~-1uEx`sC>!5^ z)K$sRdCHXRYUxr^Z^uZHNkY{$uI6HnDda?X%%Ve*=0EmTRl2V@o;?BTGIvpDQ98#& zFGwm;nW{qq13>^2s$daRR~61L)F1K)_Kd9}&Xoz;>;zvx;Lyl1isn+M(@hzt$fn!w zh~O_b@mpGqb@EIv+s7@dB#YcUF<cLk0q0Ya!(7Nyn~Rk2d%@%NKHC>cA)<A9I&j7a zVIV;*4mSV^EG^&=+Vh`j;6O#s<VxhsjJTXk9ZS%nHIH)WUbRpPK{TWaG03I-n4haG zKJH>i?X)}M=2>aoj>!<McgPOJiIG=Fo`n5b4bn%Me$;19^+002bG{_;x&aw7kM5In zgO>z;tNM+$E$GRN`~3*7Lhcqpie6N?5U)IiY7%-5k~iuafwk#T6S!y1X?=Kfx)w9x z4rB*3X*v{VSbs>~Qmbf|P!DRYK5>HnKE8cWvg3{q=gs7N$puRcH=t=qVzH2BtRBXm z6h}WYM=YgxMD*yOZDl&1P%?!&Ga~6%U-l0vH~x7ktYS>W0miu0N~5mG;t*quF=%_3 z!O^5C-+EKf4%kbgl>!!NHWWAR^*2^&8qK0OeeqQu&fLOWGvfqSn<Q+w%f=pq59gIK z!oysrq&=`1GSmbWJ?dwavbO72;n_fL{nwnTYFBa7h@$yg>m%^_%)J{f<ZC*?Sba*5 ze4$RO?zvC3im}$la}r(rr%2#<w|OoHcheS<EBlm)R_(xNk(r@tOTW|deCoj^aQC8H zla_`{);MzeDvBNEvt42)U~B0C#wep^Vvuf-3;zJ3F(Ls;N|$1A)o<d96(_^as&m?9 zG7Y0~4C=$<;4J!;h~ML$79Q40V<CbdRv}Re7eMoe&}dUHZO`fy+F+kZSy?K);v++z zW12mO)knt8GDaCmhms*X=$UCqCl+$WV7HQG%ntMk;E>i*Lj>ip<jl`QY{uZKb+&+O zB^mVoSg)uScX*hU!(|Px;jB<~Z%!AdlfhTsM-%ghMyK6#+&ft~GmKz<b587Hr$uB` zu-Q<BzQL>P9JjDZ#${}b+TBm79A6Hc5$m9g;M<a0ySw1Su<W(NNvPxx(3JyTenTp0 zCFQ|r$4ohm&p`)eDbG^4h1A64%M%^Pgh2VAsNdrmoX(lGl_iQGlP2Y&WV2*KUh!;q z9DDT>O}x*lsr~>9bPPA(rQ|k8d1s*rI(oDak-%5ae)cRpDx1LqfK~&azq*oCec1bp zOG7H@l3WoASs**E>G;$T!$~eCF8Zh?(%cZ0to)WZ1Xp{O;{UptkMDHxr0qs}yVyPQ zm`g3^RH-zlI4<LxwX$W=ddHUt76v))7$MW>nwH4zGsDqV)1tC;%|z>NFh<iYl4zES z=jtSmVMCa5M)xk_&G?MZ<UYr9Tv=ppEX}IF+2ZCsdJMXZX9JDg<&4~aoM}vhoQby4 zJ4E0WxDg*~%pj}GJo1N+8Af(A=lDYmY__B%{5;GwjOIC`0kz>#VDj+7tfmDPRQIqH zm@VvZV9X37C1_uUbnYgHk(H>NbQ{G66Pi3dVaLzY;{w)SI~UvV-a<gW$J8H}n>;wR zR$f3=LnYcUU(iz-Z$@42o(&Uoa{ug7Hl6N~s~*{xCadQ*C&T^8ubnVEk@eT(!Bf5` z!_S|HqfHBR1+(cR!)Nx$@QgdT;?n9&_6INELpNrAK-;$O>$bt)i4OW&#H{;|hCk-$ zK~`OMUE&ysvr8PsALu`UzWR7~oR;H$S#;R~&pR5%Sw0@|3u_m?FyJu8lJkR)EQ)iK z$8PmjnAsO)S%2b0_HD)x5%#F0e}~R8P;&xA3zz8;-J7jnf{G-_Mx2wRZ$i37?v%M} z_?p9XWngYL%Ut!YR8A=+O2%=_^Os8cQa5~jDpjZb7ym;8bL*wvswQX2R~|cs{J_C4 z+EF)5U-f>i&$#6(0jl5knRpP32ZzR#>6C`)pnTtD%H<kOSnfFM!8K7+&aB*8ISIn( zqS;&Pv73{1AK6s<>n@vJefO=k=#)f$;Wv4N;Ij0~3yUv{C%9w$3J(KsGba7MyrygX zv)r%De(B|BH7k9t@0B#1)<t_BxQccpx6apBXUTxOgWiZfBjE`qmn?DVqZ|)6M8}uR z%f(STpI-GqTz)}o#~t_FiP0)o&(Al|tG#-DRv!bPz_mBeUR{s79+SBtnP)0BL*K=G z!eq11n3=&$4)6Wog-a98k-$+jCa=C`+FS+Fy4rM8#@gzaA_&vSvVRtn#23*;fM>FQ zZniXizl@)Oh-ZrB8NxVIM|YDjolt&Jw6#UJU(P+jzkI3HY2J3?oQ$Uijwf}FY~xtY zPwu*jdWU^lG$Em3YJ_$cMhwybWXFSt>8s1bmqx>@)F$*@FYR6Z@-^iR8~)|zzW=s& zy*YVD?_CeyQQr2vTb{n<t~Xu2`ExT*w+}z`-H-my{qJnw_0$RNKiofh`1K$B-6zhw z^T2n1@W~6`wdT`bZ{PX7S3G?EJKorS^6>H3f8)J3fBhrv_KV)}nTLM&p$7*(@VoE- z!P5`Ct^YgUIPnvAy!0b?cE5k~9S=Sjr`viq)#uA5X)T=a!7u#d#~yh9&sTe=4&D6Q zm-qDC@ylO(;H;_94}9i<|NFuBxzj#?O`ff8-?!n}`T3V#x?^brcg9=pe)jN5AO7OZ z9Jt$#b2HJw`PRX);q0@Aw#+`eb^HGP?e;_Oe)g~a_`WB$wA=-eJo3oRt#7SQ?S?r% zVTclB%eUUPdT0OOhrjU3S0zb$XR><Dnr;^-v;X<H%Px%9+wlk2eE&O7z4QL*uZ(W{ zqf^e?{7ZM;vE%i<r=N2B-N`Ax{^vWNh~|G``|jUeeaic{{r;;?i~G~)RloS)->pCI zz?Z-E&{>DS^kDjk%*`<f#?jNSdgv}G+vc|Hj+eV~SGBOoD)($UJZ=VqO=u_AzJ+!& z9BhKkUcO3ga<LqK@%Jz5`mIlWdi5PQom!65()C;J`qsrayl3CH+h6$L*T4Na+&d0; zz58?fM&JGVJ3p}Lm9KoV{mE~?{<V|eooYXH_?91h@|u%=@WHQ~_olty_|Ct4uRZ!N zZ~v3udHB?aPCNd$Z~sC2fw#T!4?cYE9d|vndd;@mx7~5);%w!;bI0}1bFgwx*1zeg zr#|tq`QN{H)st_%dGWIQS`Ym4KR$3y`MkT-CdV(_a_1pza@=;;RGVC|L&v-0&r_Sc z=W{!5{2MoaV>j(&du#sSZ6<s4(3bhd_iW#~ul?k=UjIj*cl!=)o_E!r?2G+3ul~D> zqpUfjPoja%&%SN-SvQyuzy6gkzv}h9`(9UGLsyq1vlqSZoX6wS<*E<#J@}h{|CaB3 z_cfz8f3WXAJ+a~LhvKjN%5mAuopa?K8*ZN5-}AD~pSinS-Fnx+D^GC!Y31V&ed6!p zS3dqrqvw?mKXzB;%QELgZSswmK6KY-2Tt6uE5762*>dT|8CSHEm!`u_gCzO+Y0+h` z)RVpR3|x>M*fn+cF6VCJ<lt~Fa{Ewmwq5m`(#64W&L8Y7yU#0?4jq(aa<cva=Y5qf z<utQqb5cf0S*dh&alY9Z+|yMWZ9P_u15BGEBTY0ZFC&IVU*f=327jGM1ZY=-|2=o_ z9ff*QYT!9<xTNQy`4h+r%G^Evoz;pz&$@HQ*ii)J)vR;+UuhZt%rnb=rhHY(Smng( zLtPE<dcxTy8egq+$>eL>?P{q`i1Q44^*HOUXJk)RV|VBDQa#WJ={T6#pSyj~CqN#L zKS-Lr%8y%GbfKluGA)CiEnTjSvQ|CVq@CO3@DJH!bc)pN$;;Fxh<WH?U*=lOdCr_f zOb+uLwvCogC~@{IHfguN#~ExHZnL>>U%P$z<V}^S*G`V?Jfkd?cGBL75QR-HZ})ne zeE7rCt2Wu|W%g3G4|LTwa@KS2)F{+%=HzA}H1gawS@rYP(WyETdHI%0y6o$H*;Kte zCA*d}N7`hnI#t`bd)?D=aBpstgTW@FT_dkW?A@ps{v3Y%jJL^1`vCQ~y1VO{u8qpG zdO#0Ao}ApdS8W1a`w&Jfmq#WW#QSq4eSI&NC$Y&vwTUXD1K6ZJRU1YAV3Wg#X(!R- zWyi1yG?V;>Tj;X1+)jc`+G3pAWcPU|oIpF_RQ6yKl+$ir+iqV^JK=EYot!p##_GyP z&=^F*NrxQJ+<Y?)?PYp4;?qwbMDfV7tWVB#Ubaa)w+UxJ?^2y}HsB9I`5gyFr$#HI zE9`m{P5Wh2qvfgg3Y%!1T863JQyZUZuY0<;y%!yB+_-C3+uP*8=-#%s$*%grGtS`b zyvb*Hj#D~RJ#c{ZlkKi&l-{52i90gV-n*9rwA+)VLx)B=yLf73awGT>X~G$&wOSjS zXx7KD38(87Hi^_GNAz7rv*FZFZA)qsZ6{qv+NA6IZRg6Zl{S%f9dnG7zTe*aq}oLL zE?@Fqts8o3qT1x-lY>pDEicmp7uT&jbjTmPIN~$aOS`%kv$maYC++3|`mVMf2=8rj zthR8{Cl6Gb*kncj*I^bs2Vd6Yyz2IfzUxSvly{dmHYR=Fg$`@AQmHrCq_TI9@4LKB zI4ydTBgWBj^?;rOE;~zye7i!G+8!`Qm)fMaw{*y#(N8I~{isbs-&L#aL>F4Ej?s5* zRDJ6_lF1y8j&PFP<>Tyqw==)<=OyDNjc|r^=M3olEa)}Gnb6EnE$Mx{$l!$?e8zY8 zJm^`}@X61R#=XKYLC?=ohJXL<d3Zv}ujVXk!fQCI`b`?<%;`_ztcU*XxGVYiGpXr0 zJ`0ccYZ`cummBG$uWt6!wmY<n*F4w2V;p=gtNMcMcvKh3iZH>Lp9gNC2VRX&U7udh zqUKC!!kRu^-*F3mO+EKoB%E38br_g6^$cnSAFsOg_`(%&-X>SJX4EGAxr=amUb4j3 zSv^Nt`md9jbM+bE+r{}apw&H_^O1}2mEyeaHLU4K@9DT{86d1@OAiclu33S<j<cyL zyq>@8@tiGvg@zF(ReH{t_WZMJXU>ht_qsVfOS<ROw@d3><x}LV^qP+Qlat_eh05gf z;MF`doCO_dy{zO_$gFwrvPNaq9qC?nWX#TlK7X)I3^$6`CN7-uEd6>u_Hfdp@m|Ka zTRjI`8rR6CzTu?CIoiQhdIiE7FG{C!6lD|fI@NqT@+n<b?@k9k#xum{0>)hWipdwh zajLzSZv1-f{(xqEvbR*?P}dRWIA=^ssTSrseAVMS#2%De=t21o#pFn%Li=oQZ143a z9Ww&7+B?73Zq~IAY&1rgVes;ixs`Sg#CFDJ<u^Hb!3Elc^=lzhlbY{EQ+jRckN93b zEYPgiYO=GzT#LCBeMmaUal%T3d6+N2uTf#B?SdC+s?|wOuWi;#d<=wdLeRsMc3q;! z-0HctlF#vEL^IH?zN^FsDv0#C>gcV#rJk{`lJe;a`l(7~tq%92oGMNBmCDJ&gbey# zAtKBfs|X5xwIXYm%cmtM*P8TOy(7f@B#_&rcWM{?;ql8h;n?CshbqMKU5qm(+q-Hy z*Wt?pZTX(EAGswRIbJT<!}+k4cKbAJvJMq5ad@xn+|xRT<M;Kv{x&w5sy+K{Va&MX zpxU62nM0`2`6M$Horj_Oj!kqtyp}OV<JBfp_1ebLu8ox`wF!DXetTh)k+lS|$wq8K zWbXwkD_@B?nXd;hZgEcSZcf0)CcO-G-X;g~Ie7T4h_v_YJ<=w!M=iuyRM=#)_SER; zT8z<Fo1mf5(UbMaXl%kMxNG<9^}48zO!DoL>VeA0T0TYthX74<klSPw;}+;2@1A<u z9=?ilS$k?%FJpGcCR3v$d>`feOrw~io-x_GYva^tZR6g(JHJ;BHu2{s^GTBS#?i(` zzMq1WJ2`ZH<8h0^jE7YET??e2oZ9&8x7&LsM=oh23^`~`qy@oG!@(x#Z|9W97ju;6 zRETenXujBlxmI&Z=WKDJ^9JS7Udy~wZ8BPw@AK`33&?d3>O2{jBe1c=Zm!kcm$dbq zZk-by@H#~6Q<{GF?)F~Fho8BK2aG|JLJ{rVyZIW)<Qe3&cI{de!z5-3G@+B#Aq^*Z zucdPU+6Q#Tb>P5(Q6$n9lLI!{*gU9p?7%2g1^UOj(W%@~?3|o@@{Lo4O?)3ZMc*a8 z2cPNX%Pwk@(#9sb5oFcAOXtVF@8Ur61~$?2@U?!aO`LFR(r%9G_g|22vb-@lu1z0! z2`SK%wiKWNKTSd3McDUU9$yIDoYHux`7X!Cjg#%hXj5%M9qfB?Q5E+TeHS*_vzNYW zPjmFZ0c^69w7v8|d#coTY;us!Zi*gIzi;Cq^j+2JNS7ScJKDk~q3=TIcD2g=-{cuP z^}8u+_h{dxHc<<mJel`hZ5ohocY6+CdhNSL_Z;1K6*i&o>NKCCi25v6pPuDz5})`x zsow8YPrTK;1^%xCUH2axN$=OvYWl2T*omLFEBJQeS$kVK0$<SQ>GOS*g*+WsA4~gu zyj;keL$(~g@afzi9Zg%%c$b8@8??+v;3<QK4fvD)RLh=?`FQp*hOkt5il{PFgO0NO z9?x^LlmE=?Dl1zbpXKyHwveCdR0=v@x#3mNm&Zc7!ezgpqup-~uC%r~eWja@>zhej z9Sw=^x@arOpc~HJ&B67rM14$gDexjj=_^IJaA^siv<T<!(R&MvaPH2wI`pk+$GS3o zbr~QJ^PX{OYipXfGp*&lmPK5VCNC3oyaItofnVX4!AFa}?6#WI=i%{R@XB;w4fq}# z?a=%-+nGhLGx^Z4>PlP@mOOpog7-Q-JxHGKb9B0&Q8{;9^yVp?K14ni6j(=oP8NNx zx~4<%JZ$DVFP3ZITVaVxH*Zo~GQKztHh>yefwc!#^O*?EPi!JS?M=irhzbA_2q`84 zYUMRVfLXs1%l6!>JK?1~bwF71nD+Uol>QB-e3gtX(N>nYvL4^X_^eKTdCA?N;!MNC z`JX0z4E&P)D%aE|xdcOm{N0Rq!^PL|B5a{nCFL+zcsx1;+;X(OM}nPRJVb>@ta`in zg&li}Pw;zkWG5=qg&M5j=BKmzm_M8MO~ixMaupEi(%=BPkP8S|X)2{&5weOgN|IyM z7h4}Hcn~v2Zi?eYFK+SUeM$C4q|~?|ztV(IA&>PX3Gqy33B^XsHi%#OBotd;OqYzh zH8AS(*X7@tTV3s86=#*oVmD2tuT?EhpFLjR=in<Klr0Yt@YE<_1zr`MLq|OtT^MHB zLIv3hH-9M%M&*$OjdC3cbXD&nF^)fF>F~!E4>0GF;4w;$Uqv$kbwqW}QAasgL(}Ix zaDy+Dub|bCT{XCZPDL!zIPgpSxK#sJG_#_fHNZpJvAQQ*anT6R$@nW5US%%$<p;#L zJQg>zfiCd!8X_`;OddrGlm*YnNAc2ag)XcESqTwb(~g#wX*!XisSTpcG0J9K5n;84 zE$FV=qW0Pan@6&w%>>KYrLB3l81z9uX5uW`7nbh~dwTUp_*Tpnyy+BkG#HBH_1e)Q zQpj22R=wMkvbYZyBC<F9g-U<}Sbx<xwIa34KhrSy$Ka_rzW2_b=Bu^P(CUL^pz6xh zf*rGC1l3>S7kD)^r&N0o@p<BS&@fQQTDUn{&f%qMfjcr`NCz)Stq=HX(aKm1Wfbd? z$k@puHqub_7=C~*y-^-1pG!tkqZd|6dA9TwHTIagL6EGi==8~6zr)j>SmScg71kbf z4T6F;a-$yLYL2-}v_2qi<T3tw^5?O}m>1JmF{4p@X?QlmheXa2#?fR>DM;la9_FwX z-_#^_L>e9Ww7&{=H-tbME;|g0WpOM|KAac~))grlHhl7H8V}s48cSk9fzCyMQ>xss zzqt~($}gzKc%Oxy1WKadD_ih}tLK`WKSRhV7bUf#PoRjgym#_smaE|hLNEMqH-PaE zidZ{C_tH!xTa4aIq`q8F$g3No0V|1HlE^N)rO*etrelEu@xV<o=UsiM7JDU<B%4dd z6l?UU3UpkV!Zuu@5B*w+RROF+635K)aXk<(OBY(;BBX87<2xIZY>BGjV8qxFx-2MC z=lHs&Bgt%JIa`<ntS1=8LJQ1L9)~0O2XNM=8o0fVAgp7OYRsS->FTV+PG~)#iEP)a zl&pwzcmb@;OLW9$3Ak#a$0BZIh#`zroTh7#BkEUKqv?#P50ElQTe?{rYY4!F7{_X8 z8M5H5Mtxo@kc}(m8nbR1XPSLZTD>yXcg<Q>Xhn_$(_^-A&$e4*Gd+9a!iG7xMpBy@ zR5`NvN|u=#|BR1nWJwFlOj{7_fJin_Hvg|dE4Et$)5$#+`8ejWAH7i&RR)&{M+M9N zK}p5J!lkre>2~Zjru+_U95?j&G}U)bbm@o48=9|n+P_VYk1>Ty*(zfxJUneHrWwa> z5i#N(IzaF&;x<<?j?i)4nq=d$eax23g#)fTW1^LD>R@A>b?D>qG;1PHa$?PN-<Zs( zRmZM~O;h(gTdQj%c@1TaE0wr~s#;3qm+fiM8x&H{J=EoYm>O4qIcPx@iqSNLJccUA zx5=9<es?<inuf{6%GV7>DQ3vhTGjRSQ#YEiWm#1}0<&2q@4X^9(ZB_A<wesTBB!jU z<?~Y<y3D~#<Ftaa7YAGx87ev+0_sp`u9R4N{<Pe!G;|9G;gR_2<g{#Ql=fKG8VzaH zOJ~7Y){`2tYTX#mi0zXb*(*R9kiar~GBR<Jji?wZoeGq?*wrL*_^hEKWVK=HoxG6j zw3(7ej3bMf1etousVQS`{M3mHX~o$P`hqn*B%-4hLpIu#4Y5>(43rY^1D3<IhO#-2 z$}g1JLAA*T6N=UW)dGMS?#JOqyymo=am=%3GCSR?%28HLGiSssHq(Azf1`-%?Pg-D zBGvB2aZ;iBtfx$l+?*hzs*&l2D&dD9@iv&V8bMPcsNneNB1}Ck1>yKF5tifMElNPQ z88I?ji{=Od*<yoc7g2i=SF;3Esq6gOPN<wD7K2&c2I=eztxw2;Cu!9Kk2D*P8k%fi z9=<AvD#bf~s|pzfoE3SmjTfow0|>`L!2zG2?g+x_subEkL<;nnBF;-m1^1xxS@k=o z7k)*{nAKEHQIxNRmukb04x$CFD{Yz9?UZ2v3J6;h41kIBZ*|v1lpvXin=oc8Q!+M$ z4~WddfHdbUy-j~bCbBb?ab2P-h+>zYA(fk%u}TV@?$EzOI+oBoiOy#(0|wDuvZOL6 zh=NcTdXbj|V-T-1$m~@#N;?b9CVHORle7`XeX%fr=v3YD5t%sE^|sFSX@x2^L~e|E z)P?}m92n@DNiw$Cq8bN6ud3NwW4fJ+Y1zaHVpOCx{Jg9(lq^kKadV)O+4U+$W{kkk zA`QD#T+d=MP3ef&Wks|(s)Xqy9E%YJCx%TCiAu&`2;K?b-EqppL*jzVl`^T$T;gdL z9J-;}3oP6Yif(;;({hW$B0F|rP)ELcA_;(q2Xs-y4)w3T&brc4Psu^7>)v%=t;o47 zfKOA)&|}DVk*Wj(d7fWt*8`<d9-0xHBHskq8`1AMXX2-fj#NGo1t>e+<PGZ+w1Yz@ zy)Q8;XJXh+SYn5K|CyAfnjz1^rE=MJqHL)YXAKziM2T=(&e>7JuteRk)7ob16C^Vb zB{Ma|0yf#1Ca2dlHS=`(qL}A7$Foa=y&VJn)US1Ss3?I{=6LOw^vV5Kqzkji&~SaP zrhBhA<Vp+}nVXHe9V=rkeHcQcu-H&e=jWs3iW-^c@^0Ls8?XxC6j*!*&I%VG@mEZT z=t|*O<&?EnV_zv-<>*-9t?DXC<?%EgcC4FRsx5Nj4hgeacd3|rcz_hg&P}+hsjaDu z56AizE^(Lu#%$pmLjBZmmC2Z*5)rEqh732uEHmX9&fu`PiaYDk9g<wQz#&4;E@%al zmP5FnU#EMcozN=M@*>nr^R7&_)sZ=tadG9ijL(@?w~bjN$=X8jGCS_1ds`$kWoOyg zHzSrYSYzPXZ~W$CyY$uZY&+u#L;viMarYW`wG**l<5r8=+t5{vSKTFJ7UQvac5HYS zUA<Z2TGEVVU{-2kw$uz&ZXh!hl`)ChIOeiJ8AcCt5)HA6HJx3$$2k*QP$I%+MdwX0 z%j^VgqdEj4A_tSZ<Na0jwd|skMTADva;@%lBeA>ZC43bjVJsOsRaP_R49D}a$$H$X zWTwhjc_Wj(aO>x!`n9>xLAtAwfy9zdJC@XG`z7Roks{ITt#sEJIT>_ksf#l%cKy() zpd?ZoNWw2%{I%~FHK?_Lp`6`ww9o_>Ss{$rz}YaWg^=<>x8`0Ot&wh5a@up<zUX<y zOCoN9wo|clM%zGS&}o*&{d3u3!Yj2o>v~MwU84;w4xt2CFJ<zd<Cr<^0`oM&Y!_+{ zGI~(51xhh3(h$DVnJh^(q0!E~Oa^h0$?&)riK=~6qyWgPWl}moch;2hK#o$hCc?1J zY1OGYxu5w5n>6W3Q@Lh1T9V{(Nfyr{huLeKq}+d{V>EL)TV8mnk*nrJ7R_}#>Z@l% zu6>KLII|R0TIWjj1tMpfufjcL^|YhU#Ty{*W*m#0I1xK5YCI1O<U&u-PZ)I=HYk8g zT$d*8yDD`(Vw}wcX|K=;PPw@#$rXv2fzDlJAmV#ntwAgNnKAe1qHK<g9t~m{V6GKY zy&~=#dI{-e)@Q^vu9mL{ddQIV@>Ir|I7bqnNs4r4sa28gS+b)ufP0cSS#8PQB{#VR z#}aH^v)lzk9-?HXEY5aOBsV*YG29Zl&_A?<q>EazEP;n^uFAm&m9G}h*ESqK0WBzk zJ8S3*>36#<V%|^r6ZdoM#7XC++gc@wnSnFNEM{w%2BgCo?ULME7mnAv>6QmlQ*p-W zjo}bflHoO^B3pcbQyIjvXkJGhYCRgGH-<>ZLrt(vWN^&l^`HvW@&IR0riIKzRs*zW z8Aw}H(%}u+4ct00<#h2JO~u)P?Nm|bC@Ck|Na9|y;5G*iFrWinNaY@zi1xtbpUznN z1Y7Qo#Lg?@F^~=&FwQUyP)zq3^i~-y8mK>J2amfaP0Mx8E2k_@<vV+Jd!k!H8Zie5 z%y>GbW$%q)>5h6CL7ftJb5o&}lWMA$Y(mEjrBGgY1w|-0e^sdykzp03+bu9!F_Gay zBUPFN@pOxTek28oiDu+#XO3%H?oaE6YdW^i#73IRdP2@|GC<}%8Dn*9W|;mf8!rfT zSUqIt95>fBKhGj)y-bWfR==6G0s?*SA}BRhq<t*hsCafPldU^QXR%!vUiA(?O{`4@ z$CB+YwM(fiF{9XEu~p{2xxo&(>4h1pOypjj^{{P;Y_n60&;T71mDgD|A`P6Mj!|TO z#769(z-Yqg91U8UyCJMvoVbR&Hmh=EeKgOvAw!q${)M5;;a~<XanN&k%B|C$glBh9 zDDdEi-fPh`EP;ehPs=3E5y~O8-V@v8V^Ab(D?>U5t<mL)fqpiAbzgEiB$+GOB~!VA zR%^|0*P;xnF5~eDgAC5}$Z@TnbEebbMr7nAqQW`lpt5QAI*v<0@aej(G-bw2%gno2 zsN>{9gE2LoFCHcW={QH?%Q{2$XE>DLr))1KPFo^<le)%+$|!?LLy{$k&bP^eBR8RN z?kbj4Oh|k$YKm1C+;t`{?He9{-uN|;B(q8wsi+B)IqJr+jo`l+i<!Q)%2wG4S09$> z?71Wz*eb3$PJUF7XuLA+B7O2y8M?h8)kVaS7)IKmOo+^mcR9FQQT9O%>Y;xmWYrsM zY(^^IjmOi9Q;03(P|@6{qgpo}YJ>AKnhPhWMaEb3ds}8R4>T|*Dlz<$k|W`e*t6MW zRM7?u+A#VhgUxiX0$s_#7fxKMIYttr*&ve#kHKFPnT{{kQ88Xb2t%ahCuK_qoVaQD zykgZjS#>WO&@#&}jm|vVCKy+1V-mwU8P~W}t~?77-@@yYN*31$E3cUL&nz~<HA9-c zEv3_!;+k<b1*Jo72yZkDzZeZg+ONmmEt6Q+{aBi$GiKZkN`LfHvgn?*Y01!@FM?>+ z2@Qj`o5fA7_?l4xk+JF}1MR5?&6uf9lR-I##)(wQkjso5KYI;x%&K(FTAn~4QeYAH zQ!e1rGLkRK3mH>=12l2$u%qx_&$ticr2bozRS@z_MUiG`c8>9p;()XCXfm605kw9J zXZSfRKqpmJgobC;rkY#Cg1xk&9RamQCwNw+IV+w6vkZe;Hz>eAajC`ljfDLq(ALIh zy?G4>1t?LR@C-=tec%fhyou@N>8NUCqu}@pqXn)9O&{g{%qYb$p+U{hgO&tn=*2=g zhpPip$QSy2JD*d60JiX`rLcEkkM#W-CWHe&n{X`62Bvk1!xfj0x%943X(6ad7*68H zW_V(l&J6Bp(NFfc$P7~zxl!5Na1zz4+aqhzcu4GHGwF0zo{8YQ7*SQ%Z>~=>)9G=r zqIo2cH~K>d1BM44GJ7?>p=PXt$xJNw#*7e}-vY;kI4oDv2ro2OHV%{^=Xuvt!7tE~ zC;Lxk8b(T<i_&=LzXV6|H-dMsftSYTJYAzKFVyf^(*H|ZDV+3g@P$@afyoU85oz{s z7{ghXg?J|?RaUEp0GHFtnhm}toBj=6;S2p6COjfg(Iq!wmL_v(0qzOYp797hst{_E zWFKO<g%)YLv9!~)jNvL-058qA+*jr@v%Q{H8x{6b@u+3t&n233>wCJ-x+aPyCa4#` zJh!kU=80^0DP{gJ{KRkHzSYGqF>kU)to!fDZOPW{O?T<3FRjM)>W!`WXV*)0gY?f~ zZ(fYI<O$6vQJbXL_vKhRI)#SB<M!d1eR7h@)ZnK=I~<4k#|W?em3Xy8wyS9+N+08K zjr82{MC2-F8d4in%qHU=O<0v_BZG1t{o3Gy-L}dtE%jvk(k=Vs?}zGE%G)=m%og2T zN#a4sw@DONmZD}dkXqSb<@01)8I)`blRysiie3WE(24favR#lD3}$k#)XFS(?vGYs zQM+H}m=j;Iz`~W)E?KSmcD{bEuOy4x(lI_{nDCTkCW^Q9pK?~hee%uB$PhD4e{nc9 z1MG|8>D6$wz<~&c*6F7d#M7SEv{VZzBlfTL$_-!IS^Maqxu|*drg%8|)I@s!wVWPs z?kUN8UbFeVZupw0b>VP@qi^hgUzjyAE$Qp*Tykgg)WtWrTWhd0bnj?AO{TSGMb2S= z3J}Sa?5vE2n;aZO>t|?ewOTtXvo8Ic`PqAQNA4O~HE++@N+NF%Il;*s6D)$O|Kfyb z)f(-A-opLrSZbSVu0HGL=5%Y|wpHc+Y>>qCO^LD9HS31nvtVE0x*Ah=-*5G^L<&y2 zu3oi;5<1$c0xve^g|XS)w#wNG54lnCIpDLNs+?(L=}a05#zrYi+t{1R`4Hx;?sFLH zQ+eT7GG3LLc_srUl2c4$(Zo^xPWO_OIcAmaLHSE{Hl`L*==-ylIbQD%T%2UCXZ2lu zR32*Kz^lG<(OCmacl_@B-*@lYx-Z)@|JCmMs{0({1<A4Dnwu!D96ogT&VL!b?~_mN z{*8y<^}Pevz47Kd+SO0*+5D~VzWky8_KLN?^5ho|JoMy)-~EUG>o*U->bLft^7!?4 z?|k;acfP#&_3ekQfAZRQfAgvKdq4TkFMjj855NAQj~#!~E7$%ld*Ch`+`3=-x2=-y z<3z)g*yLmL)SAsR$(Dyc@=#^V2hzXYGXJry_dT-zy{FxMc>F_Ov}gh))4|Tq|Lw&) zsJn8?BhT+$wejNLyTrbAOZnN!)*~D4K74rBpML+ox8Js9%Uk>In-Mp^`d7Q}8>`;E z?qwhP=Vw2?earPvw!inyg)eVgHUHO-Zr-|YOS}EyfBg6>mbU%k_RZzZfAPrsZoK8u z?(G+B{lMLeW2+zh<E<Nd2VT`oHZ<4%W%JCTKl$b>(9y@ow^uiu*1l`i*&jS{!_<r4 zeb<{d{?oaqePr|-s}H~9)3@DnOVqtMw*Qp>^9%p$?0?x>zw^n5H+MhvWx6?rSY|Yr z-`sugm(uh1_k4WY{F#@}^sL%;*QqbMZ1c}~n?y6w>b0->PC9(W*1O-IerEDTWBdCz zr!U(uypQfQjAA$RV-q^%!yo?2&F|W^efL+|Z+rNR9e>sQ_D4R^e$Qw2{KesSjsC{F zMt|j^y<ge=rGMG=o&A6D^!H!)uBR?~=RbY(@Znny|3T}?>+b#5_uqZ$-+$|$9%>)= zJNI2X_oY`obXwm7x9PsbKZ>^QU*&DmCG0hP_C3SR8Eo>gxV81h4?KM51FLVivxhy2 z&%WornSE>SIQf4}zW9sUy$74jxZ5Vp{{5`)8hrjOx4dt1)t_y6c1!th&Tl=^Jgt5B z$uIuKcfNbus#TXxp1FU+wrKtnm!G+B!}Hq@KY8fa-|^k&x39nZ2XEZ}o!hp|Jn_Xd z_w7IJ>A!sP2QT{0H&5BJ`v<==JoDu{@4ov#U4G^hkG${hyWTo=>R-J2mu?yO&GqcN z?fJ`{?|SpufBkFyTef_0vS)ua`sTKG{nk6byY%F5{`x0gwfo~6(!c%NjZ?4v^7L(6 zdN=<0S%0?wl!xB^nK!@w%h!Ei_x0bu{zY49Cv(2<`tzGtf9$>KCDrn?{qw``+a8^~ zZQH3Yx@~(88(c;xpRBDmStANmb^p%~L%ptRHF^3vGCbMBZC~H4H!qRijgyP4>m*IP z*}F&9?bY?}y8gV~u8f$rYiq}-D`iJU?myVu`_U1pi(G&G#*N2a&Z_SfIXSs#kMchn zvcJ-G_$=1#K#;c+?08O`6*+GON0n9A(HFzJ67t*?U|nsU)vYDgx2mkZ{2ou3_rbb$ zS)m>ObM}CGJS`K5=<<9aDUB5DPQ5CeA=Pr7g0bU~^|7o)Eg|#42&%Bqf07R7<deIe zp%lGzH2_O@J*ak=XVev6u5~r!<Rqz7u!%3n+Uk_oT=l6=R-V4NjI!BI{c01DYp-oz zKUII-R9Dxx52;Ps?Rxvo?LD}<-aWTTd$G;3bxni&WN#gturl2=ut~ceY=T`n5ccqO zg1HBqu)cU%Z{*O?;gPh}dxU$?mC&o}=_gn2U(9X7+I;l9tCw~5<tf%b=P)T#_1(L> zx`Iur)n%LP+$Hjw*Bssv2qTp`Vs}RltR5yKmhPX2dOqsfyLV01N{6&;fi=79@N_++ zme}jp(HG?oHaS!une;Z<IO%Qj@`$~Kz}f>-xFaJ^J%>$-{3qMqCTu^P8hzc=GtYec zkgg$bw;!O^t4(yhI~yB%OOXVd)DO0LF$&AO57st5Gt#a%DpS}53s14z@%n;qv4?Lt z9DK(=sq65U?NA@}do_<HBi!}*qiw?4{ZTd`>{=!cHesIzd+ge3lhGq=LYa258L`(l z%L50F`}PSBYg#r5z+-Bvu*qS?9bRFR_U@_r!TQ0G^H``)JHaL!wH|71)bzb0&v<{Z ziK03-@pe5lIx@MJcCvS4`{bK$YBaD3eS_Mh2{DYGqwi80g-L&_q?D{@zvN(>rR~@R zd$xtXtHe%+=IG?4uW4=5-a|V%xVyL4k+QIfV3WzWPE9qn_gVqRZYS78`>qZpHd&Eo zrT5fZkG6?SHb>bfn%|lzZDAAL_sD)(WId|y8l`~kU=wK<HepXPJWf72y4-Ih#~xb# z6@Ay_?s9IEqVL*R{$9J&cVUx*tk6G5hfW`*&Aiihd7G3*+r8c<jh)^mV{?5v7wpUT z=80))k3YzrjFtg6?772bGU!2%pEkOmFziQUf8wBjxS3jwesy!;Vv`K6Z)5}G2<7(- z8jWvi{9q@X?<wrly@!yk;g|9A@i^R>`u&U=55M&DxcdBy{f#Pbs%bogy@DEE^A!G1 zX<SaLWm4Mp9hzK*75J6$O6S8t))(mAoJHn*zv5tWB~S2_zw!7NB+%2F`S7(`wvdnN zPR}UwuBI>epxayr`VtQa^y&Jm*(<6#D%|YaO%p`NxUW^ZE4M_32{&B<0bC4mq57@~ z`xJFw;z_#akNt-O%(B@txGC&glx`&x-Jhs?2E*}@K710D3>jRX#_OKFkS^?d)P0G= z&=c=%l7|~S$IbUmYCdJ%n;7;_p1<h|k*_WDJD<l{KAe0#J74!ae$CVRJl?$Nis9sI z>$8HUOJ!J+x99kdd%o@~{Mz~=97giTxM5L=ml`snWm{@o_qe*X_)6|#B6*@eJD+p; z$?wW7Gj-3GeT$K5Y$SvW9p>rLt)_pR%GV7My0MyXZT)qvnR8X%!ri&^sW)hRblILg zy~i9s-;mFTUddi<k&iy}(UJN=>1sa1zU7UKhwKe%Z1lTv>b?J^Jt@7qrf0NSn*3}w zGSYZf_x@zZM;|`eUV6IS-a+GM%$_Wj-rOE-u+y6y4^+@Wr*9gW+<9{2g2u*Pc2nv2 zMlZv7!mfYz{?OMwSI4C#_+yn%fsuP7Ga35SpDk3_SH%9Km6O0DGPq#R+C48C)xCZH zI$%Q7-dSEAAD?-qKB_4Tn;ga_Z29ZxW|`}eHhJcmwztXDGgH`P?_M^aVG}=!GnZjM ze{ZHXnJT@N*K4}bk3DjK_2fFvB=9!zo7Hx<ckOznJ&LYhtTtg^o6eR4=(fYRY`owC zW~AVBr<~G_jOZS@#zx&E_gqlDw}{dGc?a7+dz*~1>%BQuj0Ht*%kBm3wQX$T^}39G zZeK6+@SU78aWuuwoz=XZjO<}=*fHjrN7{rIp*E3bSGh)AW+SZb!<F`V<q_N;FuXI* z7_B!&KF4#aMx%G6T+?=9dzY9&@1$yII@(3MLOWs3*ITV9pN?F;e5ZnT0%uUPle*H; zPW)LaVV2sVJ;KxVzlxyltL47rnD;vc%}?W2*m6g@Wm%76ask_WD{H+zj*5AXpt373 zSF^6JqMZbr9KLLXc5<w=$F|8-*HmtkaK;IfU~IxxOts02>r*1fVG|}ABU3ePCtvMd ziksK&)cv~NCI{QvC)FURj;^!`lOEqrV1%%=le+eCv=jFCY9Dzl2?K5oFY^L4N2h9s z4u$=u1@33zvOSXd){kf>Z12S;KT$i8W3-c_WlbFzoiOE4KHrMI-b`cb@qTd9?<HzH zbm=CKzfH=xB99d5%b!<#cfCXBh~*^-XF`T_Mfe6NBEI|R-E6<Uep(3yzpxLI??t}n zqQEDlmvr807)g%X5@>nGI<GXzjd@=B{Su{-Jl-4?{&*)GWZT~fhq6g|Ns}kr%VVBT zR(=GRCmtzJbBVYOR|)p#?rmjDtiBLZI(5hN`MA0o&azH?P8X}o^rw6w9(|SkW8g!> z(t^aDo{Gb9pJep`372qF#cvgZqu*H!E&&BMV+`?e3Y`yc4X)tk=>lS_KOkJwPlJz^ zM5c4fBHp{?)4&to#P=aJz4B22Z`9=t<JxzFbNJKn4axZZd0qvr(gA*trNiwBJi7Me zymGpg-;6YxKqA9M;@|(!aHECCMP4WIYd)z@{8bm}H0YV&I=?@Wu<Agaxbh*helwo1 zUs2<S74O5I-;B|qupiPm(ER?@pi3ycK1ji;1Ln7WmTh3;c_@DX66-y=Gw0o<B_E|R zSCK$nPs*)`%?S&X@t$cbOX^92(mgH-DCV7xJQHx?eYCo+|Gt%ce4HjSIaVb<A}5V> zI)#lbijx4{D!y0~!sCi}B=cDEbc)~?^P)#t14<vj6du=7#!Bf?zBcP(!Aee`SeyHQ zq?7nqu3ZJWVw7=!5MP7>Q;m)I1uEks!`>(GNn|~uaD5=qdv$6m+9@x6h%tGrI)lM) zg2R<nDr231$}uk8KJ5D}8+h91e>4c?CF+oqQYBP+buGVe^{W(oNKA0_s@$z4)+=5S z!m2iZ^@fn~*!s{ijn>SFUq}&d290I~vuKE}sNwI+D1=gaA(VIpXkX<~N-!S0Av&-L z*JX?gUalGw?6T52POHocaJ-liaXF@-EW%zYjg4}Q5q8?}Q`~~OKMbiDGskU~BWk%$ zsx-W8R&t{kN^&B4&t+LDlF6kmq}RMckL|E_NUGOm@Oc>r!t)8loxBM;N&1b?o5Grq z5XS~z&Xu=S3Ygcb>-?4H6h6TMn1wv4k=XN6D&C`e=SN<~R>W#1A)|oQlXR{3MxJRM zzg<|E^R;Fny%h~E1WgAjwX5Fd7CoTS=@_Zd^w*Uaw<2Ki>rX+m8lx>)4e&;sPxpAp z*1RB8921;?Ez+%c=2gh7?y@pE23J&UII$p?OkWhMlqFdgi5XP37MU|K3@je6Ws1<H zhWLl=IZ6e1yTo9HibaBG0Us*`G+(?dSoGnD^{0u?L|J%pO|lZKuM<U`G#cf~@&a0b z?pWl{M6Tp%gnUet8cQjR&me_-5gI=V=t=L|(V%-))<K-BpsYiAzWO3Hi}(vrBbg8| z8H{po?y5-oi#rO;BmBad-b-g=>k-CZ>96oOO~49B7%PNQp>q|zxCE<48eR#e2-N8N ze87S+soepacno?)(la@<?^G&@9e%vV3VQNcrZ({^FenNNZV;eRT3kgKQV`G!z&Xyr zbHvp%TE_GTYBn&iK-pUTnlF$0aI@%A%4sFLQpASQOG$~L_LlTv8xS?~%7WkO&v6+d zodTA#*LbwkkY&37t~VlA=Q@&(QCQK#xIJXx8(LSb4<@vl@r-s5-6BrQ?7Fx@l!>)b zBz>7zfhTsb2wXHZJBK)O8eta3;IHf=8(UJco}TjIasHzitR(lbEEOdVEHxi}lMOjE zGTQhF!ooEsyGhAdnWJ=la82UuH4-tbs+IsPf_G^X?1>^A={tU5HSL6?J>FuGYM}!m zJA$r*QctAeCdu)~wl7BZ2-OW_SA$>?1q<X76;&g8TC`9zHldxSnr_ySb_R}P&iJ`U z>?9f&UAo(6#x=Rbh7N3C+-VnH9c;us4ISg6(BqNA7;HVEgBXZyL|wla3I%J&y?j}s zImPepc6zLTch*BHtc@UI`Bl|Eac#Uo`CEPD&)vdeYaq6)G{Q%T4Et8MXD6iiWqja@ zOT8ANW;D>SP5P@U_Ax9;6&txBwr`M^Otb&SOOf`+6Yx*$ViY$QXh93aj%&s=raqRm zEjF|6n1Q0t>$}hN8REooQJqtC*os+n<GxCE>&CZZLA(OF+?JF@_<>`gPpkoQR<K_C z6g|<kwh~9(N&ksb7J6bAyMgVjNyI#nqyHKobR<tikPTZ{d|F7IUHh9gW$6kDI=R~z zvjMXSc~GaONz;d>R4+A(#`}#ESU?XmlG<u{*h}U#ee4n{3%6uUiV%AYRM~0!FxDWc zab#G|=!5<r_TC3TvZ_4r{qC*X&Fz||r+R3{W`N;z(LgalY#E~iCNrno5*n80*5EWE zF-+qki&>X|q9d*jr)r9!reU!mgM#r-L3lbdF~a&>qiCQY#5FpR(V%7%`4eNZyTrg4 znWzM(-|su;-s+k^K=P7(FYoR9y6-*beCIpg`Of#9fA`io_mtQpVb}pIC6`*i?hR75 z5s(UY?j!~cou$;J=_0ynnu|jRW)(Rmtl5jBW5}jbW;Y7*&_Je?8aa3jY~Vs|S(m~V zT$!~RP9oT=#{*rhQhT|*8*Z-0zlfowYQXWsF^ViuNQARuxF*#UF(ppTO_esU@MBw< zYD|)o;U|p=Bu+T)%*1-+*qFAc78awqBz2#EV&Tg~H`Ba<JqQKV=Rsqr)`>ocP)<v; z;!lyjEm^vQn7%-vZ=Vc_bJOFcx|vh$YcH7U*eUTG3h+eSklkvoV^kAeI7%7tz%-+P zC1HiIMoGkaag^aOPr*lN)e;O!dHBQ1niu^MNYZFprvc;e8TN@#7VWLH-4<HlfH*PS zN3+hFuznRjK;&rG2Y@3~<Z5MEXhx3eJ5RRGa=Anrw!;q^1Ec7c%*hEYmt?9jQz}yx zlEk!6u?}pdFu{HN)&3oSX^^z(DkN@M%4*qjFzvA1j&Up$C27U4p4Vg^VC;O}HLot` zksTp-z_w6fU8sg4JE$WMiH>LSoSVf8PHr0aqgexmIJp;2V`*~pESfcZo?J_2ge!8$ z!n$hSl4(YIYpX}C&vILb>r`#A+~gcsHjkiHss}NWc9PqpboOhsE0V-b`7AT1c8e91 z<qCYPxHJ4vguDppqyv?jKJlT1oqsV{1&+KiSS$?fS_vi)I|J`=S%CH+iT8U9LPQf( zNC!t4&!-(88pcPqP@#OCQq@ah$GD%wqc%2=q9YNq)MxMZmSnzmHlp<-04#==3uhsv z_Do6NY4j(?2<`YDNP;{eYY|Izpe~TTUPhXJY^7JA_FK~MpOAMFQE8#6zuxa@GVIzQ zRi(YnfV9at+XQWWneluEO{(rNO9xM+9c>`*NYf^4dpb?aOx@A#8sf0kJPw#0+#)uZ zKt=?qH@K=yXoDEFdfe%t9hL3pQi<XwX9H^Wh+?Y~7AX4Nr<r3gigGTt&^YK^wRF}O z@j~=mV)FqA(EWZ|f&Z0Uz2LGy-cEEiq6!Ak<=?GUhgrpuo%pMCSnagZs%WeMIoFsQ zG>MbB6typNcga*Sb_LnWieK$+3>qr&8y%zILmd{rI3o)5OOF14eM4|D37rX4BC{07 z>u{^Z12_X$1xq_t8_vgK8;-qxg;>7NVtW+yotFFk>2lg%yjjjG!xqEQR-6<qIT*@) zhIC4CbIi>$;*FZ5F-F^>05W>Alt+I{;@fvQk>5J4u%ql8(v+n#KLKW>HZehcHv?36 zxV9fc7abAVGCrlsOv_XeNnSs}grzLyu`fu&I`&Ad>a&eDq>hPQGpg%Y#J?%Uv&^=p zNsrp-bhv9#(}rT$nyJB;0&Qds`M4+3-h4=T`;BR0`I8OOPjJOVjSVp+6W2~kthAsQ zDGmGe&@ZpNe0JG-YEKk9eJ)XL0|}Fp4y^CKW>Ow@aOjsghe#@yW~ru8>j2o%X}X4$ zhSMj7hMvRe=C_D+lwB+l@v{8C%Ei)Pz#1`Nw`<~CZ9H#q<K{>wrqEG=KuuI^N1O9m zj1Y#2BL)|r#cN|5YHgVRHdKZV?NQj~bM#n5P3SW;PM2&!;ClTob``d-A7gFr86-Z& zB$$$x#Wf~kCP?RDT1#!1$;Y>np@Tq$L>Epsjcb^p+7BHby?ARKh^){%8ExK?+isb; zwNb&WnPC5@vT*z?#qiT;PtuA;mc>@?vhkgNe&RGrmbNQvp3CPQwY-$LNs_l@@Xy(~ zI35{In~0loI;WAyq|T<5ujADSnt`?)TA0Er2(8Y`X!EMoS;u}4T<v~ci?@$1X+iw1 z*nsRvJ1V#76||IcQz9~Eq(aA0t22r?(1@0NUt069sK~fWQK&F|M#&^6O+^Vv?<f-~ zO?;v@E0KF;O`MkXsjkYf)Ve$^Ky4}$jNzJ+A#T)J&LOhOWCB*~EC5?f?<z8W%EWX% zngnyF{)EuDODGS?QY=OdGi`~)Fyo7lZj<++fY@Eo$qBEfqhv5Cd!%Y`8Oi8$po0r~ zFTb3fV@Gs2xo|YyQ%Y&gx($d}opDOL01rmXRfa_IM9d>rzh`9;O9>PVh3cocy?HBM zb#JPAr<V||-t1>5oi`DD4Lx3(Oj$D94Xcv1YYfv~W6w3D(R5lbh_S}u3H(j)bmvtq zideM}oo}GFX60#?uBKvEFen%^$^c1QjEHYIQaURg9Kbs7AdaO(Y7O{Pf5epuV=v-4 zoUU*3;kbq<F<Wrio{bv((9g2-IA+?W+R=oxc8L7^#A9CY##~wly9xve)%qA^5UVW^ z6CYa#;;&K;Eee%^v0v_tljB~8D;q}mP65OmZ6uM29%j}=y`GvMMC@fGMrge`<woHN zCWbpj_gSa|s7jT>7&2`6AluY^mgGh^&1V3#LziP;Lxr_ST1x-T-97`@R$*!R$iN_V z#44i<lE9ZXjvCCe5~B3I(#$y}Dmm9M(-1o8oR`=ZC@LV~lHMFQ-OR~iF^^Pvse&r9 zZ6l~_P?k{#BH0kjS&T#U=*%$aDd@fF=}eTUn}Zs!83K`vYtz!KUlbxr<m)@TFHj18 zo>*0b*7|gY?*%xvrfwKzbtb)olO_?V{DMqJ1v*}X%xy}1H)-52TIvcz%l|%-mJ?s^ zB{E(uk^?0Kd`<yngY}b&(I`X4SU>J)o#fSoPGb!EC9bsDv6r|45mTA3rqPi;4zgJc zXq+TQHRr)<3rVahS0a&j(9M8vQaFv)UK5IBhjBbOR*Zf$$Pi--L`F1aEK*7=qjjuu z+L$hy{f|atxr7eOyJeZZQ?_U^EmthdX&tI>Ivm8cv`UQG=M!)POdnfLQe%AJ#ctY` z94u5SY8O?aQo0=s$u-$AQ96+rk)4vTH(oeyaezK*_O7HojNJ49$pA9NS0J^)8lNN4 zReef`2=Uptp~cWs_`>)?q85$Mz>satddz^KFfyzCJQCqgN@zOa8&ZU$-;rfs722eG zB|279X~APs8l&6RjXrqij5PsWygnVE!P1ChaxYG#tprBeM&0X6<egB?NNkbuKO{m< zOi7EU-xie@hz#bT=d~Kp*|ydP7i--GclQWgMNOQmunp3A%NcmaM``o)RWpdClD0z? zR6Z{Xj@S}!ZuE8AoNdzJsiBCbiR=!i9%>G~WK)Yp3$jLCtl4okD@fG8tcp$;`yx#j z874#O+2(8mY158#4%cuASC$F_16f0)F~?1VS~ndY-F*(qQCYSA@{BxQo+omP#8zcX z_|{6yIE|!n+-Ss#RY?Ko-M0Coo86q1D}G7Mdm(&&v&wL*=f_$oYc)?i6;Fjks><Qe zk&2b#<U7*HLB<s%mLzl4SSX6Un8f+ban7p?LV8&q#~hr9QqX#x;JWNm!PNf}P%6!l ztX~`LR848iRD-Cr-6tb=ofbPP`w}U{#@dEKZ6d}N$?m;^bsRK&gsQ_XOZT>juNqOh zNFLszeTs`_b<nfX7}RRS)FgO%U`utD>6+#vP4pW*+>&aEXK>w<sQBvje4S0|Jj@+= z;<l<`fQjld$iO)<Is0&3i2MEM5B|uQ`QiFl&bGK=L!9!kh@7>`*wI$2W?C^q)~WgT zv<Cy_Y2&mJtwVw?p;Snc6~cstN=dJGr`LwZ6Rp-VKETZdkJ8E5fQjJ~SGPIvXtD02 zo1V%*fbsA<VpRuxDwF$5!2EoS<SaobPxnC@nM%oQ7>q_-NEgqJ7Nv2FTqP$Bs~wVg z=DRD8`f9o&jRy+1lqOvwQ*;mQTC)AqXHJN@(uL>j0{a&4+@n=g`}F7?e2r|3XOB>N z-<Es^h51KuHM1t&ae6ydtJZ(&7N+9c^{w=PJBA(fr`<sO(Dg5$PgADozcDrU*=jP) zQ+GszGj%!U7}R-ZOA;IinSE?vWF4qanvvan?ZjSCE1PnE|C?<8`IQSr-jt3^wQ<j` z+35@V`<GuRHZIjs*J!gzt*E<bkFx`=Gbxv<{wM&i_WDgcJoY55)oo4sT)KyHR12dO ziEF%zPnzZaW;7^UO#>=TGvDuc$Uk{J)+wwc&S}9*F=C;N!$HtXh$+Z;s~zi}+vdq4 zZq3cb=1^Q_d;So|v_dlR(TAJ%Rb?7e=?#M$r1lZ(MvgOIEuC%q(^6@6`c*MD*fzZV z$h%g=rTC`VkI5M~7ZQ`at;J(6730ojwxu~~J?obNW0J(-?Si|^6vb9t|NYB~DZioo zWuxKhl5`r6&T_J0+CG2lt4~hdG@SY#eW-fZJNamZRQNp7x$?`$RN2>9cZvI}uRL|% zwra`Ig?qkpuAG{qjQPk%cAXI)C8wSCnYUadwKrGpk;b=BN#*Y1y|qfxpMLtZdrwPc zM<r=6PgiB>HtwcG446gx7J6al=r&=S7J2KEh?R!&N2$)cyWK;B2$MACd4sY<?mSmM z%AAW+!~HLON>V(I#}`~Rj6~Z~9pP%U`9mQ{9`J?j&(7`m){YZzKmO?}XQXrH2UmUO z<7d6+m*2eo=Kg;D?DN{^AAkCS&#$|B|4Hi>-f+q2hQ}ZM@ne^4e&T1pe#z;@Hy`z* zx4ir2=E#NHj=GKW9KZ8>U;6pg4_x`XpE-8pYhU*68{=nZcJ7J({KNKk^I(1K1KVBv zim&WEbyc(J#9iMN;l^j5E$*o8bX)KL(9P-4?RT)(ZqJ8z{+Dmw_$c_JPu=;$2i9&r z?$eJ9VAWSG?A!mo|9a7x|Hu0tzi<Dq{<~kkcIL*pAKcddgZjb~Pv8HseLwqAUmT+n zUITIFU*)J%@BFj-?%%)awKMP7ynpk1S8cxTx@Y>o@!Wg<eeJqWul?J-n@`((<^Ih- z-F)Tg`!|n#Enap5-@rb0`-kS@>+AJ@w|CEZZ~oqouC4!hz2Kx-zvaB*y&tYDzva)L zY`86M>-96ukNhbUhnZ*Ydunj%Kb-ltXTEp;stcbv=5PMh)-T^M^xab{TZ_&4tqoSc zoqYPrWkZ~XoHU)|`Q(n`t0#tvZ(fgxhd@^$#4Nexb93zrE2n+*gimfh;-dLAAH4F@ zE8qH_!E?9YytM&c;a&T`_wnh^zxKH2E`ImM_wS$nll%YnqxT=T_V54XlK0)b`Gmj! z(NEvqxVimCZ1Q`5yYl}1>%M%&@9n?u<1ah^Rmoqn5A=wgd*rWQ-T6oo$-wg?(m196 zRKpzEQDw9dd)R_aqOa_z58eOyp<@5&9l8hghEs3Yqc*ABP}%vtPpsX%<jaq+)_&+; zJ@cJi?>q9DHGh2NZ~xun|N1T09rNuQ8~5I@<HMZG_}qPu{I}a%?5y?`a_-Uh44I>L z-ucA+_wS!N_RJ6L$0qN&key23_{MV=7Ua6;AK$-s^M?LQo`1(rH?O?#{>{yYhHkwf zj&2yeZTkwjp<e%mz3pFn`}03NC&DK5sZr_IidVgCtbh1zPd2pg>g%hw_V%ap2T$Do z+}gtX=U??3zx(LvXMeE%JAe52@7>sc)PK73WlL_-zRTTn`spiI3=Ky0X4COFTK`ya z=+ze;_f2}*mw;mjIX{LYb^ykczRNFP$q6|%)}HFBVO;}m{yXbPSzNs^vu^bx>|NZ( z2B@X?utJp`gX?siW>^r*ihI^zFO*-gT1fbaru9(uXP2=EcQt$JbR*=<zC21@U2lxD zplgO1A=qsg*5DV*;D`0>#|fn(zVuE#52%kbjC7Gg-@eh&oL0`!HN0iz<DtOP_+7h} zt}7YsHv9Uv{5L6n?QMKg@s2y-!~QE4G+%Vnj>e7uPRf7v+Ydpr&gaUhK3)$?<?`Jk z>@!$kACtx$^!NPp*;@G3o7h1hdrzTs{%`d#Usx#Xuv}9?SjS75R;$<Rh!fm!^TUo5 zeR!BTc5u^b*6?a<A6w$cpWRNqHj%HYO*ZaYH?xjCR6|2b@xgoGtu}cD$$WmYe)Ukb zy6|+l>}|4`88&&~0YqaF{^4xG9zzxt>jpB<r+c2z#<HHJs0+Q-CO4Aj%l_D(2Q(v( zU=%dXO?3sVK1bIy>)A5_f=w0{u!+jH@aq?~cdh$U>Neeh3=6w<ac+$?eyI{)^Yzv) zY5plB9F7F1;dMa|dl#@t^wKt2I<v{=f66XSMu7|G6RAz^Vb7YkNzlKpYYsLH_m{TG z!m;Z(7l&hyR&#`?x5)xWNzMLxD4nlW82dE~PwZMP>(*hiA&p^G_G%V82b*|O)#;{9 zKYFrUeoatR#$b1~yerrwNXB-HeOZ)uW;n=4&s^kOM2e;7I)>yMH|bf5k36EM4MNDZ z^4Ik|Aoed}lZ6FrVshGrbM!2sQ%=eGKL7N^(XKCXveYYDpJ=sY;o`M>pZmlm(#ma8 zLu0$IkR8{o-u?8B32jTkCXcOK@OAUa{g>}v*#Ap!f8ll#_5pTnf+}<?!BX};7I$qr z$R;XPuT5Tfe@ia^u47r(&ORM)ldSLB?b}J9c<Mf5W@cW4!m!C|nlhRTHeu6V=({|j z+Qj!=v=d)>LUN8YETcwRc2-*swh4U~HYpTNIYs*}P9w@}Qrx6xDHaN!`E+iR{uA{) zAoed7hBv(in>d%#?mkD)66&>y_Fdj4^R3pvz|X&4yZEAY&b97X{S&QaYLmTV?H#MG zt6skRCk9E$1Nj~s+~sX@LWE5gUaXx2n=H_G?c2v;q1q!;bC=4n+N7uN%Jm<#7Wyvj z&kly{p)*5Y+8p_UNn+hc$XSH^$j;e<dM05tJFjt)eTR@v`6oU++5SX);atUR-=gAm zKO!&VN@pnRJp6X^-~_+nC2u#c<abAA>qh7AB_;7dKKk}0$?{1qtBt-FKFf1FeEhyj zz9VrZpQh18mC0l+t?4YkOAE~yY2d39PpOLE!?}Etqk#yX%^Y`B@yMnw^MDY}-ARoE z|Mup{7qtvQCtijaJi19O6XLTcoBmwLujpP(O>kK_2a<h?AszC(vsf*D1A6Vd$R|Ii zQK^yJ>$rHf4;^^(a_6Y4zgmCqai1GmUb?D(x*)$0UmDI99MN+Um4;{W6*#d>Y+a^$ z;1y6vKT+?E2Osu5UfK-lbblg3=^nlbl8xwIJ{(>Ub*EgQ{eH;&{6@{E$~lc|PSbNC z7tebfRX$7s?_jSb`xkl6m+<7@ORH%}?`69hI{ar}&7MqLY~|FIOIy6=Mu<zZaE@os z=CaXi$QxaFnS;Ch8h#<3PUPfk?EJ`dQdal4^9SRTOYHenepk1ceT6cHbh>XbUA~<2 z8cQmtl=5>L^;}2fM#mzrMxKKq^le>i)>kk<F~Ijt6#CeVL?0%6#!hL@udZ&h4|3n$ zg_zM%N9u*WbTGPUZg(H;ebbD)NA^BNk6N4HaK6DG@71QckCP3H<@ku`k7&@{aohJb zA-~@4O|#M2(B<mRFjDb=){W<+L$xWx`iign1OL{&_O*k<!~0^+mV2ZBUIgc*;rb4p z5l?wAXJXz&#Z2X~h3iB<d7-S{`ByFZ)?20gvD%i)FEvsgeBG$5-zCNR_0IAX7@p(u z;TIX3W1{x+z}9pAhZ)A0LlIYaMCVcq3(2!`%3wI#k#}+k&aO75r*!RMUs|!OUEyG0 zqW9zF*o{m3v^*~knN1L9rf;TiU7>GvW|NQ%o9ulA4efbsDckseh)p<L&VxL{_ZEIk z?rM{#IFE4xGY!70rt+#yHkSYPCnr8bPa15Z`Q(3EvV&mPt`odXbZhx8zV(ntGyh^v zrE}}ipL?4ueeD9r%I(v00pCcT%n4VYvwLG^lYF07Zj+^tvF~d2=@;x?c)W0yJp1<6 zt~Y-V&V#L8ulO$od1_O8*9reYCKmRu&TSIp3Obq3Wnl0IIO9>Pv&La@JvA_Sb|$mQ zg4#q2P6WlJ5~~-R;4a!^_3<-mlXV*Rq7d?jBj-HN?87FUHAs_L{E7m{HF`-G_F|JC z%F<mE&po$povrD4n6f^%3G=6Q3;TAT|Kq*ak=>6_*~U%1HqlbOxJ|U3JpD8cZb9c; zxy;PJbnhwQ=r6GeGrl4=k$pNJdn0*rDC9jlAFFc8wVxySguy1SdF>PAx_b35f4W*d z_I-QgL(lGh`qL-Vey-pB^l4M?mrFji_qo@92GvIk>@G!}D8VO3(&pHrNzgIC8IPGw zJQbKDezI^1$E!07Uzq!Gk4^Huyx0VOgTBpr{>LvIyK!OPI-kb@x1ixJDzgb2bm_bH z(Rb}W*d|^S41-Nbg?pbIzpk^FBWcNT<IHZ_iO#IpOZ^k=yRL(1ADvurQ*Ynpv(a0~ zkCX>O=)0bNTKlf5wyX?_oacy5Xg5pWG_hOf<Hh3h-X;%lLaKfzqOb29c63)a=@ZKX z4}@g7P4=L<UAzA6?^mne|5Qn~f2(@=2S%&aJI}9P?siPe+g8*r{{Fk}<+~BPbDM<Z zUdC?MMYrzzE^LA|_@nRQt~S|)O=LGut7?;O-=$B+$_SgFu|=EgK7N<l<dD9rsFMud zwgtCm@14gJp0Xjnqjbqc>eTHY>$-DR<9SJ^_-vx1d;T25Pn3Kyt*bjy5A+PWfxZ-6 zM$i|4E}erw`FfYVi@~LDxo9}#A$Mf1PB|2=_vb7U%G}#B_m)hCJN$Wz$e72cTdn57 z!@YOwS^R@BL7)^{b@&*Ox~i*=y2-L`crqodx*PQI>HMIVCAQEZPw~&JhD*VP?mN9< zrb7q8r;MMQguQo6JU&$s2Z_2ZaqPu2Azb%#y1!7v@z+9!8()#_FO;0m?=l%cu+A-V zw$l9&7k2R%N#`M_&)jb1%i}XL_8OXuK47wV_AYw(A3QUz4!q^b%+1beWPf7M`HQ;T zGK9BjJj#=)EWAjU<rNvD%+0Vr_mOiLgKi`W?kqY1oU0pkCG|S;x;mROtIn!UU2#<$ zUh^9qiEO=n8Ts-{O4}UPRjL;O(-Y?;IUwW+=@p=i@al79qax_^)FxupB>4CXF0ur0 znR`I6;}b7Nk<KbX$T?4{`FgaS)=1C2w34~B2g^MU-Yl~`Wc4{pqy6n6>2&E7=Xh!C zz$s`7<&A4C*Z>+I;w-M=>Xj%VtdcqJ9(e2c;DK?o87^=!c_BUakXv_Bb8t-5Mer+B z=8q_!`i*aL6L~59&t(OEHuIA5yTcK|Qp6wOX+rw&lZN+OZRa`HJR=MDMF=@5U4T3t zp;(UJCnY40b%lbh`aJwko}RSI-GbArUlRUui1Fy}sOko_@Y@+a_{7HGTJgZ5iNKwh z*U|BmvlK^#XzsD^bwbbMi=W3#8VY72qnp1%&*a0t7>bW52X}6&0NlfaK~8%>6wj|r z+mO^_wN!CW$$S}1p1=u-DK>5=;P7Q&)U}lUkZv<*M59YGuTBr-^)dY9oJV-g>QASD z9X?Zx_aWFplJ(jkkR0x=RExICDN;%iMAf)Mg|<0i7MF!lPY<mo<<dAGpM?o7imHnx z5}0V*VWEd#H(m|cYeqxZ_}0sU3(?=(6eiJ#;sZp&TNob~&P|m0;h0}<vRHL;zr~;A zsz<P(p92M)(gYq%=4xiv``zFX-t`h1<NYjy!_`YuvX<V5v>VWL0Td^4B2*Sv>B>F@ zI~W<h{nIfxU*{<6V)=(XRj+bAnHVJZ5E!f1YO%tZgGR#{v?%aA^xeM8>oSk+ah1eF zK?TYnc3s^WeP-5D&8mFhS=o@f7HIxGl~dME1AG?1m)-h6esg$gCWMlVP(zk$u^fs4 zH0MQ{(4Q;bWk`+AU}Rc<^v!@Z9ZuT55;{dihEIzjm+zP2#A$ZCThrwZiY2U>Yi&UU zA5v#t(G21q6OU=2t|_PR#CaEx8lS>?;qjXg8#JwSdXP1ls6-qyl;8u{&_vIUM|U@z z>`~#?c0Ga2i%be7>+c3fxln7>M1*)DRf>J($D1(ai>hQ-r!bQwEkr8YfOTOcSprRz zxP%xdlLVYDh!CO!oU2lCq7qbim_p*)rct^eSj&+{B=n$s`6&n~_!ZXj^PURhT$Bt~ zA#u=L!=+8X358bi1X+}NvZl-d=db~qp^7)H8^#{wm%z18(?_LaCb{d*7Oi*I^X$j3 z9STbVB(Vfy6}}od-f6bQ!q}FkCp`s|nehm2R8qCJ`1Ej0ENRq?RoI$fo#7~ke;jFn zwJH#H@Isvp5@rzR9`A;bwXq{;Sr_0D%hbm^VsLFb%Tf|zotShsb#9iP!fQ~ui?vho zR+;DLYPF@aDv=*1=t1rhBq6ay1$}mac%@Mo4$gu1L<tp2sv(~Y>4A87HpKZ=)hGPg z<T!61YqM~taDITsG`5kb#G*AuOHBh;h?RrqBIl|;%$%<?KCX1Drxkw>t%CXyPSB93 zJZTWfIY`lmpQLC8X=$6sMFz?dY|xNP!Pkf?O={KRoHti>%9Q$^PEwAtjjPtpTiDfX z#KKW%&Ek$NLN5TiEDY(bjH~PCCp>GhL&j>Dg=bM4*Sb?3GU{n2TI*w-5~FtBjk9KO zl)|$L3@%Qf0*vEf2aFF{5Z;)$T}YIPLgKhBf|mxY;ewCERqd`D4ZeYM7FwlqP+DqC znlw}h)(Z2ke+nY{m{=@-&;sYWKF^%OY=6DXB}sfzVn?;7nT9-uf@LyF=mz}Bi7Fu< z+#`n~`t-H+Zcx+$mEPxCX7p6CoZ=jt^BA;!EOJJX;UN?y`Zv(xA*+egtdG+5uYh5} zMA}j=9=dZ5a#393xJe)R5jMI9M*%h+8mZ?-nPNl5j46RZs*#SE&iIkgJBi~8M}qkz zU_HIo9)<a7Dyf5&=ct@4<tLn}8^qxNYWPLyOQ!JXbf{hRgqlBa+zI?l;4CN35t&*t zaxo(erl{UcG+PRkfhC#e<Y+Jse1kF);i6J#C_#!vStcnZ$&j;Q(M62@@sqNTReNv+ zqNd(0e_n0n{?4)_as>2u3+=ZxCZ<ArNmW$}zW?v@nv_-&t5`;33|9uEQLyAa%bg(o zQ;gs`VRFt$$NBhX$yr(rhIt5n(<U{2C>yEN*c~OQFob-1LKOgv^d${tMq#Ltanh8; z341Wq58iJxck&R0i~FHk1dG?fRWFqaBjEL~QE?mOc%BALOvuL^`9^Ve$#@*u$>gDq ziEcrCj1CFqOnKDcg-gsHgxJI*?9(=yc2+tK=QZT!ye`s4P#2oC{n9{1O{D|MNGfVj zZrYVAT^bL{GR0djsnFDri<l^Jv?sDl6U%xO0}a?}KZIJ8bEWE(ua;iF9AogSn0+R3 z!Q6___#SwYP&skbZbNlQ*!^W-9%{L5IVV+WwHgdo^w@FohPO8D(N;IU+p4d1(Uc@j z9RiV<<fLU7jKN4p-q{ivbK)#<!p=s0UhdH0h8m+d1s~~smhyBVGQnGgk=Cpb=Z#jn z4fcI<bfk8RYH=Sy{Bs+Qy$s)kK3}PBCKah*E;H!NB~_OYPkuTaDpY4DWAE`YFrHXK z>{LJ(4oWL~G!{;;i>5%1(=S;_trN%295+(1@=i3NL~%pvDq@Ga$K!O;LKTLjMkAYC zlayMOLlt%e(?pD^5)PnN18lIL$2n=BVaI>WjTj)9B8`nREfuWcqz-zj!SA;&Zm8c- zYAOkHeko&k*b)fSsk?l(abp=BSL{a=J?IOmBPH@p+Y>A202aqO?%G-5&D@xtZaOBb zARmql?`*(eewF$;O3gTBVJM_)4C0&PbW?JS%W*PG(4|w6b=Z&=a)yi9HWC|tdyZ68 z*(HgkC6-gH8$?m_>Lz!Zb6n&!MFe4ET9H_1Lpf3zVhK4qF~(@ylp-%k5txlx!e*^H z%ItKgpHpIu6R)(`#psOM<%S$9<CC(g*H%fJL6W&~=GNha|4I79aTehkzMtTP78BVh z!G+3VA7kVP)A)JURBRc!Dlsj~e6HD?t?2mBl%%glALvjyg(8)q1Z~33zX*A#pR`ma zGO{w{ypF*rSbz5|&HIqXMNX2lC8lU7+%+&c2aUvY3CXF%7xVUc$1L(r(YM8kxpdyr zXC)1dj4e07BEgeXYa_2G#H5US+$lC&X3!L7InzUs(L(~QMNG;|xZ>bx@d&$_xu*@Z z0Bu8(SX+EsqpB)xOsP9gwqU=6por5n8k?}^oLHbA#kiy}(J#7(*ZAqCHLM$#XoBx0 zc$%IYGZyDX_ssH$j?C*C*I4d=fBN5}t6GxUJ|}iseyuQKN=>NSJO-(L`{t0;%)7FD zQlF!5Z>J`WNi5h*5~Q>^(^U`(bXp(`tPg=mi=)|kA#ulxMigT0gS5a^y32=+<&%fo zn0I|qDzlQfwvFP4sMoby3k^S*h}>1s8s1DIG1j?KT$u_IX$F!WiG9wNXt>#L6U|IT z(Fd#W{>n*n*#z?#G1KJ49+tL?wouI-f;&H{D{1Q>p5@CpTm{;UwA`|GzntI35TVI3 z@na7G6a!)#`Y{P)Q~~Y+)$XUtQHJ^0H!(21;8)&z1RF}KcpND;DgQuZ`pT?2PF0CP zW}2IrSxK9W3nK+dcq)nP>nHgt3kFGiA_~N|Fip{~`_IQgV!pw+-z%Gt>u3|g%*+E{ z3?i#kN@$aqW(p)ay|c3*kGSRB;Wm|#PpvTec4T_EB38#;4v`T{%GxNVL0Hn&)nomC zGI7%UH}M;;V`5xoJ3DLaWwDL@d@JJYq>jkMJP|S6a158Kgf3iY|KzS%8AY`mg_s~# z@gWMWd(v>msghRgl!|WolHNq@sQ4@^p$Ca3NE`cxRGi?%*GY3dc^nD`6c4f*2N%F0 zOwyryYz?X(aIqv!<QPl?9fG+Jv5D&p;wofkv{RDAr&J&On2C5_5!)Cw48cLI@#?ca z>LqR#S}l9RrQ@28m6j(GWFfoJ55!eljJMt0o=+y!=!SVk#X#p+#!D|j{f(*!F`e)z zZ{cAp#zbWbVC=Br(~^lxSpnZ9!sk(HGi+M6xX`-#uDGIMbqEINiXjMgiTgMJ%VDs@ zC@vj|#w}YsjlL|Sk0K_<RWLr72$R7OW@w7c5t@uBi5TVUA});Ao}zoJT8iwf)(}lU zWkbw>sv3Z!E3Lv)v?ZgPMHxw)B;#f*NlI}8ja|#;09A;!eI()o1Gz0!n-qu=7%kfH zQQD4?n9?j0%ioKF(Ox_=2&DWQt)C-Wz)yQV$KGMq>tTGZplyAyBuyC~rKxIN#hRrD zab}r)&B~=IJCmZx*;#n-m_Rv4((wej#109?DV1JNT`^i)04G#yW2J>ns{w_j6=JS2 zEYwgTP|)ezMk8`|3HGyuw1X0B<A|9B&lYr8@N?85p<6vKNh1T7p@-=|<Va<gDE$;f z2Y~j82^cOLa<$&wYOvre<Cn4R`C-#8@pX)-uPl>RO0yBuTt^o_{MG(>F%k9C^0Az0 z*u-3h%kyM5mQX|hv^C7htp<GA6SG5A9t@{gpMUU#dtzeiQ&!>{JSRe>m1)#HrWAz^ z`ZvavC2jNSVka7@_Zg-^IyjhFCQRDrcE+~Eu}U@4h^38dWUM%NY2uJ6jw*;3H<HWD zSaX8Ghx$TWoJ|YrkHfkvt==T7`ECsl9SWU@0~b>gsvLY`R6vPMj4~i;C-~W!-G-nt z&cNCqoo5hl?y|uoIWmcsoIk0Dq4DGxG5dn(5YRCmwAT)ge<7aQ4fN~Crb(=lFg&}6 zgK%3GP0x}*Z82(_^x~~Be<{#T7&nBH$_g4!B=wtQT#{(MQXKT()sa`m%n0GAC6NZ6 z7l1K|o6xbZIiq(Z*`lX7xAsUfZ&)1lGKvdeE2keMG`WFQU_)IO_bU0VWe#;QN!Rlj zX+-gbnnJ^S)>ORf_%IhZq_H@{-d7cm^vWi%r2W$qs@An&UQu{Uu@y&{to`s{1_<<k z*Cf2s#CfddqPD$C9hkp4Aix{~I$ygXsz}mg8sNIoM$=g%VEw+rR2Jjnk~3N#Ez~g` zHYcUCfeug+J*Yf1Uec)V#0|VAQ+zx;`fy!l`C5$bPvpS2NTUx6fzCzhqTPtSi^AST zS9+Z-)2&jF6HX*y8<nI{!SR|R@k%4xlB%%JbLIR+Mu+?y$J6`Mw@CDQAJYf9g3=`b zV`BxBQ=B^b62y3ac0<zAV9E#D{Fv?(TQ2)JTbzHG`41LHS6m9-VdrIqim5hMs5$Qh zBlK9J#~TPDzWQU5x`~sf$aX(fC8FLpHlni&_L7gthLRC^dE;J3V@{{;t;xKhEBT(; zn(~+=pDnF4rc|dc>GNqs87U3wPm*F9_eUq4PahP&GB)kkFn=43m=kr}4i3@e&=AN@ zRocS>hg(SMw5>gwI44KmiY0j(TK}unTB4t$laXH^H?1^@fADn|B_B#h(zho?J_}MR zN<&;h%A_PYA1JVHsYEu8Z;7X;FDeY05qY2%(MTfs$Pn*`P_w<OxT<U*F6zF<f-Bm( zWgj)gMV}OhX6eM4?Ss-#(_lZt!(Mzg$B3MCqEw2v=!=B9jPPMOv`Ag0E>`%yK!xs; z5^UgeFs@Q)Za^oeCTZVs(l>&n(W9y}?8tQhw6^Qxs_=4ZqR7x#cLGD8Bb|8Q))v0X zoQ*9L<#<I}Jcg}&{UC=Yr;RfbJ+=O#=}$^>K~h=X94;Qg@Il|j00zSqWok>rNSX3+ zKsoc~l%wbqCvR&M$0h328r75IidCf_9^N)rG?UJj-llsL#qPPaI;(4P&1rJ`s#R;` z<+PI@PPvKqFk>Bm`8WO)<ykS+HGFe6;aehne2e;g+sf$c^KX%1(#4v~-}H~ivU>Zu zC-5~7+TIriqSA+SQa}DGTdQu^FtQ=_b$DLxoI?rCS)uu8x+O`C-(e_|#1n38nN(wW zI5Co}8Fjbv8Hf^OTZgt1O)VfJ_qnJzOr^PCP)5odMmeTanb2>p8a^xHC4I3`cZ>s3 zI_7H)_4**V@wV-Al^g1(7Mq`&OTUwDtE)|1{fNI9dA2z4ogL{rmRI)whqAr*6?32e z_zA^h(y!fjVQc=3S6=(R=fCiow=7wB*XI5ESAO8Scklc}^OlbvaYGU<jR$E?kt|tz zaxp#Ph=JdE!{EuU*?8t_KeOXC_pRvv*ztOn;ymXre(>S<uy1jIk+}=5>%*gT>vwd9 z--dge>wNPQ-??T*ePnFM2cG!e`_^u*eSkXsjqC3F^8U4d`h#Z{o_YNK`&OQR?b<i2 zU28^$N*^xHo${?+_2Ex^;<jf#`}_shZuwyI#Hru@{ac=S!#g&=Y~9a4@QH=1)}8hI zgI^r@&5z%|Y{$3lY0p2s`O3}LY}k+%_g;8v?Yp=A_Sf$G+D||HGc$P8GG<T1OSWHC zY)5T#+hFmt51x3`o(;wH*%4!3wt4N%pFibYzxv*xZ{NRl>38bUZAaX^eJdUIvrFcN z*H>y6o?cnLYQyo-|F&<*9PQ*yTQ`4V?UHLZ4=jD)#t*KH)<(awZ;9K!r}Evcz2#Q_ zw%bPLOm*AXlI_nH<<Xtn>ds-7df)%pzNbh#x#4ead+PBGt1epq@|!>Vk(Dd%IP$#v zFMR4-r~T}~_db93-wj;%^sij>+~+rszw@I%J7N3FRvyvctg~}#xlRoVD_>nK_TNzd zz4Na;dD)Wx^xDsSX5IZmgSSWfI7@Ns&IkA0xZyp;%2(1}n;amT?teUITc@;-y{R|s z=<NB#-@RwWsW+G%*RdP%%J=`^I_ll-%m4DZ&9D01pFi_EPwfBr8?L$H?c<lcckTGf zmFb7;b8ozU)dlNsyMM`_J$BviuHU|N$(v^4W#3%b{Qiqyx9)QrPgr<v;|J0&{OtJO zT=~RIx_$lould`HH@|1|+GmT{MC3QzUqAKjeU;}gEhKs#bV<}EE^^o;{_KZOy<qs; zOVS@KH?{ujR<=HL$|+Zzx%9(txq0b#cMgm!O}3-p`m@p8(d#Sg-*m~&<)?30G5xRJ z&HBD?R*v}Y!qIC_xVC!j#>cO}{`mF3@a7};MaA0~g}!@0!8M?ZfeUOL9Ax)i*uLjU zST%kMeUzVXXyflIREZyEm3-~TocFVI;f%d|IGN_#8);V$EY$YCYoV{K33u&UdAYiU zbB-t3E<M#y*JaKK$Ig&mS$WX26yu{_c#O~Ka(4!L&PXY2+&IjvRQEF$ziju??4zZu z5RG+*<FH?Wyjyqp&}9Ped}ndvx|yY~5cz$-hFaIovNs5kpC%S=GvO>GCQO5?rv5~e z1Yo6UQ=DN=rBeX8eCBdafzi~fLwtUpDYLa$+Q;%1PDJ&?u7Mt4<J>w>x@C*Bl%97Y z6X=wct<Y`k;(L;KFYA=OO&%K@UMEX+-FJZDM|Pc}`;0U+yjoUc6ZjABeK-D@8Ei5k zY7-TdrLr|v2;XynO@fGDTFts&NZ5^c&N*73ZlUxn#cw=uqRyTEL9mn)n#lQ)rR#<} zw)3yynOvkc=QG2;#IIN=9=pJqoouKgu62-2!bvX=5Q9xvyo^nvnG189G?wokyw+Z} zD2H-v>iEv)KtOHc3%W?*eG4<?d%R6%${Y8J>L={K%E^{2IU3xeO}^B}rT}yr&Qy6} zo3IaYrmwJi__1~D-dn2cz5_h=$igYQACEQRtB0v2eT7Z%AKuHB3rbBs2vAh7dV)>- zp3c0Tw07%SWwlA+k&--jF*bp8cbC7OrTC2}o_QwA?j@b2td-xrfK8UJ-fgYgWb|hu zpTQ`c(@BVX@zH(vViR3YA8f)J>(vzM73@FB+R4lB&~~!-j;E20X7aYsPGsA{;CFBF zRVv7#HW^DIY=Zqne(l2DmoM%s=oNL-J$Kzz+;!~2rj2{|s(uc%T(Ak#?W~=A>7GrT z>-Z&XqHDT0Di-%p(EY(`li_uoJtn&DJHTUw8Tzg->8Uns##l|?wTbxQ_JY(ho9LOP z3qN^6_PT|IJ$q}lz5{G><0HqjHa+jVc&~oWIq2arzh2$vz*&m%QGfJDd44bD(sywV zr1o8_v%YJ^yzK4YC545B*RnrJwNZPNGLj46+X;c)!6u=dP?uKIY&R}F$R;~v_2AFX zf90YG=xU5y=)1Z$DeG=z_Ax9Hsc%!Cx5=(e980PCInXAd?^?9U@I9M2*HLw<Rpf<j za@hfA1+tH^InpxO9zOQseTDsuzI@eezv86d8#smn!t)DnU#H37Z1x$xQ`71GN0m0v z31<{4UCjPOzo%~sI^E~U+$`TqsMLo-MyKaB2KpM`S)s$4^lxS1AjkjrD$mlICuc-X zc@FGrRF{2%x9g+S!7h2OK_d3db<c71bU_wFN?#_<W%TDhp3Tg7Liqvevi~r(@I5=D z(|o?5kzwCs*aNC`yng{Ydc&@U*G`(glLYr6jn{A&uY|pz`wEZX^N7J6Veg&0m;%ST zm+uJn;<0D&;(`oF$?sQG*S$HU=LbXJQt@x#ImbPmIe2N<|0q|ByU54;J$@tXhun&M z62CV)cM-mR-=fk4aLjQQLFrVE9(ung@M`j-Q{h=F`fk2wQeAL>BM%--UZ;6~KfW5b zfSZSz60K3HtG}-M8^6C?<CTtdqnhrDuvfEs^?zx-SI=*R9^FyKiTcE;{ECZi*oRmK z@Aq`_v>Em%hJBB!yRDqRC{<14VWQuQb!oFTq4-PL%URGpobc11RdRez{(^4a$A#XG zwz~UxS|q!%wb@S8^xV3m<*}KC>z2xiCla%i;}>V>F}kg~_$B3?pKr%uv^3P#Ppz#! zulQ4TaVFSmJ-3gvF9Pg;<ebO+Jjg;p1yS-JFKk>eQl{K1{(>FW^d`D7tvtcb!KGBo znvN4M#CANH*|@a%fj1o-zv4ry2mf&BGP!xj%%(TK>+~n`_zhlSok#>=pA@53-;W)^ zD)O^UaiOfSyLl=H7`>5U5j1LFx2Y}S|IxmKY{K?2wF&yr{M05-Ury&eBkR;A`+nYs zP5NHXc%EsP`BY|;r!UvM7dGisYhj^dt6rz4__6w>ZK8YazV}3a9wgr?$ZW!C&Uke^ zj@Hd!flUjX`M97qSy<W$Hd!3ARDd3^*Dq|WmwxrS9+dY^y?0^IY;Vfz-~HIa{l_iV zm4j`fGuUEr-;YJwQe}cieORqFVPfHJqBEji-a0SXr_<qoDjZ}J*d71W0)v67B5Nli zPi&ODoeXXooSCU|)}t`SDHQ!PNZw9nIA4>o{pZ%|XIE-`i^QMVj(zg|pCQ%3ciIW( zJTi&S&V$^`iN;EkEL?|s2JK||)!**i$06<*V|AJH(q;7;zRdSx(B2~(?cly|A51fK ztqlIf7w6@RzcRdf?AXrN^Z3jrK3|VxLR2pEGzU~UH(R&!QaiMlFpA@8j<WUw-{Sb6 z(oR+%|I|}Dk9iaMb=%2CZ6{LL`_n#b($|Mg7Iu4^&`vU&9NbP+R@*s+Gu$70Oy@5z zZWHn6JgQB?d62nHF6g$CWxw_9Jt%~Bf=!t9F06LJCcZuPY9{x$M|N#|tEf#6giFud zJ@||NHkH4-=Z-IZ?q46$PP+8kPRiwd!6w>Hc;M!XJ~Olvqa6J#wU_lSwaL0(%>QG4 z22BsS`%f3d9EQcfKX@K}n8g3fp>hr8?mt}thvAe8?;-y=VfLSs+5cQ#d1cStDc*bM zesZ=NUW8u1T|_&)dgXWT&2KjI1a~kGf^}SEAqjV*UM8$LIQatJD%1P`Mja*0Ac?cU zY>rXa`oN-;i#{K5P^?lXSsIN}>cG|DX5*(u8~~P3R}h~8k0J+9z!D;G-2bnDXWEnS zKpPy)c9B|pUB8>?#Gb^9UbE<ZAs@3U54ywvfZ<|o;3uUezYb1wnJV-?*(}od#F;S< z!TLzW<}oZR_PE3bS9kDOF5&Jo_pw2SSVEx!Wp8w#@8Xr5c}JAngv?Cl&)tmeKibhe zdh+S@J6|eW^gI`9u?C&T`J2v%^b+Im9@o9OuFtmvA5>2K1n6TxxM}apZ}Tcn|6K$_ zjs~CUl}ZVF1=es6yhxNp2T2&#<b}i`UC1-sdzikE*DOZ3gLp5-{NsJmOC(|QmZ#{p z*cYVl3Kcx=V8Wb(y1kLhd?-r%ds-h?8ayFOJSib}z31t(sN9*?-vAE<#pN-185XHR ztWxxXp4^yYa<?~L{RiXKetLAJC9}Mybv1Et;uj3N#cJjP5qypJg*)D?wmGe=EQo0N z*h6ocxjO*uGEQ0BIUw$!Kx%vmZH^|G!_vRUXB<)(k8+{a6eMUwr2~~q2^Fu^6*93i z$D{ns1uu9XMJJP!s7e)_zrW~>yiG=sr*{9Kga>oYE0-?G^<WpZ6)^nBeHbW(1X_1V z@xpLG;uofLy&ST9dr|mP>k;ApTUBZwdoThdiX2UotjkMS`!vGO>HO{vE9K@n)}bmf zGw6Ywfu~@cA_^w8E``P`GK0)Oi>W-`GiOm1X-UlL1+W2^B|}$|SeFqy8jV)A5cbFe zZ+Ti)oe<&kq-ep{M=@C}qyb-gipuLc8E5@r1=rV#tTkruiNjJEALoaJoV&;HOQ%tf zM|z)z0M@#y<ME@}noy&d3{)!KJI=E+My5lJDX^|oV>x8PX+qP_4fa|H&rO-J?ySVy zK3s{8#%mbSrpc;TrO<gso?Phx<){0zB2%jJz#KRS!Sc>0tbFTXr1>eO2ao6Nx6!<; zGL035{s1qc7D#nk@uZ4=VX(ed@J(%>)_B60sxp?dVj&1jJztIWEW6#LC)XQELX7RA z{y99Jo1e@SZ_%G;`7^Bine@D4XR{T0V?vCKajB$w=3)j8xlA4iQw~+*BC4ygOnxFA zR}Wb4FVSXa12)2moJc$WDZ6FK6mY5q4=nh@G#JF&#AVo6rto>v40Bk=28u)I@+qU` zmyrP0sOwjn1w?kw#mIu@fXIJ*AeTh>sP=r=;u-xb>wF;7L?lLQ0flyv?a`wS;`9=! zBMqR<d=Ywu?b=P3eq~@6Et8`%H!^s<hI?GR3M5}N2jQH|N9N4QF36&#dO=VD4GfG} z;~AxuKnu@VFx(a0_FnpH8KcAK$&YTdC*=KvLzZ~5I0qj<(;o(G56&WqLJy%LGCdyk zD;kxivowDf<eQEZ#ijC^*oTZ~VvNU5`@8jb(N)`4xsk#IRDSn7bSZTJ@1Y#(8jr%K zpZin{<@CLK$cH<fTG49h^CD_8z>y;vvfs?dDN19&yf9=*Eo{?EjgAYE*1YK~#VhHv z4E{6q2AIfjKamS*>tWzj7K&By?AX(0@#~d?%RMjTW^(xG?n%rl6qu3~X-H(Xk_~h$ zn?It`@cKy`@#|tNeU_aKDw!>*<ff)+bh|FEMRjx_%8rWjJ`Hv43$bqyI?YpvRI?eF z@Tve#=vZY?fY6X41TlhFHcXI```auFJXy6nrKHw)D)`w@J-s?e4fr|Bxc44->t>|r zSuX3Wo(&m<Fu3^zM){X$AeA`adG%2C@sZJj<uVhQ!9Wlsj|iov(T2K}Q-wT0TEzs3 zOomjZd2(a4@;N1v??Sdle;uTqq}CWuWugI%i!`ik(o>BoLP2cA)~nc!Y59~w+X>Sv zEr;qf<V@}IQPLKp$zkJ^U=czkgXn0RC(+0VCbm9+aGEKXLf#2lF=)-DEj_<Urv1?l zXbZW(S4jF2a9D~+Y*o{#7*_K~2+O6_OP9PVsJ{mwItbH^v|Znedk&#Xpzq4J;`5q1 zqX~{3wjr9k{(Jc#%BD))I8Z&HTzesq(E|OC4kQi)y)V8Xf1$z!32l#{%Db03;}6zP zmLPo*DEf}h+c+~eYn;^Z^xCT=Ha;n})P%ZF!O<(L6uH~Ta{!+w$x=$Jy=o45It>%i z1*5(Y*-N6#+AWO>e21Pg4qt`eG}L83d<7CtU(_rNguWUY&q|xt+^WFMRLlWw)VBvw z@bNSms=4=)7P$y$1&253Jb(xM{^gunV~k?;Zb;@lCd;UW%N{Ud0te4kL!kbD_!p^x z31*5278!06jE1~l{YdazM)lt~74-irK>L-LrAsmGB24qO3Fcjd5os=GElmL1#b=0r zVUEt#^S^`oy#IS7)x(DTyJYSM_F4bv{8i_M%W^5Ct)w;C!V_y}Gx%hpejsi6&L;%^ z8SZXLXQ^8f{-_D`k^eN<bL8Tm$ettrY25zhrOw;N5g(O5_?IW$|B4b#cUwf^qXX~H zHBf1dW-TVi{C~qOTFSvllxlHsi(dl~$t?k&998Ui{BVd*;%>O^@p<)?)P-<teaCeR zArBd!vEUv$0Oa3O&f{?{`IiE|-xO_%JV_ST^uMyUcpgs!5u0l*Ss-(4y%@I@r#$U{ zE>3!U_AO`1a2|wF@}G11;3lSc-n8OPOuTkfS^5uWt|`7Q)%Xt=&(`$)e||PJD%(&& z!^yHCA5JEQBBcY5ED|lB7>Wmccxnm+|3j)>rT6h8%R+q8`zxw)>cXdt_qvk<#?`~a zR2w{u<ZE@~BOVIzE+y>1JamgxTe#}?a7%dNBfW@`XewY?A4wRkeFJ`ng-@BP$LI05 zIvz>*&T`!PlsTJFd<S$6cN--<`%~sJaPsv$P2H%dq%pJH<C_A$o9EX)WeoVj?wmf0 zAN-WLT<HfXGj5(<$a5Bk%17Pkt6BQ#W>&^e>IbK(#=&h2e$u~%jB!QNrJvO2UHD#K zF%FLl@zDEtb!R~<?LP#(Iu;3A-3MVSuEzk26kVSs{w3fL3cHvf$QR0-V{ImNo~~hZ z{a>kc2L$>Zj9y_AaC%wka6dd4(7kDtDi7zb;tq!FCB(sK!q(%$-FvfJCvy$1Q@@Iq zfzwnrOPV8J(v7vf#hJV&CkLl3pxapmyvM57a{0I}#s_sZMUK&p>=EJa$^=d0LGb~| z)<d3+;`K8bvB9GkFTcKpqn<#UyB?Y07Gu57XzH9!^VgJIehG|*6=0mX{&!2F`@O7- zDMNmq)KiMs;2L#|=Q@th7C;on{X!Cast3&k$KrIsbbbFIPd9f@?YQfuEEBE_7JVL3 z%i?;fL`J9>y=>;<c$IiJyB(S;M9g=Ia-G@}zS_m9#~u)Rv4A1+;C%9M?jD@pc|^E} zINzcVAlFzMBDuTFueGU*4c$Q8gTe1%v49!kKqvMU(T__0#unus-B~5Z*1gaxnns1J zdnvkp^0wW;p`IXOE<siYGO{+UNLM<9^ltEPJYLJJkhQU%vZ)k{Ee|^0G52DGgA4*h zAzL4a=noZW$;z5b9m~bq2`wC9C8x}b%!7V>to8y5R*YAZNA@br1p*Va7|oaFJ-xrV zMX&l6#p2^_2Py;pU=UU371D}p^lHA#CwC{Ku}PhB09+6>NaaHrTcfeSSa31|0=dtG zh|I~+yjM~#Nr+s$s~k)IzQsMBRX;}5vK#&az&7TGE)DZeFF-*l0i(AZDNttYJqjA{ z>Mf%=ICs)JVlyxr3Y=Y9^cEo924aIXluQ}fo>Xt`iPQK1i}X20WW9QMOM0%fma&Kc zVF7>VcZ>l(aAT)H#E~U~ASZcgUBN(_YXCnAsn@?})edx4LpfMYlC3bwaq5DHw>N&| z-$cV!g|rmKvR@0q{7;@?Q2`OMtgJZyR#ypYyoTlL)cAC1fy>hJ1#1h6u2vmxRdq-h zz!!t27s}eT&aiUxynF=HTP;mVhK*0iZ!{qRzxL#vI6tfSIA|eE90WF+w0hUyga}3^ zFw?uoIFmF>^~D$O;17i)PRlyEu+AE^u6c}d5V;bT?C^lk)7hFNQOtLeB=#J<J)HOE zHw02z%jKp5QvLj3txl*mA+hsePZ@kZ4=q7}xTNbr`2Gt;%P{DQHtHy&Ur!u2RgSYw zL)-AIjHl%7tTXn~>QtIEEY&N~?k+YmUzKrfsic+iq?Bt^jSHwC8g^o0qaTyVizUW_ z_TOGnQ`I!!p)|l}ib!C58O@Ywah?vg(R#+zzXcB<W6Hymh8)x$2suMHBU9u!FZ{^g zg!zlWxQ|Y>qU#$#Gp4ldQ88rU^U!dBDvt7^hILswA4Prg?qXKXYRg6%522N3fv#iK zr)@z~d(s{{ieU2Jw)vScrrxRPj^Jx|v!f1jS90yB)S${b<>AI$gFLIVeXL2Li77GH zEZ^p`@R}u<<&j>d{!r{3iEG3mhk?r9!B{S>@zJ<?^oJ2IpMjmz#je<r6>wsl<^_Ya z8qK>>WQH|NwF#w3^kdmAJ{Tx=9QLEqKRPnaPX#`W)qCQ-FvwgS<>0h2r5dSAM936# z7?G1eh}`Nl!f_GdKM0AV5Ctdp(pwD}y+y`5>ILTYMndJ$1(W&63%p;JVnHc?NuJcq zR0_u*eq?E(1!s`-$XAyg4{6-WEi=F;Ge`fUen8Axdb9+sd07Y)Eh(vwj@m_o8*JK) zoAOpja4j99Q;$L-Lu9YwC|JE|FNLF@ope6T&8jCWIKH|Dl`3@sN%izBv?$(#>+7AT zGkWiW?fSfjBu+in|4p6|kHlvnK~$Bhyk2CB9Xp?v$#-oEeg5;grHXdh5Cb(XLvroF zvIQgLo-{k)Ef@ZTAIzHSbFtnPoWE1h%%fGsc7tkwhJQe&Y;Ku_DAB^;Y63f5b;$ta z%f}>f#zk)xpkbwK)Po6KIb>ntGT%lQYa(a+Q(qfnt27PeMGSYKt+$w<0!cwT?#0;_ zkK*|Jm%fOfLfINo^`+)>_WG-rA{zWC=0bYr6Z7<fAk&k%8Rf)3kR(n;(18B@K&@AS zG}}pwN5P0#bpZ&R_xRCfY=jz9GBWFvSc#7=jwMR&tHh-6Ipekz$YV-Te1`*b&=$$a z5{|iW8IOWIa#w`F30MUxH~+ohyd;{&cF}R9UQJ`<L0RT?X$}|(Eir8QTWVE7r9SGO zjZl;h#EkE@G(mmRfPJg&Fd|Gt?DOrzBbC6}XM(*HrI<c^ic|lgU_m7&r188M=5*{q zI34gjIPRUW`&nqOEVvWX=cUgns$Pn+=$8-a-yAm2GX$ZKQ-+ZCooQ@EoTGN)<Y$_+ zWj<}k1tMeTN{&%U?H!XUZW-=6g!<xWhL$S>&px6O*$(v1V>LA%O?&{jR0%v9S|GF& z>Px##Ib)Nhr|YmOA3lbdeZdcU^j$@nYVV?qk{U;)=D*?E&iP)D&!6lnn%K4I$L&rh zmXuEa=nvyIIW}T3O_)7I=4156c%`05qOO9`9)L9uPGm~7#Lhe<Q{_^(-!8(?x0Zg@ z;2=rLOijs7OViPAw_#kJ<dn&mZ4Yd;y=#0ja&lG9t>6=}{(kW7F04|XE~kw``COB< zy=0YIY$2yklshgk(qs<yLGB0{oQj-5HIcZQI3LpcxRU9WCq_)?g9kR65;DTaxGX-- zTx+2xMML#S!qhIQ1>F^IPO4Hq51W}Z>ilthWs;mhyq3<0NzAk~=u+mS!(7)k5;Kp{ zjIzkHXJGPLGU6e(1cKaEY{;sI;wYYOgg6sVkt}Tskt%nD>qHD!J1wI(u+$vI>xc(L zv$h$j@|_Bl^d|`vnkbfA)sr+KqN~Ge@x2g?got=#59@Yee^f{47nCXO_AjGB(<jXm zv)jnY8?b~0Z^OXs%jU}mjufO4W=K{Y1;-<_>e~n4hU-Z(M3!<m;Yboo+!a0&k9Iar zv@;E#Ql^Og&Nh?e=skRM?IUr!R=RV*KqO~LGcsS7>8oW*44>MzW3I6G$dYy-QKSTO zsIpZ0$Yk1It6}0h8yK$NX^|Uhbllh#sd?(7FE*1haT7VK-+`JyMoTG3Fi3Js1c$_w zqITUn8>QE~B?jW<`iXZjcb24I`82{~QUR~wVr_HWPvOVm`yrlZUL|~Lu@%%o^kJYW zNrU)lu9oIW5spI${n#`(mmr;v9Dvn(<E^JFMl^59CVNi(WQ}yFGSRnpfTtkjyw7te zT8%gWCXJyJR^=mrv!%o*vr-`#Q>3VvvM4wjL6AYYTSZ!W(?pHV+@~;<m%6EqEi!fe zwp~n^X-AP_;Hf5jg3*gZMUIwPO}|W*`I4Lnkpc-OptW(TB{Y<SfJXGda%_@Tbgat3 z!4H2Zq#}vZl<{_Me&HxH7!ZMgUe18m;4E}WNBvH3hX-FQSlUpYd(WG_l-g0PVaj1l z>xhulKkLUlm{HzDztXK4!&c?Wz=`G?`$-ZgiWuba(bRbo^iX>&H$CZwuhXW(5HrQF z%9XxBpMj0m6x>DOtug$5az~>At>q)ajO=N;f+j-qb>Oyo(o!{+4#x(RqGcsMOs%?7 zd2#hgcwm|(jaJuE()#V-pfyabtk<XWnR`-aY&YAOIbX(suE0cfUksX|anRCwD09jK z6Z#7eMN}bWV)%}|Xy4^IISA=)SfS@JrexGTEL33a-zBGZc+P1LMP1^c9n}_s7R~Y+ zbuH2^Fm#w&8Cv>mDOE139)+0xW=vh9p)Q`f=fhf$wAz>~)OFIq)J+qj=KDBxk=jMz zC<UdWM2V3?sWi#i5mWRbC!^enfuw)U#Dw8o4Qpz93<oAzvfv-<oAeiDm}fM;p~{yq zOLX>B5SZ#|Iur9=@yU3RjOgQd`jsP@N9qr$jx#MZ+dkjMP_>6-8DFC*$&u7CVvXXg z)e=q?QkpnGnbn-+Q)?ovPRsOznbo>E&EfNWERr#0;5+%qXx8XC1uqWPr)w(Fj+Q_7 zX#6U=3i2^BAQN|05Lo+o5HK{!7{6N@{5-vAnhcDkx@v{9IYwP-nckEzt|4&`Sp36A z#`@3p$)GYm)=c3{w8y#E0>-K&Ch@r?-*N=0n@o%bV`C6Ws|PwK7b8Sj>u3uOucpGZ zX8o(!c5Gd(T}mq{Dpo1!*&}+Aik~!5tZ7QuLW8BWqda0?Tz}_V)D{E6E<!Ye3xU5| zA%;heG>XT-7`pAKf3QFX%i?7zed8KM23n4-F&9ZvvGO{7xOFP`;ekD!4PuxzoK-Ss zRj{RYv(`p8Nx4MXS|uUgYT`DR?Q?F94qPHkPPr8CA*etmwXiwPyRp_T+7MG}5*z~h zgdF($Z$S%6X)0Z*bu-gRt<-UXE$TLX9L=|gIqrRAah~*-7@Fh$-hwG72T%aRWQjqj zp-O>-1>VJ=%$UmT8@*;S%`LA8aYY7HLI)RzXKN$*LpNWPjvKsv&}ko763rk8t8O=I z=Ogr6%NfcM>$vs)g9>0g-pA*tgI(1i8J3sPwxi)SWx{cRIv2|bA8ED3GT!@og}26~ z1=h`M4#J2~fF`=Eip&#&8>-E~W$wfEbe8W<b@AQ!dOQyPK}WnLKDhqd(e}|bbVz($ zR1+bVRdw<z@|TX3PB6UlT(o!ScwcsdK6K~^1XSj)7dIRYr(tGF%SgsSGgV4P#1}0| zJfT5b>4vCcsw6KR$vekOU8?65sSdC~Gfop&YW3s4U-1etsgSN6bRD&gi=A^CLzJcW z4*sARkA8`pc77<4Uhd(uJCil@<cPVuwkAz(Sw?3to0LYP`2jB#qX9j)$kvreml#S- zRw`-$<Q?e)!NI@{Nu;h!J-}JLag^>!D)nI0EJ~gDr1XNJ^rns}qz{j@zbWImn5GuV z2CrAS(J>N9sXigwr|VZC%F_K}(dLTETlu1KLVuHvm9Ytrf@yQBm!~txK%qLXZE!TM z*v+3bF;Jhcr*kD)wW>m{SFwNKtW@PHG8iPf3_o_TRX8<iS)P%X_w}1-j4&oFZRksq ziZrD-(hQn+s^OTbtMxtRe1i&AC*{-)5XSYpc&u-L^M}%^o>UR3&DyamxXa>!yC_Z4 z==RE*<H5kx`Bvv*IX^wCB5O~Lj<c66VR|+C)>d-H6=JU6w#q!T?5vt)vPET$<bzkW zZ$9RNVuY$Wq#Kz4r#Bw5>EmS^Un7SdfXv)oqv4QB-aQwOM|BHk5ggvu+~+C}ZsAQy zE1p2%wPD;+r6afgaMvn1-)!SuqS}#3#-CCtt|!UR);El<IV-LX%fxRMm&FW!jy|ec z#|rw^i8j0<PVY*rEsfq3FJDvQxWHtz)jv335=2gt4aw*r+T`m`>1mX5Loy^(F{#DE z-Vz>i<kYv0&lb#NTE9_BH_D_8>^g7P94Bmz54ZGFcEc3giHoAG#$h39Xnmf9tQy$T zY@%>EGL9x%&YEVtJ)R&Ua!LiHycw2}6je?*f{Ee|2g{2?w>BDa^p4~9Z+`T;YhU^E z&6^*1@4DNM`|(FszWR!H{NlGicF(<k{VQuPesi)Um8r^l`QZNhKYr$gYtLM=y*Za& zU!PN+j_dll*2p=gwEo7CkvZ3|)}p)DxGfFFhbY>_cZHAs?FZL`>Vm`fjeEZQz9(+{ zqi>!>{7n0s>wgiqw)4UDUwVH3+V8yo%%7ERoO|^80XO{nzx4RW&;N^kJ1Nu&&-}@n zwJR?<bMx8<iZAOQojc|)?wac~x9o6tY~6Z$W2?L4&u$+5yVI|_=*-uC@4^qQ-5@7F zaog{G;S>Myj-97}=9f-8{q)Tjt~l*IgOxX(Rhc{e@|#Y-@buG%UUiMEx?or3?T?mS z<=Z=7{?rei-O~Q<f4t$Z>p!|N{r1jZ_{_!oKQXlOwDH^KhPHoKhQEnTXcdL6CpIZs z!}V|3ep9jXXuEJ@^K)_W==RIE-0-=%oqw?Nrga}*am`nTN)Ogu%ei*kShD?^;=t$A z!9w%%t;)CB`a<Tg(7$!)Lt9<p`ZwIX|H{9<?%KESe{}OR8_zud0}K04yZ?Rfy8E_| zz4qQeJL;?r7Mnb|p|ak7@bB)w`PerNjYT6PE!i@#M439S?Q?V6KP<!bMVt6`k~D)& z<R>32K6}K@2er<3!QuPT1NU!!<i?x+<UeDJm$koHQ+GLZfBeJ!o1<?$xo!Q8a~n$O z@NL`HJomlx{@~{iGM;oUdH?g9Uv|lv|K_X*)~;Q#@+0Y;e|ziqn>Vzh(VMs44$INU zSHAE5<ostxk9+(()St?dKit0V%S%6a$DMC^{G!_C>)wCb=v&^Ita;PAbmjZscl*$V z<NqgZ?*kuMaozdWy}IgYHDc5nG=rGoPpPe7F|a{cV6aV4Nfv{QS*Q6U{x~=i7(0Y` zV;p0TH*e#qZZyznSP*!?z&b=G#te!BBxbWo_$(F}$M$-52Yc<<o6R_EHg8|z&pw!q zAznLp`h9;@_v+95A=!QJ9qHbxI(6#QsXF!V-mX)3eRk!qmD4M4Gk;^Qtk~qVfAWJH zZoYNls-D|_Cpn9LGIZ>-zj*M;Y||O%-`85-cf0N7HJ0~{#_hM)W@nqIC)>6A#PPS? zdq>Bv-A`Y<<@r1QN%Q!p=01DjX<xYV;q|waO4X#Hv1`w*zGwHV=?Q<gr+Zi5-+EuS zp_46F#u`sO)DuTondw@4UuTbbowMz3rtIAuYc^`G(b>T(XI~vSaP8$B&&C;7;f%HL zpt71B#~wrfkB5sc>W0VRXMXjJRBD}_tIiu-s7}L`Gq*OMxA&@Z&S73@e{p(r7+lpH z7+KVjaZ`JBzT1>}{P7PiIyW(~@4=oO6TLeOM|*WLRBiu*b4}&pSGF!(bE&EP{GWf; zyyN|*a_pynZ2o*R)5`WOoU3R?KK0i77Z+IajB@B-a^(m2!k?tQZjrOr$de#YoKKgB zIigkz>h38sH8R~ZGS&U%)8{|d9BEB2n1w(e<mPdrBh<~5ov$wpFMae4bTS-uLd~=# ze9wcgZ*NmJ-}SmqC2U_4&L^ZUA{;nyIXc;)Gts1v!*s$?$Vbr$MLPmqbkXQhbTVDj zQNgQ{P$xCy=sjWYRZ~+Hb0%j5?y!n)AK<iP>13oGbYj{cT>R)qySw*&(2V@m@9i+{ z9n==>=ode~SoyaXEVS-@V6pOzN9WC_Pgtz{q-TqH$6bq+?H{6MrISxRx(G{7xb0~l z%ysge{Zo#!=QwZjP@Ql<9x%oE+S17gNB>DD`;2sAYMu21EXxCg`s@55b#q{($Iic2 z;eF0P9(M#M>ZHvyO1avlp7w$5;Z)xrzfLa+r@fJAN0G4IzWj1ZDV=aWBu5k<0^w-Q zLvS&;rhb<xCbo}q&LhqI5SVIDZ(pQh3N$@w8fl<A;oiN}j{3<++gkZJ5RWQHR1R_s z&AL4@wPVNV=-#N4+f^Lt<QIOeUHQ>ZeEGkApj~;1VmJP%U3tqFhs=Aw+pbiHoVjoD zz?WBa(#~~~a;~>@a-@E;N+)~wN++Dw*VFF)a;>X6R_isLKVc~vAe8U5A5OIopp(Dt zI?#Eb;~%3FW2cduvFiX1Jbv8W9z`ph*A3UeQ`~=)>x7d=kEj!!H65fl{B}hA#P)8T zy?I#Nl&Ngz<n0xme6c=VsYtHuuiIA*GiIru$OXcY&*)^Y=%>sFJ}@$M$tByj@BLuU zM_+zs$L&%j8MOcI?y1V}ez@ho@W@o<jGy~WQ<|BoeD1gf^UMFoROR*m>wvi_*U18J zqerO&ja`iFBt4o=dP2Q$<axUrolMaxbi``6kxrhOn5ry<F$f6*-D@3Fs@$o+<s&ga z?0%!MtN&^hYm76Pc_JC-y{YC&mVo7(<#IOjWr<W;FFRIq<DC6W{D8T^^!=gLS<pFf zPI3#nEDz#}p7bW3+gzOWoX0Oa;ID?yrXs#@;U_t=iE96Nf@dtAQN4|R^4m-yeDo~H zCfW7#Xw?k$nQQD>7`OLcd>v&;%)q9xc>c6GZMHnV-c`P)bjDc97S5#}FXE9k)ZO*G zb*pBkHg1N`#y$1=+=VU}%@<|7ZZ?!%=V((0<>yH!`dXw<PbIzTfwQFJx!U6~KIgX* z56w})BPY@hm&!ULnzNoKL)au%E@wQ4c=;J-S>AfpgW)XcPh`-`FVLCNLz$W2%xBI| zMin`bIE<;B4IR#UF7Sm5zW<EOY||Og6eL}CZ@%qn@u}wLFhloV(x8*{{VeZunS<N+ zejd}s?>NJc<tzd|GJD(Re)L@B{OSIz%XDO=v^rxRKz7pc02<vicS+)_!uOU-6&^M> zf5NoR<3V+s&da8Zo2Y}Jlj7{>kG#<Ogk;v)&^$Kg=W4GyU)wBg9$IH6KJMzFF2zsu z%ja+B@sjz7kdI2OA2Vw4fiC6<4PEV#_qGo#8QyP!teB@l9Y6C-{bL=SHKBCnDe(b( z(PImbmBskE>Z=0zn9Dac_#%haF>7F|hf|$dch!e0H3lJ0xb5MP{qAl}oUAj+rSFF! zC&Fj;=w#m$o;a>Iju}1A1m1S<-UlCKIx$lR_&zHs7CP>K@5so@Bh&S3k&$_4G<<_$ z$8o20ntfj=pExx&I;#0$2dA<|VOZ4&+Iw}L^5K6*p9ey8&Y$i!Jq+>u1^VEIRBdJo z(16_dWrB(B-bxQ2tq|9}fa3S=RXmtC0FBTAUJE*5?Bw$kob`)N%n}i?lAmJMG*iz! z)0R%2%+W>4kbHml)FC=4&PgtGQk-=g$X9M7(h52mt+2E}C!;+h`}dEIwx`aIa-$Q; zm|S`O-kzY7Ew{vT|Ff+9jG9qqM@AleQ1vu*pdEC=skHCi-d@zmPD-r>&>^L|^X@0j zUtjW7SLlS6m+K_2g`?=?FY@Jy))Gh4i7~2c!781MJ`=`>|8<@8{4lV3&2(J*I<i%J zrrJM3T2_~WP90G`@zez2sV{v=I@yj+dJgL+e9>b|2cKLCiMZ{R76ZgK-R{vhH9Gbc z@rA1cgmu>T^yu{VH@}$=W{gg?_wDN*J%|oO<AY9kPra;@lYdHsrjE0-(FsCUwl3?W zM>=V@UwgfcPWW=mf{tF7PWA<zyyrbnE`IU9iX!%tcKh5yCoczij-r!dNj^1#PWHB^ zkJL|&q?7HV&rFN&icaMJhx>_{>G?aQYyH@CUmjW{IsrzeetJN7de2k^oygDkP^1&; z{cxT1jxHXe6QvNSEz2p+vyZ{zt|5vV<^<^J+MC~OI5~QX6FR#`lPmM*oG^Ad7ajsa zKgsqTr!NQb3(LMRc2%}6k6k?)yV~uA*EM!M!!W|5RMg2n>T$>E$966*UAI~%|G0j_ zx+|;!wAMbFPL4EoZSU@#rbVn8yZ&)H;i+G5`1I~9xD^-NdvaH=9l`Tr5tlPHc-hJ< zoA4pt0u;~ha1med;itX^=!BQEKDw#HHGGBK2iN5MLptSOgmc$aE_NaPq4><a_dOAZ zJt8E^BM+aIhwrJ33y&S5;!XCciYpT?eKzOW$m#z_Wg7%Z_D6ZT`=Am(QyB~HH&^JD zK6^B$Ym5he=8kR9@>^2%P<Pyg^v^{(%+B#~r8m!QE5R6fFTboSoc;mT5#dyZ2O?z8 z7~ID!A!BUrntwwVB76rfj1A!lZ%ulq{DOOOJn4<)l8e^v%<;Z(8&>$l>27>_N5MbR z%a$<4thf<T&=oH4g@>a*?atk<-2Lyl`}kuvq;2Kl^KzTWJrSRNA>unO$;0o-!+TE+ zB4Ecjq%wDWop=w5y4Xh9MIQ+4&Y^9plb2>=**&f&>}SiQ^WN{Y9{ObIF}nbo_p^4! zD?D5N5H9h1W*`uYRnWc4FE1SaKLEd)hYf)R-P7QWxwzaPOF<wavSoDcS&_(tDb8WO z$$ZUJk9iJEEvGw@GhQHT9$%4rfn0Hq9<xFt-x565;}-OVyCUOS`Xcl-8tD>$tH9Zu zjito+m*KDqAVz0E6rdNnE1?1#UAHQj1b@7*MJu)As#>FH%Y~=P-N`G@DsaI+5HXeo zbx5S3HZVvwfwjiW;JOpeq+AYPEM6gLbalTzm!48Mx9k^vgcY6&2eU(59VkLB<j7A8 zd7cGt(P`tbeq2;)@QSjAM;v~%2?Zj+PbD)N$D_cJ2C^LTjH<?^jvsQ@g18<Xl-ZHN zo92-tz!rHdryeve5EH=<NP!|E0(Q+Uswi@Z=?iWJ-=aklo0;S9_62?oo5KUyA<935 zVp-Nh@G<C@vHCUfjcE%~7X<e?^^xk?p%GC#isXu9h1*ql<Ysnl+dL9C8+br?R8U+@ zsfOaXa>%P@xu$)@FNX^{Ty|ds2!iK$m%DkxJLP_7l8Jy*ZiTGT)m>ZT#5?w~K)6h$ zP@&-%Q{1C{GJB4o6@}xvC;V<XA4rmbVgIx-^W+jU(p^^$)(ji#T|kj)RZLD0i=5aX zUy<sKT{}*^Pq`&!-2uP42xAeqa<9g0lk|}6J)NI{%Q%s0L&pNHU9;)Vfmsc?GK6Zb z#=AVAJy*WWOt|hl0fT%Vo<UI_hkryJ;ubb!`cw$#xCKb^p(zp;*i|n4fL;nBjp)iD zysrrvt%_jVh|h{Oq^Q}M6q>osQ&|&C8?@bl$Q(P)J~O@-%<C9SfARPuBvDr(;BuTw z7n)Z?Zs#(EBbXV)1nU)0A$#*^?Oe36SI6=gDEKcqndpefS)~oh37KSO<&~wv$d^^U zaxj-DEa)_u3m%Z2+Es|#2qeNfULTqbKR}uxy-~|tCn)Ia+{h-Is3(qcuyEJX-B~Ao zft^Xspvy891_Zka>bYQ~9^-Om(pa1%A84s1_VF<GC+=seq;T*v8(pyWNnLC|%5yLn zO_9>np>N>&>Wo94Kr`%uXkZ<i1C3c?YpHQF;^n-_q@Xq6(hwAT%8{gF#+zAB_6>g9 zBw1$Wy=k~0`s6%#g9dWjF&ZrK##_pam`&nlG;KJS4F$Fuf{k9l!p;qFrwRhB?kZvD z8(7OZl&tbK)UbIJftoJRYUhDK_2m;fMDJ#?>iRbj+A9(>mhuvjeI_&L$Xe&F179xB z^IdhDl_q>bqh!LKN%#VJhWVWMi&=6nsjlOLuys=>If=ucT!dGBq#CE_+$ME&b_<5a zQ*$y%GS+5|@WP}K?Bkq=nJ;&0?-iCr)#liZ^on#Qv+V3145OfBJDH;>GYy;6XcU&6 zOvH-faLCr8To=s<Icn_hXsR~Ih@fOGK=D>6!3uh<KfYw84TQbAk+z@+sw_~FsuO01 zH5Zy^o=I4&DI=#uwxoOY0~~QZ5FR#XC)J#tt@=SClDZiXfz_ncxxzL{gsp~((5;_~ zu|^dis=%woI&iBXbxL2(O$URFY=;O0sqRJLTiU;82@Bz4BN<5i7$}n%uh?4B8Kp@| zWszFi*ihLtX#lGsh>|0C%E9Ly`C3eQECE0tP-jj6$V>wiPLLxoa*8Ox7M>6lhzd+# zorQaWN(v8Cr49aaGooTB5)WgoLsKH)T#PmBW6OfKbTaK<=nv7o%dD+1(le9ZhW2A? zoh)hRGEWhzG)qU9QnF070Y#|%$S2UVw@7#(ok+R6drc{l67ViHo_H_6ZYH%}BN^~S zmQSJ)f$6XXYcfVDYEsQiH4$nfCQvNkq2XFp4d!sjQ&dB*FrCR96A~NU*_cHa&7^1= z_Z4WR5~ege6j`hkZXAS1{Sohs<1tCH%yUKN5>X49K&R(di&r(^qgRyT=e6S|%uv1+ zqCG|*fn=<vvMz-o?Bq9D9_Gb>To`5;qBBk*O_&gDYFzppY~27XtxL+C4!+iFAi}ZA z(VH7BGJu~AQXm<b3N#5(sT%7gtf9bBXs^bdd4m;}9#a@Hn?#!h-DoVShm&a{2x&5E zio7@<nw*C0B=u%}8geF)chCT-@?Qo*0X*ZsAl_+mG#O5@NX)yES>ce6fEi;bReEkY z*xaq>F8T}7#BvNrT3Go(<2|`$87O9o!@%Yka)WFYXjIX^1y>JQ6F`Fc7>+sq4|R7; z@6{VRt1JYZg8Wkg1kPbh1DGZ2Jt|6KNe&_uwmO*8_}mqmI#-5HsFX_{JE83;F%b@9 z5C9i}IK|}%=Tj>%AS}`0G;0^KQw(_@s{vLd4Ajg|ArR^$C|-$T)dipN%fY7PuxwMc z>J4eLB?BkzFO-C-x7^V?MDj(TU)$Iu0>;M1Mm}B=k-dxz2sJJJ#KwHR$x<Jat0T4K zEk80$CPN}2M}4bu+_T}79Z?`M+8iM+^&eqO-?2kx;Km2$U{qCG^qv`o7O6Sbl%HRq zmp|ep*uo_FCrmG9ftUreK(rW?9DCq!!Qo_B#tD>z{`q;^c^uT?Yo5+klbGe&BOE^o zevdUxksDSuJ~fWIqWg$%C3W24;py(ymphIjzvM<!V}XwFX^kdQGvnz(Yb!h2S!M)b zLK5AwWuIj6Aw<<tJW6l3f=Oq!kx{_jC)3?zK=WKy4W41fM}C3N(lU!cZ&V~o5g-uA zSLZ6)sR`9j@rRkh1}Lv8Y!x7{H%XJinMC<O*)@*qo2Ac}2>ud&wbsg{#;~kx`-iSJ z{vNCr(??ha{}PsKmBm^5XyP@JnC_v#gN&>c+qBi1+Rw#Y%ELG+j0Y-5(5H`Z2b%cx z&m^Xz8^1*Mw98t_bkdl7%A&A_>@A;(uxUy-JRPLo*>cBBf-*@rgGxEDz|8h8h=*yI zSwtVhW{B>?bri7RVZe&k-CC~l9;Rc%e8pUsY%u=O)MR<(_bD|G3#p&8Ch%f`DcmwF z;PdFzF_dX;pfV8*ketdQl;7I0JR|^QT%ExuYCFz0&!Md%t)i_|1kD9STV1L1X3$V7 zv*|tj(!LJlAQj*lG)^$P(`U)WUp7=d)t2V7)5;k#mRNVE@21z0++@}qLr1E%=3T$a zWIy+o#BM``47vDVIP9p!E_xwjtxe0vN&tq9vv8J(8`L6xh7zJnQ?X|E*4skyGMZo3 zG}-2A)3QZ<c=qBwJUms(VQn{*E|3*1iyE-TcQk2ZFfiUxL{-vJAWi!+oxNa*A5VMB zRXdYmp=ugg=~UC7xp%7Ww6mb<sO9h<IAs(@K&F&}(J2R?8M;`Yqu+rf%`)U^BGzY4 z5gz_>xYK%5^WriQMpSNIaj;X1kjs6;Mnm(%fWG3@{j#lQU0SMULtQ4FU@<z*M1twG zxbDm{-?_C;Rghji<^kp62@Dbr%nB35-4rBmE-p|*1gPR5w9ci+b&vpmYK@sJ<%?~b znlTNNa8EV;@@bu&N;g@hF)T^oR%l1U!L7Rn4+fg~rc?N6kkJK5N>dwLPk~M6wrrBw zGwlzvQEG-JZgGs(#8|FnKyFnaRgQ9y2g$u6(+y4PMIO(M!8|;z2mz2t;0lk@tEvQ9 zC~zk}JxEwDTx?6cwJ7_nYF*vf2OR5(Kke3=48vly>Vsru$5)Oh#~s!hTCWmUwDX@@ zp%Ts287;=TB(XCjmvmuB#9ajrzhe%8Hpq{RZanofT6hxoIi5<*w$x0d=FS<<LZ)Pn z9qXX+!dma4a2V!O-#6?yPDS${P|E8lh8V8W>gRg5T9#96&Kg)kz@tKpqbf26Nn<9W ziDYTU?5xrrZZ;d)j$=AFh{3IJ2{HtZ8LQ~Sz)=}>unvYUkF|`WhY|XSaX3yl)tT(F zv}6i3Uu5L7K?WLC*Ks}etUzhH%PbOWCi8VOzrlNBd0bgIH7oTb%GYox&I@PnZlZOa zWG{q<l&0BmDPBmtMhA(cDBa=S;`I-lj0&Lekj1_$vFcc+>t@fwB{MUp@m|ep2@bNC z%W<N<8CPPFnGe=rIHLph={IUvP&ks4Tgx(dIX%CWk0ttzK<(xW${}kRm#BwWHCtT9 zcLi+b$}Z_+th7n-5ed>H{97Ax7M)4MdPhDLF@<9qy?7*A4nB8@1dFPi5O^^QRGStp z|0Z=};PBcRFlF=a43H$L*;>(PnW6(^s1DO{67#mFjGMr=P;G%K<L%c#*6RnX=}%yR zp{yC8Bs_5ip@Lu=AkD#&h!+p2!NT8J)dp<MF+4}#T>cO~Up4Co-5J)?LKh&@@7k;( zCXvG?QQ%AXpy1Dtkf6yHLc7umG=MWnmvzinL{l>&IU3k79ExOvnVmJ>m8<uTWp1xy zg_Rk{QX}wOW|3(aUj>pqf>Z!dS$MF@r+Abkza<)h6!5wkXD&>0SwmR;FlsGT^YtcK zwtuXo3nHndO<KIecgiUmPa?=AH|3R>I~;rk@kNJEs5YW$ou<~57c*v$(Qw&(594o3 z@M;#+u$ZW%E=?qwjwhqNmp3y<xD%@R!(>*jVKvv^PXQ`!06q=e8f8%h!=|WUv<5&x zV%-9GFUGnWEL|n8BdE>@>J1?av796G2z<MfE*3Q_^e80cMpFv)x)Kv2NDD=S5`_qF z!d#MNso6GQDsp3iS0|H@OU67$!UzT9Ml$2Q>nh8xkzLlwQ$EBe|MDx=Vpjb)p`YVg zC7t5!G6vr=z!N&MNL3kwc2;NV`vIP{6Yo1tXv}3<i*DDj$Q}ei@H(l}#LjH&J3)&u z(p5`p0=D2vM@!NnZ_2J3YIVZ`YF7m(9x8Mxe-rAUWN-xp8Z%BrU&Zt>ek!XY0>g2t z{`J(hq3(N4{|m0#y5XHa&=G_Wlq%<SmELWxIMtk}vC@l+s!sZ0B#6*w21{8V=!Pj! z^fm}O2Ti$?ab`9&Cf0Gn=0F7yTtX*~Wj{*uW+#|5rB64$&B!}svZPFW<3%#VRMPlg z9+<cynLO`m`tuhvTg4c-Z0_Qrfa#UVcW|(5w7?q5%J=L!ZA<#pLxyE%*_n$O7yruK zH%~`A#%%cW`UaEi9H=>C*q++x{Yba^MdJevBTTPNS%mYu?^v?XSEgfc>zlptot3`J zUaa*G^>0kg{b{#3BQ2krZRxL?Q~99Ox2fGreR+1!XFSlE$?l)6*oks$)~7E%*Ud_a z#;^cmz#E?=_piq?-u2Iy?`D0{9a=$*J>;I1WaGwYJG3cvb#!>Kn>(?$-s(OvWd+Rq zaY5>O`Nmb+H<-2(W2==)2A)f+3X0l|#UT}A)HcYbIoAq9=-mALF-W2a+72~@w@{=5 zVVY@%iz4uQh_ZWG>GS%qZs(|*WI*<h-kHw1xnUmp`)>P_#l_i%htm8)@8&n%$81Gz z_g98U|I5R4@QJ>}z4StVatSlx12pC=>GJD`?Qnm+e=aTEWv|GRFPJA1Tes!bN$Xl& zg9)FD*f6I&DZo5M&n`^nCbFS=eXhKD5$5k2E>|{WooTZ5#M_=PrS^gwH!{DT&-!Yi zbXVHDb?A0eGhMfp`Gt~`trG6@-uB;vuiS#EOFZ+FiWi|tIj`q%d3D}m30}mlbx+-N za(bL8bw2&87p(u*E!XV-)9-)p<>~e{pZV!Ye|++L&+EGCS1^ou+sWshu&eLYv~|_c z(8fw-H~DmCS=7lXC!Sqezp1O7HJV}Kx(ypT9{$X(`<_0g{MCVh%It2Go+Q|wwvtlJ z+aZ6}Y`*YL^W25F8IODB?(F_nS}Jwy`p&C!k8eBe4%szcxaYZVo-;T1bXuOjul>rw zgSY?q$3Hga<bQV3+t2-Uwy8V0t91X|)(t;v|M}ltaLeZRjb8e#zx?kfzU?m_f7>Y! zJ^fJE&O7ed_5Fhf|BoHl9Gv^DKe%h?sxt?kJ$UfAp>O`~X}|NnGh25&cETP1>SHHA z)a*<eAJ~<?cxjSs{6NQDw_I^r{qk>g{qez*PQ2z_pFHudPhQls>GGdkaPTJ=ocopw z4j$iq(;1_8zI@8xfAagK(GUOgyTAR`%IV+TbIj~H_jWgLPm*7F_}Q&*-(SAt_FZ!? ze!ctBOYcq7`9;dcA8>2qw;uk<;Eqq8_hRMllgDm*V$84A#7gMY()!Ydw3Iyk$qP>Y z{<r$S^08lk`QY^5*Z=I=(T6WsfBw&J`jrbl{;Rzw_IJ(oeXg?SQhvFpXzMvTQ9nUB z$9JE-e*GrqO?1M`jPz4?wRV@9CJ8#(c}H^0&b|t5F1e%6%&DIsh;s}m7ornqo|`Z$ zI=M3~b(&rO<JGy-nnTH>yDEQmT;sVH?~6Lw{mPGCKDcw=AAXOq>sL0u{T-jqO5MlY zz3ETy+Pbbh^x;pQeZ$Xv@RL9O#$UaB;=A_mdE0NHlS*gT9k0J<_@;BNzWJwjefs{p z>{UI<vwwc@)2Ckg=xK}l*R_uM+zDTQ@HZZQD8(KYoqYSUa&q4Xj+wiq6m{}!S6B6# zkDq+kuV2-(>8gVlJpA&>$N$O&2d7Vb;*%HL_|}KNbn?TOoqOhucYpg2DqY`g9rG{W ze1CVk(=@*SnI|qd|GR(m;O^GHd+|SZyXSUSD)Wz+&W4m?{Ouk0-}OsRzy0F=drtYS z-RE3&>^)kN9}y0ilfu5<p1o|H4O@E`U+?KTWz?M8E&84}^BDkkM_;9_)>GULWirCv zRaC_IatLfOTRK5?c9<=Si95Lup;;AVD{hoy1j>%XZMvq|c^`Jin-kuIVb*9&`uFWt zE6YrKdb+3Q+;d-EY(FZqfd6*ickW{cs(IUio`*)hzWCx)`^+=hmTsnAd#!qI_f_qY z?d?vYx0@Y3+n4wL28OYCg&kuwhf>7w@b>NIJMy)(L${7)nKF=l*wPlZ%_13L_R)7! z5k2gjWq+^s(JwCM`{|X`)F17^j&WpZYN7sUKpf?^tP?ZRVS4tkFj?&GX`_?vK_??` zUYvTf?2r!ENv<Ak_%)q*9j#=txMfN@8R_hp3OZrW|4QT$e3j|Au^4VWd-oklC)2r3 zD!Yvt4RwIk@H6}O2c57Z|J-x;PaSx)@{XSN)~J(__aErFY2;H=Kb>aJc6-NY)XBN% zgl*~4MSBO0P=Cu1%T(AgPHi9A8l%n~rk-U_wyYBvU<MF$g0QmLGi^-H&`I!<!QncA z20KRn>Z`I8(?j6Ef!I$*C0b9*jE3%VCcz-z$eY`3>4dHCvKR<<bUBC6Ht0gq$!n{0 z5;x?xx3sr(qRP&WcGL;!56OB>up*-~0QL8@_tC@-0W1ZP32QpD@w=U!qoEF@6D;KR zzPH_;?m79~kM5^0x7%m7zY}z_So@Qn_Dv&SpL%r*Mt}#~h=?^pyDgo-UK`cP>gYPb zjxjH8n|`9UKQ+}}GUnjHYwOzkjZJ6R)4c@^iy=B0-9I{vy(|7B>ZJZ?1v|#!j(X=m z&`%ER7Y~CWLL9rWObugKUPW)7T8uiOol_C38+)k35!phR*H(>Pft{J!vWQMJb}jxd zja`&{gdV#(ABjia_0g%RPP$&t?{~(riv?i2U90Wgi@u~2ja}%Z);=Njliv6Dv>*D^ z-bHsp`@^psY`2G_PAbbfq1_!(Cx?z*+YdyYd}sgmCF$gf`WJtQNYjk6?6cppi2Zrc z$;4=H(24YSq_L}Qp2Uvvhuv8H{sRzg?wC8VM>M?Y2)2IA#?fZ*I<w&q#lV{CTUf<q zW4zjq49hOy>#}=Hvx$7!hdtuB#m?~t=;eYZ-CC&3^6YH-C&3aQ>B%oF8===554HvM zPm+F9PA7ZEVE4#_+@B#MOKU;k!9X2sGP8Ht-V=C9cJaIHS-k(~Wl$^gv<kC&`7%*= z&1QF4Q-0w(((_hi+}5k-X=R$smL&^(vX-3dS}OCvwlUN90KswcgAV&d>V&sB<Jc+w zp=>;38PiQR*np<lFVFV<QDvg?A12IvjY%N8*esBYvY0-84lo;{J!Dzw$)`N7$fH1% zXSftx*)>AP+RFEfUUrLeufw*l|LHzX4Dq3SdOpeV^bz6pC_>Ge5@MTW8^7vsL*+5D zbCfH{poGt6ygaY+D1m!pVYi44;t+O>pNRI2!Oju7ZJz)yn?Pf>@GZdGl-3k!u8Xqv zeFPfugqHZOiSlp>J$JEj$g_u6Zb>hU`i|qFtZ&9V)RC3DsxxL@X~eH6(?`ChGIx<r zu-#0n!!4DePj-!=Y_3IosGIX(x9R<}vc1f9E8V*CrcJk)ubn@cnZa9luFBnOmF^R6 z>Aj|-mVW%5vUB{)JWp|23nT4ocnUgzl~23fI-sAw>t^&^jh5ym#@mj?#gjj{Iz{`w zj%#E1(BUNvWsER~;#a4R{;BukYHXYi;XFZMpA%`Y{vYn>=-Bt_kEYQ%ql2u4rZlFN z?w*(c72%KNbnZ8$T5aS@?enM3?>W%3w`Vadi`qM0dFY|(9esU__fB-dhEC)Dc8tRq z=Dt*pc`iO$=d^?N>r?IbzfH4@Y{KBc{6TgHE-_P=j4-z|n=(Sx7C>FDIdu2{9CO)& zJ-J~Hy@+y6(4lIk{_U>%z{rI11vIune&C!@R%^lk1~=w!WsG%a)XB{UoEd$GF<**0 z>6T7(o<RZa`+ivHB*??Sxh9Y4(KnvU#pk^&BsG>}g(gp4t}rGG5kPbLzI{O_qq$B- zM@BU1Z9MV7fkG!eK_^qk_LMkb;!P_$X_EuXsvQsA)N@Lo)<sTCS9GG9mrhj6tZN^A z^8Hl#>lg0(@<{tjI;%i-d+C*WGs-AC5t>5KNvEmR;CwlWThd7ZI(GrQs=nQ`H{-m5 z1D(=Ic$FWc6hG2NCu{3Vk%vO8LG_c)?iP{t&`(H6O|S1cyq}o+x^tZz$|<U*K79By z%%POApU@V<TvyN+Z7x#lc|>-?*}8gAz=*+R{l}Q{zKMx*;zB|{=>wg|Y9OT*#ePDc z(z>VJe&v;iZrVXLO__axDTkqK#}oPq&qM7m<?Vd&(Vsj>KcS-0Nqg!$p`VcKs=As> z)QS3utb=P7RO}~s^7e0ZW(=^!sGpekan?$GVPgIxv)fgrf}nfkI%ibRU;h9s>ttOe z>g2!<#;#ZH?&*2yr9vlxVZrCV*9)D*exfB&fS45{Odc*09PY+`!b)<DPSz$vC!_oK zkF?RrsOop5jT>}w!t^b-6gufy(MkJFBP%)y4<L+PH{Eo~v>990iPl*`C-4h8Df&sL z`bj(V6M9f?--=#83VG1UR*E?-YWhh>&*j)%wnEl<;dEw94(*=S`<l;8yjGD;dg)aG zLKR}*yhbOf3vs=<8v;kV@!Nn2c8i|aM8DhQF8IU4*fBO;z!Mvw;&77e*2;52_dOTF zhHoP-l@*_qOAsX4e~ENV^*L0w3C}~-#lbCX6r)XIk`=a!_Zf5LU&plBa7?3bIKm{! zdUN-GRL06N9C`Luwq2rdZjYFKHm57T<G3MJdfpF*JGaV34*Jr+&Sh%k^q;M~Tlnm( z6vw32#P2PdDhm(rxX3Se{NgdWd@m9N|M~YG2P)%=r|jcFzDFA@0>O;qz9HOGo@lY= zVr!1^5c+!}wZbjX3P*f2$cy-h3zUz=e1?)C=uPtVH(Q}^-J95Lgqt5am+c`G=uYU? zz+*NdR$N8v+lX@0+~$xMW#*(8a$I+$P0Km2zlpBC!?;ap{&OBr+8YQL_K3^&jL?DR zx3na<2{kq$1mA|V$l5{Pibzj;Y)EBRx*+?98bSk~*A^YqymL0MD_qhUztiqfw13Pl z$i-H3hP%pOaV19xcN2(^;pmEx7M|5^*!_?hCtSG6W6V;xgUS=xJX|;q&kDa~UphmN zFKARa%8>(s2+OEtj|g)pBtFA9(pH&e{F+#8k2@5?kDaTLtCOtYi-dNy<SWsueaq!p zja=oAdT|jGh@G+2w4^uq0*vz<kh-|$CL;3GeTBO!zxXTg4oqT5XCYk~TZGAByzs1X z!zg4$hR?;xHxhuN#V6ccE};++gk1rCiHHFksiREdoWeH5rREgYI0QS)o2scz4Nvw! zO*A%IWfmoZD1fjsCRBR)^%p@HemCI$&Ono+jSX}$R8SXb3Ys-8kx0qi@#}GQIL0}> zI9D?6!IzE@!WSTSf?q9hr8UO*Bi+ONd!&dQ7xnM*kaDnG<9V3A7zorD9HQpI5IYZ| zfXF3OOCfBC%JBtRa3gP*IKLXbOqpYs!>T4K%C06pg1>0Vq4p5_2qZ^FmE9WrN(kRF z7ixNSWqXCZ_W1_9T7z0eyh0!ksimyotr^fT*`*gP=CBf}?vvHIL`LCG0yZSALdZM6 zHY{P61zsB!dQy&pl?$vSmjKrX56<VD(Y!)t`f8(^Vc62+ka(UjS5YD&v|liSJ&M?* zm^XHHwMdoAg2%ead8~Gn?J`S?6js9I<ax0b%FIUA5Az`gH2qq1@kS~CL9l_=x<D(* zVu_W#BiFbMC!lklRh5P-WMk%iOk-R*NEkkv##y}(Ncz-?4KF&VSi(N!BHmu_z;XBy z8pn92JNe~~j~=CA0@(5!#s!QOnl!0GspZBH<`a+G%GebiWzwC?;RHx*UTq3Vsv;kG z11Wb~c!UB{vk=^P^Eh0TUCga9GYMfZfJ&wwPsp2Fg;6nN+?|FZ3IhnKcX1w9Ox_q$ z)`ZPK^0_f%7M8TpD_lk{9hN=Fk&WezC4*AcIFs}mYkUR<2zLh&FPW^XTH@1cLIex| z@pJNkf}4EY`9)pflt3q|2?sUSaf&lT1a!tcs>EkHzL7W=l3C-b4pcTKdVoztt{%8i z-MyJl8p2L+1x^g7P6!|gh!mfM%4WC;T)2lD-XOGNDh$7B8<!Zzl_*Uo_q;rK8fIs& z5;^*>9Rpv-V6tlJmaI|x5NR@Y>t&Rk?7}4;juat#r7eJxg)x^qpn`@U*+WR}O`B*W z_ifbnHskBX1m$gP(Op4m@hEn5Lhgcr0;$SloNtUs)?lv#`<gecd8!TeY}^AsDBdaI zIxdadTboe@{J|qc8SkO9x7neR8Rz?*hW8ykYz$U81sHk8qhL(JPttv^v)aYy4H_6m zT53Uj0+mw@rk;#R*$a|z7%G*E+dxsNv=aX1n)X@djRynF7(Y*2obN>h;0i8rW+_xM zv&PKptBEMfZ9wYm`qXNM(z=gPbHJtSs0!A4-a9j>^wy~cLcJ)M1E|Bm*&OA4;!;mw zCW{zH`JKFM+R;oiBYy!NI(kCf=BD51@k67&L+)Hdmc46EbDHWy5OPPPPyqo`+)|~O zi6Jiv_VdKL$L|B4#G83;)V`0pDERE1BE&zi&x>XWJJnp~@#SFSDhNV$)*z#71sfqQ zmkhtlX@fJL)>jAdlR~ei16bYF#zH-Dvp29|QDyJ9SE^(4DG%=`fp2ZFHM7Cmd6r*Z zxf@rl&xaDiLdBR9uK;<a7s24Fg*Ne}<c>rlS(eyE27!)SUVa6G_n0EW<3Tn!0G`X5 zu@I<ss`mkz)1DfKPnV#V4~m7%Xs$LFthJH{?HG%c0dc8%iRJKRo?C!Pn&7xnd3Mw> z_%T@Wz^t%m`og1vlL|LVrJ#VaDH>Q+8bSpX@x%CWA}X|2+KwL#Nfyi!dDJpTv^5c& zS@0&YAq^O~lX_fnp1%T3&~aHVNRk=3;Sp3GNFCTHwo@2P96<4qyBK9koT?^h2$T;L zZ7`b{v*0y85$klz%mQ~xK|*}gBTx?KNQOc~N-wmr`Fl^KH!1hjcfwpidAu+oQk>I! zc~<rlw67UL46o;dYZ-67;X6RW%u6C>)Z>U?aCh}qxl_X~5H_2J$2qUK)O^7^oG7xm z`_zT?1zzKYFwU2qXpsb<rRWE*g)mr`+0*I+8EXhiq30bE7~g`L??Y-0WX&KrMjMm5 zz>g5z9DkM%fY@%6KGnTv(Ad+6I09Izj=xn<ahk>ip+(5!0#ErO49g)GKpDmKZ(#sC zCQi}dLm%+m*(Mz354AO=$>=9Dcn!rQAsDNF#~b(#YeWQq^=KSl$>>Wy!<3DF;!PI5 zFp)lLXr0zO_DTR$pfjBeLVV50YcA5?gz5#?#az8t(1>Mxa+gn#yUIBB6DMpDs4G2f zM4ae%w!j->Qvyn&luX@B6=|(nkIfS^dDW41xiKak7y_R-kPR-uFmjLk;EgiH?3X19 zF^=3r<>YimEXuTd?%5sP)-<K1l9ugrw24T?ClHy*`n+ZoP-Syr*gvNYT|nazc-~2w zCSj9=1-~~|C9&Bc@nb{WoTOyUK<o5w0B@F-$D81^E!X#ZFUC#t2!b?kva)FGKcC^q z@C{Ec_`$!dj&QGO)Rf92swR%mu$#ugl7NSzNqMjqavovnMqyxAl#L&LqzQ%2RW?dQ zf1u_eGWL-&U4GoyX4*_E6`%S^`l*8@3x3D6F{8n%Nj3`%*w!`~OVl3u?n>7lpQfE` z9j_&{52S{3+0Oex-&wvn8G!i$IYBySxvc?5=~Pt#BuAn;47Sh4Cf38MY#Fm|Ff*bA z7PuI@vgfSDXEkL4F)q4PjWt^-B(Aqz*Owsk{0t76Qwg*s)*G*60Voe)?w6TlA)*Ke zXf7B=h3msZ>?XLsk8c}MIKZ0@ztI}gbYwF_5o=E^43*6w?>TLP22%#TRe3-uo{TEN zH3GtifK#}s7%w07FkVg<10}i_R;4Ww!<<-o(J=J!1zX4Kg%Yc*CF-Rsag7%~Yf@(r zlV=5iGsD<bCPU@SRL(0;$|56lSy&-==tF2HRHMi})rF(5(r4yxy%S;g21356`O@OU zL?~l?Vyp<D1x6@ZovxyE5w9-enK$!6OZbVZYvN*mVUh({Z58d1Vmvps0gIcNN&0xK zEIL5h`xOb{c(F;L@(@-&PKrH2`VAX~CJ|iLQYGxs`)z+ZZk}g8=}-F2Mzwm?NU%{L zqbm6$#amR&gq`ad$FhuZ-19oPrpn9*{$ajI2z#EeaI%n<M?ffG@Xv5f#$;w$Zp<^A znVNLyDFsrO(z7kyfR`Z~__7=lkZAlYEd9>%)uq$+n3)ctEY}d1>P;Ztfi{E=yNx5Z z8Lv`-mN>s0uVm}E@}w$)Eh0S~OMHp5raVYH5_N<;Tfe%BiBW0Ig3E~rR|n(F8*~k; ztVzmoqhle=2AGBnY#;lDf2~Lqh8j1IWfjcGyP6t5I0Vl!Qw`yZMTjH=*p_-cR$<(R z(RhZecxsa4aLBCHQ6j^9^d-ZfF3Tua8UD-}u;~XEcB+$b+&D=ain*Y>FIP@@sah#d z`pSA!Av-_{HauN;Pi~B3hJP;0JyZnl(IHrB_z;iOO6k29!}ojg^UR+yk_f^H#;o{= zh$YKHAg)H_ReH-6mzy5hZI#N&;5b1VDmWAciU8#>U4`mY*ijLt;#}yO_v-6IR||do z{kj8eV9J(E$>Gh?w~ra~HGWQVeW>PM9&FUeX&g?~k3APd1{|Z9N(^|Wt~8sEfg|5l zXeG0BCx^$T1nXu({uNzrWJp%g!6;tKR*G!T3cZZ5^%1Q&z}BJ*a!v6gcFkSu6}1~T ztnY$aa<MTBur4sgMFnFHL~0xroq(Pss1Z7c-~r{dXz8=HymflxL4wk5Cqdd7oK-i6 zfQ|)(jEJpx_P$9<samWlSay}?hbc6r-TYO^R3Y@J=Fi-tu|+DN6(_EHFl*oilaNJf z%%7{Sm=rZ2jq$?6nCCU1IR03&&sK+`Tq0TlAH1Ywx0q*RdLnX7AddW1jSSE{reoyr z*T<?V5D&&_lL0R?<E8`o%c+Y(5*NX-<t#v<OLc7u6yseUxyC6d#odOCj17H1r^>=M ztWXyL1FM9V9J@)R$!l3dvCC8WP%)H)<9R?KJ}{GeYzzh*RXWITRyG7`$Phqt4eQ`U z!r3A7GrY)S)x48+ol`t*v404+uh+BU_DOn1SO;vV8FQiHLz&Pd5?O1EH%SY-)Jqj* z(s2ztq)VzZFm(aBa<kqm!0R3v>l-BxGcfAr9gj>tHO3C{o@P>XR0d4aJ$MGvdOFrU z=AXh3Ria4a$S6h03TcH9KtnJ75XAdNfZ?-YJobU6H}b?^U7MysnC8jafvm`AIhGBE zfpoI!G;B=xM;_Ul4TWgSJ)`wPoLHmpFs?97OQ~-XKb$tb2CFF_#+@rnBd{Lem)RE4 z3vhspaR|6<ehvd{4V4P>>L<Xk^Kv!9f3K;MOtwnCRw>uZ-|OO=XqsG$YO$hZZa4() zC_hx8s=CfAS8B?=>*@-tL^JmF6X%w&9S*f<$q<R;&<SHfJhTQAdG5#%%f`?zG?yHJ zpio>sgiRKmH@IigXW1-KVf?QKAA+;wipQG_-^79l){r%W_Bhfw@#Y0%mOO{pIdka{ zALdglAt><1H_VI+>zIgXQRBV6*j9n((Pk`QgZiuw?aQm)QGnU>5fCBM232y0N&bc@ zgntgva6~Y2X;e^+EO0<hN5?gd2}?|h;7Dzeq7OIIsGpVmJg>A@Al74=%*>p$u{XRM zJwwZ`B$?TmRflcjY-OVjI?PCf$6aw=Jrv4W7GUx@=mEtS;B$}16aVD^Hr(IvhS;WW zF6~Qgn)LC_O!1{^1K4bqHH1fD&Dnt1je`QsELR$a7qumzdssE%HNKRcKNkOF-_)4a zwpceVz>|rHis|s*&b*J6pj72E-f}DtMRd#;(}f1_T${CYV4NQ=>};9Ez?rS}gXPN- zs$t7ZdSj!klBaqvxgm8sPde4r$aHi4-u{<nHksj_vgQL`aOH)Q?A5o<^l$!}$trG~ zWqJ2fgJI6hN+*pMZsQY5_fVI{i@pGt*gos}ZRwWz$#H8Y%uc(zd~wydu^GpN?b6Gz z`Mv^`GI*P2-SN;mi!@7SkheS?eyDrXXC^j(j`g|P6p~mNFL-*DX@)G4m|sd2$4SLx zF(SkserwEldk@1gtG0z(!@B@4qNF@e_3@jw#!ZE3qdd>!F^Ho!mqSyZ25%79<GJx3 z@~lV7HRb}(SBWhcGlyD9HP}jRuXVx%xcB$(z0=$_?{8?%4RXek>GS1!R+-Owrp8Te zoMVg9YOC65E!v<CqdoW^R9-e66Z7LW4(drSWl=Q2lPK?o%Cp|9E>8PQda>DLy6;YW z&7+hrbS=`Z?9fhLm)+W6WZi1!{qvYP=Q0$|uJS`$#|BK-P~}(Odd54~5522=%GPA# zCCR^?t2}!}k_>kb4)<Bi>5plBzJ<o9D`PjXMA}uSX!B+#^WVJ=`v~}jUjV%EcxhfZ z{4Wp)&|%Lmv+|>3Nhh&li#WM(3)uU9F!%JQhpxKxzGmg=+d0iO@tzo;{K{_Z5^wkG zI-C05BDQe5pPsXSGH`1$OR(8k2jkFB9x1PXYpHRMY5a{}dSR&k+_7i<{v|h^{TsjB z;v<Cv>L+u}-6ei(I(yd~-(!4ndEj{XkDq<^H$Q#PgCDzf?$zV=d^0)zwz*&1cKWxj z>iN<2cWu40<Fqf$UGVJYt4`znWA9wjtVo9JOMl${7e9K>e`=3@{@367_K)81j$ioG zlYesK$0z^!Z|=UU$tujevVZf-zj^L8`(Am+LqG7{@A-Exzx(Br&-lutr`^|V&EDSd zJ8ysaiQj+vKmOa#<n5MnM)*e8Pd@n@zj4Cbe)f|GKl#b`um8}y{^jVwpPRn+(w~0g zri0i1+&!OI|M6Q+9K7O;tvz@Cx4l;!th>fs<#U$}B;VY13w89}JDxoD;m`c(e}46U z4zJ&2O1ItS{eo#OE%;{ZrP(H{2EXvzKEQ3MrJrnIs0}(Pa$oI+ZkFEngXY<vvR`fN z-c^43)7Xxq6R9~Fl1|n&I(DXE>}uS)`*U+;K3Lcxoumz3>SPtI7jG?hzjec|YUUrG zcjk`E?)mq>_xp?JWUTeg7tu*`p>M9jnAk}E&s|Nv$GB82BzXAQXP@o4dw%{kbani~ zJ#Gq}9Dn+^N<FXr+U(XV)1foJ|NSrYPk;7z`3CcoJDa?9q6z)zVEaFO_^U5|b@bF< z-1?TQCg1+8@1OjG8-DTTv+lm@p@%sZr}zK<{@f3y-}=3~UpeXFgWoTmeDT4rzr1<< z3%@gz>tyz~U-^^WzyGa!R_P>)gN^A0*In0t+6Cz3SAS{!zj*il(Pz7+uRZOjfANEZ zR}MUW%lc2=a^e|RoUy*=fxmmg{K++A_qEDTZ%UHqcD=g0Y(BN{yLX@R`k(&d7tZ^= zO_lO`#xMFui)&pAy-=B*kJ1Jj=%J(Ihny2?_TEVybQJY)L^oQ=guRrj<9nv~63}WG z>8)V8GsPabh+S^+*i!+)_7Gl8Y{))1a{l>Ou#tLo@<aVoBNLMAFdFS<XCv=MIRZZ2 zdVG<#hwZr|!1NBY_~3&*A0BPhM&>@YYgcc_IkAj8*ml$&+41uq9z`ZT<I*1fW3xkh zj&o?6lL|XZVT#?cvI%0apPD*u_$}{X=eYUK#tCoW!FF2hM4tNCbkFc`z0Uq$J~daQ zGN!Wzh9z2M;q>{Qh0&$$t+-zpp|NgU)HfvCvT!WEBZN+-b4mWUbTVRkDc1_LM|!6* zfCO>Uh_EBQSepijLKZq1TcwlUmD-4;tL{@H+mY*{i&mi`Hz{INyWQD_&&A60-386a z)J1zM(<38ToGwzMA0C}BK_>&lUkPPw@7O;Pbn?n5>CnjpI>EOL?U5tuWZ9n448MI; zI+@zYP;u_LGL|DPSJVj_SyQ4XbDfyV>GMz4JGy%(qOAi7{{PWQd;jQiS@85sJu}UB zfynM8uA}S3jA8lu!SjFlbsEVV>4aVD`;Md&EYyNdMu9dv;u*3|E#yEa?aDOYXd2}M zrtFI!-M+-Pp7&kU+wqmqr-KUF{XN}2<u8oczc=cHul5wsZlA<H_~nLPc%n|QXJnpM zuJjmmGCKP52Sd_>=!CBjzF2J^s*~;Qk;O`7aj~=3Rq13r>g5lhf^wZ;SFyIAFn00n zu>b9`Ykw$bQ5<wq>7e7IlUT;1j9sP~baK9QQlveS+hZos$!Z#wj)Kc+oQz%PoO9Ai z(E{U?YhwiMqJ0q~7kk`yn4U0pJ@c2jPR@z4s#{4X)2IAa(22&b_Woci5_q)to)r3X z&alwQ^4Nt=-ZA<N7Qa&y(n)X7Ns?T6;dJ|EHl|?Fs<CUiT%FrZ(8-haT9>Kx3{Ta1 zYho=a;@GuTCqxY^{^-E;56G4;saCc{o4bK*f>(MLTReS}urg78o|m+$tSo+`FwMVF z$eY_7#^QG!$FFN;Pj&UZDdSZ+Zw|}bNb(f!EOv?4i(hW9xSX!AS1j<%gW4_Ua6Tc{ z6Q=(%9OzGGImj>20dm{Ry25b92GW=TW9zaNEW7IhT{=$niC2a_<AW7fegUCU3zHk7 zEhWFntOeBpe723Pe3$V7!sGCJ8<dnKKz3cL|JRagv`^HxDf90(Zor-~wd>5L+@8^l zn<YJzojT5U8w(c>wd+g+ov0{&xgH|xwRe4cu>Y1*?=QZ$SdDgtsciMwf1KWEye!`) zg6$r*eDNEFhSOt8fl)Q&gFWL5t(#B0CEE>s|CX$_>BiyK&AjuO;9cpE>Lj;UOpDwL zd&L#JuZFl`okqj6WE39R`!~aLv*NIk47QKhDXL(7$WYez7_V!|cG4QzEmpBxybc)@ zk1L)!u}3;_`i|on!A27K1=Ns0Wn<pbcNxRCIX~k3HK2oyrtpPbBy{H`=5*{7SL!5| zZE5ov>&&)~>*XPEi}8JzpcCvHPb^&V3z2Vli{mU`yWYab7JF-z<z+}rrLiZDKXIW| zj75c;^S+1?pZRoZidmOwm8z8eRR{%&iSB5p#MuiYESnAlq)7QPqu#FY;bPq6-?xX` z6OQ!(tC>;J=n#uYd;GlFTdT?6v#)l2r|Hhxqu>2<FW+pu@5?VQ&UUxk{`R*L+ip)x zocZC=9ZX2oZA?l#+9T6bqbh?&Go{a7RbDIeiEskhX|YI{(#a7^OSyd`;bS}3b(<fW z>O%g)ZEA4@*^(>a!q>p_RSoIiF<XE1^x1NAiX|{XN`cWI`G)T@s=o9WhBU>#5#%~~ zhI1c!yZ3LesP2jpmUPR!M&3{-6Wg`^>SoP{v{y+d92%0uZ%8Wl?W=UszNqq(LLziR z`8qnbcTRIYz?)uSt%Y{~;xCHx5SV7+s|jMm6K3z5gJ3;BFWq$WU1F3UzD4))VmBX# zLnjP<%<vOuvf}D7qvk!+?Vrfji}Mut6DZ$x!G85{oh;jFg;ua)w{`5Xd@?hn<oOs_ zL?=md@JiXH^<XR+>Ii%~@S3R%l$-l&3w6;+CpiB*Itgpq>1WzoMtVp0Z<j^>>Nd2j z6V@sMg;%>Fz@WBDCkOXTNhjyG53bV5Xq*#OKkbWF=0_Uws4@HYZGUolbYlA&ozRvU zR#dqhaDw-%hOcJ|*XFy}s@+jc<yPrrYRBy&V+NiWMYYmNP{WaQLY{d!#4v|t8`G&B zVA=<Ya3>!|%+(nvdEyx52!kv6retg{d7Y#KoES0i#Mne{{4VzY3!Q}Z({%5mbkbX! zRvoPBD9bw8`-VDMJ$AJhrIYhzx2cgVz{F^%PK>H)gco(bR^ZKuY_9k3uRU2uCyZU8 zpQy$=bDe1Hl1?`MZSjR#bn;Tv$#%X+OD;X?CyY|LP9`R_fY#V0oiKJC(oYz>(8;Q2 z$XuA^v1|Vh>LiX`WX>rT>!g$6g`93mI>B<gJ}TQ~+E=a<#x8UE>=R>V;y~z6M;p7+ zvB0QTlc9D9lp8pFbtGqhm|OOuGxvxNx3FvcW=^j&5ryY_i*QT|EEDl6`oxs}OJzxM zeV4Ds8}h9<(4<Rone5_1if};}<2izC8wBLfdI>k%$(G@LdD@(ZzS9`us+EgHi**N1 zOcPvg5e~)2FnPG{HT0<q^m(349)W-4q5C$K7n!!{2hb$@*V{Hb+|uxR&DxT6TrOoF zF9$ar*Am0*T%UI3@i5@OVGRUk=2XS<1KK@d9TUEz7%C=)!}k^g0bwA0JlHXsV8=+9 z_t7~-ywW>iyl#S=k4O2Q`QdBH5U%%=5ntFzdjFUeb&$(g+*~f%OG?fnzV(<@tZV|e zaqsv~sP9jTw9%Dq<hD*^eGpoYOBzUl-1Q-_5?;pV@#V+tgz{X*H>^S-PoKMTf?uB5 z+-`AJ0dvgW*-(N?>+`|YcOxzMj>%}Z*fH6#FA+}M=goh^72hBy3OXwAYA1(f4~Mab z<zmRBh&{v&#aLO;QS*87B?uQ{>~a!IiChTzWn9kOkuu<xxWmH5(nkhGDht{YuO9U+ z{g@<5z{LwEh6x@5hvL(a=IHc?Bq!){lz!_dV8vHpEFK>M4m!oxLg+|29iBRn>KB>A zK(yga(W=}JBcfU&E^-$AA$i1j{Z0Z@t?mi9x;y}0i^Ju@zkD%C#BwKW0}<ZT*u)H2 z`gka%JNW|q#;V*=)`Z}yT^fosZz5JW{er(XZiO~VopwZ5T%sIn`9-|==+7pr%WKI= zxe0Vv>vsIfOA*4%P*W(cB5OKi9Rze39xC4eV00L`36nXnx>kW2ugh$}L}6D&M(Uy+ zD#9(trt<0nTz&-)&!l=*v)}}Jo^|<!6CmZ@m$yNinf0eHQ|AOU&?7XkBQ-h_=$BD} zxc+0{NSu$BPAyB<Ka?iKAEEvb%I3Te8E0~Aa0-$fr-Ja?VT8g}%M;kZC;C0eBbVBW zv=CnY7>d5!Z;OyzRwCTFY|LlNvG^b0TFHMkd7O`wBs(g_YI<hpRYAY(UyT(qHgNG> z$vNy#4}}Tn)tnM0QSU+bu8Jactn2xeLW?GVFX<P@H)t$XDl}{eg-DcYzm`i$q8q$< zYxBl59SBmP7;^TmB>Et8TvAntSAjJ3dktll!1G-C7OWzaAJB~NKh!gXb;2o^vc#x* zaGS=6NtE6zZUs^h7D_%12{H*0p_Hqd2l1p+oXsiVeF%7E6+mv9+8CgcbI)o=BoXg% zW2Rt)vRtYzTuL3szUADS_`>|*L=}9Y=9UO0fsMl#yIh7Vl-#<fa&aA#0vE<;+R70t zkde(*88B04baodI?@B!w!uZCFb%TN3uwe^r$Vvn*jHy*8x*UlwBTsJ<kGIYh!7U|8 zGM;(ja<Ps-mkv-xC}CdY-gHFrwCa#_BQAWLidE))pP5KHHJTD<2szMDa}P;!SCL_! z2xLa|sVFEBw3#Q33P)+RQ7u63h!g*`Ao7&dF2wdG8%U6{wIh?ujvdO(lU6lBfP}19 zcTR-?P||PUW{edoWvFqitwV#9MJKCE(V^fB#vz?kAq5yrMvvEiDTN0^`1)kQDpek9 zwES{xRP-Af;2gO!-_CjRW{Wv*^Qbq;k;8H-rRV!G+Q6ENn0lXJi4cg(-+<oRDxb{( z7BnF(q;$s6o?UM>t@c`U#^w~j=G7$L_*F9|4L90tVBX6viqN23C`v<qsQFj4Z_LQa zsD^-vN`cZ}Xm_^MjFc*`7RsagQ@3Ck%IG3>grizRDLrF|HO`kkbfgIHVj(UdNaJ;H z_#78pZb7n$(*Wa{>&eFPk{PGWkP-n(Vg=%2xyqg!&}GP4q>^qA(o5GOqDr0Wrcfx} zwIHHZpnek)22SvaZnEE?U80cGDG!k?L#JK#;_+Oe;|ZBX{wukZ)wuadz$+FQkIwSl zE&K3D@&byLoCl#RKo%sj^p;77O&QtLOSSR(n6I#36JZyqfHE6gs<cl#W_(f?OV33? z0Mf@?oIC*nN@ZOj=!FW4HM=5BOd4cUqXBX`J=w1ax0IvlVv(n@dY<TIv>UdA+OFRq zrBx4#9OUX+<`?{k8ah0=X2v2HX;QrAxR2zN-cn5byuYxicj_}PN)B1fnmltEpo@^1 z8F_q+(7O+nVpA9bEdJ0E@>bwIl37nC%BKLy0#Lv6@Uf~hfV&u3jKsLF%9W&65ylzk z-r~rapLRg#IwDXtMf+Bhqx`}IUmgwv#u6+Qc6p2^k4ZA4V#`eD1gRRUyUSC{9|7^$ z^$Rg%`69%5{ADT(uyD4x<#K`}9|IL0E|+@aCc!{0w*2GiJQZH4ntu?jF-yR=vL?GH zq~{LD4N4|wCpw^PCY8|hMYQtQ%9(ylX*zb{&xBU9<cAYQPKq*}<Qt4gfOHI(42aGw zxfbzG9CUef5%}UKzls#GFz$_&$MidE&2XT#{?<vw4%AF@>91{Sts{Y{nc9-YwI&On zjZmrpom77GImHSlbaM$AAc<EXvD}t;84d|+0{D=~=HvwASD6DRw$wQxETK3!F{X$9 z<0uaTBqGzdbu8{Oh-oNHfpNiO!+ngD5iF@gHr!4|_y7aOgA9B_IQTk`lRr|=6dsdA zq#=dTC{IbbBH2XDKMNSG037%L1G%f@iltt;OJpFWrsS{&bU8|BE3R%vwZ+E}6L!8b z*DjQNVyWCQa~A6)Zv<?S4as6CHd@em5TCM0)j|re%G)cYFT=!zLM?!u$487c-WdR! zLkCvHDp}Js#xW{q))5B0{<Adfm>k9!z*?I2#ol|m#^&-&(?OF4V)<rZW5vM}2)8C} zLP9k{us;obKI=i&s`)(xwzL6D3tFgo|Gc;5s}+v3JsSb(oo>dHoaQW!7LTgoc@I0# zs$m>s7qr$_1z2K)t9gihO2~t(vZ338pWzZDTy#y-WQ3WF&C-Ed3b{BkvlV{^2;XJ* zNd3lFS~Iq}Fkpws;E}L#wj9)}NP0Vh2A^_4Ae)Sb(t`_l+Z|S9fke5dSz4l_cr$49 zp}|R(SU~|8{Fj?m+p?~lXiOe<c@oB!WuKSTWlL)-Q~_kw$w4H<tfM)s@T6|BjLJS( z^&%8vsZQ8<uPWhB6#%5RBq4i$6MszzN5y-_AL2=)S#+ttX>J=5t>VcMx8Z_Ux72LV zmsW7W(^`~kqWQ>V>`)X1@m^72xO-pm&ovz$&KmH>ffiUUw(hDa#(XSU4+NtK`0;RH z&<jgTdr4ZhZlKq)Omd0Jdx>~lw=`ULV{Yt5*yK%HKG(sm&T`0UQ$I1wrGOP*sAct3 zG25!8yy04dT$Xb`EVMwm7UT<~6TyYA*~utrhp*Dx#4%y`NaGN~$s*=W9ToE&CD6A` zH#iq^WZYpyw6Nn+^^i3vU;%OXz_>)Ch)lZ`4APu}p5H0HMR#df)KOEv(cWm1b~7)M z_Ib*d+$cL*YXV?&3r%^!^DaEeJl~Cp>+jZvOUyW(yj+|2RD@MeAA=De2(@@=M>Kdc zbhUSR!qmUz=E)W(oCOBSWZB#~F0@uu86U1NPgU(O3Q1MD>GLRUDH(5W(8Dy#AR2hu ztj@t)meDXLJ_4;)o*9)dR)K=B40@q11IUboBD3Ds7?xou74ge=Yi6TAa0}idY0h<4 zPl@Ha^$X<RX=oC93}Y9onFtifwOWA4tt_lJUHaM{)MAO?brh1`n@T~Z^0em;4f1AH z$1tX<yS^iH{XCUzxCwy;;i;JQdoGkvFhN%?merX7Vim0%t=Ce=(hLH9OjOqs!1ZW! znD9z54MPct41U$jz01*HsWsBWS<HwI&<aTsZP2LH$0>(w1BthkC1eou3bYDmo<1K! z`K@D3u6nh>b8un?3~ht2;NYy5im=NQ#u5_9CGoz92$LBhHl9e{eus>XHv+zdSfQ$e zBsqCZM>nb}*0Uy|Wm=U{z*5yuL=mfhCt(5%Uk!J=p@XN#EOW+gHIuA*5IdK}qE0eh z1zCx{Sv(<yRUq|C9xO{B?32jW#w6S`b&jFU2Htw|H7kHWF&kz*4Se2oc84fz4O*E- zTAS0^(1tW*Sk_o6DY_*O#55QV@GeBlrA;}2-%OgaEATlR<Ba~5)FLujgs^jIfhJA_ zspUqzaZVm(7+{^6H6y)U>O|lce$PdNcaDhXGY#i;2m(1b>d)z3K<XS!0ZWf+WF7Mw zLDVFJ5-kJKx@{@x32V2MJ}PjV>Hec`YkL{)SlE@Bdr9pg33O2BFw{vfbDoxw#bK2& zu!kJG#M7xj@g<E!V#`*yx;5;m2)9W-ubas%T+<3fxO!nCSZ)gAK7@%9(|F<dp>#ty z)hN4h$ima;BaQ)*$N;~U&n+j(;{%a0z{K5(DB-@k))aEOSyj`q@|l!Azsxt`(JhzV zj0e)^15uJWh8k<0N~umw9*`XwER1=oRDA@CT?jptd0!t`PUOk6j7@6lhRy7trAzbh zpg~K+2OehJXv(&n!di#lL(^p@stMnK?y!gtpSoEHYNu5rpTJ?`@0r}x$O7c};CG~{ zrX_2K6SiM5o@fYlWD78QIyv$)s6`~&PV7L|<uksgWO&Q$7!#mb%~T2gk$}X@aurD) zXq{ZK&YVWr7dW{-lw)NWABfSML81|t*~0IJs*3c!kfv}W8|6fmYSCpHP^Qt&QS}JW z#Wwz4B%~^5nbR8HxEO~i`<!Qt)06#zXmSVfBhae7e5oaGe5nq%LS!4z&ZI8jMIU5J zTWO?ozKM=ON9e;T#d3KpkHR~Wy3yBz01rxz{^XBg?$R%S&*IGD!#M)1t&SrQC~cO- z*L`cEQ!`__`P{Z&gC&q<Y$6y4%N?iLJc3QLk~#ZVBumPtFN9=<EzZx%iGo8jGTXel z1IZgES@765I_y=fM75$Qg*}k+-O07nB@5OuUfHC(0f94PcHW0dP5iv5R$##sjmBFz z@a6@hM&O3=zWf5{h<C|yf;-HTX_Psr!&~EDT)}%(1$eVH%V{-=1@U}9c$|gk;~t2O zRRR}~`hhMWrCb0m{F}^kOL1`J1w&mxNPz$N@Q#BqVfr*<uO}+7QCt8!lQI99l41b* zXmHE3*al@ul2y`dmNQ7av7T2S=AUNkZ7cC^_Wa4S&+eAQv+7I@F29C#mTB}hCoFXv z-m?2@mtUgZ=1rx!kTIYl*|$jGm1^jriS%9acVAMy>Dug?pQ%>2ZOE>rQ`3V)P{moE z>5SmT5)HPz`vuJ(<=(rqK1OKhD>qkt$9#GIuY4k-?+E_JnKNt$4`hj-;IYV)#2ClL zLu8*fnP>4^zBH|&(*|>mH!qoPPjnK9SbO7FxRi06$u{z7tm`rz;QHsO>x|tM`S_-p zNwwH{qo19{EkL-#fCgQqUwg!Wm7+sqk#E=cHdib>TLHf^Nj0mqQV8nUBO1$(CZt5T zvY)Ruueg)s&!s+bDi#9?nIc@w+KVgvseP`Y=CHl9RvqdXYgTM$%b5w!p#<eR4Eomv zAt1iZz2$+dJk)*qlCNYP@6PU>{GC6vpYT^FbLC;k`zqGXX|r(w39D0{7)*19wZgb* zJi=CxY6tt#cX?kL@}{Xrv$TvPSySt$Pd(hkKGL+BW|%>7Xrag#8&=<ubR>P9eE0Ci zRuW#;N|UoDQ(iygVX<I3L%t-E2+YkawQ6RN#nWJ^r4R3HI%ao#y~1uLRYJD&M!)+u zlc^^7r*86o(#XRno66Zk^|IYvX+C{>*Y5d_S=Hc*PX5*Z{Pnxe=sT@^mv7F3){e0t z(5_(T_}Q*2<|^NQVc^2AeD=i|-+Hb!v~Tyxe|d0UW9h#3FFw8flHmiDzS(2^I@9RL zC_kE^u}O?1xi72O@0!Q|)qx8>^VpTA{q{$8&HdF^THpNU4Rdo(l}@{i->i=Lry6Y} z^%H;g@rVBX#c%uF)A#@PKl{}k)9?E?S3h&`t^Z>GCpOOU3{#%pI5&)a--htSBi`l% zt;&H-dzO0VUVXLl_;}ajU%dOaw{AM7H~ZMGxdZp_`SLgCo_clej%}yyq4P>7{_hVy z@w2;r^=*HBD|r9i#~+tY9M^q(qw@A-UE`c5@BYlCzy3RyKX%uyP4yvv`G1-1o=uuQ z`Q87Awzq+<thnxc>l~e{zPgCkl@RTwp>atTaKXfu)7T*%k}k=DmKm0Pp<68C=Qk~Q z#INII5|T&Kta%<!-OHt|76gm9!5`zng4l7>P6C<1i6?lB_z3Z2IsqmbJL|2%Ve&ld zC6jpuKOmE2GU)yOyUw|i8XP-odA4-xW7n=-yK2|hJ-7C$HI%X%e-W1<)Y~@hE_&u` zt+8i5v+W-=FX%3<UUu&tJMI~5-gBBBLQ?foCpC1^JK_dAl@4f|+?u9PZF$C8G%spi zU4Pr%jpc`rxxu@bM#x?1ggY_+>ci*y(7F(v2%T{^v$DD6W5<@=ZA00C-)kJ`O<W!y zXs#|DUpZd?{bTo@_>aH#=Rel_AHVnV7q4=^(QwBa5es4Z0t{eX>ZF0)q(8RQ`pnB0 z-}%;$wLbD6Xs>NckFCrtFaPD>Q2TNwksT&;bnYv!e)!(^{^Id({LCMG<3~Sy@Mr$l z^$$P#>d$@nZ-4AJ&`GzxGTwOj;pIAph9(%!UCZ4++R<5SFTecF#?Vvl=x=>=@Ezl) zt=#r)Y$$gezjbB#kG{D))NMV`Ep@W~>Z|``+mr22-Tvgw%kj+PTXb@3_L0uDAN}W_ zzWOKsruKE_uB`P;@qF)&9(Bpidy>kmx?h}R?xsf^`7{g9wXJ6Jwm1CD(u1?^_>bIk z@7P!^?wH;$d&W)BKWkdyD%-aduVG^}s?k@Tw<N@tZxbwBdzowj?W&PYXsjN0GiRn# zxST8%#QMU*bj=JOM|HRp!MR(oD(~%YPgKdRO>(c}sN-}!#)3Ml-iPWgx-*%E6NrZU zH#qzHH*|>M;gR?5cg_D;&KX8BXQEW}mCq6VJ?`w$M>uY(gT(@q)bKXrOkN<Q;<Xx8 zyZ}0mX$xmiw#o1sPkKhyUvyaIl_^GJr=xX>osaH1Q-~tg-@4NNoZX3nt)uAC$-y#T z@?N78>bD8Ln@-lhgHE0s-XonPi_%gj9y7GPH9L>bsowSV2?VsOHm$s^I(cxCdmYiq z!D-9~USB7VRbq+;owyg&EWpUf{t<NYN|nBEpKQN$qWBb`dDmY@C!CHRS+7lUp8w~} zVngu<AH;0q0$thjdOG>s=T6ay6|`9=I#r)^!h081UU9n5=>)cpBHX+GmG7pLQugH4 zMi&?-s&nc?vRK?)vsbTWoV;TJo4>8Pd~Wah?g0)<TP|3sCpNPa*Y15~eK%K3j=l)E z33Q_K)CSXgH}ie)F!wr+F4VXKYNUOz8XKbMhtI6&MKi+j`I9faWc?kTte^2G>zi~U z8_D4<{FN6I#`X2Ht^A}+PzjG%4{qp$*vJV^ey?A!j&3m9t)M?nK8JmjhKB4cH^6jI zj+4zg$%opXYfm_xwzu(%PQJ&vD;53SbdpIYuQzw?J&8_`Pi*)|C!sj8F#QU)gXjcf z&=*~A^W3#nC$+=e>xfRcjd8twaPvKll}>hDS=C-Nx`^zB7ykP{a4!|b$kY2@L?<@; zhz6Tf>i$}tq`AxPLEEAe!#}8TwJ~?m<xVazccGDu&ezw8O4+Ovvqiuzg_^(4+=Wh@ z?habT)=@dVo@W@OI}NXI%T6#a63*N*Jz-|-&clf-l5Jo8in(-eVxRo_rTj}oiaBfo z4cV>-`8SsLGS2jp-6L-{iFs%|bz^(y&-tSMd$N<1y(FWD?V#(I4}TW=jr=Uk{9Xh9 z@}9;r9{7pl$H{B7_(n71QrWIO_6hRLBGWv+J5}b&R<guP_G=)MZRIWA?t<JRQ}OQh zxcEWW98<b@3R0W!O7;QW*SI!Y`Lpb^wc$O*Zt;BQqMq+>xvw$t=~QiASNvytOPB6U z_J(R3Af+F2=^jPhb$E7xO&|7+tzwd6{dV_ZBE`j)@1~tR+q`c2NTZJwo_id(xuLv? zjo;3j-Q1y@T;QcJI}-l1{Fa-JysuT9f6w*PN6u;$G+Sw3*(|?5Rr#@1Y${#$jTf8E zVT43*#@<7x*fTau9>{(j1ZFEK%3Ds6xw4g%?PTIz-sk9+E>#&79{y^RIpW;AXnuJ& zq_|Q?YZIQAO-Gub&aVaXt=uvBH@n59t4jODdHfr>r;%(^x45;j);2eXoul;`V}`Tm z<lb^}vO!m&U*pR_PsmZTk9w(nDnWTS8)%!UAe8;j2JCsqxwmXy6*VK&;~w@~vg!Wr z_n>JnAa0`%Vk<Oq^11ar4>GHK3*4DESEa14KXH<oaQ)g7x}j`z{lxn4uR8bC53ldr zkL+_>=6K~fxn9HG=;^1c{BC4!ujVP{tPza*Y~Agr5m?wW>E^yAd&~_`oern{$_BCw zVSQ-puLLKo8BRe}WO`uu<)M*jMM1n&P}ayni{R|xc3g!QxHPxIy`G<RGQyh*WFPfX zKYvAA+WZksI@xoIPEP(?baHM*E&K5GJ-Oe*4aLu4Jy+ey*iU~=0PUHak6=r;KJu;f z5((4O$eSzT_4N;YKstF~A%`V(Uw`RWpM3J<9&|$9*U<@gMb90s)+O3z<nUfv_<|R? zxseN$32z1Nz2@RxYuA2)>EyxEez1>Cf$yY~;U}iKr|4xKtjv8<lnYl&|C@DE+Rye^ z!c!QZ66N~_x*K@SIB^TQS5i=Z1t{N{kZ`j09eLlW<D>|5xe>j$<?k_0WCx77qQ=SL z^^?!B%>3_F8E;*`42GZlB;&;Wv-PXjCr94T`wX7?VYhF8MY6?T7^zJoZz(WI3v<X) zjuYMjvV~a5dwvK*(yg|2s?%ipu5~K8#zr|#^sU53iFmFLKe1<R?^;;dyOwma{*sN! z{+<B~R0}VBPdXWKeBXe6;NYnOte>J2cFJ4kE^e#dq7!7?lQs9yH%DdBxMe;#mAB#; z(%LwFgdN!W!X)O?k36z&?|`UvEBZ&*KS{s)<R=*?njm<o!!N(zJxLAs?SC;%85L#n z7e-#erg)?5^@YRegmJ>KU4I>&$b542k=N15gQZR9R`%afC(JX$Bhz6eeJj!2RqBL| z3%|nHDJ_2KnXX3|${w+!CnGybd&T05CB9j|m90RwV><bR({=rN$DM^``dul`3BAPU zS<zvYP~xF8dY-|;pGTvWJtXmA(KYKI2`azYz>}ZksN@4zLYeLwYapnDbMHO7kGmpR z-W(4oc?H*)Atsy2E9NavBhk4$fbY{mKf5)(pHFm>t<0Co8=Ou)7p#;p8wg~B6Ko<y ze|P>a{ru3(8nZk?&fL*lKF|P9{I>1<E@W-5T_eA?-Bs>ue#?H4#SXFVB0nIkC4Bw~ zd|=?@hW+9q407xq`#$N43-Skei-UaZ83h@97V+~tOJDgES2}#melp_6yWHGT^1bl! z^Bxx{=^`7)El{S%P78UVN5;2Sc|PxrhwFG!fX}zGp7X|2ys;bnfIQg`n%_wtaaX2a zVfZS218->PZY<N~Rrf&V+J76|=H=zT*Rx08`5hg<D893w_lJc41LF89U-*G{|BC$( znGc_jkfaYQ4XAu}-D`%ZoS?v(9Lr#VO|i8K!GlVl7mqu?hO%QG+$t&j<Y5mmojO47 zakfGvdKI6*$J*6J^F5eo?NjfUs#;YLsz7Q(i#2!rV{#1#{jw_v6uMEX;ADuL;<=?K z{ubQgRJk{h#YWIVDgOpCYy=5yjiscRJlq@lAp*4i#khtVtP=8|P*V;tkUV~=@`?o` zH&+dt^UFeFkeZo@K_#*1#fjem48P&D6`XQKkdlg2$~36-YntzuKkbFx(EXxMQE`1e z@cv!yO`a6rl<w=#6AaA(VE|bg_UlyD%?<Fo$fTvG-?TidUFI{xRL!Gb#RW6wRxy0O za0tYgDZu{Z&y@7!N=c*!K1S^~A-(gn#C=~Hh4mjeA@wGgD06F|K<s;g@OKf>+CjQ3 z+~aq^Jn9$n1mH`3RG7Y>>KG8J(rildJfTnLDpj*eYw2`h>K`&gDQk@n2R<+2GQ3<a zKS4hjD@@<l88Ib${H;*MlXO)~Roe{2%BLL_KJkkXX}RbZeM*?<7O3Ygx;%i>2Z-h- zXQdym*!e!j0x7ydmQwqpCZu@AtAffPh?tOa(bE<|UDwjA=FN<7AQ<pHA3+iW<@942 zX{q04Y6w!S>oz@+IQSc)i;j`d2eGXn7{6%QaK)d6SAn#_Q3yz-rtm3l7D23RAUAV2 z?8Z+r8VjOU0o6Tugw4p$%2b+7lT;+A&Lvj$+5kpB5q=f)oLmM%brFC@EvGKA*a|$k zO`|rzM$#KweoBmyh#+%eE`?k)Ke~YI%^kcins|MqOz9})htfwMiAM)h9!a>>5V?V= zI_8qcYL-u{1q=bLsnjGYj6eD|!lC@h*pOZ+*2>W?fUuaC3wh#UF<}f6u8hRepG;*} zvN~VlD@4A5=%*y9qU(57DAu=-Y>KEuBTKuZQQLF_o|d3Znn5Clg0V@eYtHlAzv!AX zY*rkdSAvoX%*C0c5sJxt08=QDk!n6RA-P*L6@V3v+RTbO*C)X=3qpsvQ5*s)gVG~q zN1eU130yMpxXz#`M7ILfUBpEh3#bW4go^kHvaqJWt}h!d1e-S1r&W(22{A6f4MZge zH8Tk~?nf+_BS>{1&~)#jEX_nD(Nvs=2_fUWD;0oLlJbwPtwK4dyksG)0kyFHB(ql1 zXFyt`wrdsy1*ql4stCSWQ(LKMd3-o3MULEgH^_19hT9b<+(f<b&FH|<vT7*NA*Y}~ zVw#7CY#}vGAZfNOL2qao;f%X0jL{tBh(jQmp6D2+ht&SzH{^>pJ9C&eiwJV1o>Owh z;i7p5K!NN-x`r}9qq5t$UgUg83-d&vV{#z&hF=O}wGf*=)3^;1Cxp;kr0NY!r><Yj zIUdt=i!0#CCRu>!s4IRRD0Kz?+0+bCPTh~aPi4j|pZSEFV*f`GE?domoyhgwZ0J*> zOVu$^6-8h$xtm+L&T|~Y<+D1QhkjeJ2sd&9q=;9<xkZkK%m$v(=Hp9#YQ<eKgfKgA zWb2&OEIaRpaW!Knr@g4MC2BK{QQO@0-1)+&bc*J%)0FGD9wQo?*0%HKdC*s8O}GkH zQH7(@REH+9_hN?}lqQ;0t}?<K<jjk9aU!wKq7uEFf_ez4kQ&b9%RF(VG6qX2N+P0> z4+VL-e{C^lM`AWc!I{t?a!QzG+!fMyNc_~{Az%xS--pcmKsp&!ebPA><CTg^<r&X9 zJf;*jiZUQCHIj;Rc^qr%cH}(snvDafkkfO0xcY!enx7SVB(>WS^3|30-0C6cy$cb_ zK2Sh{q9b4YgG%+8C+KE~(T@s+D+mMvlqC``&xw0w6M)F_jr5o(H7{uXJcGQh)4*^^ zw0UY<!fMvM;|3{Oj3S9e#?Zvs1R8r|beWh6d*i3zm>b1Y-~mp5ZfGw!?uKw{fqjml z>rm$8E%FVPOz%vXi5-O>f(vs*<|a*E=S3-@x|${=J9yKAg)O7U<pUnRi8U?<Aw<HE zb>p7usZsbIUlygxX`%Hd7`Z7H={?q1k)l59$g7jA%99s&M8xJpH6Wc8j`Y0Yqj$3m zu%1kZ3KvOmS1OBzs0hd6$dj*Pe3VXXFA)`!6&OMX#JF7O!Vs@|{%<}Ur_~wlAy{t! z>*Dh`%m#?#>93iyp6acgne_5m&Z~Es{JbU94qT%*UAhp1TOD?t8#pdFPwkMT=IKkC zKA6<9u-q)N9}E(M6YrwtXB~=()D1UA_=h|&k!Ab<;M`Xwcvy>Tx?-Xp9XW^4d0frH z02#;^<>59Trv?^gQFd;!heQ0UbihcZ#Gc%9@|u|s&v?HSZm8GXREXEdRtGc}cd(D5 zT*|H~>O6`gW_exx2PIP^65lQj_MuP)#|?{M!kkmI38w^_oT!eO(a*ZjlT2#k!3dtV znsZZ2biFkSpV*n7fsVpzBb%lVyVV#A-xhhgi;4QEi0XC%mD*#FdT@LnM}#5~JLdy2 zH+X6D5-y-A=IAAn)Zl;>m%Hdr_X=nBCAAk2hb&siq!aK|0f^>G*vzfD&}g5P*}8l= zafC;kMv%8x5}^+%U&?8TIU~XnnX;PM;yf+W%JRp!_RFx;r&(`a>gEG;4sg!m<!9~m zP1<F~^TN*H&fG;hKEQB9^d;A&Hj{PVT(Wqae7ravNg|7=@$_90GrlABj0(l3`z7iU zqjz0@B_TM}*O-16bCE(ZNz3{rVms-h;!AsEo^_QVnvPTpyZPDd=fY~Bly**IapKU0 zY4>kUFtTRc&{%NZJ%$W5@pzN`>LtxCb?uVY?NG2g^BvuM9+_OEP}W~^##Ih467zXZ z*S|mZB6RL}piF>bBn|<hd4$=jGS!d6gS@^=`=FJJjvud+k3(xqEY*2r!!Q(A<<CaP zMPOucE|5V}6USLSy}BM3>wY=<S*0~XeLVQk_CV;y_)M;%CgX8RU3Bq0zdFC1Kjb<+ z*1FluQ#J3V++-cb*^=)2I*<qNF7#IMof(bok&;Aak1PaA$O4V)1B-N)H|`t=nY%*R zcB)=-2i<Kvnp<*yBB++)P)I)tbI+xQrQ-`fpKAz3UVFfiXq!Jl1*J_nu0o1|hLR+{ zK2bn;3Q)B;2k)+!V)y$NWsST%B0TQqx-{_kFp`%US4UT!pJdjajyZDBuc%wHdz=)) z051-@JSe@8<tx79ROVzobfNK1aVpa-)GvP-l)&>zp-fSic}5vpbED*^&w4s;m*?Sh zpT`>^T;aG`WraQ9{#APE^L1XcTljaw)x%0xrXg_$Hf)JNz;e|+!yb3AGYhWGCuI2* zbcT#9Ss1E!&m5S;j);8IMLfr<tChAG9;7iCBvY%b@vvbT^tS#K-H^>P@%jnsg2l~r zBvHW3UM%^{w=CvW8doSfhI%HH_20o&$&~Mm17Q3T%i|J_MwpK8)C!o2Ip(oMe#XBG ztboeV<S@Oer9-{mI}E47dBy!r22ogC`-hWmxu@TBG8ZB_d+HW~dKZ@UqO+>9&0-)d zx#FCh3hGS?y`diCBD%s$gyx((Mw9U8?lM^=4hbX)ytyoIq)*U3UabT$APfG_PfAp^ z1|-F;jv;bO{U);KZjn4<C19Et)OXJ2JA-qJtR+fCy;dGajA=-K_Sk?(zOsD?0`;8- zd~>IEzEAS;d;~=&`OeBCjIZJtpVFp*d9+nXQQSfyF9)D(i>H_d7fSchZoV{rqeoqH za4;{XMbSLsnky>`<%k>E>~#Ik2ze1PZB^0lVb>6*ke(T56F}V@3-F$AHV4P&DKo4@ z(tF736CFA_M>AHvHk|L6O*pyYYCxCY$%*tV^1D>v0mBWnG*Gz6_Ni<7(8NS1B*Bt6 zhEDgV(LHF*T!1#G*5KIEhgPvDT$E#ggj@s2Mw2o`+bE1SMmK025f6R<Phgrwi~d>c zWa2VZ?%CBE6>)My7?oh#2?Y{lqaLD2NnMXqf_9oQ(O0OxA5Bg6g*SbHI%n6E`veQu zQfixe9$c-WhM3M3Bz%3AbPr<|PS-BnVts`~17zz3wbb;q2<v{5=AUZKhoI!Vjia6* zCo&-2;wa`9T9ufZVY(qVJ3h#O!WC1hd>d*{EI2PqG^LU>>>_)@I6mm~zVHZ}@u-o- zcoYQetgWHzZq%=mAIZSFSwj-6Yi`bR_Yt!KG2>=YI7kgNyD7s?2UB1mWz4$~Bsuvy zXe5dlwAch14fOoiXSGDSV3lF9njE%{L+0Re=0EcI#MyGoDViMDfkl17P}?8zwC*_J z+y!<3@@;Z)&1uOH@=52`NDe$un9}8EE0+ex{f;gVU<EWZD^4WT(<_#GE=)-Yd69Y2 z+RTh5F`_{tv3(4T>|KOYbq&oF<3oU(_hG&`Phu0}x~8TZogq-?QZBsB5S^P5i%feN zsU=uyQC5I$5P$cB6Ahlm5TP(LVdb_MZWAB@@QlG}5fq|v0Xnw9&7lDzUL1^$h^9=v zg)zk;wtp6jJZM@r@S1z=Aa+p6wbtYuDbdpCTyO)w0iGc2>a8hT9^K&eAUtnQoA>sO zEi!sbn?dAgw>(<1iI*u1ZhR$VtWM=~3Z^dT2}}kT=zgNzNj%TRb*$Jq7IsPo=K@%U zN&aqa#VMazM}U6JPhq*ibs1*V6DnMm64z2KY}(62fjH*wkO!O03K%q;9G-V5r<#EO z3Z$q6@)0z1u?t14@sUs5{321M{9rm&yreJsbR|7BrvN?FMb?QXg3!w4Oq<Fld#+x; z0lAMk<QZaP#UuR4Z{%8=q8gf-;Hy3Yd`-<*Z6v1oMR`s>8a(gPZhctHshgmY?@&6M z@gu@qVr>&7!>W24`7D{|bCD=b&Q^9a&=m@H@I}lUT9b_`IZ(y)A3+ebPfvja*&1#7 z8YsYcz9lE}*iTRW!J~zZUP13jaj0Jlo`zU8^ah<zaieGd?|RnRC~KA~OPZqBaC7(7 zdmRU9H2I?PM3vv^%R5wCj2(K{5}3zaw!%_+-qDV4bwV}~m+EVQE5DSBPjQslBn!xJ zwC>k3u4n5l6{s_d#G#uIk)YS9FGk8(?K~DcgO7DON11(QIumeN3juz}fTdg3Iqr+r zOec#`7Ivd+D+mIpJcFVasX3}eH>_J<C0LFoLVp*mMjPQSh^UBzg?#wd-|<2G8I}%5 zXNWzmVS-p6#BDNv!hfi~X7wE?+^9_Kc{mYC#qpOgHX9l8t-_Xt)hi{ttv>3R?8Q}( z4Ki~w<7sjm?9qK)Z8DBCC9Dh&PRZ^u=C$+~rV}COLoLx@syrBX^u8zEg@ZiP?KI|U zMltZqXLX8m&KqoT^+<iuWgm-ifG->T4XqyVS@U?yUC0i+^Sd$gZ)1IIwchg+mo$sd z#isZRu)%)jh<jq?lDSI`Y;XM8^yzOLFD@C4*Ri`<bn#z3gA6MLJz=PRAQl71R~L`= zn$3KCZ8UQ$MPgy@Sd2Xlq2)Nf+F(d6Y6{cXA1{_2X4-06HH78KT=4^XVjf-1_2P2v zUAgZvEr?O3D_tSX27`0&Z#Uy&EVQOqFgE-z{W!0kx~S+zPAzAigy@P}z4MWE!k!qp zF3$@n`klovLL7j?XNv(OoNsWU16}<-WkX|2Tm_@@l3=t)Y^)M<-IaEff8KKA{Lv-4 znUBN=W3vmfr6?7a<I~G7xOmUG#jZR@U2gQEBV#948)vN?o4B>x8)yZs$vx5DU#u># zF1e3A+s$9hSe3r=2g|$sZ1dPdM}F@F>t95ij_A%??)s}Evi<Xc<t#LZpO1g~NOs~- z{7-+e)Z+?m&mEFeNZLGd&;5-HQP4+sxI3T9qMHIw2QS1!FwBCaN*?gtL0zM&1>6^& zVr)On`!f&=>MKoG%F(8ZYicG)GS{7Rk+zN7w%?)mB4xGhAO7jt58d=*of{(0ECv}T z%P5c&U3dQWJH{KMqd$4~$Cn%T93A>kQSgzEOx*Qw*WG&bG`{%KpwWH#vYYPz?Q>86 zWL~_C9Ic`M`}uB+-Ofioc-QkC_wmkY_mRtWI@^vG<EPbk)U(==G)~U^<>iN6XUEE& z_uPD^durn71s`qv<{3+Iw6hvxCl1CH-4z&_KT5d$i!U!fa7F8Zdq1=NAALO^UEvIO z^U;s}`d1!2_^-eCHf-L0_kllr_MUCxoflvD?8_(a`^E#0eESQ_FaOTbuRr_fw;tW~ z+wb||fAi`Kum1M$KYG`<X;#z8+sXI!r6=zC@}K<Hs~^4cuYcr!eC977edWLZ`Cotg zFP2|^?5qFn+n>euZam&K`q8hv`l(<0-3K0c;WNj+@wGSKz4rFo^M`l1Ce4)K+&hkc zy4&fvZ#;C*ubjJM*E1iC&*rTo$4~d;$Cmw$)r&7$={35&*n3Z0$=$87c<Zr0%Iing zYWZjXzvbH3Z}qp{=psssZy4+iGN7`%w*SK4jK9BqH9G0>#iDZ?PmI)^&$#0&=kMUD z`UlUvzdN*J==A3u(}3Id5kGp#vKts08K9}*pZ(}%=U(*A?Qa~t-MtK3KlG=I-ENlG zKJt^Ji?_NrWZUl}A5;0@?c?L8u`SPgh3O=_`%jl2?#A9-AHC;gI>FKSTj=Bs%iU(@ zc^JpR&arNzIY5aONGBIQmECvmXU_dc+dj{`6y2`5&-}NqeEF@P`O@8A#Qt>P!ascG zR_TO}_JxPh$tS+_=zsV^<HcK#{_fhNuRd~a>klsdGB=ofW!o!%w$dqe@(MPRUyn~b z^Og0(-x&GUUw!$7M_+yPhaUa2KYryemcMzy(r>+Z7dqJ%?`nSL-oL!_t+yUO{=#SO z{L0q`jy?HBbTX*Bg-f{ij!*aQj7N|D$?<!?^1r-w*X_@{{k+erl}#P{h2_?-_zSV4 zrKj+<RpVrv8~=gvYZ?xnG>#oPao+yZF68v8Y^ONCIyWqq94eMqD^Iod&&qNjbe|HY zYwqoKgtR)tY~(46+*ptP<jL*^V%=e@DE@5ZH-X&;v?<;%Z@1133nnMcQ{Jj9LnO!S zbad~KY!D5$PZ8#3NIUOd%DrGIqRBE&^d>$W!RD+n6pcwckJ_&z#1`i0DOOGF<YcC| zhxb5`Z)7s18AIbYH%IAqPS$XnoW&OwR%xS!%w^lv1rIK8@!X!n3)4^RS#wK`-sC5L z)*1-B2{_Omr4?0;JU3gs4V~;2+kp`^GN*=9OZ4R(aVOEqy4zbDc{|dUdQ=9T-xcec z@%Ox*jaKO*t;RBRoER3bg;5T~Z>mFiWE`4Qud=+8yY1XCykx5eoFa$eaL@#2<~QqP z^#4U2n0#%~N#c$D;qU+chE5h7_nUCqzHxZL&fQl$tu!3&_CY7>=uY;m+~<T0n{`4h z;CJ{idVAgT%}FOG-J9HLj0SYFei(ySbi(=IrO7)duvAGpSwC#;`%?S40UcK!8Ohgw z=!bM)irE;Frt|%#6Rh=KPbce1C$Fq;(aD~q6Y?jWG&v7JtIRCG1M|hcP8Lql3AHAV z?vg2OE09Eg>$}b7;k)WYHif%)pOgn5LG#@soYvkm@}lA;F7*Ksz3>87Zu%o_Vg2CV zv(KJ9d=Q<q4{owMDf3}@$C#QtjQE&1VyQ>Ik;4^F`h)SCJIozL-$5r@v_I+I^q_R2 zTN@9f;lu0G*fxA?^v;RNPfpL2c$4#`gU>xJoxJzGdHzE`bdb{eI;rL^dco_>U3=2p z^~#Irgf6c?V9zOYSKuW>Cr_$KbfWQ7&Ruq`nKG1+<}T@^gv5~>M63HAOZ&_cUy=3w zk%c|GcXNkWIn2QSLu`i8iXkeUn6J6(O>feeHJR!E2d7OZlk0oh2RGR`R63#6M@AT@ z3yhS7*Xo4+1V8Cy)41;o=G@{7(#Z!-WHrrQ=!Cgzeb3?bmzerb%r$!JuGC32cO4vk zT65RjoaU~B2R(GmV+HnvUs8VjPc(Y<E4<x*2;S~CG(73`*&7_c<`wz*H@InhcRn_H zTHDT_OSXMuMh{c^?HCTPgX7)l(2K65SKpcRjvw3%%)XJAma6<W6*oHBH@f~kjW=Qk zDfud!!<2{BujDUzbq6B9X^oY3h&w7fMC1*kpXrT%!WVwWjb@*i?||+)(BgR=W%cb5 zt9)g;_*@6)jeN<5QnFdTetD&xq{<SIK5K#YICYxfx!2mXtB)1Vzl|qXO~>y_Jh^GQ z8{FD7Z8CX-yYy!Hw2`&V^}VIH$$nAyDT>d}-gM-;Hx9pf2Y9n<l&zoe&6|||X1j|J zbHyF9d%PH1KiN0(opFck8eyn!3OobD-ZI%ej_a;N?qj@1bl5ZQDEZ~rW8bKUK-e0- zPy8v5`yY#AmEEG~#ILfi6ko+fE2wM?v4b?*LXv)^#9eVqX@_{Iv}Y8J$!ane_w@53 zlkk1It@ev%$GACPvy@5sg_m7q%ly<vNiy1M`Uq`C-KLjlv-%u$o!<HIIDL0*m$pzJ z&@ck1tCV1EYPOybgYMUH_gs3P1XIF-+s(ejmgtS$5;qmYjg^%hgv|lfoKnsQRtV14 z-4%#+Y;iWz_UX&%rCQ@{pI}^UpU+IMS@EP{a2k`<{;I+xUmGL{$+P{(StOY(v7lsb z!Kb7be%`38Of1B;-{SobBkb0kJNs<P(Eh70vZbp`?dEt5z`{sla`d_3;kkkRR9$bT zP`kK=n-hPReZlP;7}&j=cS@){m2L31Rs+7&Wz3_~nIy3`lb7tY*kGhKA<e9ou+s)1 zD=yrM&+fU>PM+m|&u-oIme%a*e&mrocJD50U*GyGakctVY6+v1y9K_hPO7%nns4>0 zbn=p|TO~90NT)DP3ECiGIZ2-JDK<$U*`l(jq&mNl<T4peCwm?5f7qmxy%=Kk+j4~c z7!$v?bL@d1c?6vt9(``_-opd?d6fcnw7VkL7j9lpFQ~Zu1@@wQhW8>h`#UH~80Kz3 z!GR2anxCXzqZ4cSvgI~(LU-u*LFM0lIK58-kw(^cAKbg4lVsC5vPbV;V2||Obi%F= zc65#szDJ$tg&nAbdL`>UjN;JA0A(gPc(~eMRhZ<n1=7|Jon*hm5T<PGPI({1zI}&T zSU2m0SDcJsm---kHQi(BxXqmX*98~IX1L;YaBuCwfv1&!4{swN{qVrk!+Q@uy`Q}B zBfr|<X0F>-j>}(I-*<9-c&|8H+mw8UUr8H}0-Mo+J*PjnNhek7m3@X3l}<?-C$H5> z?Vwm{?mD@f{d<4zO5Jn8-n~Fy{cdwtzqg1R@LhG1_QIu3$WZFUaSi=uolH{g*8#R! zZ2hE@!qb+Ne&P{Tf_JLSp%cwD$Y3?6H**(iP4~U3&CrQEIDNqd(3h~leoQ)H4pi@; zvIi%nlR4f);YKEzJvD#0k(-qSWM9~`@9C#^@8;briuVQl+gD_9>fF^=4-B9am0!(W zrB3>D*A|^rb64XrF;Di3oPhen@?OQe>v030W#ix89Cul>Ot0)1v4iZuM)rvKX75<W zi3vu_{2xo{9Ak)J?H)(-%X~a+2*hJ>jVlT0xI>3!58v=4X%Qsehl*d}_KjST(wc)Q zy*V2|5N;&@w$iWSeO`&D?ql3SpOx|Qe#btaW<{6sZO9btKE}ddu_N#m-rXH^7K!o` zUitZ9D=YqWV-_U8yf$XxkQKkyc$ebtw*A-Cu~2fiby_^4b=WW3@vF{P?{Zf}mj2Cl zjij4BBY5l%MHg>9iT$DIWXA|&qleD!YgBwG@vH0_-7IvXU*52bgj?bVUiOTVgJ)=- zeG8w@dmP}d`W2MNb4afko9^WG-6T(p)OcsFt}>u5o9VVzihtgNby-NhcqhDgwV{^} z)XVf(`irFxy*Gl5xJAo+vO`S3W|u(Yj$Y{(hHl(Z;khp|(gr`3`02jJMJV#Ch|^{R zCvQ8x80+q60J#~0MS^=iA^B-5_u8Dvu}8_^L-}F4aBfzq0`t5DQ?$&G1heFd0qLu7 zj3se!PrCVjIL1{=S>t!4HN%U;JQ`c#+)yAbx;2v_H#db*<k=rmd~J@#%@MduE`2=_ zRgmjxF8oqo_@MjX`=S3SfvxO`(W>r_5G9XyEpt_{pEL;-1PXo{mDoj)sQTw4bgH?+ z)}#pyf&L18cPk+;{ZJvGcbs_;hYC`NH`6O8Fy{iU_{<<ruyzxE0|LPUil$`eQ~Csn z`${%`_jY0XKTkA9C}|BmNuKYhia_rP+k8Sa<8wKm1i?IyD}3c{rmpZ=$vc(6ss|)D zVQ>{q5leMGc|o3cgS3e!6pYB1sWb_QGMhpIW^ETOWD$ju7=}x&2+39`(IqnU6NTSM ziwehnJiu6_8|+nq@<f3`aceQOIKm1S?WqF+ASYHqfHyx5806Vl&QDAV#|<iifXe9$ z7B{|5nbs}swGONSI&GO$`DOG}k7>vX-hz)?VyWKhNdljw60(>zjBtPn%j0~&%PIS; z5JAyCgcR~6-G+cGDWOa!qCx@;@C_+V2-Hv4Eab|vEFuB<_z<_C6CuTr*-JpJHUg_s z=(m(R{Vgl0k}%=7cB2th6qbK{G)2I1vb%&8YrraoZ^@hD8^L}aP*puPQd7wAh1^gP zDS@oS0dt$~whf^TUHDRoJ}OR(UMU)1XdcQxYcqRb;qEfR5V^h>q2cwf&aV<sL?0hC zF~^3VRK!pOIH;CtrSnL2`gF9z7C4r<8iz{L8WCL10Ub}ch_=RO1RH^HDnvimH*HN} zp4+MCYR8HDthK5#(;*~{7TYZD;8~5(ZbClb$sH*{|CS&RI6rx~z6{Av+R=6}?F`DK zzE}5Uu=EpsKRE^Brg-eL2ie_B?m_s7gW#MpRf~BhR_x{8)Ri1e3#1N<KvkRLcW7Gf zz~WghGd8*VTt=PzxP4z4Mc2(3$hAg0x=gupmY}Lv6wWOYG1@!}6L~EJqBE4Ez!aRE znietCV<pWD97zJ;CRu2H=`Lh*F+kvLOs#>wk<^w8gp$T|;S;AYp!FQzb<LB6GIj!N zBjFs3y-CO!=*+bPrxEazibz$p5)|^3!Y4TQE-Ql*9Qay03Z$@^D~K>RSA&5v!Eb=V z;v$dppccTj<?C{b1#@xcQ{DMeVHutkpZ1ishvR&}(ac4qs6To~CzP<651e3eQ^K(9 z*LOx&L=M3R`sVCyykvY1JjW>9_0e+mRJ2_lQ|I(ayvt{5Zk^L__&HxVA1HK&Mj5Z= zlrvKcB)gri*r8*{gVc0so<TvTjKgu%mRY9oypogi#D&@}cRMqWkUI$nKDmpyT&*|0 z%k2yl&KtV_sd|;LSwzkWwz(l=L2a&r2~J~dg%0bQlgjR#=SmpF%zb%uv%rWP7;iT- z9*R@ds3<+gN?a}X6Ur%wK_MLvS4?&F=C4E}CrqQ(rP4(RgW}LYHB|&o!~2~}bCEhA zI4y%PG;A2>tmKqqK-T$HTn(TCs1#Wp$5ULO!6c96fNSoq%Lv0IFxZrhlTEl#(ldDt zJtu(b`Kq&tum?fYo|BJqz9}1|d((N9ZOBA}UEyu(u}A`9Q8eZ}lAz`(l+q$)MQRRz z2;_zoARLpdgaqD&8Tr}QC<6|Hs1L%WNs>q&%E}W4D9a!ukL_V36kU$n%DT9l0b-OF zgp!O9MTLFeNkK&gSZ#<}-)7YYbrhUIK_}_0HtI3@cNZGU^b>w-;)K~$YiMTfh90-r zsD+phnEz=_LO|)Ih!pFRAcn}yEpjy(cRU4@9$d6c-jcFeHz=Yy^Li9&$c4z<5`?o( z7pM&)%5|g|kYixRD@b(<x2h0e<Jcy}ntPiY^{I1EG$BYWcMze|io?KX3-#|~+R1c? zUjsIP)y$@yr}8r<CMnfM1JBY4N)qLktF41v&^GV1^DG~9Lxs8>T_gk~<=a3Y>Qhca z1`&*R^qHVaz<8_&^XPgmuDRGngr@pf@x0}P09cE1vy3nH*6|24OU$Wv4hW}qV)9U` z{yDq{rWw2%D7IA2q@w^9oq7R<j%8usvqW&%D=QF+xVo%5WxnQBQ@7?q41Uq79yxfD z*Wu(A393r$4Jbo|fsbo0wY^R}uP~padeMGbRHy|`<4E0c+f=b@Z3%wSM+&Xa^XYb> zfK3Y`x9ivQA!KYKGFicx9M}LgeQ?9XX|LcGlK}9GY+=+vloy5o1i9-30s+e4ra{Ld z*N}%ST5{C%2Y%i?51~Pw%4SrIf8v2QZn$^^?vFcD7BgyqQJ0B?ybf0U%tyDI&cz7n zTXiZ>uTRoG<d8Hmhf~@g5)T&RL>$943UAXjd9z_RM~eiqtXL(Q?rNtgFHA)oH`t*F zDsQVw1YbB{C^DzsrjvN#G3l&kg{u0OK;Xel(*jc&X`$e$HVe@V*)ktkD}tL1u%2*i z3%YJ@k*ygbsOD?%5p-K_f(~A&u<d-pCqTk1sH`d4%0vDTxCrJxm>_=y$8?eeAxu06 zKUjY8BX;$T0eq<T1h5Lml01S;kq|9hI?V|Jp(0}YLOF<|7BfGi0hz_2(320EFI;ED zSyo&_O}(3Dlx6IDLRQqX9EzePGCt9{hgN78=ZWyKfAk8`Q4GMORGK+=e$lNm8^z(I zAhoAx3&zm2h<u%gGa-+ZKla(cX=b0*X)UB#&BxpljKzqKL7`peE{c-Y&$8Q&3>Uw& z1dl~9kq-+Os{$2rOB5+dr&;T?bH?DxbH<c#w%F#e?6Z#PkJy(OE4R2jG_5^681Kj3 zLul4}GQrygXOCGBmZlPS{dwPXW1b&qA#mXtvZ+l3NGv&l0n#WnwS*8<5-MTD)n%(| zjuDf`9HYOuL`A!kI;P3l;`=pD#B^n5rI?<m{{SVcA%?u_$qIA-Q0@8=?^FeNI30ns zlEpt~Zu0Hf;Qai!FVubNzIRD84F6!AZ!eFpvESn4DJw<M_1n_vzhSqnlg~Rn)%?$` z=pKiKb5DjbY6*9kADLG1^+SwfQU)WPSZVJ1P-wx8V{7aM0tnhs6F#j2DTTWZ45I4> zf^-*aL-09k#T+Pcy>e|{?J~me>O+Gz<I#=WDwSZ`D>7e9bk%ebnjBx<Qt>I20Rw}O zygVyn?a3as5JXDhcqdiKU3b-WXlfW|QTZCU0h;pbm>O>}<)8v{98>PO@YFT1@T4#i z&!R3*v{MA<juBAq{I>U8gEu}uGC%BwE*=hxCQ|VC=BI~(*LR?xg6nm1uAySKTe^Qd z=VgFytxns+IKv%<Q_Qm;WNfY6N~L<9r7Ch8p$dzr$enMi<2aptoL)IpUtGFkSc^_U zqiV|2KDb^#pXKC^Xe>GH?ON<foon5Z`FhWd>2)`O9MHtU&|)uKAIt|l@37%6kT&hv zLkf05YEC{xXcpha-}U2NjURX+8~<+2p74JPTwtY<9&Pe?8!eu9$jY(RE76jNjkvX} zk;4)Uq7aSx7=E#Yfh)>x54Y6@(2x$)i$7)LGbM<6O_;bO7wG6kR-Tq3eLjM?Bd$gE zxohp>mO+)47kP}Uag`)yc3nz0v3AyY-u70P{4%~2cq?TH;;0s3ld$HxxuZC{WizVc zWbIWuCSuHaG3T5N*N7ExR{EqmXOTg;N_2w7vF?A#o#&K3S7$Z#1gM1gviXTP#8gio z6gFUQuBj@4iB%sCkd1=OuL9jJ)B0YBRq(XmjS>L?5)|1mCqM&jv`QfoBX?9+d$1K^ zk|grk0^Ye`*ii5-6INJ>1}NxHG09b|qLdz(E%}n7n4*w*G<(MJI~IZ2-h|ab)S=!Q zgWvt0gzOWPl0Z@bA+p>>dTwA?(3#yJR|vtB*5!+f5j9yD99tNuH32gmll*lzut*Fr z{g6Yz2q!<|1|EiB#9;z9O;HvVt!GXJwD;>qg-S{nU9NUS%j)E1i^5mR&FLUxRI|y~ zESB&Ii2<@XD9RGN`jGYDZI@dStClsTQZly2mQ4tT$`~@G=1j5+O-Tt%b9`G%5G)_1 z2N$lP0<CCx#u~E2S^Lw3N~{#lv9nO3rva`CI#D#_GC%$N^|v7#WOI;s4<SXxs^GNE zieR%AZy&<VY94FK^O-~f*7!d6_VlB9k)Lq462Fq1Qq*iIDvX12^O4I88z@JGI4_c! zW{AOgVhB+$0!ap#@gr2Af<Sx5<v|&NY)%2+s8PoO!zAvt6mIyTX-(Q*QT$Sjl!#0D zA*G!4=X$2&DTH_l#gtlt4{hKrzau#})O@N#Ng3ov4_Pyx4w)yWd;&k!N})+=WR>+o z2RQ~l(b0EO>kQCtJ>HMh*zpsCk!LkWO?*4H&9oF!CGdvHy{SIdlo?%5AmIX2V2Y(; z8GgrUi%8PEl8tRW)CW8b!+6#dKqdRFL~pmcwvtE3UxRJ4mN`#W$O6TzktL&X@;qp6 z55|`Y2wl&n*lr_aC1v3}1WX4*F_RqLdpH721m>HgrgqoQ;?zEvLqq^Tz98bd5qrl2 zj(`-NWog*&boE6CFx-NCK`<AUm@kLWDqbFF8oK3`EvN6D&%cX8VCUL(zL#!*UPa-s zrIhe~r>B8hSaW;k@B3YS&`)IUQtA*cr!{j7Z>;esG=@OgeEPtkaTHNC0(glO`{@=4 zTchf1=&JYHH1U#-z7wRRQIeZ%2P#Ml%S?#P7`3y?wG-&DCwKXjS`{S!Ocf;_TVJpn z5EU%yxAB#OIbRVM%*7c?8ypm5)2rheDXVLP33N-f%M7`X-7FGe;!r7`?UVdL%z$<O z^kPmnK`01#3z`G}O~70lp_cT4W%!o1?Ve_zNzH2_6fE6H8zG5uR7n#p5={uhvQ>~@ zI!2+mL0M)bqC5S1e4SE}f@m)9>ZyA-M2)sn;|**|l$*sUkI_GOWYbbzfZCSm^LpUX z95fx5b&AL?!Jc37jeXuT^NA?y;89!i6l4{IWAF&L{a+9&o<ijj((=O>3Kk?HIGOt$ z7bo>y!Fwn&w*e3Uu@@li_{;Nn8)K>yZ>=u|WavCV$HqRg*HH(Lj~#X1WzTHn*XA>e zS%uJ}*K%QYxz_5q-CpU!Hi3Rg`Cuqb<nn=L&%AN&61<w3-3{lCugN>LmXF1;L>3*T zsBJa*vBNSf@3P2wMw0GXOdT(8Wdprv?0a9W6Uv*t?W|0$@Gjn1cTd)l2sqI4Y|4R{ zkJszt#eD%&Jp_XBF}FPaN6by^;F>%H@O9{5(D@CtZv$rME_aP~<@YpOFnE?)jiW0u zOTbNvtm<Sr^Iq<LJVp%0F(NnVC~4TmRR&<Ov_c#OhSiokrZDXg@ixQsiyZ4c?xwpW z)<eX||H)={7pKF=k9_vytBzgtcyp<<Hq`6!L^YCAAJu_!tc{6Ke%@GKsbB1#X}F&p z7rNok9o3UwcZ}_`@-3+@9an?AAeM9jep&ek91r72=NT`*K+*e|I{CV*wY%X06wTfu zC1*t{9R@A;P)reaUBuy<_Fc|ROQQoMMzD?Xn8R3acS4tvl$x%o|EP&>g~aHhJ$Q06 zu6xF(k7x?$I(iFG+|G&>U9&UjzFbUZ?o;)_Yt?V`06Hgk1^+IR<(DFojJ`i6d~zx3 z&gH>7`ReM%m-B+Aac_BH`V)SzIexpF>QZ@1t4LF*J69~T<>*{qUz(sTn^Q}<&ti!G zs`q${{7b#=^6IS<fnTPld8p$zGB3jlUYAg760;@ehuf~m#(##{Bh<g0^+>)nW^}^5 zzS?Thb8R(?G>DkQh!-g$lTtV}J3Myrc&mBVT^BW`Ken{vuG8YNy!EU*aqh0hfjQS~ z-*!W{*UgGf>@iO6jN3lQJ&W$x(E+~QZO;c=69YZEGyA2x|Dy5mFAbfs@{#S!6VcV) z);RWZqxEF{*9WANYg?_;?tTcJ#EZ^sEWLc}zOUbPYcIygoO*0|`Qfi;Gmm}rjrZQ0 zzxUD~UOqP7=rBip@%F~UV@sXU+u!)3U%vLIe|G3o_wM*-aWZ>$ywMon7CR=poWD3W zzU;n!-&@(mT;E%69DC|Q_iTq+!aTcodHcP!;oo`o;pP0;nM*6#Th@Q{(-&X+(2v~y z@WYIRU*t()6bqVLjY5C8nR^(oec-;IUta!Pb0|Ff<F_|nzPj`5v;XRauYKj;eCB}% zu*rM)V-Gy`EO$+IANcnV99KTUF>Ebsv6EeL+G&iV(Sb)#d-T)S{m6ko{L!EJ@4JWo z>2)uC;n6pK%>C#C1M%3sdF!F$7jFB+u|sjN6Mr$T<ut=mTzLw4k9XqjC!X&<a7H|L zd*huu2Aez2e6xG_4RJ>^TVb3qlb*Ky+{H6m?W=o>ISbz)t$<Dj<KUZn+->-g?9Oes zPI98WHB{;(JNDJ3hd<7JjURu@@?$X@Up?*EHyf?59e<FoDy9>6?51vgtuvr|9S0wH zq9b9@N$2k6<zs)8&8#e+eeXSu?Mq%d$v)!HN&eY4#7^_>bKm#swQm`^8l8N&`FZ9p zbRuag_;<&8%kE=GZ(}`q@NU|Ed`T@GW99}sciy}G&TF?n86QSh+m=?EZ+YR8d*At< zhb~i_*7G|U(y?M=A@0mv9{Sqx&d)C|y)t-VSb6yN#y8*4c{V=!C*Sz>&wu=(rB`lU ze);1MJoe1dKYDoif$>#@Ncqu;<4Q@5lQWx3%`<;**NIR6*jrBj4+qy@c;j_XT=>et zZ+>|9kA3)$7mgnLzwWR9<^!$#)5luwNQZBSYq7`piz_`uejppaefi9V`tM#{+dn#I zN2kkElFR`E`a{^72`s$+gc0o4eTtKsU-%hNh&k<G)A>)Mv>WFzlWPxPnRtO^t3g?V zUU@|GI<Vil{bIM@+1d3z1^54*t;q1`R(wT(q-T>)S-(-?sxq*w>!TDnxObo1w=cUq zo#Eb+lp+_XjC8JabE<6U+$a`ECA0@d_Q0io7PZ1x{`&ql!99DHPW(x?R-0ab-+vu` z!nr40V|Dt8xuxOm^b?I$(VjT5=j6KXJaZ#2(ttWpumAN|_K#vWNSUdvz`edsDtoMx zyLF%9Bxh~0Q>-bY?g{}+A99mU))(3@JvV&f0=q4!)CtFUH|b<+d+mSm#iSF?^cug? zd2sZ^*;IaE@90*1MKk&|_coeNwr?=3^sbY^o8aK|zLWd*ec=W8@jv?9rX&k4T%dFH zuhZ5tZrr4kg98ih@6yTs^)_w%#1ma~!nIKApZl*@ee6Ya;u=(KZkV;~!L|yNPUM<S z><F=R;@m6ypWC97g^{Ea*&`~wYU@1%>8$noZrx9G_#nmr+{~x*{mBlpqLZ~CNNm^+ zzQmo3=!AG}p*B+6GkS_ntb8j2hm-63G2>X+&sp~hC;!1m*3XtP>E7qI;wu^~0nf&y z1X<6$s=}EpL}cFB#}Q~x?^~Zcj85zv{A+cxe!)Rm!)=jE`7t~hS$_nZh7u0Gw6Ok# z7oK~KPOJ1^HJzM1**bB0tLE0$Kew(+BuCtd+Vm4ANAH}Oe&U3+ZC@wr7-3Rs`lo~K ze{Rd%^__IW+(qAFMDEsIIENdY6h|k*=sqxDW1Lhv85rHWz}&^SDRlzXrg37q`p$kX zwltl*s5AW=P<vz{&0WuJ#a9&14RCK`(#d-(T%{A{E~>c!?Y;Z<%pI=gF15l|IB~(j zX-pWm=us4Q=6{n;+Aodl`N9jMnu}i-)_+yQ;Ihjm87Iy?z5YIQ;xLbRu>F#IZt~6< z3fA1eF?UHP#QErdU3Uud;A~btq*u&2+5Wj5rCsB!cfw=WXf}ZpW7qe_ztos(JjJ&e zHruXXZ}x-YU**3Zo58I4rYl6}i(IyX%|w^@mgP|n&ko5?IZLufO!kZupi27Ec2M-# zSI+V<qb)kwOClfJ?gU95{mlBt<vuSq-lU4RY#n7^XnC+doL8>0j$@?^U`!V9W`nuo z-K9NZna}JR)h7Kobc*-$mHfGnkv)IVy^Q?evGy8&)*b6LOS?t+*T{YmMmM^hH;A9) zXODgb_bJ|Dc8Yel;Q6vUyzY&<e-RtNqCT1T?F<!1IifG>J9c2NcpdjNj`N<LOI1(Z zO}O)B^7od0_{|0Oi_o3(@VMxjqU-auawcU9xU0o6zgxJkQ9d?*xA58)Yyi{!i_OZO z5kA}MZ_YRH`k}^2p9U&tTm3ZR#ozXM^_Hd+Z@ZU~`xujL<o{uIhIT)r)rHPN8yc8j z*+Z&qVat4dyGF$?mi=OB&j^X-6CcS`^20x3wwTT7!Gte;?qPgiG2n9UVJzvNKS$-< z-23Cp-pj8~H@|CzyV(Bp{HI6X&ZPUwz;gq-Z`Ev}G>r5<25f@{SZi#`N^_I!;;K0c zdl}L70gF3+l02+@hO5@uK7qz1i}l&8OQU<+6?&9AXLXHn&B|vFP{KZLZY3z|9gN-Z zYBl7;l4;*5b68)V=5}s+JsX=XqZ94I1&zb-W}Bfpa0js6xxH^65tBtUuLm#kHUrGf z2dpY1wO1C_8`uqHzrP0tC%&ad*a`=yUw{9xmCCHJ_Hg&1?X<L{Z_J)sSw8*T+cCU< z<)!DImTjE1r^ze(vGv_gOD9QwYvV0CA#DR>amU<gI)SvZMc*JO+krcd*&XaXSS9Fg zX4-88ov8c`op8f3wO}>Ix=_Y$=!7+UeSP04YyB3TtZ&f?Yj)BJOiU+cpZ7iJ#2w_e z#`ULlA2bEO!hQvvyy*qS9$DYG$FZtId;0bF=~a5=W37lz(oU<wC4Z|<21ehG;%j^( znbSBCiRr-l`|bhO|IPh=vrc$h$tJ*hOydOl5=@6x#Qdb&vngbBdSje$ue`PjH7nn~ z!x%B08J%EyroOHFM9XpF_MKAyO*(<|*;JxGPTU2JNq7&iheU9*EfsIckz}!wUh6FE z!O9qOVv5#l7hYU&4f;wNCvNVwd*y?BPw6MGWoekC6OEHJN;XEyDLQ%X?JuH}mqwqK zUGK&?(O0KEyPy8Mbh2ffEbP&KO!QXa?>J6Q*f^O!Sc$EB<!Lv@iP;rzjFUaw&hDOm zS~}sq4M`_b&%RUUiA_4;og`ay!ai!NPV9Xm--}KTN+(atR+86zXkU+9j1%O<K-)TQ zCBOXk-c#n&&54`B8{?#!wJLwBPU=yairnr^bOkF_TK4RyI`Q`yPaazRz32v?-^@>0 zPvv(C-+sA`0P$<f{p@CbqUiI>1Juav-n;8PmYGGk^iy5DQ&fjNt1{n19+hzguKcRb zqT{HStI{p`yErQOdxc8AMyQizqufdk$*1zmjyXH(7RCB{mwfQS;^%pJ?)twU-9XsP zkNwDN_?^PHU+x87#kuRZrVq?*<|l$J@&(B~`0#n&E9rVRnC4qu2%gHb;$4Ue`l`;N z<G)|F5-aFWwiTlCLlyY$ekI=p-ZJ&et>lng{Da38<R~HcDU{0tW(}*fEs#f-2VoMv z{4GU@O_YlkFL#R;))`KKOhKVy&32M{k{|gAV38zsA5zTq=BIFS*9;SI^{HTv+=AvC z|8vY&onLda-gydf-lwGC>Z`~YRWv^a#XMD+&gv-_w85IW-egFaZt|>!VioTDsn%sQ zBwwBd%^|WC7W?fRlv^^Iq>IQMa&U%7c!o<sRG-8$eQ!&VAgrZ@ib|P-u!C|8Lg~v3 zE@XupAe8uqfhh|sO=SeWoFxV`{8*!qm2A%uXMEvP>Evy~$z@RR5ng{AP;*%*W}N83 z=kBy$OKFTs@w?oSiv)g^P#*`2O-K`jCkEe|l^YZRNH$QOMSkfEDcbb-c?zH6<~kC& z6;x#d1P4k%3?sh!^b69#OJb?!N}y2T`17s^LMW{#QTveEQ&FWUmhvaJPqrywDM?V= zwKBydQMjr?<ufR9(@r83IxC6h2+um_MFHM&*zaOi5q{_Z)#S_9n0=pbD(1o)|6!6c z6d}pz$*)j1u!#`PQiKV7T$B^!0KweK*&M4U!Yb+S^dqVIcs^S}RnW)eKq_QR5|v~~ z1;!){36;iC%9AiLd41Q?jH^PyO7)7b^hr`6OWq_NPY>*uLA7+@IQPld^psoe>!Fx* zZ*u=qzjG4ew{HBPo?_s^dBfS9#{2TC(}CpzDJrqE{^t0K{r?a-RUBjHNdPoULS6Eg zY)L+)ZOmCY&t+1%loNGUWhQ1Wi0JPLF?EUWmJ4-INFO2s@`G<w59J4CpAyrEV9ZC9 z9zAKC#2_{UUEwq5A}rJ}JP700Olp}o7da-Gxv5p&MM)2zQ-V+JuE$F}om#5roHK<} zQ@Nwci)5zygsy;IzRnSygHOr4%^m`@$cC?@#vk&K=;N5QT&D7;b#{|XoIC|a-*%18 zZ6Y5OKF(2^3%Ui4*C7XxZDYTt0nD%R#$9Q1lm|pgq7;FCT$eOKkrF(<KHnMQMv{V? zKWSi73AL>1Mzcr$Jd%p8J{dSiN~}&*Gkk>*-kwyZ`2mBs)ccUpq%7~F2;>wkIhtM6 z7`^p5pYxYle%0#T@T!kSsLr9AJ;~3~S0ag(5r)C~lE>-Lu|6Z>Rrss;T{p*%;EOa^ zAcuseW!Uf43M(gb!WGex&PfPTy~JDz>QT3$zB{ML3@*Ch^LE~}XRkhYfLu~25}=m& zj}uzula7EzLgF*Y^r1H&*W7R@$-x>Aqi||gFa}VhxTgIQBzgksQihmVVVJqqhF3el zZIzxXCYif&fKwZsT!?uy(7UNGCl+EP&7hWLejFKNC{^Nx)_N_l8sZP-!DQk}p;e+j zD?;?nO>kCdHuFREVsKYna<sg6Lp2Cofz5BG)7u4%0+MtoBX^Oc<l<$-MwdJ&ml7g4 z=Vqu(G2ya#H|J^ByfZZ;f?Rzg^8^cyZ|7&NQXJ=2=5S~RPn}f@+?gy;?-^^<rO}uL z@3Md_ur^S$LD7fkvqk4_pvIA1oA|iQ>!b#O79To@h2?r1fgTEw0J8)x$R>+4h9uPA z4#Mf3&s<7H8Xakn<7x8*gZm&ZVn>aboGFIJ*x7Jbnm9pe6|gT^OkWOpDRmGw^QCEO zDmpM8LQ&8rRb>u{N~KQ(N$I0Hl4d6Q;)ITFgN&jm$C%f7Eaan4pomy^X9Z#_HOOeX z2zjW-L8O(XgGA+%PVyms4K0Cb7ID1I5B-^HpxHolZtzzN9Z^ROv;q()Jmf)riIRdX zH9_KVVkI(GTrCoA=9HsS^oO4p0nc}x$IrVt5to!`U#5*pLplNa_2OND3F4@*nuiDX z+J1QC@y?@D5C2|s5_eG%nsHGURJ3?I^Hj|T?-$$f%J^&o>r<QJRZxNhv4~}sjeJ@M z74vc|fSer~IH4sX6q|s!(HTk+nrRUqB6T8kMr4T4PM1r)RLn9^$utWR1%kQ8Nu|~n z73X~025-}Vum&&^GXqw*4M0!Av%0BlWx`XL2C?+<P<9y;oe|>&0?Ud6A$@{;fKDce zR;8%S#@0d<YOA6M*becF3szeN6V7?UNDSZQLnx|gV^Ae!v!Swc39R+pEy*z$P*7V? zOC&rGsa{#++$x_WFCkwRzoZHa>h+f9l}LXUZ-H&=6`6<S{3u7qlhrHgpyZT~Ny-(` z*n%TFOq5cPNAOv6asu=~02es8#_;g2rlcqbK9M5ojmY@pbgglyPpDKEl*;KdY`!8P zR07a_`O`nZh)b~9ufl0sGqPCXO8PN0&p&$|k1)VAwsDK@!r-$BdJe1geU8(2IkTe+ zU4B`CQUfPuA_k(ICL<^)V7<k>W^U0!c=iPa(K$X0yr?gHWVcGx-&Od5v9<iHL05Q^ zH9Jj=C+5*x>l(2``;mMlMC8#<d8us@6y#jesmI7NBog*pv$^}FM9nzq5sG}80oyKW zLQHv7PIEs2jua6DmgI<g5u<|4L(cW0OR*?Sg4nO>V2PQ=ham|zQ<Yfn3YyDN7a-7j zYL1K*DJa%J6fj!9Oe(h)CdnWJbDAg4yECGTqmbpU<D74<GO+TD(|I6R-pSNFt;pWZ zz_^;JA&QF?RiDI)C~7|zk%j`iq*Bq&$5lFBB;q*h2{K=iVFb}JdU^Epvu0d@5boSd z=qA~$@~d&KL6dhEqtiI4BLUn39N<xJ#(*|xdC;?n=CFR94ebv6z!du5!MREXB5A|U zhoak42P`n5UDGMXz_(z=$-rc=agsgidcnpVnqZxE6DGZ$HZXDo@Dac;Xld1wzX4r> zOOZVfK32~y>ZA~#h(U;k7E2(DaRw%L-bROM##L;f)%f#^ZvGG(Z(2eat@W+A+Dd&} zI}D(MS~zh!Ia$Qv$ZS+lN};lXC5uE&X&+pYNwP*kdO*uXm5R?QNYrM9K-yG_of$xq zIuts1k{h7O(l(ZG$T*=%sVYgnxm3|;S#O1qR6aEw-zgG>r)E|!<2h|E5)>|j67W-Q zc*1Ib+E0<lZrc|yvl1xXYG5q27Ln#$tdN$!bOuSu>N%wep}Qp;Edn!&m0(3%IJk{) zmHIk<vrT2&B#djrR!ZX9jCO&!@PTFys$e_GDdeA^2SsT>VrvL_M<0*)p7}mNNgEHS z>G;IZ4Gx!f^st<*cOefhZPc{cUD4pKFHgAR(Jy7Qzq-Vc?5NQ(#3;GLD$;2b6zjrZ z^VTrqI`A5&>vWoQrO7PRFe2nd$6@gCafU$_I;mBuSH~AWIOYZ@6xr#tyh4OVl&xeQ zv&dr>D)--pee`Qt$dPGaHZC$AW^L=6BY%iDEfsFQaZP<TZ)U>-v6wIF1NA9x0-dA6 zSsR+XhGoFubw`*ISSnM^*vP{>HKR(gRi=zbr??C#Xal818iW`Q|1ilXUDrm6MeFs4 zniE&~`H8ts$U>Yg&{(|1)9xLtL<erVe^;^7{}t9N%4?k$nOq2JBLW*BG)gAFk)bw- z&{o<r@}7lICPJbAm_~vN{AokTSD`>wvdLNDliE4$Z(+x?47vk86WP+lu6nL1DL84) zy0)^ZRQ|?WZpsHC+!Sk}?;En1bf>qK04Wf}m&XeT2dbGb9?*W0Ff2v9Dnd^ng6`nW z6wK`bgn{-pHkDL}?~jkb+yyvIeN9ZPgIF$?Jj`{xCkdD;%`_>JAhZjUIFdS;f~*A- zLaD}zT!D_@T@an^mOv#)7`UlvH2FX4LGdqCo4sT^ZYJbgSV#;$pnYbYi-AHoF6pF{ zdetmq2CS*vyoDnOYx70nEeL<6t8>4?vZweoF6TcuJw8Xss$ji4C=6RH2!u8W=C&xi z811@Q?wrfPppmTKA&lbt;%JepfMUG6h8m5=u@AE13=e4f0*aV<S3!&xGL=<0C!E?= zohslZ;7gY&PF2x#2-&8cW1@+r25Ha$Ch{kYF|*LLoAx9M4P+5#X<{cfnIJPF!zQ8% zE@9ZlNs3G@mE(kR6P?@jkG+U}Gq?GbiGku`q0SH|%nl@tc75Lk=(3qxBROW6{ZQl* z$e@%?`U+6873TW|s;`0S*GW5m8z<vYb*|H6Yz_8C<UyJc3K-yP7tx2{Q&7#HQ}s<z zD7z%4N`Pmn6x5ktv1hk1!Z2IyjHOUU8fX{CVuE;aD(;Mt$16dblp-l5AS6K#gGuiq zo}8A8Woym5V$!fmp@SV#Lu<#Cr448=UY;qTRzo!MWVw2far97n*k#k&NM)@EUN2nW zo33&|q`C8d7Q_zi&~X!yS%XJ|+-DwJRyUKbtR027TA-;gm|(|>6*Zs|0<|WeLy69w ztd?|vl#(cs$%S9g7a}WxW6H8js)#C|d;*KM$l-}l#O7qa<s>NO3!C{NYT6VUNrOU? z27}^(X-+&j>|k+oH?tNAWd#=wiLR|of=~%yMJ3$#-JKW5RA(NshCcstD5v=N_&73X zHv`B<V7yPaB>)}qarL;(bgz-$===shf?L5`G`R$M@dRfotxaFUOO$1~efdg$UTH~< zr~iVtupHYpVABTA6DMLHy(wVhBu@w{d$md|kyZ5j?3aDanlIH^)~j1VG)5N;AN+x8 z?Ut`TQvgacDt0n-{V-FXoJ({?2<QhoeHYLlWTK?|4TJZS^VaX!eUWvXIo_Bhj=nh! zWquCCK8VRe+t20yrL8t)-ijm|FY#mXuQzvw`q-kC%lPq1WSv)`f-|<WKuM`EeVll{ zn$O2T!0*V<FW7g@@~|k)Ud(SsNg5>uhm)>M$n_;Qx0w#q_Zw7Oiqv*gUz4dqZgY~) z8|))#Ct57E59v*wExT})#M2y;Ajq=@g)pJiLJ$&}Yu4pSL0aR56HUQxm!HXOBWL@F zcKQygCRk*!uKAvbM9LR`uzZ_9vV69k&*!dwVs(tWp}jf|B*WZusG!M*+vEEouM{v$ z-Mf{wf*%SjmN@<k?X{cIbFRWdI}BRt*q^!U=8X_`+RS+|;gg5_7)}>I)Eg(*cLjC0 zpv~Fv|C9yAvlrG2n7BKV2Fb5+?sVp)YeI3ILrYXvV%AWHRMI^36Nj#1W)1KBr}RUa zskD#{`Dz*_>ei7qg!0fK=;nUns&~Gh^b;qBt~xY#lJ8>gPGm)~0>4pMite2Dp_|_M zQ${yUaIv`T`I~xT#)oZsWUv0VFgtr$K0EshAAJ8shb~_Ko8SED*;j9_=d-j+afg(m z?=dFdp+hGx{m`Lv-g&dhck!i%zVyxFlArjgRIcjMxY<uX#5Lp7Z|lxJzL?Lx>u)~z z)Bokr#U~ct`D*^*_n+<<C-CE+vLB*tnm(V+U#9FQE_&Ywf8yeU>s@3Cr{`goashR> z?=MU<j{UTso}Hb&E}wP(=SBbIqC-EhzCQI&W`Fua)R}S%%Dv-sbvxDhz<~pdlLO(B z57CBN7Z2d8&y)a3NykO^?_Rj-9ct@^g<|-?{8fArm=l@Wdc|}CzwrZCUF;8h`yKBO zuD7r-y?EfJw!7>Z(}~eCPH4Yub|C){;@ooWPhWdr=lUz}e1HDxEyFI)X}vqtgp^9T zqs{RH2kyA!vI9T$j$5qUb8a4g`HzcB&wPJbEZ<Mgc&15PH(?s`$3NuU?8{&K#<2s< zg%iK|>g<PqszxEFqY+h`zQ8m$Jv|#9|8ky($FKdsJqLC^xZcfYAICIZZBwtG4(0b( zBTNnY17<_GnPP6f_AjqJu>1cf?tS3vI;wl$+52qCTgQrZB#s>?v7M1366Ft6pg=>R z(MTvpq-j4V#0r7eB9Tk`fO|jpg*L^sv}AO0?8tIRWUvFJG&%T%CNV9huc5CG_XZKt zT*`Cfq&E$v<zMe>X<yqLOcR$vOV0cLX7<(}C!yip`#x_;duG;}HEY()nwdR&pP4<K z&e`vt-0>^DNoWhIL&_52^PkslFI^?XaHN)8U<Bg@pZQW57FFa`xR2n<RllzYi}L>! z#F6S*(odgUtTNnJXe0l=0IPBTe}-5`{O~uw`OUw1_~HNjrAJOY!#>T20b~BD^UZI5 ziO?gDJaXbc$0f)A<N5yPZ^-xIFFkzX$<=(n_05N$fOz7>50|fgm<?rlp)y|p-~VX4 zyiom$d>_l_2_@bCNA82)pD#M$qXqu${FZ5+#p;ihI9vfD9?wr9{$*iZZ_@qkcy3Ad zp@?TT%7@RBEXiIJ<wFnoWHtzslY~&M>Oy$soRk$j=xNG$Q=JfBjj6=Xw0A0Ll70Gx z`rSdOIaKl&c?=YOf7RuZ_gM7JuNC-#>V)XEQinYSe~BMj!LM}i6+h{49!f!<&#SKw z^y+JSqCY9U&A#G%lwUufaxnclpdSf+^NZlQG3h@a$gKz0`6fW+3kUL_BmU+u$N0(@ z0vRX9m*<}}A30#&UhwZ+FsAuozBlNRcWaUEc=XK|jj`~hx32o2KoM{5u>mdQC(CPa z^fSK1OP}|6UuuOUmE$ke_d$ommHf!^=v1DAGI!6&P9)y^WzH>;<hkgluPX2r9i>CV z=sXj#rME`k6CViXH$?`D_)E?YbrjA&@$mQFGt!p-Q}@_uxY9S{tOFPHjHLFu7!ckh zsSfqWT+TQyCYDyO2irVih?atdSwG%dV9L7|RoJZ~ID`m}D-V9AlhB;7Fo!EkU}gM> zi5?*Jfl}-Fzgz~vM`}y~<qHKakEw8w6T>7ExHXzZN+9FdGQeDCCHNeH@{L=Ja%7>p zRT-qLo(c5lMN5_6vV*z;F^uZ@GBjP!2QJK&aUFjVNVbBespMB6=n`)#KK@geGHr5( z^|btnYYl)q;vD>Lg*+8PC9a9!dMJ1;R4#|N3KJpNkL$MnSWId`bP25fq&Jr>aJ&*y z#m5-QC2VaGsOUu)VaS3Y8qBUx!l*+`cwvTLA*8x^w*FI+v`V%nbMUQ#QV9x{xqz&7 ze5r+)(iO(YvSJk%a35<N1V%IEn8?Xi1`)wiFeJfmLIgx#i4y@p;{ulOU5PxmZ+X|d zCWc8B;w+DXrv4MplU8Ld^N9ZG8mtIhD|&6!`PGQkw4rETZj>7EC@L_;mAH}cY<E>o z8E0e7=sEDY!IpJLpa9xG4aEe4w1}F7$Ru?U)2mgroQdGB;c|gbPBIvRHn^p;raWdf zMgv}qiTX}bz0yJ$5AsD~aSZcB{5w9T<Ut+2kWx=KC09thf)U^s%M!(Rq5Vbnl|*(8 zgMtW7EdDCEl~z~Ke5|-sDkZA;AvRn|8Cx(E-rBq&5t~MRc%>rUjK^Ez5_lUth4=y} zQeD6kuMD_6UJ_gdnikh&{3lC3XF}qght1<yA}lY+@pK7%5~MjP9KjdzZGi`0A`0-+ zyd^V+mBv&Q<=~MN9jv6LDYz%S6f@?`|Eg7Pyeeig6EpN8_tq|v7oj%91kIy`RF<^D zW;R4y1jUz8k((?QTnI)ZDeQQg8zBq{N`ff4mRxy1B;RzQmwc}n-!|QD8LkLRA*802 z^1Cqr%QW21ggL3u0-PHq#IMuDDRqV#gEdPP3*}#|JXJ?X%>)6bix)Vmg27%e2CoJf z)KD}iDmedZ#(`2bV?6W{N~1-*6(W-$Bo>nRBteHPv4w%v8&fA8@}!At&i*yOV6Ko3 z&WAjy@RsCKgel&L!h<GG+VJy{OA-c&1{>8pGHRll2I4YiP{CB>rPq2=-I+nF_zA8= z<RFB%7Xi;xN8<=DUx}G8o)j&|G`6+`_mYuWTi68!YKAwD^Q4+5!^HKgg|U2xL!z0A z_^XKk`f14W6VuP42ir3D_K;sP$=X_ylY8yn5Np$=+*3y;@nS9~R!P>wi6ow{FDPy? zRJ|t;!14l$pY{h7c2tW#uA5%rJSe}3Eu|_tBnNIh87VUfu8|T6Zx<cHgi}nzcvuNi z5axGnM+z{HlB9vE{=VV$16{grO}fk-Mtd_k#Ior$oxGkkQ%qHBuHB%DeDYRFBfUct zLbhQ&E5Ub4F>G}h5h>9a+9P$!yCE~@CYNGX9yOK{i*T0?;Hj;?fpi9y7y}f7!hi)u z$~TR5ru_!>37&Kq*c}n^=p#qCn{;T9rH$^|K6pZU&`_!%$p>XEB3HqOMLpnjGwL0Q za!8(eyz)nDjRBsYZ4oeR=*s!|JPkUSbEJn1oELwP;pF3F@}nBjg%+8S?}+vAbklAG zKJ&Py$A+OS#1TIThleaU=XV{hMZ{nl;_2n4yRF>&2^A$&fRd~5#CY^}1U(mJ3bY9# z!pDOHf%VmCMiZhpiiz^5ko{(Gt5C}o!RjYvN2LQU3*x;CQKYpb3d~d@&|EOr`4Lnf zBvZ>&YTEH5phhMh$0kjYu0}g&+knO{i36N-@(N5rfisu-ENC7uf>J!IwSu{bE=(<I z1P$?GE1;)41f*gCt_;8ixtQCBIbViA<>@4?nngL%NOugLR)#r+0H_c_7PUX82vH+x z)63OTwBxm2z{2-B80vLzpN68_0HYW#kehaJ3DA2W`LkF~6r!MWY!I&T@P-`xMbmC+ znb@|-#)jX%r|3E?I0zJD<)H_yRurc%+NLxC=i8uNT&vJzQB@aeia%5&8d##8^|+~I zNrp;feRvoO5vDs$QORRQfwRLQZj$dwI^;M%rDQflqI>EWCR6IN>4$wV8&ZcT0{;>s zH$vQz5%bhYQj`cz<a-aQ-{d)gND6uHa2;k%{bj7HSF6k_-nQZ9?552`GO%Z+`+T!+ zg!K;#EWXkKQ~(THGgb(ofCd&{3DnS!NScTWXow+<Sr$m(QbrLam}CfJK?8cw<aiEg z>G6(bG2(ar;k-Nd{ip<|=hN1$wlT{F9@CrjyJf05ceS)WXdDbyB_w6I=n<YTst!>$ zvaz`(KWl5*+d{NH<Ine}jcZQwi65y(jRxg<NQDTXsW!zeN$Cy!Q&+e7+1UCpuT#m? zPdx2lU`kBL*2tsA<s=UXtp+i|q96h^Cbf?=<PdPx1K{Ljp~^GKRj!T1qwOwl&XPWX z!zk{oe+TO(xO+huHC^bUF0<VX09eN>3(}_32${0#CnTs<LSm1EWJ&q+qK@$i18|RZ zvIAVTa~wVv2^Zz0m{SLc5IC+L%|CXP#&zDbtzr_D-V@Ll-Z7Ml$&fygbxln|*Qe$Z z*1T?*cIMU^8&!gaBte|TYg+4-*S(%|l$%TvKq24`5^K$26aoh_vUW*Z{Un_>TD7Nf z4{=qRBsJ&ZN!x8qJJ&?)yfH1t8C!+1>LkRIO%qqpoI^|q;yW_35FuP>vVb=|ts4PN zCLW4m(6*W7J)98RB&TjSq(Paky@zkTCIhT<s$MW;4wEfkNc02A!`=^l0EWxv%|+b7 zJ%3G~AhAsj-q`83ou{-sL!bf&T9ApBS7>3U&xbJ8;j~Q>vxkIfnxy=kX<2R4oQlUL zG|d95F-`83T0W`Ff|*j~qaNw=d-?UWJLi-#6!zw@9~Ps)TX19BJ_E5_<Z1^L?a__3 zlFO>OVs4L$6!c2eaxkR)XS9Tx`38B3Ld8U%#Lk<6sdOqw2dy5`6ft2=YBIjxEHn!( z(NiA2_BpSn5V8#ZIwupRZxML>8eP?=KD#1E6s;gjGs=f#lc5d@q*-&>e5~o=zS%UP z)ZXuyXb`W~cr%`kZy;}$KzFGKq*3#M4x)ec3Vf?LE^iQXGdVP2^jN_wfwnmsCP>z# zPAq_b2z!!X2yriGljaajuSpX~U2Cz~%v;c%bd>}*ZOu}noutlWL!=RzXg(Tm=(5JO znFo?~;rirli`~O6tBtxrXJ8b_8p}pgl>!{T)qm#Iax}dLtsHg+u&I)HT0Vv~!<MFK ze9KLkY37?mcp7x-7>P$2mjeg`G@wr#^*sa&4{peSuvcINvhð|Q!Ze>X%b9<9E z#l;Ly6S}U1gM%4pY`BMS`vcgB^p$?^S+M7aT${}<QqbhB1zwqZ$*Y*5Ijqrwm+sut zRu*P7C}xe2IVuxlEo_yEs9c{sgxG1gO-3KsBO`uzy3t?#+ydMa<VZ4}MMf!Z?dou& z&N5;S*h^}Ye$ZqNghw3Md}6Tzz)?f2h(6q3CF?xNyDLjPG_+HjPbe1fa$J{O%E=S6 z{6R05FbB}!GgF~+vq4Zqkyn9o=)Z0u+3s|>oepMpB*DgLmY`C%8*r=akV#$0Sxb&# zWvGNvE$1Q?mpoX1?s8QL=`+qUsSPjZ)C99*?|44hZBuThsks_bX89;Nt5i}$4AZ6o z`Wb_(Dl1De-#swh$ft}Vcjoy5xAI=%i*iZ7y5XnaY||qxGi6P}F3%c`!;WO!gU_Qr zvQ#~zfU9-dAE09$YPD(W&_9#_XPY9n)@-kHwd*XCJ7*JDtr5ybxTmJpq@L5wxnp*R z+y`iSi%Jt<iP56z%i2zSs1(n=DG&0ww|j3g$O1nfeFa&}N=Ia!C#ssTJDR4QFjNH= zs?w30<CTbq0AB!wpA(X-E_&i{$e5}~+t@?vibrWn==X;3c7@4?ZRKVzBU1mA{KZVN z{5F#eRrBj@H#%_8CNb!dF`!G_`B*Rw3-d>qYgnp2Dj8o$p>z;Lvq*;=k9HL)n{Gu1 zq>+4*L{nXKB<eh44#5H`*V{XnQ`Av&hF3$Il<^qlN0^w9q&F_WW;gRd)2T4D6S)BW zSKmC3Fzlmmv6aOT5m-X3Kx|h8yf8`|v{Z;%8p2Cab`rk?Xs}pg+(=jVT;|fbSbVi= zMjY#jGJIiPV~uDoG0qtfCmaA<2ch4SkCI>%wPs0V;0WU!y*=RbcwOdF6_U~0isM!a z&5H@&Yeuh<qDkf;Q++9u8{i5#3~E^&p`00&_4b4ukY^oHD^<XbAk$MZewe#YH}Xel z8;GO2Y|gWA_SVV7YS9&^7um)bJ4&4CC4EYzp>d{T1K64fC7Y||#8f@6o{$r?NTKti zEAKcWftj?d<Sfob41ylNfMC6F76t7n)SnC0B@qFbHTc5B%6<iu5&TNRs~k8i`+`I6 zHgzfVt;6A|SURo)w+<^21|9AY!ZLf<kyA1yB$Ow01O~Gy720m~!yXmq&obBRG#xb9 zHA&@Zlwl7MB_1+ETiFeUs@&pTVu`X7S-<7L0j8GRlT5{y1!6>DFgHa5QAj0m#`ZHR z)iPuATy4@X4JTJHKv9-7rBATG22G5$ub-H3U<2UvUryMuQ71(3pQvRc&(k-M%ry;8 zltndFrnOl@ATwSxG>#xOo&$VIBaKc9Yn1qkABz=Th@O94Qb7+0?UAZqG<M%Ue!iwC z&y&rHv?AcyloH0em535YHh`GPw5br&BnElsc`y73wdE+R?@v?%1k~v2S(leanMvkj z_7+Sq?}JCXiGhI0nGd4_C2sJ6RGv(|=96@E?jqDegFL+x-@?}T5UPq5EY>a>oJtRT zB1{;-tDIw>(f~XL42L=eE*u{MjI;!Ofe|R>hS=cHxn#5FfflcQGBbbxzn())6tKbd z!IhYnF~dzW;CX9f>)iU-@5DTSa;}GxQ2MIOUMbO<XWTY^g^tRO+Dc3d$<CU47qG`` zlf=)5fd{_6uho*paC;$p0Ykc_dTc<#wAWAoBb;39P@oH^?U0T~a46IZBdiZbjSMOG z3)N~(zYSTjObzvTOywpj%_hAPZZb=G!E8R~Keh)1n%)R|DBRXq{lJqq=ckCS#!`Y0 z93_SiK~4!y(;@z{X1F^=@@lkcxs-Drx{#urnyWFg3Dz9(xDT4WEM|i+ly`+=Rj8b< z+Lp=78Z;Ww*}w{k|ByQbzz4T<`c0h?m?9<S(M)k6Ka6V3a)U}SEq#~)Nn5kp85^nO zimA8eGbnwnkGwj6TQzk%^NT*f2XoR5^>nKlp(awjlr~utr#8~fv0e4ojPm&8@_Kie ziY@h;yET(jW?Xd84~$M>ncm9dTP<g*-ORHGyPRvoZ&el7%*VK=bT9CrnYy%<-0*1E zl5BF|(EQt$c8v~fUD~WYeXJk{vTV?sTd&%BW&W~#jg0>1!phE;+JB8H#)9cK$%ww* zK>_(V%(7t*G}?M4#>^NOa|!{Ly&^b6rVyTtn6|gh$M}*9!N@`m^-NRCMl7jhTT6ep z;<|F=opUBTf8tJ-|A0!Dmbl^L0(@{+oLt?N=;6iGYC8&|0}L-#vkE)5Tgs4szjt!c zxBu)L^S{;nn8^<~)<M3T`Bkk}Pukh$OIj%vwRqXylySXx;nt;Q#{K$MKKGl|vXOQ> zO*dU>?ruuZBx*Mo$wPybR7|p;-NZz=qO$<2dwbnzQrmX+N7;aCuDtWM;j8LB|9tKH z&4crUQ~t!OZaY45k-6|}b7!0WYIgKjKXKK6n0trWQQ=!NjH(}5e7RE#yLrQsb76in zS`W1{$Be#B3tJk}Q)%i)o7hIhPV+~NT97A|`FERJRgQ}3-oWroT(S(6CfdY=GI+A* z9nGh|WM>|mhscvNu@U+|vWcHvYyB7byYrrhM}E_P>i*`w^!$7GRIB&TGf)2Wm%Q(p zCtmZu$L_r7Q;WUzeLeIO8XZj$DFI49Vw}gaqZj>>;r-Q<XD%!!U7Q1hCHpU3tp3#- z7amLY9DHJHHe-{|Jn&DS`Rd<)`7fV3y7=jb_WkJu_mkSMkALK!c5Hd;KW!R+=3w{c z4{o^gfd{%rUi;EB_TPEv1Dgi2+iu-*(YBXvdFjX}?!Ww%n||uDzrL-0ZPn~NKR<Ze zM}DumaZB&KU!*4IyT5bWotIvF@l<2`1z)@KC2zUxtY6=8=bg#o9sM_Tp1J&|zCZij z@BH?@hd=a*KV1ISs~>sf4=#SscgH_)=L36hKXB--U;K;C_iuX7cQ@}i_ce(>giUUH z<+U$4kbLyS_}8v{*H_<kV^996T^IH@%)xJR_E~%D{J{?#@q2srTy$`BF~7r`jhkD4 zl0R-fQrhIo0|%>SgRgY$W$eNzf2q3ne#7Q8cEUq{SAF`S3t#7Dlf>LitB6qB!7K!` zTzqg>IPYZRrR}QSa?yo{HZELxW`6U5cmDV<zxyXHfA{O}I{W1`!aSEvnug6;(H6;y zU&uSFzHJlZcXDcf^XZv|<wmyPeMTcbwOD=pnuW*QZFjupBZkL~<6rx!J8wVw&wu-& zqkBJn$9;#E8N1%|!1$YQJbTC0-`YO@!2RcM{>+(o{n(w=k(p}m;+-E{%<A2@+<yK? zw_LKN_u_l*f8fV{>U}%@VgHs_Vw2wdH*Q<JJL{@fWE1XL-G9C5&R0%txT10U|May7 zE}y*ocRsZ3&Iit1IJ5R2JGWi>AI^N$KY!=wrYCN>?@u4=-1N1teRBMU@7}d^XS;Rt zA#C!Q&aXW4-GAA<qwn(Wg%6qA?)=CDQ$ONsw}1WI@BPfb{BdKdCm-E4($9eLSNTZy zy_bHje#a5hy)U`wqn9o=dCc3mH21}k$J@VNRD}uO(v8SDC2gI*^3B-i`8Mx0I#p!M zwD=o4($WWQ!#8}rU1H5B<KoQE9gmxco+o{g*;x4e_PU(cAzef9JQThdJf^Kng*5Wo z@TW(Q>f5ki?Q6Gn1_i+m3RS9v&-)f{(z?b7_wzWx2f1gsHU7>^U%Gor!jE+L%B)#E z6QGZe2!~Ad?f+}CPxel~;uXTvN0Rf>e?(@dcNSE~J<M=y=_im7*!`j7ooe;t_f<}e zj*IY2ufA){!!ASQNyGPe3mE#f#>aOr>|PlCyv{`d_(ZRiH4AxjeEHQ>UW8Sf#B&r% z`ilQNHX);s*GX)`XUzL#l(8d&suC*27^k1g7(F{L+$OPrD;cj3bnTwec_@73mojvQ zcCXr`dUY2EJj`H|Q>Qxmnz}i9^k|o<e2-<i(I%Y}l}f*v*<9G<*!Vc#<VNZ0EOo!u zCY{}}{yMd<n3+|Zyy)v@Y{PegO&VwwY;xpSunD!!0DtWm-*cXsDQ&WA)h5TW$%(Qg z$547~s-JsFs3OB>!m-JCXJIODj`gNgUIcxEya@eJ{iLrr!=-Z#-!JC_$!5?D^5y73 zvI`kziRtNdYRNO#hJJD_c|=8ud{6iKIv4!F_@leSc_@6josTc~$tI!wk*5FYqesW+ zJ747k>U3GOx!?jQm4E!ssaK3CzU!+eo_eZ(tn=BivEFgcZsGKd*S$_kS7$@Ke(VU) z*V!F(_{Cp*(bqdOn?F1L-dFsgA}S}2cPdXErDXpaY(m<xCp%Ys>!zEI1e-i_?eQl+ zdGyWKs=)+7z46SLT5UTvIkI9C^%LGW^v8bEF-O$ju*sACpPVq2Rhy{21Ucr&4`q|0 zo0z_9_>B12SO=5nYu>HWCh^07E=!_Klt<JzX7((%&s<RG@vNvoI64&d<NN-MK#Wx@ zHc9?oHZj;lZIx_@<K&!5y5ZC-LO<y0r_c0{eeW}!nd6+3)A^m=@!jED;`&tix_-hu zSa8J^W1N%|Z1M`>l@ovbt;$nfi2m1EP#rTfHZ^m_x6DnkYu|Ke?8!y)E^Tt87Y+cM zFm@e@eGi-tV)}>1reZ~uHtCEVANu4(Wps7yT0KW(>w6?;qE%n0PUR2lyb<B?9FpqJ zsd(NAccci!U&jlN$#~vL^<m=E%tn6h={v)jB-TvoToh7dyeka8_#~n96&Bb=euMm# zGfTo*B!esX+ZtPczKFlh@|7I$X&cU2+5F2BrnZ&Ghn@2E5+?el^m#59J>ud+KecyL z@UwELz5qJF`6q7(^aaJ|JB8b9a1x!agPxJ+r1C7zN#SLWaI?iSBjM~9qdKu!{-F3d ze`NrFdayn?P=0G=lE)BcMnl(|`*j}5`ID{tbq^VugetlT-(2GS63!9fXK(6h{xa#K zvqUcGO?TFcvp{sd$+llP|Bm;Vh0A#9NqHi0u8GPS&PCaF|CTLu;#`M+h<_v-+F5g) zaI5$Qo^W{6@%EU0N_>(~`U(p;2W3=#arQ`B=3nCPTA05~=cJTpki4t4morJSI}rDh zcNxF6!UFrkudns>9Pri{U(&aL^V4sS5nqv~*0iVZGNDZ7UC!6GW<x<9MS||P@~eKm z%v0y7P#04=%jFX4gdalm)?i6|n!QR#$*Y-e-YfM`4e?x+nsNH2FMW6G(KjP^N9~5a z<l6(^^4X$GmjD|7^;bfHSD2s#*v=0y<=G}BPw*>ZD9>bCNzd=DoGHL4ro&6Z=I)i@ zdR^+%5xA&U`blqNl+pCK#$Dl~9PDHo=vRrj&T6Q=i2Fxhb!cp6>UBIM9n(yTJh}Ie zk1I`Q?C8<p_Z=CMZ_LY>`Wv%+@&sf1!ZE2W;Oq}{Wl4W=j>hbGABUxoyw6;tlkjH7 z@XTmqe2AG4Bj)V5evC3Y`Rg1`u$obp0XVX(>otw9j5g4nGIy#oW247AZK`c7;pB>= z428?4lOy@K&OxCx=*r#ii0Zv#PM#E|akPNK24HbKKg}k`xA)I7(Rhxr{<&?kyQ(v4 zDA>$v!W@`MW08^WXU`A)CZ2FN#aRjD*WpAIB^(>?;vkmBIp+`?1ZiGXdr@O{%v3pZ z<wouc+c%hFy@gG59vMeV6gHuD!hNG}>}4-g&L=t*?5=Z6qD~xI7~0Q@O-5(p#Evt* zunFe5hI_&2?D&(AWs}ik)hT8doW6C=ln(6*_vlXNq>hmrYn(UU&^ZrdW8WW<O-?jP z+?O0TmEWSuu?f|`)+T6r1X^Jed?685Y@+)kP}*dyE8v~&$7X3gtNbw)JwZ01=ESd5 z1?-;H88v;KXRgI2$;`Dg++AbTzhRS^Xp`NXDbneyoZxgjDy}m&&iuABE1L)>N6@c) z9h-D!caQbSCTCpSIktU#rqjg~SZ676-klzpfK{7(|NFvEbU4GKFW4lM?Zj8rPdFE; z=qHWQ8P2CWae^ahWD|0_hH{P1_CDF^o8q*Z#^|xxsofWFnq%jjCp(oBOg)h^wfi;W zrnf!T_{#XK&O?z+I(?(Ze{zJ0VBfcoo2S~!TvZfo5`y%T(`^!%qO)p~b$F$)$=LQ| zRcx{*K@2{hO@?N59?IBEa_!34RoLWNBGwW5ISWRF-MyT1f=w73f(=GbF?P*Tg2E;m zyT0;uja{=tV|^OCHdi{oGd@1UQ_1_^AJ4ZeZPHm8yT1R&lC808tS^jRvAqSGtd3m` z#;&Q|^re}TvdKxtu9MiL(aYFH{}^j<SR}_7ahl_ibB-KCM~+F6O|WwB(bqK28=ch| zEgHKFHkoAvn;HAI>3XUiYT$I6oIZ9*!vKf!n;mbJ>)*~jcw&lui}?E;_?DLit~HMK zC-UP^*au0v)%}lwULRFFa@dc^tvoRwjB<HT=>z?Pg{We`V)p43e)Lc}E`T4OJRbWh zBQANuxuZwF1}4Ctt?jHj;_9_G4&;2$rh8O=l9=C!^zKhb`s)70Fi~Ouqmm)B*l(Dn zS5AiM$DuU(kEO2-_+tfrs0vQmgTI=tW~z;#Wo`1!SLwa>o}F79e)ghn!~+Mall+jT z{GvZpMShxOe>v%ppJca0-+b;sU@!MG-mLwGp4;pnvA+>NSw6sTY)l=#7}(Z0#4oVF z5xyz>=3lJY|0w=jLp-t$geh9OSR~zdAk6*KMe+#+jrmu~eU3iL^`u)HpK4!a;4kEe z&l4730bN(OjP)S5w(_}!+%GQRd;H@q?aeede<9-gkF)Rrw$*))_l!^$biT(z=H~x# zJ4bGOW>3BeK6$U=_t?3h%c>6>a8EmUrpvyKz{Wp9AN>4_9v86i<Ar^U^4T{zXPBS$ z?iq35^IzCwAI6Vh@pKiluyQUINW2zNObjcu7D`UhY!P7{fo}x25Ex>t7*Z}u6A0dT zLx<;z;DZ;+N=*C{<^tXDb7_oQAq09WFgOth!W^EI;uUz~TnH3SwiZ!1BwH(w9D<&w zGm5nUD}TAT6b78~3P31=FDtVozyZybXbcoTw3S5pF`}rWwGj$eB7uSNg~55!B4vrk zq?5#nB9&;VOD9**B2ah^B^+U0(H*rRxUNirC(@s%&P-~yzdFX1=?gKz6HQli6nGAB zr5e$ndlq+4!#TSqt-@+)fD0}TD;Hc+BKT=J5|=cWmCUXrML%S)j+DW>w2rchxYgjA zP_`yq2BS7E4R~~8l;a7QYpu5GHDXZ@(49dSur`%i7Z69P5cG0cup5vyPXD{tApb_t z|A2V+Tyefs>N#Vdl|t_*)>MvD>Q#k{Vp3e5+E*cjeOU>s^#87qZfxBA*2x%z#x+<F zS0gVpZiR7GGk&2&V;f@RmLY~+un<eUcDiI{IK-D}y}418rI<q8f~DxKxJ7libyZfx zLM))i65uMh32FgO6z))vTXle?z@Zo$3D4;&9*)cgUrwoAse2h=%Ru2%p;Eyb{Q&9< zqQz(R)0#X-ptMCytN~<vNzT3W9#L+*O)1k`Vt~{I-AheMKc<{84wmv#N=G4_nZlit zyZ{cl%14BPW`#xwO|btT!b<YE;z2x)3oG(xM$u5KXo9|BofzSO;6-`rdFsa*Dg=sZ zW5H6ElyysCDT*suiLbKe0EQqVn$8K0FdFhMvA|=Cv@1jcAsdSf%g_071aXR|<e_dx zC(T4`7%nxgA7X7zQFU9~Sc+^y#V7(Hs%ppdR%od8TEk7e%wk=BA5zT%-h|1J9p#7p zz?5aGq*#oYGBPG&btK5}lPJ}jR>BLFK!@nU2u4yTySsfv<YwAai#5{pe%R<6#%ftq zA)(CqC0<Cga;6qKFW)2%N=Z(}T7{66Q?^AlATzO1C+b99QL1s>o%BlVuFPc08EIK^ zHTr;y!E=9b(jAF7!;tHlUTR68t|W01lVlzeWzWnE3F=;3DyU-OSf!;Paf!#%1-}+K zP}ANE6V<bZxYq5>9LcZGyheVluSkutfx{&DYUR>x(sUuinIR=}1}f!F6HiTd`3dAY zLNznlO&Z>{A%!SotWRMLCei~3V?xs9a%cRUL4a39WYW``0Ku+4hBt>)maJ;FDN?_M z`|Y{+wWTRzd~UC%cq86v{A%-c^0OyWQ(ZER)LodRo&?io4%v>7fb~N19NRxxiIKBv z%JFVD|M0zygH1M&OdCI$T<v`;wFAa2Q3eXm>s4nClbN)o0?-8qITHzLz`-A!c4UsC zw!ISv7ou^TpL9#k%wc7CX)n;GP->!1a}X$Hrc#Dh97ry>!(m9aQC4XU_z&l1i;Q5a zQpT~wV-9}wOua>9>V%ki)8!`J%3y(J9G?+emnnetHI|?YC@KIpxHt$>c@;a7SmC)% zg|mMrM0kQOQp|?`)>PvL+O$`j`_%IZ)*8#Vsj;pmiEC+F@kyH+FsVzI)XM|-;I>zD z1`qFwQ%fia4IFaRe+H>3LFUzHY{4+0^<!ugnjaC}tUgr_jm@3fWdYyTv&|qR5>YEb zh}3gud*g<kBiC^6Fl&J}8o^1p#E1MSYoUD+9b+}ZAlE_+;?=InuSOb$R%tb>h>)nu zhhTIxP~^)vLAoUn6Dd?wwP*q2;zWuP5|J+2R?U+cI7`^yYZ9ByZAL=H6Nz5hLO@_S zCCMbd^i{-$w#79*_Dnp5?Fcss0c*tR#u`yj0d^V@;0J72H>I#~a>C}Ua<D9lAf?+9 z%#tY=%g~=L8=Xp1f?nUNn#HOLrj`Z?U?qO2#!En~$I%6NF7@k{8Tdjddyz5}T6F<# zaUGYygHuT)v3`VGRW(!`5xG%sC*Uj&Y0w~~U$#z!X91do8i5m5$2~$U10kg=loVwZ zhI1D;M_~^`^8T;MGGs&gAui8Cz?8u>uHfeG5lBAFqNgjiS6Z0?N1tMbQdB7gH{Ms< zs5`_)?}l$82-2vjb!WQCTE3A_IYm96&okng+QKrcJRvpBK3GjgzK~&2N!VQ}rcGIv zR>C@N%k9a+!yD|&Mo^>Mq&DBXVAAtEi9>cO4Up6##)#GkEzdcTnaL!h_;=R~Wl*gm z&4_Y!>_ZErmjuS(Q9iG^lm?q8HmJ*u0Dfn>!n7jV1m5*ymtJc`vB9H-3b%4hVtcH7 z;Gl9RuO!-`r%|w&%#(>lt?jAd<CSg;72+Kdp)*!stITVxB3Ce}&&-TGyBvJRIM@PI zC}CLPZrlQNIP0#_?Mcw122vw1TGQfm-8opTX_7M%mVLrl3sa&X1d)X}p$Tbl&5Nz& z*Tcko0IW`*F$?5x_Ue{HEZ_U>*Kfou<MkCK0BmqmuXbu_C7$RZ)6CnsXFcg+VY<EC zHN_gYl)8|!<;4qBG=`}a#DE1H)!lTUm>wir?y|xT=ilgc(fU4mxGwT>R}LEBAWlN% zsSP_bXAL8Xx8NaX(U+Bnq^io~z0OrlkHX9J4$td@|2i_+mJG`hd!`@0YMMi+JpXsu z8%V2GEn|@&cLYOjZ0|Eo<|gUks__@4E=hHBUP!Cve<hyWMw+A)wD|(<v;r*bUNBZ6 z+RFf5)hr3KNcP!$<(0@kJM3M`NU6ko3{9cQtCEGb&)Wux?OKn$h;l9vpYoHXl#&Gb zo_jropn_}Oft!uPkiAKJ9Ekdjz^NWkMlm%aIT6X&S79>yc9S%np&-8Y0nWr3SWYJf zVT2iH-ZEC`OSSkzP)hL1eL_d*AkS?zNLyB~lyrzCYsfo;Hwg*Tdbi0u(+DT=(9Zl~ z!U&otKFsY*KBwZRquyE?CX2oEbk0wEYJi=nS;dm)4Ph3kw3H?D)~Vp+YKK&HpJPVh z&;I>;H3W?^&2CzvJiiyFn3z3-2f1;W>U^jZZx+%;=>bT^g`xbg*XZEEn#*Y`#N}G* zJWMs&>=L$_ja)j!F@1GAVpB5rno=|KN+&3@m+^4aJ8LQjVTV^_VW#L}p*}>Q6c&%z ztVXAES;{B5t#K`r(x&Fqk1ZN=2Xo%g3B4GX2OM&3kR~UrFIR+M1jRGj!5V;vIiQcX z7Z69~Vg1m;&~8+$lz@3lKBq835DsJaM=iGI8m(>gXV3xKW>0(jVm<xfv>EDMx_+K% zd98<nXO!VyPr{aU7vi@<k;#TL=%8BEp|6f2Ql?>HdVl7~qJChJo=V2n+`McCb+e#@ zW#(h~Qcy7SZl3+h<lB=^@|2l9B(=oF<+JSY%?x=uz)AO|CReOXG2A`Lu75Xd9Gy9i zeqQlfqwI|SO~%D4QdFk}v8hH~&WpAVtnsw0#4H>C;Gh!&++vg-neRnPMt)gFB`B;& z<D4WCm=GrLA;O2SM7ScvPbY*_CnX?E;Dd|i3o#H~^4{?aaFdzRunZbYd(&nFTCo0N z=ygkNA)|XrDF~3UdLzSMS4-(c&Kwa_`DN)={Go|_OP3jz61HZYHG^H(H%I8`+9x?F zez-ytwLbHpVS14#wd<G@*7zW=@%O1n>CY{Txrge=7R|(nx0#F{fNV_WaiotYr@Esx z70@!qqpB|1f-=Iyd_T?VBlI8@bRE>3w~^mN&rBlEn=V|-`WN~=`9cO~46{9W4vc3h zQQ{(d1?W&7FR9j+8I=h7N4=ijgFVoISp7v1z6#dNuOd`%(lm3bmcgdV?msuL=`z{+ zZnOLmW`{|oeink8-oQtL=}v34P14=MC9of^T6Yopc5j=iT@iL3rgYkDF3Bc|u1=0* zra?4|Qo^If`D`1|+(_CYhQco>guGGXSaF$=SiK&%HvA%s`IbV9bYdc%=dXk?Uip}w zr~sCM(NUr_aZZGx5kB96k8P9Oin1P<<OIU?DmH92<K#PrSpt^2R(yFkpa@VU&n6SN z0K3s*z$q2D#!eEbXh3&wkISq=PFI?Zkh(}ADl;%I&&dgpKbZH}39@G9sk%T=(l+K} zLndARaTUMr;HEt3M_*z_v#jNnA!=wWQJp$+7`{mBsh0WFgQaVQIt^;2Lkw+Gfss8l zc1gXT1|2rf#jY8PWnr0S6Y*4PTjo4IpfGIMQ5H4^+fmIC5~r3THf=X4isWRuut`u` z^d3@V0QP0zTZL6I#j#_AYPJ$diduy(@uqE)WTPT_qv~-9J;V|qvsmdd{}g6ANoFlE zjw|F5>R4EU;vx>RILqQ&m$A=@-NXeQQpF4hTcv~nd6s`Fu!OUIJ0%B|E`4h^wF^_4 zPGX-FMt$tospasAfo#y^4-JynP_or^(W9-g!;(hh!z?!W6jPM5RbdvhB$d>eyK@wx zkhWc!&v@@bN0^rqBq9YK`8ou!2?<s6^AejicqTCnTugzouD1CYj5|rv(Bp-Li?Bor z^g7N>!k8zces$;~hHq@;PAty`<@;=KyO_BHj+sG20WU}3RSBz4y@9sQ5qp?Vtf8tB z@+c!5fa}4%#aRP>CEP`jns+M%v+Y+xvyQbyZJ;zFHE7CD;teXW7g7g{w8_HO>Vt46 z*QuH*P9iNlSIe6QKC^jm?gn4-#?LPib->vr?{nfoT$*O2BU-!b0<?nbjk(ioV~nU7 zZ>CTI0tv!}NQ5aUCxo)X7ak#@``VgmMa-)KLW)4=I~`gHg8-RjgO!YZj{fxcRs6~r zEJR3VI#R~2<Y3G^;vOOnX~x;GA)R)(k}(!6J~ie;V(`{7)c_I+CPQ`RB@pVo8F|#2 zM!i+7-(bykx~*KBdRMQSdZVrVSF-=A>~wJ0?n-~A5d;-AM<)xiU`z+LU|@X~FW8%I zS5ZzjK}zO%@9ddOm18M9QmDf9sNEq<uQt}5aZ)rq#XSq0Uq`C)DmrcKDW3kitG%_1 zD&s+EORBRXPXoHxu3hM#&6%h7TB=c+7~McqALbvS?WpuS7<t*Uw~(@LxIxzqj5WHL zsxPMUCfPTCw_f-dTW^WVS34~G-6@WbEa&txlUW6}(Jr8ZnHO^;pS`80`%=v-EbASs zW?|l^e$XUeNPKVW%1=6zPo&k0P14ItzD<{EFq=$vWNB|^&E~F4e>!Jr@J>Fim{w~K znxWd^?LSu?xi0G-)NEwHTpiXNO^>9L6>4HCw{usye6Y5yw!;r$j!XCG6^frXiwCh@ zHcj`S)1>y?Mfz!Sb1l0vx0PYa%j5jj`AE7__CodO?PDJ38ostb7J;&7!~hv+1dTkQ z(y{?t&&qO_u~f#;B2Q7ynd&0Msri`D^R_$)^xeH$HOxvl+_dNzB49I-9j%!PC(g?i zG*tNHLEUQW+>H2q1K+!_^NR9ZHTn^QRiQ2(FW1#lBanUNbKW@5yvsCESjXJ4pt>jT zw@Lp+ch^Ry=og0=mXbfu|7z4U^6KO;Obh&cV2&(Q536%smes0Pa1$82wfm=a>p2e% z8$$_(8D<V^Mk+InoP#jCU!8Vs8Awfjp5avvW#MU&VtA8n<i@}PnM=C{^Xszfdf9`% zpZ=A-!`1KKS??L{F)U98roCMC#8o()G^ypqx|!pqTom%qJF2_(nrk0ZKat=wckHNd zNHVjre);9+ZVRigRs|3`bn)V@CkA&tdg$LTKD~Ev*JFQayhpEF{M*x|CNCvPzlBL$ zvOU{jy0=^y`bl?B<LaembtxUGH8y69B;4hiEhY2GzC%w}?U#J=Uk^T%n<qZD@$79k z-kNX8N9Kto-kh^-$0oCZHVFzOON)#5KgjyBc@qezeAedMyWf3VwcB3Utbev@KJwW7 z{ynGmU-%c_zVF;`J@dfZfBN^|RQc?yljQcVEj)Sojg7O<{*V80<Bs~`rk&@fpZ)F2 zpZV!+Kl9l8>f3(#jX%==rT2dF&S%d3r`s-i??>;ru<^g`-|)L1I`wt)ho8Fl|NQ<F zfBxS8@|p8*+W+ZyzvYR0FZ|Ju9(>Ck_bg59f90WWf6KhzvvL2YnR0*VU%NiLaNU1; z)9nwO``P_H+t0e|S9iYU4}R{<mt3&%;K9m8SKoWdI}a|U)YdIc%B8Z|X6g^m?|pxE z>*CW-{B8XUrA_u+wD|O{k?yPaq|FvpHNWHR-mTrVsx<qtS8myo?^8vrg+teq{=(OO zF8jOvPd_oTbAEz)MSgSsooTzZuw{PJrX9QsP0aS}Ewagl8oRJb<3M;ma98)pCcw=e zr)iS?^VsCfp5*WAk1v|~zBA6*`kC9RTkf^<C{L{?=WMHQO1oiJIk3s*eT$EG&(|;s zu`-o8=YoA(Zd}BU_Ct5Q;;zSj|I3fw`RlLV{-*C=_}Fhe@Z>W$?Hu{P@3`yO=Br=w z7hmpNe(CqN?%4T1_wTs$w%(mDeeq|1<ML<n9S#4?tvhzSZd2p)W6xas%=qr=$a{Ow zzo7BTjho(Ue)a3G`UP{*zyH;rzw(1K^-Y}}Kl{G-P3HH#dfS$_+%aU_{@>fP$#;8y zXyg7vj9s_<>baji_se&@=`El5?2)_9N;co}-mkpn58nO8AAjY2roR91xAS|iZ1L<y z-#ScZr>|rx68+@;{?^4^jc?SxKzSmptWAyRJMJ)y?#%Hk+H0rxmZw3<U;1TqiT4%j zy@Qk}t7MVi)qk4Ev0~xtSlF+~ifyG|vlw=!8lNN93RxFEcl6X)XPh;mEI4LaEWmo- zv9J5xCp%-uv~#L+GAxhobjG(2F^0Im8{fWhqxe{c9afF9&^7oK7Qpfy6_x=z7vlT+ z##r;-m;R%quoX;;bjQs0nZEuo7QK|Ur|_}pv;Y>(8}nNyAN}P&`4gmfzVYdkCs|T& zezN)M*2S%yzo}n~oWJ&S^0iv^`0*pG<YpcCNgdKuKv|asTH54PMSJa@V?BMzU;3xX zLCa9-GqQ<xbe;xX{iV!s`=qFBBOndS*0o=;i(;}*V$~*{nc08CCOzzt>#$z9k7?0% z)luKrHGLP%cHMBY?}B6J{NW$6?}5eCvcUNECr`|nE56mcJ;5ey?V7pvHB#?nC$2BA z%$%5E!M<$rDOBlV<JH(VzTJOr<tfv-<{B2!V-uDuW0S8&o1iGk*V+X1x6Ga&kxj-s zSDxF~#{%OuHc@VZAI>Isyd%`6IrWtG3zqd4B?Y&%Md_DO1+EQ+BC$tJTMvJbO@dKo z#6_CE8SPh`!6r&q?y*z_&$3_S2SKHI>XbGf^mQs@+tu{?#-8dsdQ;a;oxY>Tj-5Jn z!38H-X&-IUIe}>U%eVi9Ovh$^Hq>b^`#^&(PjyaoX2;(D{!QoJbh~Uq*P#8%Cdk)< zY?fW4=k~GAS5HWzmmUvgU27A{{#$*2debep$R>aH*^hsG774u*>0ov65&oX9pU^IT zKtCyM(iLnH>vvs^l(s1SGP1y_k=Owkg{aZzu*u54iNYpv-%Dpq`xRx$(9fa_WcQ6# z{|%e;kDThvjFWZ@n;e%q+FvtkcH<rkT1A_%lX|=MQ#8IezWraY2@P&#?23CMo;t!u zB(O<e-%U4>vpBS0r*Fe|uDM2I7oCDp@i?8aKiWh)8`t!c{Nc}^#3mhok!;fGxXmB` zxJIQ_o0R4|y`QYNNx5H<cd84>&)A3fVU;WHLp*P%!fT=AFa2`=W63YN__enWFT<bi zsSi$;KD1HAPw|G_^3eG<_DRYw_f^JyjcHcKuk3S#U+K_~sqSE=aD)vnj=HDW#QuTb zueQE0iN6s1z{}ps{R1Bc-#Nj4N1h{tue8XLu9GEwlBY`EzjYZq$b`IRtQ{N;FApQ0 zy~DojRVE)O{F=%zV6~?*jAY2G)OfAFJc+-R`_?3~nOT#8S=KKi=TGvw4Sxt<&sakf zE$zw7AD%KxI=_T6h2O@){zL6oe7o`5(>GOfdsUZ4`v-ZJU>d$2%KeR{U+#Z=S8L(2 ztv6<OXn&+qXusn*S&z;r)#EhNey<0Y)Qrvtn`kefaOJasJ$*{IA>Wv4Un8Hlsh9g0 z%YBZLBe}|NguR65%j+k>#>dTQ_q5W~ZqVM$i__W--P7CtAo^inqVv~fdEB4Kv^wll z4Eq^f&^48==%PKGFG675jQUWQQJ1hElKqYBXGGVn_t%53oa5fgOm!D@**e-?9X36a z+Sf>Q`c@-3J^bqH(n~g&o$u!HNM)w%A^t|^w_TN`=ig|SwoS+Nof2!<=@zCF%qhct ze`ahpTAfJ<&trjSt;3eBzRK))V@7?L?yVV#{`6Zl(9fV7#vMNQ5R$R!4}Yw`!j~Mz zX4v`jd{D??SJ%2sPsg_(Km8up!+gE-qt7LFoMyjfXfdZwG4^zppE}Yx8rT!|l5vZe z={h<-Ui-{Uf2YcKAQ(I~!Q#Gta(uk&6!=VVP1mWJljf9$R>r*(V`ETFqp_NAnB1+R zgoZBa-_dvSq;_NKixpxhN*Q<+*51C(k1U<+9c3m^Chlz4Jyv7<IbpEFCQm7j!C5wm z6<adnbB`Q*YIb~<x|dCgJg~`(_Q;L(b3f4-%N{rFVS-<q=VyXVxT(Gno5VeIN-OAR zr+W-VcRS;{K2ESoJ7fRz*`zbpg@!?mz<%n~5iEciI!6Oh`}@B4y_svfj`ogk{_M<G z$G*s(JnACaq>mk|U8lgYiKy75(>X<xWR}2W0Q}#y$;Gk>S);>xn*?>JyCcCSY0tk_ z_>RHEN|~rxZjY3aTP)CL8nF(Zx1X@Htufkwkse06!YZr%#H^{Wm0Xz6s~`P<X>B0~ zj&(LT9p?!o*nCB@>AKOF-}S5bYUp?M+-d&zmAF$~e5Jt9PfYA58X;mo;q=lYbo%eb zexj+H`pM{M=pn29gvnUZPtfrN*kp)_La@od)lbaw=;v4aiAEsWv1}6Rl1;WhxSwE% zry8TPs7()BW0RtvFi~1xXBxcN{EJQKM=!)C>-$ByAGoY(CZZZK*VsK_9)jrSfH9M7 z=riLhF|tYMCnoljFbjv$&(}VfOZS!iB-*5}Bb%)2Cv=w3PfVwB{P^iM(f4%L!_ax1 zlP|2FERQ~AdM9#E`Xt+&-|TqZTsu%oi{~nu1M!^2T7TYjdV_JG;QvtcOMJyYq&H*8 zVLv3dV)h7zH)RQLc=reS-0LC~=~)^Au6WV~e+3WeSLtQ^>3-nPKAo9sFV?=rB-!%q zxQ{Ul`xnvW(A8moqcL$mWA?HziDaLlBTN0zmOA`3fEejws#g+7x^00U;)i1Kf4u5) z`Mk9bzA5n1*AL1-nNRnp;S#@{Z18?P>HgfL6CwMU_A~M;(8o4uT9#z&cLXKh!U)IX z{=@V>@F3zqI9Jiz1G)2XPDZ{mKH<DZ<Bf0bkNnVu8}>iuW`TW<>`!zgOM41=p8bx{ zHHNGMPrPXImG7#b?+pA=FMdS|a`6LSIPY<x;3w71$62s2+A@}KrP#N)cx$nL@sF~& zud%=vA8C;g_+l2k^wxPB0xQlvRyt{aqaxA;aG>%>=gSLpSv5WDeBSQMFV1cJwZcE^ zH^s(zi!q;cp-i{OI_Q-@+hg%q#})QB`sTgAsqBo|e~)d;FJYNLYE&kH3;!Xw;t*?= z1Ex@K2(5EOE+7P5KnkiaV&tt)tC&pW0({|H{4yL#vbr}8L_$4RXsE&G2_V}T;z033 zy9%M@6{=#M1Ke7gU5lI+UbfWF@@d3~x^>i;xi4tm8NZCa4&2E(4csce@-x~hiO?E` zA{a)SB3!K3fNup-h2*%=_~SszuOLt+(#c8a`Wa#fKi;p!r+npGt^#;|3ZOEtKoPX2 zh*U(#%w%}0#H2{!&OR%KY(c<_{HwU4D8owT>AD<O`9dhDTu5+5oU=yt8uP>@9H1DI z1Kq~h7*V?GH6fV|F>>d)9M=lil~5?BD^PEI8DYckt1Go&S3-edsbn+hYBj0U($evl zMutM^SWV$Ka_Cu++KFd{L&y&RPu!ZU$Y3jVgjvHKNeNSwFY86zFA$uA(f(lOMu;xT zv{s9?$@qmrP!_24S6S@y#O0yAtn$T>wb6HYMNl~}72(*zA*=%VFNGQ?erzH<4~ctO zGDtY_OtQeDVOh9v02+U*P!v#vuoB7=u+)0=p{*4CV4zXwBu~BipJ1gN8*U0XH#PZQ z4r>NN@)Z#QTg@?Wit%}&;IJiwD%d_dnJ?p`@7AM6xDDfJ(4=;HJduJGHCHtZ^wNRs z%dklYSAx2+0%g6fvboSQw3<T0X^>irue1UNLA+&gyqZctTu><ROYnN|t7vAN8RV6b z<ilN?#GRrTaqz|+XuzEt8{UUme(aQB*-pP7<)#fUudZ-`n)H+}H3~RwosEwiWvq|o zLckm(i{#uH#;(W(9-!?dvjkfPLQy$WV+9WjzC250pe(IWC09k`zc5dY1wlT><0U00 zcVt#f)xgEaLL^-M#-F+}9Z}*=WC68I;<c`ckQNm2`*tmHAz4Vds&h<VDmuTWG`^Oz zhYj|!&ItFI7K8|7=lEWP@u1VeRp>Q@$XV%>IlrJA^LoBm|4>}w5!P7bYNIL)E-Wg8 zUz4%uA#HZGV-*}~*Ia0RY7xeA1?H4dAS4WVK=X=FtBdvHg@jDxcr*&^wQPQ0KZFUi zOsJj2d+|A!IP@q|C?H7^svS(Uz&Y=PJN{DRtg(|OnLs~6aB3(jfN8yV4Iv9gaZQKN zrKpz~=5GZY(x;GSrZQ-{0$AizfzU&1j$U1OtTWT3ENIWUH=#FBEt5+zbqgjUq&CpR zla$BphiggZh;giPqCD<OXRPXpC0a?{`&!Qs>5?wwnIt6%K7e$KXW6e}v6R|@C7}vs z4L0^f0*+dcM;mi<Q>u}g%I`%}Sb+%QgEDP>M$Ci@Ox|=WvDfQi9tuL4`_sT0<d)jR z8*{2|`C+8MzX}P#7D9?H?UFX}tP07XlY%7jSwmB^rfZIJV46U!P2f?^E6Bw=Xv1PP zXf3xNW#tEuEP?V;?Mbgmh2duL_#D1Z9d3h5z?2SN$%_;gB|ER$hqCE_B6zuOEnFcR zhL^Yv=520{CQ+-lySEy2LWZNKFX+DK4cv0bE4Xcxh#EnGbe-ssB8_m!UrzjP3xrT3 z9-#^f67lCA3%6o%GY`QA5tdLK#%g}pAtWSoAq%1aU;fciYm}`)xAGx>AI2ieP6^aD zaN`}bTR{Pp8>-t=MLyI=MrQ`gf+3p)k31y!EC!zKI+1AIp0PCx_3QQeCG%ZTzh$BW zC^s&ztV7PEs`}jNjkno)g-PX`Ue}ZLw#?iWT9zd3$=Q3;k3mCP=`l@BklzZ7fQ#-T z#Zk26D*Br0Vp?}7d}xi3#Q+T_c5q0%(tmkI<IG+@AJeXP;mDRK8kHdt&Ky=XMsQ?@ zXxd*;jgT;d?EnQ!#)!w-XLWL>h30D@rcyslz13}Q!7H{oha`n+%aZBY*EtI2^|g?E z8QDYHxsrM3=r_=nukK2PRqZ4p!6Z>B<l2yN1XO0gdxQMcD%^A)moN*KiW_7*X(!xp zUTON@z>Xm2+q_^vJ>!y>Bd*EjGE07}PG4f{4v9&Zw(%_C0N#+Bkxqmd|GgpS4~rNq z4^i|2X#UkqVfNaQZG>v@!ZR!xSEiP|$>t~s%)mkx_TmyFdDEKv)Xd8jgA!q2R^F<M zAi*;_s25TKQ8kvUtUz?Ne^}bS+)>9GtEfpARUw`7XyC=l@iZ=?oP`Nvs`f3Z>y~&R zl|qTcMUXVf)Ei)jn^<Em$zDr{yqCI0rANM8=wY-dy-A;s_dVS&qy^V9t4ytekC_+i zUXW!m3zujV4Zr}<u>Fp((#YpV6+8ta70(*$g(_5YVGcleP6QHp+#s2?@`p)d%@p;f z3K_~$t)DN!o5Xn#-(cj~#YHN5l7Qb4Eqw(!-0_?<Q&!Ykl~td}0aQg1QZi(m5ZP;; zmTIdNq7sS>(WoqdnlN!3Hz=YF`ubR=CJ9nYv>-L@aDP}1#&X`6d8l{}&vF4a+9QTJ z9aKZSnIzwI2sx<kuO}C1DaTy|E>mkK3a~2%`O;rCf-m8ww>jsp&#T>z*Pq=@OKvW7 zr6zC6=r69xXWCy5WiqGFI*!a*W=St0k`_C@9G%nB#;kYVqaZgN!sLz;faedl#_G{s zXq52=?`6U@3qY5bqg%s;CXP|8QRU<dZ8&(hk~>d17L03Bo~Dt@4GnRW!F~hR!5ndJ zh&;zZQV#4Y4RJy}>tbOU&RH9)R$-yhLiw>(u>mNVQie8Ko1BwW<1@xC(tV1fa_it$ z_RCwD*S7{ZJJjogy^4^|y<*@3JUT{CSODQMnp}K7$44OABBhj$kx3z?ORmz}B+pN| z1Bj-Nds+r%$|vlUPZ@vIL{pa0=9W!Z&evn_tPqjE4JUwQDiGvFlnkpw?FCnJc0Q_W zGGds<I$F32jl|F#RJj86gk?pi0ZlVB%XGF5|4m3ru3@8++ooz{xRvE?Yli3ysAni& zU_ee10ygpZ^fN9;3k{$sH~Nt3u1XuOF*ZBnXzr;G@v2e3H1E629`g~OUC&)($c$3{ zsVe(MdUJZ6Hn&kKDOI8M^f1SfgkZx$h&)DGs6dT!EaAI=plO%BvSG=0+0TgvFJ+&n z1QJ}y<u4(<Tf2Rz#$GlhcbS?!Kr7$;c(uN0T62|ezIJX;yUX>aHFHkw3{!7YPrhRE zZ=fJGflg@PjWuR2BP{(qjfVPvvFd5MY0UD2x@%I&7Q!T~G;u#<(9k$8flZL%kre9I z1|tly<}^f_c5a%!mMx&Y0Qt<Y2{1mobk6z0AmokAvbTuS%ArgFlpk%)ZOxljL8<HG z7!_>4s*NIuF$uRZd9`%GIpZjB=nqP!c1n?R?}8k$0U;F$k}$|cCE<%wh*xc?HKtS& zo>d_-E+tf2?w=CNqB)n|Fih;(U&)xbFH1ser|Fs6I=HyxOwSpyW%qE;PJ76$wIP`@ zwK+2|H66rBWSm4P6ksUldjzkq4QyrXRJIp)Q8@16b7-KGku8s_u|?A%3QeR-mr-H4 zJut9gc@;rq<6Q_$^?N>2Jb%Li?Z`u3urVrZB%~cUatZvzMWCcqY>5%+n98`}6@pjD z&#y@&N=n_!lcV+{SC>~u3b+d85$%nmq9?#sK-CVDqZ-8(_~gnibDn0xr30riDqGKu z8H64oWj9Dg!G5#pY+GYDKh^bSv#^+6hFL4LTgyEnF~huEaD$bDe53gi$CnDwtw&P| zOVSY#{+}BxuOH@3zD+77F5P-Ph9*cy&0X`^Nph<JSrC*rSqRf?LKa}74mp9S!hry_ zNC;ssT%nNCIY%&c9?wmzu(9t%Z8u4YB&G)+PmIBf{-mo0EOnD3d>>xBUnY_4Qc<HQ z2Kr2@MO5?>YzWA0GHf9?BM-x+{dd&c9AQV}aZsV>Uyv7PXvVlrBfQU&T>W;}b2qu3 z^^2sf=fe!q-IL)(kI9A@Q>KvcXOrfwW`M^=O77^u+4O*!(EDTo-=-MBlduRJ?sS!9 zj{+3F#6+pA2B*Z^F5ZUF0N~Qukt%KwVU;XPhd@Fwod$PYCK-~uR0{7lsF=c(EhOD- z<a8?<N^-9^ZQ>FOx&jacF1R=xeQIj7sQ`&_j)1OG^<Y%tWs)vURspi4;T~4f&`;Fk zA<kuLD~SV%paMm(R(=|h;G(qrOgnrG^0@d<%$)0W5FEUTX%Ct~lexATna<QNw_a+K zt$EVJZ7mtj+yshkbj*Yb!5)*mBW7c*=UEf6S%zGVN-;nD0Nq%@QBrOydIU+FLl%p( zP?;%sEa$5Fp-QY+xo|ALCP+dt8=bruf9yw-RB^U9n;6uKHyWWBd7J5?25eX&JN{O| z$6)ZhK%<9m6=Wh;Lu-=}u?8IBoRNJEGZgqebhm0shye6Z6oMfNT*WrLI#dKTA|Nyw zTuw0#giJ&nA~3THa(2ALXB5sg0!QIUmRBx<=rz1~Td0D98l*&qRW}XO#wDh$05=D= zn(4kDp{uG#&NW3z$wQE*cL!g0h>Q^PpiEH<JdMN%5N<nw<MO=8^R`GUqQLv$J)hHH zx}~`AlgJ7anWZbpngDcw4u5yIG*IhPc3DBETwCm#awr;Tg@4#HT1`f?X+cr#Wm8RZ z*G&`&H7{NnW*tnk)sA*K;zdnf&%M<r*7DV7bC7cbudADCy^-2Ry~@5uMmffOaMO^M zRi`x14c;seky{+KjG#2%+lvK(xa4t46BDoq6ya0za;3b-tE{i5kp|SNVkWul5*+9Q zGuUasy*CiO3w~BcC*))=yADT``Bsq;9(4x{%Ano^e$QQpPXL74KgiBEh{_(*d6OO_ z=PcoUZTfU($YljQ`UHdU$Y8FncD2NZO-L=kAGtZi-+vI)QZ-g|WSXSK0@s0o8pelf zw0Vi@u=ZchH0_IKIMXEGvy|}ZDmIgiCgyQ}VR8TkKdPFZ59*(3>aApE*R|`neoA%( z9+)rlrgr|O?ku4&6`N$c(wFWsG!Z*#&C;b(0`bxm-BXNqP`#U*_Q4iaon|Uqut}E~ zNQl?iH9gNN8gGAnt6%s%hM+&YFiG}?@>IQ8G>!%P2O7x&c#X>{G_8bZPcv!hedH2y zSA-{70Y3Oty8^oPF?I^xYQFKPb&UZ}CRBP4Jya<V5VdSFs0G9j9E?XJ(0r{*o8fj) z%h}A|Gg<S^B=cwVGvUJGjK07U@7z&BS!N&j$(+i_%?5W>-Q7s!rZ<{&NBzhEpHkzq z6obnRXn)Ay*MPgdkW9YECby%?yh%nb9htP89S?)*AgN|WRe%K8`p&)Sbv7B*K1VX& zP)qMcQQBR;tDd{mEZ$}#qq;Heh533n(aB;;5T%y56LxDFpEs##V;90mn5f^H<PB5m z@5_40RIM6k#bK8DLPbzQ0+~s8JmbHivhHmuD16`2(~Bp6`8`kicFWJ3J$uCmBo)&N zcdbDX<9&DVlBVCBZrX+#M*ABdx4RyD(PGO~s6h7cF}BVp;VeP>#AA{jz%NOeWRI!d zUl}o1Z`^aRn(UoVHntAtJp)P4zdLxadEtNdeTS+G_upaa{j9f7?W4YDo(%o`-uZLy znB6h(7IW72AAS4#o_XKD{t`C%tuxPl;PTEZr*GJJ=wCM8_YZFx@rO=roPYYe_wB#y zulHa0%y&oj-M&9bemhNWy7V>QeDNEfo~2Gy50z*WlrP}mb<aF-=g++AM=!r^)9=>V zMS0JQHvQ{{A9;MwR9e09+L6WwFI~K?P2DHD&(KEGOVjH8TlmPsEB2@N@6BtQRpzAo z76ey6l+i%iU#wo5jx=U|b^pdsFYWvJuWx;D@t+>Q@X;^-r;Qu;es0ju_gDS$lxbXz z&1+O>X_I8$fy}43pq5up+t~U>K62B0E~&IzpnF>L(0#)5Nh^+BZ>4Hza~p!KlIDDU z(~e$Zl7sIs>~nnZ(JhPBdZkj>gt|WDnomEG?^!O2&7efy*yLa(fBYJ3QawaDu-(R1 zeX*zC^jvx9p~VYtSvccR-n{>gynE@LwMX;8JM!B@e)BEA<Br+02e#yw?LG0nU%BHS z!m{7RyY@cwOy|v){nEL&AG+(?-}~ps&b_O%{g=M}=yx|CICkgNU;F2G-F4UgdgGQa zJvM&huRL<`8=tu;tJ0{s=T_@+Z)K_5k8FGLfx~YeJ$m__J3dkG&d&T_4sChnMK8U! zabs0BaZh}D@wU6`%!W&wj8=1OlGG3EZ^|b1>cPF6lD!*Dx~Uh#Fs1lHT7QFS%zR~j z<L1WvFMR!@UtIXT2M3q_>_TkftWUDV=Ht8aM!%_c4<NHtV`Zf`giye~g}#NE&zmNj z0#BB-$b8zG(}JJTGeWtV+jIrI%39eFSfNL@NQ_~*{nc>x4*MLnV7XtX0*R$OSA{QT zg=MH+VO_EkaE>E6beS`B8b~$;>rWitbbR(t{U}RHJ2Qv=l*Qxx(RBPQ=g4(9kcCyk zK?n!S^o@_7@qLLAywTXv7>aJ+P&(8*HOd_!u3fKk?#kNuXDwA;AE|wS#eT(q_-`vw z)G9j_SvP(J(1yq8uf&JIN-ak6YMn)!O!W=1Fk3cJ-2_@>48@AUG9^CRpH}zrESu`? zurF<`%|oiwZE~#IKdXqYew`25&l>4)V&sZVc2`-+JBAIUC(xO>;0QTj6JdgUu5)f@ zw!`De%=W(5u_pckDgf@;&Q)eN$NR0?<XC@aruPTgWcP;M<GWum^SYT>hOx09(k8Q| zP3&OQ4HvEdyKU0n*FW?5&ct)rWQ~17jsdTPO(-GnXk8P_GyA+YSuf_;?6Fx%>+0`B zo3JpP?RTp-nI(fU_E;7+=^ML%eHGxnJU<8M*^3Eo>U6NlSNl%P?Cv`{b86;P5{T*< zV`K5mKVyy^8^b2r*AZdH*fJRW-MhcDyKiQ^^Ew2GP@SFq;rhv}mX{0cv-*iF^Z&Y^ z4E0T+kZke-$F4$+Xc5XA$FA9-VlU(hJ!)GXD;Y6*#_X~1MAOx;{frvBly21~L)Zj- ztxZ7qXY9(RrWm^_?>zqG@vA!K<!#KsDtWF~%)A2miN>zJ-R$Hoy0|RFNkS@DfYWU< zH1v-{eKS9H<V5GGuu#7`Tm9i|(&;N?Kf9m25SvUD`vc?oitNebeVG(xf8vMv_UcaP z{0Nb55ufD@LZ*)|FXDB6<AczJZ(&cMeymtI$1!W<Zvd^mt=y-`p22FXx>G!YGGEgO z`x1Gyj{6x4c}Dt3e%u!s^ayyt$61KlgUHa($Q#vGF1de8*i~ESX+LA!#~A!CY9C{X zYd_=U#Oi*=IDZcst#wpp_F(eDhPKXJB)<-v*EQOwXeOsjJhPJGh4d39cS>E-@j1`Y z3@9JblgRJ1&k>Yq@7787Vs6piPIP~eNq$(pd!=dD#9v!`{v!Jjjadjb`32|Sv@ILj z@vessetCrR58ngdCG5*IX8y8}j{Ssu&yGEL@w~=&-LO}Buk4Gg6?^rx_b<b!<-SMp z2x_CeRRZ1~_fLj>lj5t5N<L>M7W*2@a~@Z4_Df0+_87iLdlBFI|FHKyV3rltp>OSd zb~n4vG}HSG%`gZ9)yEbO6V!$Vg1KC~x;=~ynI{LKg%Guy#5)iC3-QmOQK!0T#>0Tv z=ztOpGz4{MjgSP55d*EkT+~s(i{6+xLj3NRmzV?_5m5xr`>j=bpFTa)BYMAkefi#d ztNYZSRjXF5TC1vd?Y*mN8=oE7Tcxd(f53i5bL1CfKVz|vamV>be&OWx5<iIjjeKuW z_A}~t7LTNTp#YWP0>j-!2V5|;<Gh;g!Q7!bGL#|7LXV7NvIjFK*Zk;koy0{);uGJX zb;-Z;2ukVRYP{}Od~MjbsQVX1hotKmLB6~^;R592bclrbb=RGG(SG=XV4tz*@lx0$ zR~gycEBhQvr8VvGTCxmpTsWdP|Fm(zLi|4VUdve0iPLb-U-rq%_r+9>4jH+&fG-W- z#&+x0$hnl2{@00-iIK@@`0V|7?So*)waC`G^N8?`z<rW+A0y4<iKWT{qup@d(Bhsi zH=A!Y2R}Ws5lLHn_sYB_JG97Gscb}+-lt@55xs?daHT~_d)doA^(pZme$gWjr)j8T zV=0Y$hs|Sa&B4P9Ti<XpU*%(0Wj4^&$Oe96R;W9mqrQqI{wvu;_tEpE@R7YEvd^(p zDy^^y`z4PUVfxciq6XQu_AEA$`j1Q=&?dKWUEj`h%d8!j69t$WJhpEc`^Lp4G9x>X zO=PE}F;6_Pv{!A?8o6)bV`!Ku_Q*(U+u3Kc6A~MI{%-i{<aO`fz0mja#pMb7Wt)8N zbA?UnXQt`(<YA~?N}}>``48h(+O|pgW7z<2RXNX~n$MwgiC3}7MHl_@{iG}3hFa;Z z^vXWRHEY)F$vFpaqdB6tE_?I#gClx@{jegB{OQ>R?3*m&%Y@jfWNsVYGuh(Ko_=yu zYos;uE#JBCbeXs_By)fT?i21jqWHdPXDWSHn{*uaRrcil#GG_z^K&M8v{(B{g<Z{| zpI}*_Z%O)zej*;cz`p&&WIs)p)Fu-xZ+lPe>s@=)!7tc4v2|GbN%>=MnVp<}3um$X zFSbe1PkJjOvd?kNn$ilJ?AbVR#K@{P`NiL>r|nVCp~?MiBIm$hljrZ*vRx;6M{N<C z$Y$K2mtWwrXHn+G2eJvXZe)KV?%S(2F(dbJvt+L1<FB-xI=xKq#iXAUHpw63>v1iD z3pQEqC+6;-=KW-%<+Aem<?;BN>7{L(n77PMl;1L29(Z=qe?hJO<0V<Q&wTYio{0aS zlPK-^i~rZvdH)f8Wfhs+F|+OjM`ZbdnboA_xJEmiseRr^$<yTmo~`VHuG81qWd|aA zjy!wM!4-k!G760Nt7Dfbo-KWua%ITnQLlb*bJVZVi@Bu|sH(eKZHpPV%_-y-N^Zn{ zIat{WG|!5xiE?AHL7c67mnLg@O7qKTb_Fx?hq&Ht5IZn1-xBurz!V2gS*1<~CjJ#u zywa~;^N`lTFkZ$KR_aI%_f#E-Wv<Jij%0#j*}|2OJNk9viJClrD*)UIkhuH~1a?Kj zfh1T#louRutJN^4_MI}X;9%vR^nV`aI<kf-M`a&1xHg3kAyin5HsZ@0!aT0b_H8?1 zzt_H_rLx*b^1!8w)d=4VC9w)P)K08cl&MK=vE_+JV6zXiRn;PVR)Eu(p!dzb3fT%2 zO=q9@FN*piQCo#=54pC$tG^uc1s?i<T}~535%Wgc?K1ezNI(}D^+OvkQ1Fqe3s}p~ zOGv?rD;jTWJsxfgEhS1lEI)x?s5+(S=*E)ME3)uHr0wR3`wrBdg{TsX;%z&p2GY*e zr-HG8aA+kNv1N{lrY*;FQn?Pd>!0IR^Ah87bcC<5z_DFJpQn=;VBfMdexR}>Q{sZW z?3Q$J>DMCrS*A)(-pHCzvQSDa^tOP5lGlT)LeiEeBnPSXl}*w-zrZ)JDmW5zB&`5& zDnp<opAZ)jrBsO`N7(aQk(7(n)(7kSFkliMxeK+bVL6M0WDHnosX5TP`ohZ7x&XC; zCej8G@V3Yowu^)ZtSd!QLg;`zIoPQRqMtQOJ<>*O$b%%kCr}~wHHd3j!O3!+B$*>? zg{{ElbsIQDnx#ikIv|U9g5;r2u*l<LH^8#?hA&^rbHNSpYLBu)HK;V~Lz6#UvZ7g3 zR!zdmCx9%ZR60vB!L(2jF*-0SN-D&6B}mP(L9PPC10{IK0547ejSGjMM+sR?v7JpJ z^2A)oA->LUmzfgx6cRL<Q(5D{g|eIk+Thxub|9BR){_c&ar(vgCLM4pbt9LHTlvSP z=s=QY^iivg4d1#%ZSg!GI+3gad}KvZz=>0oAz@w578~azBBP~kt4K4D%?bYlL9ldk zpk!5tF;kjur5*taKaZ}Isg2Zt_9us2{Bp@x<Q6ftu1?9t@$?ZdGIi)6B*rfIE8Ltb z)GO?!G!exnmRBVFfSh4>N5o^RQQ{5W+LOHI6io}HxeTEDouGM@fh%i4)vAK(gj^5@ zPJ*gcPe37j-nNJtV<|%Feju=vm3dO5F(KjI$_jEVOY=uXt%MBdY&ik5uj>NBhK_A> zs}{-|Qt3&|)tR!&Nn*~a(BlkzLMkYbn#)O0LK?FY6KDi4ZylmK0jn-f_*PA1d|-G2 zxkE_!z$p?!hZ`MX30dvCLM>r^*(6{7GaiZyL&?MfSn+UbetCFGji1Uni&uz4&>9Ch z$Q7zn^94L&NEU&7ty|t7+gO7TlA8aF^%4a_E>;s;jRrELSC)#xVv5DU@*PGgD$?Oo z8bD5gN2{AsF@jbZMaZSAb0AVW+B$iKCU+z-?nDA7A%2u=acdG>0U|+U!w;j%=TszP z%w7@4ScZh&oHT_6V58FZ{)SC0<v9abaD2#>^*LRQ$VFL&x2Klu1JD=4aiEmEKC-yd zJe=}!8q4w_Q@JY!C-BcZHVhSVlg1%trHC9*GmtAyy1q5N=ddeNueqbz&b>tkfheOT zcYx~%D-B->wNi~*NT8qCP7<jgX<SPKR@q8$+{i$Do(*4WwJMO41monUKd-0I6r!VG zF^vPk!cz4`b^<iQAz6N!mm*~h>2nyL_F^H4U(C;Ho`d_eC2?$q<Ge1gO)9$pO?jSo zdGqBOR4iCc?U58_$=2Z*)C9yLhYz*3%APNrQ&UZ}lDNE$%7*NS7?Q<52Zxc5$${js zhl`5&2ZF17Skezdckx@f0L5l}h;5d(Msqs=L;;VNhgS3r5}gt>+UX&#NN^}v#O#C> zao3rmVK@aCEdmGhGq=nuRKW2rNCcES*Vm~LMQWBO(-tDBfrE~JSJXy=#Phl>m*m<( zj?G|(9Uvd38X-f@q(Od#{g~u<3J4@l5#%ml)f@%8f~f7=+}t*|I`IHpCFB5^fcEWy zvPjMoh+0~iznR~Rq~(oEnw>Px_I;8zm5@0P7K3O&ifcnKq}OtcS1e`F!czH}7`D(z zNgk@Bz7HjPIGftuZ8>LSY3MdIZ*XLiR!Wut7LP7n@KGc<k$4{dp*ss!Gmru+ajSx( z3tIKmQ3@X_D%A$`X`pN~-lX}3A}FvZUWlLqEdMgl3HSdW{^Mz2NM|G_vWUiIkjqd- zTjY>&T=KU540I=OW+zdu_~k!gER5FMaE7P(V0xL8_@n7g@smSRFLVj%c$||N;@qqR z{G(|k>74yp&|T?>x6_OKpDEIz7PvNev?os}N{aN)u!23rl~4arNauV^-wVF`(Zq~z z-7J}-q|)g}oIAR??7;WynV!f{DbDm1H1r>f#W&^wJyTQ8>>Q&(Q+E7P**Kg%5KA1` z*AMww=;BD^b3;lMC2_#_9+Ib5G~IL$tkvF>U7>ixdwVB260>%VFvy7GQ7*2+HNoS| zPT4Ty`I{m`+~h1y@plG%>E3IM70R!MGc{+fA|Lc6&g|3z<%~_BwB;`qAODL+P28(z zc)~a@=c_s0o;+Rn09>weDAIF(ODMM_zQ}VG<$Jz(gFJO|l<wuWWNbF%{g>eT+@HC1 zR%I^j31@ol{919Q=O?UWDD9b6KXRY24-=O&JE!mkFFJ@EK5<rAE&D?f5Bd4#vXxkT z#xdeiZ^dWktDmSzH2&@7^v$058}fW#E6)BrM$R`i@yi2##XI#F2996CPr&M**YHY5 zD8&ZBhg~~)Ib^|2weg(++YzCW=O7_#ToDbT<S~_qy)qQU4iIA&RWfNh%k<eHmyu^V zP9)DwhJ8T_TP}Lv)Mtz53UX~a&sz9Z00L|()k4x-9z|$aLSFC%ct<EtFK~sQ7a5{1 zcn6$2knE&T_0`Lr2>+!zKPjo}ltrm+z~xe8`Tzd>8_Fk<EsROY8F!G>ZBQ%7AS?g} zms#N>KUX<ReMt%6iogoL^HF5HABo6;jK2g({c@mqkpTIg4fdO}h74gBGm6{~ly8T2 zghYM<R)bE03bFHs9Zp3QKMr_&eJW<E_!gYYf6H1IjDS<O)2VhuY#w$UIM-IF+c$S& z4)RdS?^l4hlXk8%vU<2@8Qod;+$PJ38gfOv9atf>+!W`qLW}}=VE=ZvYMLDR+|^DI zeMjbYjmup_XhY)8D^8rm;|PRAELMPoyhb|fX%*9^vV=0TPLXZL+R%iQ>M)K!Xs2`r z+QUJv^ku5ZcG5}M?~C}Q;+7V;KZsU}vMgb#T@fcJV4Zp$&OQX~6fdDzT=^+j(MW!W zrHCv~%e-?w9|mWPahez$t0c>BC_NG+Ued$~_g$7yY^HGqa&r-qE4UR@a-QRjgzF|~ zIlhB>#-Sg2t)qz(pO@atdMpL8K`bHe74U0{G(E~s`gVAHD<$~IheRRPkh&xgv5F`L zc)7O#th%t!0yF|kN#mAh<q;xPD>N#AhC<H7`t}W*6O<Q6VKMhZJ1ZhW;WZMWiAY|r zu>I3SqCvoF5>8v74BTQA;tfglLM)k_`oz~co=1V@E62%P`@$+2a~LfGQZKJ1N#0yt z6ijXTQ(+|6W~HwOk>-uw$vbdswo36TsB=az2|uS8u1b29D4zTX9?0sEla~V_CKwID zqe7yDInN-I4`hcJ6%qd9r0}=MgCvo3UvN&yf&25+q$2d@3Q+yb%_B5B2kVL^OEIFK zQOSWXAcC&|KABh-9T->5d3zz{MGYfh@g#MOQsr^v=~{Zm5gEpuCTtRC*bXaf`?G}Y zm5JwJ%oK4elv>!d(D6PhHiLdNZ(NSJAe|%uj^Ak7$i|szJ1cSd8|AN_O~Fh|vN<zT zGINnSd6u?Z>ZcHMDw4WiVH_t05HM%B?$pP8sy047X6N+b(q7g@13Y|+!o*Rj$f#Bx zWd^k&yq}q^bdQFoR@5L%=6lSsSvog9#kU0<N98zSZYbD^o6&n+!Vw7GjG1%{ob}Yx zxfvYlC6;ha@4&sni?j}`H162R(*k+)pVbos*a(Lx;3TUd3m^s&rH6()YB-1lndkJ8 zuuI3fN$57#z`~g+S`<)my#13v8s4wSW{q*8vEX3kC`T0@dp9Sj*toj*dGQ41gGrJC z<2*>7(l^H)DN>d~<niW}vyC}6HepmU4gu4vQ{!SA(Uf9~gcM^f`B;a9;|*#-LN6GR z$TI-&K1fIdZxU7740EAF?HrQm!uY76G!YB~2$cMD_=IGMd;=Fuk69OcidqMWf~rKM z=aEceZjo$>Di-d9kwDrnl6F$(vDH1YI4^|?Cr_<nDcgf4K^*Zy9WhZ3uAPQl$!wjt zf<sn;I2{mMBTD`xFBe}EvGq#GOLxwNazw3WQLwS=_cy0IIb}nXgiNJBHfF~R+^lt0 z<eN1&*L0<^PwJ8*KTo7i$)4oUn81g(hhTh_SmF|o-4~T_oi~}Yyt6QIc;x93-4*v` zVl@SxcqN`1d7s`ab?Y89U-GfB%zCP3&{zj+v&#&M{Rx{7BxZ3#3@#?p2Ct#uN|Ww@ zom5KbEzJ3GfE^#=p65@rVM!3Mbg4E&4?-hQEE1No?wP77&WVi5KgO)aOj!t;&@h7{ zD&VYoqK!NNjuPOOTL=qsiIwunL`gOL5|2H`>*T?*R?F|ckZ)ca-z}pDfF^AurH}bB z2COQ@Es8bF%-YmT-!h)KcoARV_Rd2K^C4qqQa#7Wkv2nq4or(ZJ&>qaKk<0N*TfsV z7%t(*!zkmVdnU1bW5G%BF$em{ciufk$TbKWI?FXizzes;G_ki>Tp~y$0Sdr%`~+P7 zvl606N8_uzdlhSO3B``gql9fjD5vqn)5s>uOpyBHk&KhDvHXyjaYaNkqb?otq>#q( zmLMDy4qqJtA`-5R)n}!It3%y2u9F+VO~c2Tk`!>7sh`vD28Et8*X~N}IV?yJfPxAR z(vnjeYSaa)o8!V&bN4Xb6GvOHkxGh9LL6@nwK!oX=PHwI)<4Xpz{G^ilrbmb!9B9L z5Xr?E&xlSW&|@jqp&U=#O*dG@BFk<(mvze60TN#QSWRlKdJ!=M@SFx#b9|2E<hNLZ zR#b~rqDF<lZ$QI~?gD%p>>%>+hwamc=R*%ix^${}Gc-u*XfBXW!^r2Bn@jCwF{wW1 z4jzb!J15^Rm-g$OG*~YQxG_il$^7gLVl!r^->Eo{62Ch`gSJBG>`q)x<e;P-Uy_bK zvx!T`8PY1*Tm%!XThqq$0J<RHW}1AwTtYG=u<L(=73wO9>CKEo_BuK0xNL@M9ulv8 zUB8tiIjSB}oa8LU@k~53?<t*QHof<voAGKh9-jf@%{(k;(pkg==r%!jk}@OJ3RrRL z{G0>~S}mKITXsS|ka}KX)Xb(hmM_WAlPc;EDg}?A#>$yV9>(h&Nckn}oX1bbt<B8h ztW-D#9b7U5Ds3V&yeKElQK$kVx%w`rVL^=5281g(W?*!WRG5ge?aXew+el^`Ir#LS ztAkH>e3|ngV^8lGqDdIe1VczsAhy)kqh44<uycm|v@v0Cj1|?+H1t3aL$zkE`6Drq zO*#5f4kwIkGTltZ;i6XqGR(w67u6Apnnn>3$H<tNF>gMYF_76A<L4>C8*}jO4IceA zGH*U$%$FE_4S8cThGVU^cm$58!P;dl6KjM2qKK+6iqu`1na&bfKC)<~uI4gRyc3l7 z=c>8+ov`x!B~(DJ1<#|1kJX(Qn~zb@$5~7TiZI2NQgW>TxzwiJXe)<w(af9J!p<a4 zaBOSkS~NW#xl(4D1Lja9M5SI%^k&F9`cNU7xyhYcWtcLvjb?nA>0%h4_H$<pI{K$i z?BO$s88AcsEbp>!!j1g9$>lu`Z9h&dWAN_M!_lG{N7}?VpV0WQofQ&(-dGL=ME%h^ zZUKl!&=JDyJG*E4p~%uh%m9L$n$;uyR6F3={^}DSI|C#io-rRcJL!rrXb48^DPR-z z_H!a9?dw&Hs14&#<Dz7t)B=b&PXvBQtiz>53IK&<3G`gJfLNHYx<tobusJM;6tObu zr8w(JnS%j-f*-)jSIv{ljx(_w7kDpSQ@$=?`qnd4zjJVkT75O~{Ts4MSJU*uCasMz zZhnbg#Hl?|mCWl1%*xPSK&B#VvvtOH*);q2O)eU+$)JyuMq?dkNC_!x{l@LF9KcQe zjkRz{W|@&8ja1UaCulG19!&e)l!;ztlEH``G;She&(L*nbuLrzfp)DNg2tqq0aiGB z1HXE&k(q!{ECad@Kqdqq3*$<G^)T~3Z&Nv864`h*4~xgamjU1)y+!!f>p4z^31N7S z)7qrHDHLTaQ&JKQH!9&7Po=%`OQ?U{%+rkNXUCH!L*&#Jj(;@0)I8=Sv8hpKtAj5+ z&AJUII2j@u>(cC)8nR&_0k8ko8!H0EaUp{#CFiAdF~$*o4oXINxwY!1=ph;u0|gUC zXXkp^lI=LDR+J0hSl-|}IsZ-gfvICHS2t!rULH?jjfedJlEm{2$BC)J82Mw<zxcT< z?M@gDP?X0(qO7L%l*lr`Du-aNy40ROEw;FD3x&&nQrR3rKx|=VWrEbB5{B;R0*wxw z1Q~vLNr{A=&}Nh)xu8Ihc!bsFNXza{?ekK!-@QAk&-z*8&4#HYYd~@|uhD>GsaP%c ziP?f&kvVK=j{EL@+mqemWBR}AcIbN`aMcXZs~UQ6nYVYDK^vw0SVd%;)`5UPFAX8` zQPSfrM(}QnNotOJe}f)kRKTsE4swoi&GDRSBoj@f^PbjyubsD8B$+j}BubNhXV-f} zOdne%2l+WaV-oW>jDqq&B!Z~|e4PY5x|gfBEF<cbOP3X_6f3f?gqTV@FStnM5(k4g zLB!)jD4mjWh)YpOC=e#1a_vaSzZ|78MR}^47%TMX^mPEaOmC8Sc@NreW(H7RfP;Ty z{$6he>$Tphxdq8e;~bAafZ4dPb0taKP&76;YQ{bJyG;^V%~n%4cdXPgVGcIrY|Z%H zGRffj7dM4Uny58HWogzN?scp|?EqtA;zDYYMuQxD?(T7J>b1jNX7jvt95>t0ruT)b zGF#)uAN^cWJFmysO>tLOPk&96K>h|@Dj80ELK*wQoN4<0H1TG}dx8uf_d{%UC;7~H zC4HffPHez&egc;9#_TLhqC8U0y2W?}NEM$2l6}(=DOt|vL`S@azJLY_-_q|#SyQSf zpj4hfVy+3s1;dg{Rih9DQ9QnqD*ik!DB0|MG`4HT7=MQ`i=@x{c(-3Hn+G}VaQ2=r zNyAU?2k#e~FpqWkPsW6i@zb+BXq83Vj!N68UXC5@)||190ZP)P<<a#s(G6GRd0fix zSI-*rXWsZR<DAAj9Fl-(#%B?;<kw40;(-K)0)<Yet;CkWx6gT8#+mSf5roamtPW>l zB>shsnu=h~SVF%7L};yQ)>oRQOL9duCVF45>Ge`(ttOo}boFGOlBFK#Akjxy{SBHV zt+l@)tArDM<ST{?;4B(#_?mIVBQqn}Bt`gcN?k>slyDbITV6vyimF^@AOT2U1~krN zw{(tDIULV$5wa#G54^oMPR&mn^?~`EWb}5_-7iH!Cv(A-psj|qS=KBicGMi&kn{3F z%{`=f25&>Qn0`w^6firh7{Ur$`WgC@!x0GJ>1F?Pcw!ePUNDhayKEJW3$_DhJhi>W zM`h2cpp+2#>*SKlxIQE-(l6Hg{e^$~;@aq@8_sz}<I;1;y6yDl-!YTvO~y7wb$7ad zZ8pEF|Dt*=9oQ8Qy~<59F-0lW>Bw-zV)Q9gf=%Q)O`RwVW9>5XL73eUNA8?^nu|tX zr`I!=tR1)MIYVQIyzt^JCv1#{{;AR%rDIGex_4&Y_(SDVk}}Bq%XTCjj6Q3QEU(Kp zoV-h@F0{vwzoLH5+c%U4M?dg8Xg9N;+Hix6B1z-V%Y#*{aImM#G}2u+aj&12hty^w zP8;THqJUTO@HzX!Seni4Y&O=;JHOkP<6T083~gEh^j@t{FjYyCVy`ZbsG>s%>e%Ht zC^Lm|`yzpC<1(k`a;@Z=C1^&10$%i_O~l2Vm(*{Grb&sO9uLqLG9EA<>!K6CJT*-% zpzS?388TBBIzsi=%rKqelLBXyvv_XEongkf-OU(^<@OILq?2-q3S~zo>4yamnB5!V zvm3`Bazj@%V{MY&LBhHyivQ3J#m8)N$Mv4oee>+^4J8}OV++Ypc9rij$4JTX;tAe5 z*rC&;J1yPn=bC5y7v`XAt7$Z9=8s!fHm4n*9b*PxIKwz=rp(TdbN`s8561m+gX;M6 z+;`uwGrc+C99M)>K&f5=OW5Sl=)Zqp*Uaa?uzB}?yXMBf`@5q*e$P#RI=d;}1%aQ2 zOnj^4{-EUdVkQk{x->h>P)BnPHi=(;WOZ%NVb@p0Cg;=|*`ZhN+;thZ1*HT!OcNb- z#!*=p=cFnhwN;o$k}7bSXXN;l=XlFqoFMPlN4q}DElxA(>p!u&_D|CEzHi_4sY_e$ zIQDHnY(4&-bKbEXOFa0-r=EKI8~^Rp!O1smf8>ncz3tscfBJhzZGZXaUi|v+o&5IK zeE%yizVai{71N)do;Fv0q!j(>bTpXE_Gd9#PvpGF#Ju{!M~?c3haSE2q1&FAd7|~@ z(;t82suR~=_T?{a|Hf0*_q2ZcrlVUwdFHOxqyPDtk9_ZwZ~DpY4_(){^W?em+@a<B z-#)`ht#;R6-f-2`>#u(Q(|0}jN0ZxM^2c94^Ce$<<0Y5;^Y-oUdHcKn@NL^Z@boh? zYhQ8Pr{8nK4R^g_{b}cY{(E=5q<q6mHZDHAIR8NU<0o#svG)g)CpK4}&46KU-%V@k zdF9Nm@^3x$n&Zy8|Hi*N@2HP|$^Yr}PO(Wh_aENV#HOb_nw^QeFXt;WGg0?6W0#&$ zbveTxO~IV`(e*E1bJ+EVW0QK!%I#~fOm|&wx~EGC8KsdPHGY&~;$PSVovg6Q2mFpW zxy4u3EZZba%V~0S|Ill?|GMWpUwidWe(?Gq{_J12{qUJ@o&V0CzLIAv54`$we}Czv z=WcoR%b%J2_7i7b^W#6h{h61%W82RzecSiWc;~yGy8f&iF2C`H2R`}0S^d|pIqY{n zeO3dTtmi{`m0*)@^ScketNVA)dB>f1zxvG2Z~rfE?RnyL$=k0xHaffYns;t`;d?HA z)6v`C{>V?Zzxb`sOup%pSH1JnPv6pa^~pP8e`x8!lf@>rD?jw{qu$rO^Sz(^?hUOc z-~Gh5-aqoXGhYAuFaGEEeEWMpnH)Lw&42Xnm)yX?*q^%ehEM<SH5+dH&Rx$ObMjH^ zlD>!C;y*6^^Jo5|bysa+?WyOzR1~xV<SZHv8sStVe9j)3m>A|%KTe+v_zqg33ujP; zG(7{RNFUaZy?3AjO_7}Y6Z^zFqZPe+^FjImg+C#u*$p+e&%ghJ-)voXV{54~9|$Th zHhrZbV-Dghr*Mj7tM9hRf2+*L<XhXWZTa^PH9F)8-=bfXynUR4D1NCCSIq)P;86hA ztr62|l%(D#O_YWkS=QJ%5m!rRpLSYO@2i;8HbMqHu+%6wM-Q(yIhGIHQ&06xoK^>I zTSIluae4KtCy@DK5XVa?9CSGR<n9Gywr@wfa-@rDCi<f2SEi?XWprH$L3gbs&eJhw z&z|U&TlcJPlVB2&S^vz+Gl_;<a)wO@F7RD0i_}(eSfFB8p4Zyqd_qB2N_vK<jEkbs zVL3HY&iguK{@>p4f>!IsCG+Hbd6QxPX*_TG9ve!xFd<to2PbHy)w=D6U6X0E?`5rR zobog|G}(EUl_+G-9x0=+$zzR!w)ORKRAg&nsCQ3e5}Q0GbsOUB%gI9yncO&W(6-0U zHupibcj#?mlON?aVX`z`t!ERbf!n*Mk29^zBIOVL*bH+@;?hKG^5Tmp8)}n<Gbi;l zPo%>p2NyOu`0J)suZv9{e_Ui*0YP_dn>-^nIeE2y@{4UU(I>iG%_c#|dJ^rgV3XmJ zoT){Z4K|sy=HFW1Y_)o@$>R%~)?kxEpEPS98xbvU+GP5$$(<8>#U|y2zWZ9+Ct6*c zIwofzVS~_K2o*M2Qv73+oZZ>CZTOX~iII_(*kn>|GSYf{V)CJfCP!LNY{Oi*d-s06 zHG%@3kUq(TZHcyBt(yrsVsq~vIem`PY+Ge)f*$r#&FxD|4}G`QieioiJG%8G`otK2 z!AV&hhO~s;&3}GcT!Hy{^*Wv0CdJr=nSaT#OLVpj!6qH;GVp~UZ}%ve@N$OzLPb9b z3Bx*eF`&|j8CoX)!o0urg4RVnj9s)VOk&DkGI41nA5VIF_pDhnu@Re0Fm|=f#n-mX z(IbuACR%o9KM6J|P5!7l(W(x=(r~!iu#8=k`PlW?aO1n*ZS+dNn0VXUeq66qcHY-Z znL18llP8;IL$#UdxVmSL8W^3IhtQsM8t_Y4iGG1ijy`($$%Rc~6KscqeyTP({?WoF z`;T20H2Xi7Cgs1q$Yd`bPvV!q)*Sy1%XyR+%*vUW+|q;%l?i8j>Y19>1bjU|+Y>>V zR~o}7Vm`+cm(8O*f#X@H3ukdMxiJ~{qsDN4<$1;o5XxjqAV9xehSSZW#LpPB$M<Kw zSyg;Uj=7+*;nJS|=WZUIUDsr~`VHZq>N%F?v!jN0n}qQjDd&%dvoggOJhFtdJPUt} zyy0DZUUuCgX_~#<*yDNll^JUXhD^%Q*>*tih0m2Dj~l6jG1Oh_gfDejSI;(#;d8F3 zeqm;Fj)#uh7nwYBb|*|EkF2d7<Gj+{Hi_4XJWR7Xz$;%lGm|HM*Im+Vo|2dZfBF>8 zyIdE}(oA+;$T^iYK2Mi9=-9J%!EE!=4R1|$@q*<PVMco3!x@{*h1%z2hWv<?pKE!^ z(2fmq1}C641i!*2*^tI#p~H~s^Xz|pI1{vg{iPT0I6pCKHp^L{avmp39e!{L6FCWA zVmQ|`;apGBHr$~;_>kwg-s)yK(=*{rPYTS-_F6V&;rDFjOi#sMFgraYb>mD=!S@HB z_Lj#l%<Ga3`NK(<MkV<;*Hq8)+>DHJrsrnlQhr(1?U-MB6P{vV9FL)?+db)pFG!LZ z<SyC>B+Ib%dWMIE>n`f6n}NPM=X9IalBAx0{xc^pQxTG}NXMhfeJk*0nDIldVlw(I zENt6$*<}xHKKI<}ZE~*gGE_=3qgpZCEhiG9XYY|wK#E|*#g(x?jQ?^F-PWz=%Mfwn zpZ%HnIrU^9={btyHb?j_<APb+I=Zj5y=&o-*3n&$mCV8;cmCY0=_UVaAm`Qv7~TU1 z#_Go(A6^)l7@D~FV$-+46>MU-+WPY2U0<GGnwWU}@on3xt%c#|KcC~kTaWUbBK}4p z*AN#y;q=7ga{h7e-pW?wM+bXc8XtV{op+vq(KOwh%CJ>$a`mTXeKK}&rEyuE7Rxby zHc&0Q*Kf^jQfUqJRXC?R3fGAP+hp%PHVM2I7Ea|Vx3I8v>vh)|Y_jb(Ie&W@p4BF1 zb(?UICMRwW4{zOi5&T;>!tv3o_HfiXjm}j2MoMzs;UbO|sa~tq^;m>nR<}tw>9o@( zEw#x>Ck?kaqIF{X_9YqgvB@@Ua?<0sF;hC}q{&H6$HykEiH#ed-fPSu4;41ynVZ_g zn4jN0F)_0Dk*z56p#`pINmP35?z^$ch-l!6J#RP}o0y4L8poc%e-xYWP)CQY;lBNC zB5WoWF1oI-X=eG+Pr`ZD=bs-PsGsQ7SIP>|*-s`WLO-e3t6lQ#fMs|#n{ZIS^b-^& z{X{15%l$;Sh)q2G#Qd4^WNhd@gKjrssxs?ZSlie4(B%tj7uK3mX=GvTossF&ZS+dK z>}Wf^t%&z6F)QY(+|@N~hUh2OGWU|RA&0AcXrt@PrqM@QwOVD4&~@AJ#6}~_WXe1P z2>mzQ@(4HWCl&fhwY43aIB!d(JMX;5OByo+`pE>ZT)6fFp`T>dTOy!Z%*j^5^*VN8 zlWJ?WuWCj~)dA+fHrczcO?28JW0y!4`boXMt!w33ozH5M&`<WaiJY^_;I(z@$KbF1 z#Pn{F=b0N%!yQq*^esH1{p4u;Qt8mv(b7*=)>C4AeXHAqeu70tCSLTS2D9fqeXZeb z*yN<g`zEEIl*`*V(o=1+@nHJN<Ye0>?IK#Ob0<bBk1%#^XRK&(Zl^JKbNp-QCkO30 zb$GHb#U`yg3!6C4V@ZI1($MuV5f1}B2-(AD#W&ZMKk4vcRTiI%mlu5UvE2ViX(+8I zembp0vY&BU(oH3u=G$sfmX*IK@$TaVFY%DiJV1Fes$PEh2guL<#r8f$FZAqR-06a^ z{A9nP;0wC$NAq|k<O8q#`#)psmLF_}RCwrqMS|zbM}3H&yG~^7{;S}d2lDuzZRTYs z>Bn!5@S^@h%A1|Ubsr)R!D6Yp)T#X2&Xm~?na5Qn&{>J|@uO?Yu2=bl^yEY3GlWlk z?HC@{y7z+j&|jPL|4>dh9cw!IM<Em4;X#KZHHojkM&j(@n;j=V|6cdld2{W!ZOS9A zB0c!=-_PFbpflzg@0#kT20#ABHECVw{j@a{5$F3Jz3gN36u1bzkdyC12qKs7Q{1!6 z-;!GFk7PfkJWlrPn@s0D_<O@XM)M`|N&G7z-(`DvZ8|thPRX12DR`%5)=UwPugdo^ zel_@4?#bgj#fSGdW>t3o)lXOpJ^K*V%l9R+=H?SML6r97c=L&x@y5(t72+{|o%HzM zh>Kd;&uH;V<ClwvCZBI*N@k$59vzHBhi{IZwzxpxW4?4uh+YvqT<tlz8<0t!roNR( zpjq~Ff(}ofBRb-#UWp{ZS#b-fYGAR5<{TZL7$~4>tOmWfRvdvs7J^b?yGQ`A{N_Cl zGyNmi;)VqSsbwq!Y=^7CRpLTp`77!sBpOZw4~g#&UQo7Etd%rk8^DT0c~}v@isO_$ zHBVeSo2-EoU38!{O>u3i&bqXb#w`cok(lR$kw0V3)|3cWVgim|qN&2Uz=yCRXj>-| zs7eI&4QgHU6ugXQVJ6P{zgM7WlyM$z6gYgvNx|*Zjzofv-_S~w#x#owE~2zUB&v`_ zSshs;*Ae-JLFquh5`^-7J5Qmf!fz*Z1PTfD&Jn!N(?V-0Qi}`)C#MLh_n7L2hmQq` z`1@w-NbAYNt~*q(;26*jPkwEHlWRwcz`hvn*inALsqh1JXr~&6Xf<w{=9X(-SX1#U z99zUzC2>XSN{Ka-Q@2f^3ZiN`tj3NdCPgx$RSC-(byjhU>WYP|h6-mnwj3(bg0Cg5 zY*(I?c`;ec`&^+CxnduC2_G1uxz*C_YDmE;=L03Knw(n5@7FBLnp%~EUlp6@6fN@O zzs<$%PhX6UIgM66wbp9f8ZS8#>uBxsGVteQ!qkzn7{*phP$88Jevz;cI({oGmFwRt zK8!0nAnleo$W3^b3&@4|RqjtdCv3bdOo-EjORK2~PfMz-2AB1;lFcec^Fk^0(JMUI z<?9Da?W`^Ul41m`$fv~l5IRPbG65cosh>NZsTkBrMgX9-q^uiawrD9FF4h-(NSlMd zM+A{VOTgFZfJq3r9H&d$1jA!P(6py~3>hFG7<Dutk!8qCmn6kJUA&i)fCfK#oCA}@ z?8=*y)xx5PyVwAtcju)bg<`}KbidW%ogi~^*&=}7W9m_$6dXT>SL<Yjn~6TeC@$=o znC4)Oa}NHA)EYiTp-JSV0H`xF=tS-zgX92cP0>ic(2ysu4H;7pMbnX9DMp1x3d?07 zmN=Y%CQwNt)(A0Ou0zz#H1`~k{ioqLeu0UIP6SlMO+0>=#>_x2ilq8caH(cOw~@_! zEJO*9*MurkYdYDU<0CU=8aR%mkFz>v3<9(%jK}!_aaWIVB58gIC}~Mo!l#Z+9V&16 zoFQut*)Jt)2-0NUp}27xH+lFl;tn&GR-u#jeSs;vY{ok8WFZ4`_?mO}JVMNpJ;nL+ zo<nY)QM}h!{LIZb??*91##7n|4vr~z>gtl2`irazNw*m_9zD+Vn{ihaefqrdR~yb; zF;->=7quE#Z&_e8pt3p2k1>!mRf{e}QK!)*Ec%>^WHoOTI~&b3ry7pF!81mE94TX~ zaDSa`L^1L>VMdyBE^>2XZ%Z}{je^wE@$>nBOw2|s;qc&c@Z^Gr@P!k)058uk#m}s) zgmlJOl;FWlNl)YFyFxk(fE?fLhJ{J^k)J9+&QF2$XXx(3Mq0W8Z|I#oWF5`pG)*e% z;pQV;dpRohb6u2y^?16S88fJ)tmZb7RiBrmJ7TB^gh9fDvwSur<qiF;*o|3;?Tw31 zv)&?fRb+w+^|5q=fcDjKM2)9oV?}O<$7&%nLo9YCfaH<#OtVZS`$v-*y^R@X;4(YM zNNs2btJ7wwQ(ErdK^2`@M{&`h+^qsoby?A@lpBX1aRrG2v6kV~RWi+GByJ@ajX~W` zCm|vOOIRqCnK?JwPKK@L!<2p&iYi#CMuJQNv3VzPlmhz`L%J1Sj=zZR7M4#ZMmjtr zfFlETHa1JwON-z_E?iivl#ChhsPYOgT+6ca(CFChrKkj^gW#oXk#XE7KFERHtfJ{# z4H+Hz_nr>@uo>%(!l$h{zg0-0c+ngJ1A%!RDZN2Xp|?`#jGZA0_<7cPq)8S#3#T3I z9z%vXS_Xz-xiX8GJdX_-jrd?Nmy&7?ym0c8c^QJmR5>CQIck#V#nEvNk<2UzD^Eo% zH?Wf8!xc;7fMq#c2pQq9%R_JC977PXr%?$AuShR)2teJ8@%f3TvVgNZk~7^F4BJRe z)rZ9hRcL06b-ZZes*%N3bR9vZ20HlboH~e>T&P7ex>}^B#4#?rQfzsiSkn?J*;u-V z7c1q^gs>Wfz`Xq!V4};SwJB#P-SpB!%_5dvq-Z#N0F1GtHYU5bi^iFuG-)nEY2rC^ ziiprn3y-_S{yYhZerq?G6OkJl8CV^wnfdr6F(ks#hed?~FdAB?XipLzr2tOdAaov% zKqWc)cm$Jh!_-_@3~Yl&8#w_h#93p=af@*>1<Dou1nQh36oFEwMDFP0f<|U&RdOp; z2NH^96|M^<J1D2X4oXTcjD&%%E?93iC3;O{s7aKtehf%tr*?!wxFl!EPOh~+^!mDS zHWA&BFKZ4dw@7M5RwfjH<<DtZR*33|V$7U40@Ae{J~IZcl36k;NLTO561GrbkJpkk zF?T52U?m?@poU{^K@wfj@dviwnbRmLF%}7*3l%u&%nF@tdR#EEwVIGGm+^7T*a5~F z0+PvlV?9D^*rE?B=}D8}8nK~=hN4u@nL9<g)rKnCRx+B7H|zYMna}NjPop%%9m{b& z^B`}u#(r@oH8-(K&^K$O$MJK#R2bdGnV&}pQ*~TH@Q*y&Tm=`st`e82@QjhCaY)jH z(8lu~d=-+?Itrp|M?!DBbtD6p|C`0nSi>1+#^aQ^(^9PW$B@O7!es}fM+n5B2ziV| zalUCd&BIq`kaoA1hR--Pvye8cq?;<&F%fTm25#uRNz~fZ??%z{AR=K1fR1xt<Kkd3 z2RjnHhjA^D(;nF-lelPJOz5m9w--_qi<ixsS;N}7njSR{B5{jZ;tw;YTkIUJ+;rJ) zN|nN_jD~)OoK+FPCqwLAPLmV6f-K-^CN8#rQ)5e~HMZBTsl9YQp0_nLHSki)RNHh7 zxtei1hsw2s>~rFs(|*Cvl*s>j$`jd;3muiX!Ejv55~&Eog=gL%kXd%#(P~7RwdVGu zHqDFK^DI$@d%;@H(wU!0{LNn-K)sm=%D3pzA6kK|G-WN9k1EeZQQ!;%s~z<5rnylU z%h)Al(}7&(Z}Ie66FYD0hkviRFdrWqO{cLrt=q+2vZqlR%q9w?nUJ-b()LyWtjsv| zLQRaOJ$2JX{R#?a__Ic=<L060^~TJ4xKR!HXQIg(0p_g@nf71fnI{>tcp>q=E0$|K z3X$V!$=ih5R6jtj8p1ns)uL}4y9hJ3;wR)tU@O`?gR2)kG9Hq8L5Dk	g<~zOFp| z;j9^JDWiOOK6y?eqn0`Ng)`QquGVO>rHz7<nR(+`u|VDn&02f9X(UG`ugLa*dllMx zZS=u8Pd2hHCWdQNHXF}kQ(BcDY($M@;!yJyW2SC`V7#(xEMm);gVtkgnVWI1Vo$dO z<NJ*n#9WpI;a=k1>AKh7`cE*9wH2hjNq5(1k{!AuexW6A#%^WN5QqQGU_;bpt|Vrx zI0pbUFDZ@+A`TEo)`@SViu}Zt9u>d_PlsR~jXl&-WKM@-9Ze_PB}NhA=NYmRF95!X zE#@gvGDu<gY))i=Q1**)1wZ39CQB3VN}SYdW}))tipU9dDOwjp!9O#cQHCg6w*;pp zK5Ayro9Rlu`9HQ$%so2Ojcy-h?D9Q5=3%6DlG8CcmJGL=-Ghl6H|w|#>R&149*Pz> zop^>GwvDjOz21|$MdR*e4ZK}{b9d6sK=Is}A&#?f1IEI|4n`Kuvy7JeCf!>y1y;`1 zu5!sw*`_~VKVzM-hnN#N=ej$I5ucWb$A3_QR4@kuUPc=~Cw-FZGkCcV5o)JCj?aHZ z96u7JF#IY{eK8YtgHHI(N7<Mh^e<txFEjN(2f{NRFxq5w+ay+iNC%8IXE+3>A4T{v zOWt~_dUIr##>_T_)UKyDz}GS-g6$E1%JLfGs1q6$bnbbvOf!tX2{a^fA_~Pb1A>ZO z%)aJ8A_?n-oD8r)l^@4?uS1L@nOPs6BIeE3XQxCx^JYwXc4luu_0hx9EEZEMv%thV z=*NuxeWa{I6b~gOYi%87HFHjaM_o6OLEr>qjd|^W=)#lD7`ItHLL>x5;e&T3)_;je z#Ui+cxjs>+e^Ma<=f>0*$LAr3@0?V3af&020wKc4g9+5Yq{k#OL$i27nx3B2WpXTX z9PTVl#Yu#@h@?w)F`@(CFmnh!Dvym|WX9m+vWqLx6zQgy;YIH)Oy57Ss7p}TM0_V< zOySg1eXG{T<5-621`ES9MfIR28w$bX@HFj`8-i?nL*{zsFt9l^opub|Csrz_d{`Pq zl+3Xx-!g`Eb)V>K?6ORb@tOHpVtY;Feg4Eb#sJ=0Rr;A#*?4h!jzLdEnqqiPlc7a( zj3Lg2mPQkUr%g~EKT$^xaS;$dRLxVMf?M_^m`4>U8gdSdGZt6I&*{YKt$M&xCWdAR zH9hO3UAP{P3pSod+U9juhg>j#q>HEbWLYdsnPg7UXRPr<SR4JDEu}dsA)L^?vsgri z!zxBN>ggR!`R(&&V@Zs;9!uF0ie=Md1KN8VJgOW*7nBXeiDht$&B?tyH**XQ^Nv=s zK}^NZaRX?5l$oh{`#fJFN~zvPRWh>@OUm~YtM5TgcAhnsd?uat*4YS))?+EX*>|Dv zv!|#1Htsb&_Ft&Qcu<w;=^}&Va`_JrmIY8=4qkm+{$GeIaUYr)p*CGlOk)FLb=!`T zqpfD6A+yOET;x{CB|?GI<d~J+C3EbNDo29sOc*FYe1V+5N~(@#3~9)cCxax>(|97m zIGQMXu^A(gM(zLtLr9cG6Dj8@8lUU_e*P6gFVIZNjU+P<r}vsUqBO}do=?yV(}Y`! zQ<CHysR5ATQBp)#cB8bybZpEFT7P{%`La3MR?@6P29>8jPD3WoF-DW2Imavnq<JOd zfpAi|G66-0;Q<U;mci#i7ksUk9B?qN@wO#GDsDfAC`$w;{HtN4{)|y<DWjvv{Y~v0 z9stx#BDW%>1v0iIn+G}lWT)vr3zW~%azW|@AClTAiRU;x0WXg3^^ySBcwH|eA$Ium zH)`x#ESFiIshc>%K1RVaY9YA#LMsEbLb@nQj%##REKdiCX8F-CBB>X%5GQX3xa#MI zHnedwWsb+?q6h)kxL8z+u8sj$p`>QYv=2?M`6x533NwzHC4~eT8FghvxL$)ar`Z9? z3KYtYradu!i}Xk=MoZYly}zl=<O(lT#KjZcAaw%0fb;5=<h%9UsU&vhjwI@WV4j5C zQGYFdP)=b;7)erY6%RVbLeIqV9wdzJ-%SVf79&QoH(XN8kw=O@3o%KvT;%7g)--SF znl+avW+3TWBy}c9rgjeXo02&qPUs{R>apQWcU3&AV<`6ZyP0NPO(5}D;J3*GtofvN zt0=h=ZF>xAEhUcPkbyDs*x8FWoG}>pUy<(e>zbzGYw^0{>_zqY%%}G+jwi1_pW~lW zvzzWvi)+!KdF?GPG$;BqUpn`&;rZBW&CSMfaz%~FSGAY-3gv3AJ@1xg<F}7A{V%vC z-V)hw_IqQ;>mNpMak3#z<YXJd4#&%Uagav}A&eWqqZ5y6P3)cXj0HS*@*a8*T0vrj zA&g3*L87!09^mW(Uy}TQb=gjH4)?L9HXXAy*Grp{l*lw2-!AW#)>--LPIKYG(p3uZ z8c1x2PkVpw;`*rK{VTFLKicCR>AWV0x#cv;6}R`oy=g9IgyBmAa5UYw-7I$_@**d~ z7Sa8*fosaX#3?U3lhU!fAL!|ECbm%{O+ELcbcl5JylzV4hFc&_o1P<mthr;M5m~Ba zX3r|^y24emoxMD;dO>#ibNZ7%IA+U)iFeVG=90nFPgv)o<L><(la6Pn^o|egH11!I ztVUlvtb5B&Gr;*3KVG!w@SJ7soca9Bj62PK^SWAG={37pXFX^c&)0A0r*TK`H_6pZ zB+7E@4=`qW@wJ~w+xa4r(MCTo>s~iE(pZ1W&EC&Mc6vt4B7mv{rp>{!3KW}+`Dtw8 z*X*L7yw@Le`KFzB)CVT+zjFS^J8KW#dhE5Ec0Tyt`Ewfwcc0DNVW9c`xBsNP`&++p z>xaJe%$3K!@#&v+d-LF@KD{_cKiL(-FiK86GUi0d|8d34{Jr;HckQ7cZvN<mrMa*C z`}^)${NvdxZhGHA4`Y)ZfBKn6cHVJa^RjD?{!sVlHl%+gQ-$CE!By*SFu!%)p=M1v zn!fdc=*k=JEc?C>N6m+RSh{uh16N$}wNGAo)2(m0;>xQ(a^<bN@4xk<vzLDS=34Zf z|MX$*r@wmdt*yVl;o?)@dE8I#`p)5Z%p7*bu7|$$qVN5~n~(q5H@<M!$Jey(-}{p7 zuX)dVCf}Vd-0|7Pi~iz`&wKZuzx|)Kzv$_oy?OFGKY8j^7k=iM>i2*Cs+Wv@xpmB& z?t0;iKKhP7dghtq-ZOc}Rj+yIqj&xH+kUp~UH|Q~Pp*Gx%a6bJ^3QJ`x%h;)ZanJ9 z8%o{SWbALRj!&Ea4SPj-FiV+;w{3#bxrTm`dBlSZgV@Bs#2lwKG4bL(q-lC#lm4#g zFtN$yQ@)EwxMt0+U1e<2edxn8KfdnR|9Z`pjUVr106F&Bf8F`xb8mgwbP_+GV-E&y z#U^Wa$I-EC9{T$mfBLh%KYOp)FkkIv@F{CgW0LujBNHy&mtW!Mzp{AU<$t`Z`J+F- zeepYg{oZfPzc(3wwClZpbNOX{$NTPh!_M3LuQ=@TBM#|rp0eeys7LzFC$75sv*!%C zgZr=C*?<4751h5}>KkvIJLvikZ@l5m!``v`TfejM%ugP6N#()wHeUY8qc7Qg(|H@O zx^$~G(dnC#M#6+4{mQ?5`t7fJ-QeAK?LGLekFIY<pZSMB{OsND`q?`k`t*nY?$u8} z{q^r{f6w-<Z+`V9KX~xl<=VGD^~N{<!F&G4i{ACrch3CUGfThq!goCS!~Q4#^)+w$ z%+n*UdDkO<@b0sJ=j3O;|An`{`U^js{D;>(dEE~mc-fm<cRh2|H5=_yPyPLG4gQ~J zZaMO(qi*PmvB^F4tK-XjS#2UWM+X8H>Rxo+MHjJJa_j0Ox*Jaxyz3u(UjkVkXMysm zVfkxL(Iv@D=g)`Z2PeMOobFk-BqQk3#Dc7oZv(wg0Y0(_RkkFZdg}S-vy4}kybn*X zvr>22<mJ>$UF?06y*}j+c2zp|g~3G8_~c_hPMyt#lr-FEoP9QHeybA`jfSiSXNj)L zX3UeOuXo8@KWxs1Y3shlqyO=w;p~KMAMC5FJ&HA?m5GffQS@+My}s~RbW?QGl9?Ha zzPR*b)4JQ4J*~$mYN4yEaW;O-d>^;BtIPXVYeVhEN+0XWk7xH=>v7h@zGb)y#eLhi zLjzQ{nxz&y5kl-2xYYT&i&ztH_WZIo32Vx8UA6YH$%HI@pQsE!DK<e5^|79HeP(*R zg*2Tu3H!0wz_pJ}SbyBNz{Ufy$pVmDy0xusla0UERneu*?Me;j4Ml8XTHCe-n@mmy zn}~Jtc7;vYJvQ;ADUUCirQxNsOOK099(n;b$+mrPsS<w^o7^cjVUaysKo*wPd~(ev zmrS{J{G)wmn${x~GubN5-MAN<NbzrJy>H^xPtf1H+&xb|`4Q|XHW{K3s!g7zT(L>e zn0aJtg_K{;CYXeNav+=JYsm{6uunskk;k8TCH*8~HGdw*CbaX)@O!RHXHPx(mFHCY zkC<JX{rkH8Z6bRsWO;e$C+yzXBmHDyVQERi6ARL!#3ob-nr-*VUR!}9hp`FB_TD_U zJV@tduU(5>4Z$Yl5SwfR#3mtUUl$wl`dSm^mU*n%WbOIck71KNdmd^%-paDp*-LlE zt)-=<JK0gkMvFUdJG0eV`rV)Z?$5<0>_e=pO&X@unB1mf{pLYp6EsIlXf%Gb^r9|y zjUZXD2~RuOGm*8-X{}aiNF;b<>m!f<vNmDpqMsaS>{@9P*^#R?%xw^fO>*6;T^M2} z7`vv0BmJaWJ7V@9%~u9j8N0+Ls&5&)3Y*COnTd&DlQ4D#o7CGjNxvS(t`3{9>lT^R zCi&QfbTW2{O=Ro}vS1Sxz1-^h%VzWX;l8sUW9-_q=V%7CESva~zB|{l-(sm^dWVp` z(skyU3rlA`de)<lPt1(4U$?&W_`jF(v5PlrjkAZ@wJ2kk*kr?oR_lWY-(BgO6q_vV zZJ~WL68eeQNuaW|we;kQev&cW;Yk3GmMstQMw|W3l)Zpq|6!SZgX~+JP5-t?&;9l0 z%GgUj8^yOXbxzom_c_Tg`O359&97yDBk}b`oM|ePa;BPc^DA(6>LQ+!pT*w3gZl?I zv%hg%&|#mWNq)~{CvuZ?%-HN5v+G`#eq4NsBhMAWw{FC@>un=-TuU~?f6FL0$=uqH zDevqb&1gv;X}Mzm;{JYfU6Z<LU8Ai0x6IaMKj9dBu$D;*nJ$>!FlLkTH*(obkRkn2 z*p~<$<w9@pFBYFQIg;Pil~3vu?h<S=&|f#}nsP_L_D9i$&^hs5Yc{NtI*pp315n6q zjO@W9Z+xlq=O33;H_QIR4av#tFLl$hZ*en<4C&AvCwn@be}&{@KjX!+=kJnxp(DTK zJMvw}=srk>*7Zf4J%HyOk@WCAUM~+n_+OOMs_SpD_dka{h(~2%51^6#i#!eLzht&~ z%8Qbo&2M%7Ra<)FW8UiKUbO|Aw0#re7c{%ghC5DPPiy<;r9(S-z{_ckIS^;>rSMpU zM>XVK#TVH(+_8SWEIb0%$-c%7Z|$jX80s#|lc`JY*+Jct>o|}S+0d1fy_D?bG`e50 zpS_pdu0v<0ha}w?PyM8lJMa-_sN0|$-i7ZtUu<%LKJgL?$;Z>PrazqY^$@Eq1Q#Ie zB$ItweM=1CeOwpKg6_53Dp%BJ0~xb`%E+B%_K?$41Kd}+v;6pw#Fu0~vOjPZL4`4@ z<Gcu+c};Nb=v!jk{7v`{>&!^5i(D6UJTia7HaGV7U&3X8x#Pm~jXCViOu+iMSE}z{ z$m$qoYr4OvGHgnWUc;lNS9iT{onYdDz(1<~sLI@psR3gb<!+pK;llHosUd?I*)H{+ z91+eVBQn^|%&?`70V_Ipcw%Xu!B3JV1$Q)?m;O_0sI;^cm1RHSLq{+CQ@9*v><JQ( zy-B<#sE#n+cS3Fx_Gzh2mgIWztJ`Gn$eojY!y}Ug=lk~FIr;dA#9OP{M9w0pOboY* z^CE^zVdfQV!u;YlsoOTgHCL_+=qk6#scMt*)xjn$Y_j%jbI`A9ldw0kGCZs{`PY`% zq}4ig0$U;Q6WgBH_SoZMleqQPZNrlzq&{EH0YT4f-9j+tEs$cL3G?*LH;<6i^)%Zk zKfg48kX*jIR@fw7T6*5!i%t6azP~rvMD}S3Jk?>7YBk`*?d&Jq60nm~=P+ntE81A5 zJ3y%DC%u)jnHXvmRHaI#+%=@}Rc*o}D|wi(N<U%JApL|+4d~Hi_|i|XuQ3-%n>39F z!AN#&l6_Y+>52TR0E1u?^Ay+N;ZxburuB`hvG$XbzRLXxeX!T`B8bch>0p!SWKYo9 z$jIFkS#8qWMW1xPA^Hh)hAnb^!wY?_G#zdY9kg`&cNZ603p?-YTX+L>UB5Y8^pl_e zSY!_U#CD8do&5xxEXi>Q%wc{-o9ykaNIzM&$==Fj*NDXXR<()riVD*2-%kpgpdZ!; zpn0ZSY7^DhbuGC+yzT!AHkrUC&-rT^y1nV8fB*6z_ePAJVeD!>Wky=Vr{=f6eFtqj zh})mOzLQRhzgd6T@Z<{@Hcs3o^J{4jxO-^F=a!DHjBNi+;?)J|Cp_CYSNcg;7t%(Y zMAA20X6#BCySkT_ZapgWlOM^6y5{b-O`hzqi7_wd-8WMVmJ9`-*I-(qu#BSn;Uc~8 zSEoyEF49vk*?FTrvkyNX;xAY3JhKdRH$N-gPDhUJho6luF|WA3JIFqp`&?c_U07D5 zrRo)&5VDRt>bzO;bi|yl>~a}39y+LI{53k3vYuzBq{Isn7kqgqAW%`i<cjpdKLFiW zkuLTJ#zKCXVoWI_UzLBs^Q=ZM2y~@if=)7&+_UjRu~&q$BA1srRg}%N6dt^hw7B>p ztCKnhd|SrnTd?4Zx=7^L4Y3p`PT9!HrR5kiKyczRolrM4v_PYXw&UBO5P-%CSGN*x zc`XedupVDH$<L`frmn;S7SAk-173Wg6j<rY%lQI$GraK_iBpOzkTwLCsq%#0f}rph zH4xka_dnyW&cWrZZ0_&lxpurG6t$7Od^Tn|Me#hNZKsDoXS}4SPK*qM0#4oFByv9@ z;M7$oP>EY0>x5QvTBcqOg?!8LBIMRQ*Th8RXA7kId0SY4<936;mx2qOCFd%c6-bQ* z*GA;{)w}~qRM5I2@NB*<2!taNIOXgFE7I-{`{TF>K_=P`l6ykRO?e5Iz)4-li#724 zxx6f;mOR`p;x2Pq&ZXSQ6Zi_v{w+jOH6d`J9IuFHcjlg*<bNrJUFH^so#nWOI_wW= zM~u`kCRA5o2Rrhw%8<*Y%V}0}6J#9pm8U!P163z&XE-PQRlLe4+thil^zx<)$fF?= z+~wNsFNaW|1Kw!<a*(X-+{@}o4wyfr95B7JWF>M%U)L1NLmOf3WtR{uD+n<(SV2WL z7si_zZ$fy0`bS2-W`L`I8kbz2kc&(SEGu@23r%bi@bnB>2B5l=o)FoPt3>*9%>Wca z8B?s3GVfzBNC_3x){+8r{S%H21rhT63b1*gsH@b7NwaZ^cfyG0WT2+S&E^FmIGaXd z9xIUp0ZCO&BLL_ciFpE6!okml0ps~m44_K2N=8IcdgYKbppaCr_2|Xb1<T@G@m%b> z9q*npSv3=j)*^m65L7*iOKpfHQ{CiIp1AxM6cSnnE4;@v&P*aJXEL8~RuE_Ksxf4g zg&IN^an>=ft@-ql#CX()WDY;Zm#Sr)L_?`Z{1sw|6e@`j2)I115p`5zue2Gd0#abg zr}J0>>s&Heln<l23;Ctef>z!Vc3N}ZkN{)iP?QYJ&}6Jk(WMTm_mEcPV{wZhs1E+P zgflM)Wju=?^yz8+RvM~+XQ_a(LR$cf2}DcME=2=nPeL%uGz~iTi6ysLXU%*PHL<&_ zyf!vvRcq#vOq%yJSpd(UsFi=pF3UO<iuQ`2)QIW`284i@LV2#MEAT)&S>%&!Hn)rl z?=%`Wvyn3vH}=L%aR$x@vRGayv5sjRDQpxY8Bd|Dh$FSrPvUU*nV4(&#fhh6nzgRM zl~P#?U|8C~BT^^boopfz$$TP>SY`*n2q3tEFbxaOA+Q@rjfnSic)Do(c~*#o#|EM` zL|{Fw=o~y{WNgw@t;?qz1Y&W-LZmsW1~Xt#9KcajVmv}RGY)a;$S}jtdNNoV^tcr& z$ErV5o7Jc9T`0ow;VLgZkY?an9|8rk2Fq)S@D4EoV<vLaJCdjem$lZBa|D1MltQ;) zg%<vlBZu?#fn-i96UmSLoty^mxy7OHsN@Eyyc;gWP(Wfvt%=4gM+Zxa0)5<3C&LG= zP<#?Y;5LzBrz9C!Ev^y!4w?xcO@SmVA^@H_HiM?|u_Gp>sEdT5Mml1_YueH1JoJ_X zo>hxSd5kdNrg43dnXo1bK>$(m3h*mpfjn8pdBoK}vazU{1V>XfLoSe5Y2B;_WyA{z zqMnc#AW}?d3LvFF3Q7@hD<QbtKMF2PnKc7Wq8Uk%fHziXEZ@u^Hb;bi1(*9=9#%k+ zJs?@oBTU*%2`X4z$FHU-k(N!A%0;?mi2EM$<<d(6(C*4oy@A9cidJ-hqy3dY9BHU- zT<gUt?E#~S5ucYO9*G4oL+Fv3QaI^Y5keeBE$!q`=Sq`+#9(4IIPdhc3GozS)@mg5 z7<QIOZP~NHctKZ>r@_Zn6~}8Op`Die_{thmul%ILhd{u?#TL3mhYAvh**r<!q93C3 z;0VIdHmz{gvNr69b+w)`QvoLgN;l=rfF&ffP*<&S>=c!3R-BR)uF?D<3&oMbsH<k7 zS6M6Lvx54nB^cEdH6*U)7g+-jCpsC7BjT2S2GHj!OA|?4uH2?anUXK(?U5?9d?DBu zkWsiN!cmJEV>my^Ew{3u+UYnOgR~BnOsKe4NaL`)risjR+`P9GZ$&JK1iZST@&*A& zK_OoILDWTQT9y)du2AwC4QN2-oV$kLWyZ+6M)SqFEO9kgQI3n0shoPYKti5GR^zdA zktQBKbDWn*yNw(qM!Ka^wtdM3Uk73lw^3>6UKIvG)_zBHOPys{Ne5)jo`T52(PR{w znI4uyW!b1)5FFP7{T8Hghz4&4TO=GMk7Mupp`#IvtSdsw%#<rqOZbG+)wYF(f}I70 zf#<>Uln`c+a*fw4)}vf3>9KLFn3VmaN@$@n>1<O7FYGaw?Is$N;^(~4Bx5`%;V2{| zVX$NA=v`d-==+Gp214US$kb0m1!$92S7r^&BnbPoEMJ(gg9Qm#*(;!M#FyjaXnxK( zo7SfMlo`V}-dc(aO_7ka(A07rA?%&?bcS(9e*j{>Um7dnrp2lt_~eO4aK7jx6Ca?; zBQx=Bk47U@1GCh6k(NjeK~r*-n_SZE=k05SmcO)y@U?V`1)B~A7Bn)anY~adC0Bm| z&oA_A0t`N|)dXRmgT|?#5W<5JJ>OilY~A-3gd>x+=B#-nN$qaNma4TiQpE16dMV!M zt~UHgA_@eSBY`?kbDAz!XlV`NvzU~<yAr1kgq6TtBUkGj<f?9GtQp{)*6RuaCpkik z(tu+@F_H+#-46i8IG*CdEJ0^P(-%l$s5#T~(a;0Q;RLVjLr9|m!9`G~7NA_hgh}{2 z&2VUr=kaSpq|x4@Ikh4xNY2QqKbptJDvQEEv&(RdhNJV0CFC^IoSEtJW-fxLgit#g z<~-BL8W%H~#gt@vHbm@VbgbqZkDc$@>A^`C;iF=?XmW6ZVP4}5J)x>Eps6*~yPZx~ z+(6Y>Fk?a?C9}e}VG^Gawv0CG%2U=h&BunGYlm!PP3+Ph+dN@9Yw|t0`Joh!v1wAK zvwC2$G=2dUKemMUamt#NMuoy{mAP<px|$wrvZ^Fk`F&~;D!M%r>l!C0_}UOiph-?L zA&JQ7C8R(PveM-=MHm3{L<Oy+ilN(5X_0}(Opu@C0BUKiT19AMiSmzJdb4G<2tfpp zZ26$BrRaJ9sRf{p<QEu(yMr1bl}3?)M21gHhwC(xemN1;pw(y>d=jGa6G{cA?m14e zoha%986$LH$1rV1<AFe-1r$7lohg4cPw_4f9LTd~C@6vo1KkwtMKRqm6K2{3bHiLt zN&fYNl_J%_7m2DOXq_MJ&+-k`9NMZ7>M}za28jr6ibD<5Bw{(?5!`XM|47IQB6Zy3 z5|nEx5Zpkk2<<-_YA^&yz>5>;0@Khg)Fs6k7S4O63`Birbd()vg~MuK3o#v?gLgdE z86F*34k>~<E^-tl74cuQFUxxbqFf7A35Grp#`lm+RU!-_lA8f>{01jpF`yP27aTyP z+WlmnD0i|_&(QU(3>p?!K{kVU38~ZejIn}La6#qsK97rs*g<o+hdt1d5Q2HF_Qg98 zZOu!K^L~<;MRItrOp|?c{qKvnuk7t2bfgh$SiK5a5wcDyBZZaY)&&qy>n9EdV6qT& zVF@rEp%JM<sD>3zjH2F|e(xjZUcnHW>LJV3C?V)(JUz|__KKhkDTZeP=*<Z#fNzR# zVJ7^%P|;5)JjZl_Dj*LAfePdbpIU2;DBE(`lKG_7ei8*TDdYcn2s6S>+zQ%-(2Ur+ zqH;^EJ3wQBE=*=tw7Q(IR;!_rnsy9bc3E>BEOHZrfll0DCt1cUQGm=mHEJt0t6eAw z7YdyV{FKHCZGa;#LAfZF@wCPiH{Qe$i&YmEI1Zzr34Sn!x;hpxr>xno2^n151+L8T z>y5E*o)(j3Zp_t<bM72>&N=<82pJ=s7&j$~5y-NkK<5J8E~P8SkU1WDJ4pDt=&};~ zWf!vH>zh&?Sym&_RRB8FEZY)+1JH@~a(=&nu4kk4c=^L>{5eN}-zp#sAtY6c<+z^y zth~@9*SJ#4Xtr|9UWLj3K9sgC*vE!@?iIy^Z-eN-1l~%T5Ci`=bMFFYSy3JQu3f$R z%<ePI^gc~9G{~d+*x;FwXhQ>{pE}jYW^6~|ZEzZqXr@8*PK=4D#L+Jbb<P~%OfwmJ zU;u|89wt17)(GZeVuFw6Awe^r4EYo^2}*Lk#&{DPBao;#egCWWKK)`)ll$HL?&{w4 zShZ@^s#^8h`_!Xc<Ygt~rbtBgs)lZ6p*7{@!ctPE&7)c6n}i4*k78v1p_)=5C>Y9) z&CJRfaH)$eh%8z*+$-8Srr#tNYh6(UPdsZgW?C0k{G+PlR{;yrkY>eT821id*Im+@ zX~l98^@G<8jF1NQe+?`X+-j;AMs18>s}+WFo$#uhNn|sH&@1S;y_ymNV(93e$Uq>% z%!-<zDB!G3oDxzgN7bU;P=5R?U6?qt<(=|%<v|S=oK}f(>FZqsTYT2_dquWuvf`a4 z7b_tK@IQkoGOa-YY-TMt`Bu_K>8y}($w_qf1Y^_3$dEJt)f&mfZ;K?%d@QA4Ej#<q zFe$z8iEAsBnF(X$lvgLcN0<2?5MV&*0O<NpUu9nVgO@4Yr;k3`tm;lyu>+B@76@gn zePQLdDra>MRz7sbd#KklGyNahd=~l`J4^dF1s!4eKm^@pbh6pK>LX73ew-J(c*r^Q z#sQKIr3*UQy!rcQS+{v)?e7GB3@vUv?tXG8FvH-rqNrmD&-A-1)i9o{T}$yEVm!GU zoeYs5%Kr?hx-FGs-o1IvGjpGNnK^h2xYwzY+lrR|0?2CULPA4BL&B3*tL`FmVRdBV z`8uA=NVR(LbFqErIo$wR?mjutr9)L$z2sGIa+iMnZ5zLS#Kfk-?^WM)R^08XO4l8C zA4W0jxFZ`UHty>_Z{sDWzm4LZm>9fd<5{B|B)CW?eDHv9<Hn8XWTQL%BlH=JCyot? zs*^Zs#I%zJ+&pB^eSIu-eQx#J2n%%bq;&7U7;OZ#@M*EG>GC&CM>nRO9q%1(A*Tzj zyUEMR>Clj?%Bkq&_xnyd_v^?0Z0?@7x#!*^rdnlW<WIU6V*G#WTCS6JY7absi{}AA z9_YhvhbVR_+?jjtlrIF=op&mxja^EsOdpWP15#a@!eR=InmrHPDR({|SUqqj?jX$q z<pLe#clNcD)Yv%@pPh2x&>_j5t{l|g#<r>c|6)IX^20Lnhraih-}}dh9(w5UXTS3l zXTIZlNaWccKKt#*aDDBOhaUM$i2g%#-&1~n`S{l!Ik>=Y?t9;RT={+NYYY7T7t(Ql z*OfuWWjvRceDum!zB17L<3ENxKlI4rJb&*Y&GRFVWHK&~`(N!ZI#T3yuvlfvPK_(R zag6V`Y5Q)D>v*S9d@aA9<OY8A#UCH8Hg|`#{X@xB4*%-UX5svx9^!GYNuW<ldR!cQ zcIWuRbH3mMKMpSr_TgcDaKwElb)}EFCq-@iS-RY_qIAd;(x=ms@w*%-XzlurkjA5# z9p9GOh5Obs>Jfh1`Us1>tq=5tA64I|G0AHcJBt5jQN5IfdPNE+=l+rje!cGmv~ZA= z+T5>F98rFKwoRAgxkn|@aOi`*JHr=#RNO$ssPLKMhO@A_UnThC8`iHJQ~JZ<8sLr! zV<{&P++jE4*E>BX)$Ru01TQ<)5Oe3w@N+?=_mDJs3IChS_D#Z}Gu%^R70<mTA)W^x z_+);0a;Jonn9T69A-Ai63?8}vt2<>96!)D3Tej^Cca?0#AK;%dTjfzXyys{TJwV2* z42>-AS28>J6L@`d!7u9nN<-(Ae(S@X6_t7GSue55JKRsAj_Tz$@Y`^QRj*WQR;|co zev@Q&xEIB1x^24L{^}ieJ@g&l%53wKoc`fEn2mxb{3625*YK<4P2>a5%AGct;P4_g z!({%<{hu{8g~dP~eegRqjm)amPB6IVr?FZZfD2~ZT7=2)!89&dM>C4#3C0?GR#RAj zJjg0W@$ImHP~;-;8sE($mS=+i!k0kz65k;r5biUY`M|gx$F?26#CAa@w?H+|VF49f zig!G`5MnL>)2-m4T?bem-{tsrI9{u$Y!bSdda+w6A~kYoyE~2U=E{AO?HC|4m~1;# z)^?nQw8HwQ1(M9=u;$-m7UC=?`%nA%F(`}6D{>oL+p)~f@$G!ghvxWs{}RW1?w4TI zvpB>p32lcg#V#TFB_5OM-D@f?{`Y0nZT=ZLF94VM<}2&6ILo{_^)l>oZ=TQsaa)6J zdr5?V45hnRz=g<*h%+e{1z7_1`6bY1tludXgQc97>NQX<B_hJuLZrM_Djj8vnaR{n zLF=``LU}IanR_eZiS|$PIiH2l#iF?ozs)mC%P(p>nu2v|&I8FVq?uvud|>8S9=<F% zc-<nT%GPd#i%Q8ZB2lN${XV#6q09tU8`q*AnjlmenpT4jhx{VZ1x1S8kP+inE@N1Z zM*)5ez2iAuhPUwL-)ndqILkRW%a}ESJ;7cvrT}R{T|O^ks~+VXd8`-xI;KwB+^l{q z$-qL)&lBzJ2c%UF0piTUAM285&4Pyq)|eQp5&$-sc^pK@z-s`8a*yawEfYUJbOgU3 zLlZ+8#hORJtIt>_wBR)$33w3dK|vtHXT!ynG=#vM1cYCJN0ih-OLj*2FolzURgM1q z?y}VbiaCWR87G#D$l^4<k&F_Thdvs`0b72e5bvyd!uXq>Ii9)WBe038faS$z9!ypX zxq$YtcfYQhce~<juY`UM(?HI>ij5K-eu4TN9C$A#^0<t0zlT;wa7aO=+9;(FUi6*P zSHY5*$f}HS_-3c)5|4^z)MMs9gTqN;{xXPN@aAc^ks9ixp+*k(f#TaNC&DShQH)NL z!%eP7GdUBopcq|pq%@?i#qW_gfU_V-$y<lbw^t&kRq<&xu7Oab>)b;E5t<&=>d4{+ zkX@1J(pBn;=R2j_M%F`7>6BfeZb=}z2A5YarA%eHrL5~<fB~&CUNy<uj?_z4ta_ca z28G^Ble6StwUz#N4^ozctzP3NM^dYDI4MVb<j<hV-16q&jJ#`6?qsLW#GKRsWrPEM z3c6CU%aVy+PP8cLve;?L&RwHx#qel(aH6!d`VsURFU65UBm<5L;h*;b#J~k1xJjT| zE0^jVOI*a448X+Kq-sV>j;b2fEGd6X)33mWpVluYmVr{Wqn3<FWRo-^?*MrYwz_#! z4B!<77di<tq6^g%A~Tc6O%O&v!J&*h>hpmV(`Gu~0|B6wOleV-#5~%kzz@c(F;=rv zyy_G}R~NDYID8T1=TW8J5FWtAo@1T8aZ#hvj4$Vm_9=rV3@@YJLGP8ysL}TaSo|lY z0xA0}&9E}Y(<%6-BM)QRL5PFev6Rbi6z@T#@rh-mHLSHE6WXcl$ygc#pBg;_<))$r zwlo^n-{2+%7pxIKn7D+B<BTfR#eQ;JobPqi4T;$%l6Y-Jm73LJr7Y4o9<&mTkP00R zV<BtwxUv$#tR-uK>0%>7XehN=VRqo5{<MGoYpFA=cMaL68_^t6d@64aF0-3iw(x|6 zAsdHZ>b&<fB@(gxB?E^3^?5)gos^5w*`x-kc4tEY)QDyj71gt$1wU)RGDsg#t{DVz zFh)?Xqq2g{Rwm+bJc+J+1*`dt(%H<TnW^B^3d%}GAJW1M6-vvm4NjUkUGUZy&=9sI z7-W=*-AkQ#n$2}6ofAlu-mx6dhN#B4aa6BdC$$KW#0OKY91NFsq)H#ipj7JcbWzEW z--~rr8>_tzOuEF<v^mL6iM--%tdSFe33Zr03BT_K<@Es(=3&RAj`ik=IPK!8Qj>AK z=%id|U?9ZA>g=WCS=CSK3Od3EUS^P@v%-ZVJ}ivULliwhhfk1!3Jwb;)g74-g$_Lo zsW7cKMSs8Fqq%7j!)dfupd*&C_%5uAPZ(v39bQ>!>$XT@I?7OZPK;D5%Q1mYU9h18 z^>RwL7uppARl8^#63^=02^xPjwlBmhgjU85FmEHfJ+6<%=D|8icz=i37KAvRS{W!e zMQM`Gq&^~BoDeQqB~!-ak~{`LsTp*Rs~sbgg%Sc08hQY&-2^ygIE0HpI(a+VH_CCP z+IKm~^qJ)$)MI)*zXsuPjzqG8V7j(Y@L=EpKk2#+Lj~wVPAvHH?-{DTITA){B?`St zaL_3P+v)ExVTf`B^T3%aC2%vG(1=v-ak4Wmj363HTg{l$VT?s%>I3LAVCTYrm^|Rk zOG6zcCj|$ioMe<x&~e(;KRT+D5&(HRouZKln3mW<t%z}@ND;<rfI>19nA;GM83R4F z2VIw>%hyG98LB~9$}2$<WXlB0S{o{d5*jK*MZ0A}p;o{Ii)BC{gQs@YRV6$Y4JCs( zwfnZ1P+`|peYT=YW0cj)K*Ay_N6HAIx@>FF?b0}DrBwTQSp6ED10LFowByCy!bs}G zr_)Z%Sw!)gZV`t1)h=~KD;E+(Q?j8ulGcpUG()%DBw`&74jx|HZ%ex-&mXS=5r#Sh z_8v-P4d<`sZL;ot%kS0g125JuNZb`-mB!aiQf5@kqS~oSUCT`~Z){x~N}7A21+=j% z7&A_6Er+reWW;J*+{h{Eo+p)8nJ>FZaza<V)ZosDt7efdtkqUcOS3aVY@c{|s9$$| z(8k%S<*HJ}uTb5p3CPM>D-oyqQ%_<jGKe&@(sv)z`f;CF#V57s45>6fO)l5og7(nk zNeNZuLL}@Q5aWp8dHPSoFb<&;86=ved{_)cagVKFk#j7k;yit7us$?NG2EZDI&5~6 z9Y;2usp+c{;6#U&%oP6!O_KZi{kFf1lmj)wvUHfsDxo;7-E~-jmn*L6D|<N_Z)&{a z3e~fE->Khh{4G3myxuj(IM=q(n9<wx26y&$kFXn|mr2MUHG5kFkrmn?rArTzgC8V~ zEG2ZqqQF_vj7fPgiRG<wQAXG=`w5M2iM^&hPG1xw+pmjEzQm-he$x;?md^`{%~?bI zS_Sdc!9y#Kda1y-#4DP~nDr;qb#(n!A$jR6;pD>wD-1QT=TvgW6S?o0S*eV=Stq~# z8)w$e>O{4MSqgg@#7$G<T*P=1ITiZWykZS)9nw+2`)cxrTf{kX1Gq>$c>$<t$rYi> z%u3bYDbsh$o-{hgu827*zrvaKlXCW;lc8I}eQ^lx`FF}dWdpFLX{Lmz*O|9Ge7be5 zejCr?we(ef<M{~GS(goW(+74&v3t-&a%y4d%)`nQgP%5aGgxc5x?bQ&**q!CzZ=@& zhQ0(;01^N&$*YXn@gTDz!y5o8G%X&_lR*?L&EtNZn_5b-pr~m>{0yu{HyGORW103b z?kM)kI;vvQMew^VuMDByWs%g>B$YGx7#lgQ`dxS*-vE=%8gvECjQhwD4VdNXg})_M zJ6b@tduAsW1%DEcVl!v$Yau~tO{a&a^38z-68ys@;|Z2>!i>*QBPYr%uU1EFs#+=H zS@ls%igoms>MCk)UHYKJEd)Ko**@oFGb4rn2kK=y5L@aR2Usf0eu<;~KBSp)sJrc` zrj-3sNR#fOoYFP06f1*a^+T;Kna?HMYBo@9l8y>F0kT<!I5AGdO8~2+#?U}k?Tv7D z932X-zo_T)c@SJTlXJtPl@J|h$?2pg3y5J10)_IUdt=l}>|Sgcc_L<9fUkyeIXP0x zlSUbNjizboV5_cJdoZN1R^?;{==eOrMjt#&fYFtUh9Jy^z~ylRNvLp3h=s`q8%ola zyqGf=9TSE)Z-|p-tV*{S$?JG+p}roOBx$edwx*gqoLURRXgWcK9cg!6vq;|<GTN7n zsyDb7Cl#}cWRT6Que-Y)79}N5G0|Wl9;LwN0Xa|!ptE8|Wd)PQ4vQj_NyDhXt67(( z<3{>Gy+OMpY@JSzs0HN1;sWFI6kFEqQ7!ms%2*c3kqAj_@{X)9E242~=rH&X-yS5O z64u2Mpj(u|&|gKZETdj6irYB2IqeVtf<tt5lSNVqbs~vJ0&A6k%3WbfqRrd|vbJ&N zc)xUR`<%`qN5pF>r7P(tA`(VaX}U=6_HvTcx{`RaFT>d$xm_ww_mXvMRiQVZ#Ejy} zjP(%G!)yt_gei-@(`#Rf{8i&ysUvFxTz7}nL6REX>{k<KuNJ9uafjy48Dd65bbeLC zLA#K-Zi}P?)Zc_5tRiF$5&$flEi-p+smB-<L1~<(3XD@|SXx~J!uFl;F%e(sj)|T~ zSt9FT%U<kyDb|!0fkr?ufU3xyU)BnO8YBsNuu1ILEoDh75XGfdkP(l2onw0DNoW!u zSz8XqfEn`o{v%JO@~}EA@pvk{3J=v%IrWrNvmXw{T}-Hx-=#kIXooA<p>%-wll>RR zp_ple0~*syON|Baw0emfEUH!z?;CXjw|k%U5EM&ZDjEg%0$6qGkf$)~A#>`Y+#3}A z5>iDQ@!<MGPM;U31OQbHGFkx-!Yn*p)_Zav8W+=mh#;3HBSblhd3aHE4T=)0dwM@) z_x>borlG#uW83`=28r+@qm&ACf!6qjZ^BG0($nx@qyH2oEo-OgH5%52ICpq#cDM5t z6c>FJG$HwHqh;vNm6TCWrY0GY9hE&6&qugAXjT$T89EiRlLL8U58>X0q&$)JKN2hA zxJysFTdEgkY3JoT2@ZXPXZjK-J(j|>#n2j?0gWkMZFLsGfE#LK9smKXRDfoPpQ9H9 z$Po*c<}c(CJC=Njf2`rXTFM0JowfrEN2Es{Pw^T?L${eNR%PWP!(fl+wydQ3BMCtZ zKm}1$08$9u3LY&$^?9DCwjiov>DekSm6e)y6x$<U=yM9L+t3Km&|jmnS*!Fmw%J74 z*^t(_1au|cNK|$5dXIUk#>*fRi7C`k{o{!}J8kUmD<CJjuUhMxjbfvj(%Ck(2CEP? z?{O4r9n*ufjhf0IYrc-K!a2HyC^mdC)O~HC8zu)+a?M~TF^f8O(<MpWK_5Enf+EOx z21ZL;;yN#}I-?6<<LGFWkZSR-&E&cASfydfwAoHik!)PA3CmG`N3EvXmgN2rhZ(45 zkBZ^W9_5SBfN>|N9wXE3O(FF)>7$0k++9Oyh8Riu*WG56l8a>5E-FulYL}WjO&=f2 zHZA2q7zdO1=N8wF%0)xQ`v-SX7(Vj5*uWX@>F=9n;5>)2dXPSiO9W-6zc6MuGo-iZ zy`od#l$@*C0ko}aSLC_KEw!bR)y!nzJsR*-^Rks{#jWgMHz|ZW9-1yf4QpGcb`}ud zgN`PNrD~a!S>Z;oxOg<5u^J$aOe)EALJz|HLf?uKp8oVyhRTBF*3V)bi@ZFLQbO2^ zAflnS)IT7mp)#XJOfIb%1ZhQXlWK4DR%SGE^c7l2*Hw{2I`@;w3+tvBe)zJXbxTy~ zvr}?-vSw{;sY|k^m0mPe>993_G))!0Td7UzphUDBnqsX~db_O@bu1|{qha>MiO5}h z))o!gR@ydDS>-E9D;`+YKVm02M8w8BOH+xZgs0y&YV^}+S9DCpUgYGAx5aPkJ8|6a z+iHg%PO4qskCSWsHzPT$d+!dP`0e^-yp<x-%2s8AT$r5MP6J(=D5`hf^ohUt*PEXD z$xp6+{Q4dBLR7o@vA<sXe@y)GbvJI`dt-Oa@2Js0L~1Mk9)<YYm9=;5`mRYH-}Y-O zk6XP;u85-RE;LU+XdfH7t~hn;4P8f0_nclZ#a?4}-~8I^Pdc(-59_&cicT%MZ1vPf zRvS-)>gx+Hm6R+HMNQM9jzyoXPu(-!E7x5v$@CR+V&l5k(&bmu+8sar_R({{Kla<7 zI_bt6j$Cn5-*vy8Y<x)Gf=;@+{-n5Sb)i@){hy813`Vui&DXW2<c4i8efKxMJGJ-G zTVDF)XStK*@lS5O`o@{U;r^Ca-q1*9X(wl|^t<#uznKjEYxml7PpYIVi@R=U9w{9g z?<xA#U0olac~wv0h;95<lnEIlY82IE?%O|o*+(z^=tuwT|Jw2F4du}rK66#`vqyBL zyAHl>_4I!mi+(oo_Ah?-J-^8szo!m<@zQgjd+ui+`RVt+c<G=2<hEDc+xnYh&;5f> z|HaKaHtfCW?X&e_tFJko9{=6X|Mz3Jd^ns7tA(LD;S+e_n4@0%k;?l&Jbv|$SF<u( zYF9t>*Dq`BZ{B+J_Rn3@y|sC@SDldGpc8+^mA^gxbW-{9orU6YtHa&gN6a)Yc`UhN z=dNON*Oke&JEPU7bFXJH`NWO)+<f~HSKM+`aq8x{VdS!;?$~lv=!}#8Lag<ajA~XB zbB}gdC)A5i%Q<mhXG{C96FW<<HBJW7@w=Y=!54pi+2;TIW_#QnvT}QL-Mgorer(N( zM>#k3z1J6ut5+9G@(=o<sw3*$e8eSFa%9)b-#wx2W8mdKIPH?D%8C>2y6NV>S>0FL zo!;P8C+Rsw-_kij^RQEbPHZ1Kxt^6~uiJQPbL{%AuHApK_V^WC=(I>DUUl-sr8B?# zzppy@z6*cQ{O&g@eUD#v)zm#lM(M7(-~9Hon>K%F)4-SZK7Gk}^3hGZ-gfY{2Os{I zAAb5zzWq<<{EvTm`>U?K>04dDdF-*D|8(n^>u-uCh}YZnrIpY9_je!ufxWLM`#B8L zSUC~5B@fi=!?($BPgBpa)eArNaxX&n+jSFFxlJ6-_z#|ArKhu0?ksg2n}z8)!|lI- ziPgkdv4Xo4dZJbP!dbL@bI710x0hKE>0JtX$TN2(ga=CxewJsx!#Tp-#Go<SDM5bh z@6jCv-Ukkp>GFVy4$cbZhL2I{c*XFR34fW$wb$NtwRVV$;rxkr4MRNBbAVH`^*$8k z3cNZb+%s_{XM&T|U5n$Wn?uUyI)2<a1obD|aWWdaFN(4ZmYx{pbHa<Yvm71%8C1ib zds&o!bj!rVmTvHG&f;KF)}#~C&TzR-#)VV9^{D9{?vTiIG81%iFK0#%kIu)><=%Jf zTu<k{TRNZUI2O5qg;L6Slg9uY*SlqSZe={LlYr0fJIP2EnHu?!I?-dW3FJBv&Cfz= zQuwBN70^jmezUU=C=ELK<lNk4o6t#o?X{evtU76ng>&Zo_neN2PDJ)C(#ZpJa!}@u zd)IB5PM};0dP;C-XXiSS&F*8F4@M`Y5=S3%AoUX-okXe=Jw<!&_1X&b)Mh#RN5jMS zl81$JmuUbTCVeo=MBtU{q;pP2Muu;j`vAwtljDhV-?dN6AZsVXPs}H)r2%k=`|w@& zZn^7;M~=;SEtFF5bLmMa`(oBkR3~|ASw+{g(dT(Ca%kkXPTFN1+KFZiKqu?KFQg{N zGWE$sIB;M~&`F1^Lnl3RrMVf}$z_{X{JV_3=RG~g^mGo>%qAetWNYi>xW7jyr{{OJ zkp2Vv=FmwGr`I34oxB{KJoC&m>q4@5d1w~&%;+;GN?fTz+TWoj52F*#s~#SndrEae zj!FABXf?y=WZ}&CAHGxXCpknrDb78BPPpo(NADLx^u;<UKTjvas*_UruF@mN5(!u+ zrBFb*PMC?z_g#T3s{uH<Lm(iQ8AP7%yOgOyrjwp$sPl6aYSzx%>B;IV7y7O(p?9jB z`y72&&qQf%zV8~<cA`4TlFM|mxbHfBQ9GgULMK=5e&7XkqQ|m#{P-FAuDOno?~G}t zlQZ>nao+8|<1nLU<)hN%_JgOim&p3Am7nD9Aaruz%}S?`zH@2c#ho5}vPk?JBt2>@ zmN#7_C%=`EU+*>fbIW}w+&2>LH-WBc`Q`@xbH&l*q0BBmbb<7KPWiU)J5d{c>p}JN z#F^jlYg+&Nl@1Abc?q_L1KB6R?9P#aD&LF^uUULM=1vgov$?Be)vev@txotsTp-!U zf_8euXE6ttDvDGu<Q;)eeB}#eDBPiv+2+Km4D~7gB4n_!Sv}HB&*1)(s%^w~8&_-~ zDtVT0?}?2E^ySJ!C!^#2=Yh}VWnjo;?H!STuU;g5q-)|)>1SrHV<)l%JNTjRKS%xT zaN>Ok|9b2(>?-`L#nFLY<UTcBv3Std_W+uQgu6J7`#@vY?r^WkgVE-b;+L-PA8MY* zp)}!s6cP>hoSf8c*6ZCN$9#VE>i0Eknhtk`<oBOoSGY&zf+2mokv_#&;`k;RYM!BV z+=tS?*v75-KnSmI?B*hQ@+RuW;6*YWZ0<ezyEk!n$vYZ)r-_WduaVy!lBY*Zem^T{ z9t~mPu9i}h@95H{vYm0vMSZLJ=F!h2t~dDa>Emvf<{5EPHWxfxySUQ5y6*zQU&OZo zl|l2!y(LxN0d>o>%BFL}pqI9c?}Hw(HNEq-tUi><KDwEESD2fRO0W~X9r&nz_<o4{ zQYvvW`k}OW26<Soe&wt2cri38S4bCRfV@BKX-+&a!9%au%<w($!X3t^#dJ&hDB{k{ z90wiHBQF}(dmY})<&f%=;cT+@`cd`Eki6mpYHtj8T!p(s)Vlzk^k)3?*Fn$PBO#Us zmpScUMlZX}Q%W5j&xLX5XPN@HlWAXMUiO{Y2lg=v=;{94n)Jed!xP=3BCXa!qHR|> zq&C+xJ|yy;EsE9Au2a;%7_vT}S;6rgGBY6uesny&)V(ixiKCsAx+D6hnd02fCMG&I z9XOz?76<f-vpHTxPrO(je8xU0ZqwXAsazU<)9kEFA5hlZl<?5LeMmlY+k8rGos`kZ zsCI>nCkk^tyLGhXDucXJUt}-JcUvb6i7)Drp-l(gTv``;PFWZD?0fwveqbWgiTu2W zdM%xLqsL;H=+v25M~~_RJXb{Ip)=?NxwSOV$&Wwx`sWxAA4WX>f=-U?o%<Daf}$z! zL+RwHwoXWOK_{mTkGG}Bg=vq&J;?bNzt=N&peIdB_cHskB2RS3$cRoDi&ZBjhO-}` zlY^`NTT!zRbn@gTXeb|<ojovpU{+?S)uZoVO1|%16X)lNsGb597sN235A7tIV9#kg zIneWOzoJgKUgkwTbL%$Ez4;09(H=`-|N1TX73M-ai8{jF9xtpDas(|p$>wD<GiBb7 z_640Be|*P}U;jCs=gvK>*Z6QX39_&H8gDPydKbnoZYRhW+5iQ_3yF@aZFnlkIKlNZ zi*@qMTy`H&o2qRyaYZLXL#LgFB<ZG2%sWm%C+p_sE}kowgHCjMO{X^}KSZ)(QKzsE zKA7&G%XI=$4vfqG1N+fQeADiIbHlr{c}Ga2trObFL^-q*+BXo|3Ae-i$~u{$?^-wB z^X4b0a|`p_;n#=vBV`zLLg)2Etp)4WEt__=`!1cVW_?#6n2}5;de0;}q4U!Fj_4mU zoxnGF`FHe<@>kFa@`b#i4Bp`u>!iF6224>m4K3Emm-ngv`Ec*dy5m=Likx;DFC&!r zOJ34>1br7e;Z;}WemZexM~_}tr^#roq3=?iJd^I%zUyC}c<PC{7ZEs)UX(;$Ng)pp z>$`ad$x6qRjb9)f!&wyP`wivM#1qaHvYB1DEwkeXbRQv0Q``F-^KhjL@$-F)ag_U+ z(FHy!ra~T%y?ZA3OGnlD*@AE0!H03wHGJSzy1MeC#Dm@57g_jL_z5D4=hKbl?7w<T zZ;12gTa5COkH0>}AL%_O06g;W>w+E0qwB$u=j+xNoQ7Y^eJH61rJXACh0m_yCsy(} zP^|_T?yK_U6S`8iFS0P8Hs2!eD>}$^Ut?yok5T>XdmO=v($c&?!#`RLc6@kl-;nRK z{7gufc#^}P={`j9az|x7w)x4<fR9|*FDNya`PpBXjC#I7X8ReX_7(66{r|qq7SDb~ z!p+}egEzmyln+kksEm(>E5J9{!oJ0px~jTwk*UY_49((MuYO&4`h8Gl+fI2BThmdc zn{Q_EB27QwX&=o-9;X2>XxOjlnmD#Kf{dms3)giOlg-3mwJ#2M{hV!;eyZX5+0oa# zZ%-%)sV66fEeqzKJMARl9dF2N^QJibd}uacEB>rIEEck5wy;l8{b7Hj%KFcX{DJOr zt9g*k*jSvTnaO+7?dpS1unukbMd++HFG5T%xV?ltEg+unnhhu?PVHbUvT@F~akz6p z>YSczfy>sms7t}|wB71w;E(Q9F<b}=n8gOPuI<k_sV`s`;oCgD2Ic?_;4@3PxPZuv z#qKuR`QXY-rO#a|UE3)+xVB^7Udn$Sojcr8M3f5?lYQQQTv*$+lw`rz?xN-~Zr&v( zyiKXF3%FnCvcE929q7`(4$kFCtDNB%QrD(bn_L74_M#{V_x~2$Vg|~85qo;H1z3## zW!Q!ArLK7%ZYlnMh0p&&CRs^o{@P`!<cr;Sj@y06=BiXq$>(8lxx0C6yNxJfKBo(z zOA^b`?E(%A7jxBmcSy(?XTkQ6B!|YfNq<qO@tTKu-uRZla=*BRU`BxFm(xP<f7(^} za)|1eF?gZkSw{APUhVmR6=*A{T?1^0q&dx8!OIY-ut1w(mzh5IV+ZN2_`Coe7!8F@ zuyLy0?z0MkKWS<*qZUIQ28Z%lToRc-V7wzNm(m7jCS-6fHR1$OS{f_Nh4MhFoV_mG zSegdj(%&Ka^PnR_V%E9%Ad(X3qd4i{;Zw^zSaGZa3aq7>^CCYsrBvM_s(B|4uO8MW zEdwod4v@yQ%R-pP<S1Posz0wQ;KH=(6z_wDp<FUUI{6Vz_=v~nx*X@<8XG;_eX` zK<gmxR911P67j0<QgLxw(%*FzlhFna+MmmaE=6$D4T_`T;LHk!K$f>=Ji*VXrAj9X zUe6z21eFyd!bnL*l_td4rSx&e!)Y8oV-1!@vN(i{6R{+*l#Fnz$tW(3n$h#CW)Rv) zl{uI5VhIuln_5Qa^fP0m5&&^(`P@LBm{dm+&5GAG1pHGFsSk|Q4X6+SoMtzp8Lg%M z1bD;DSw!!Q9ATZ5UC60ddJ3Cj4ID)tB+teLEYhiiSZc8rg18DuEF<+n>2!E}EY^b% zKTxhZYzm7Ka}>8jVlX2PmVkF=QmneD7CzW4y>d8ML_k?Y5&Kpt+~zc*@O?E~i{$aJ zmQxHQjvSAPG2xRS@d$E!?v41$%o;!|23!qXj7{Abp~_#2>p~D?a)E{#exjyUhHtoI zew>fV<6<|IoH%V9j(0InWS^MG@`>5oAbH7IK1FM4BsUt^ySy4ei}Z<Rm8fFH@c}ZO zj5HqqHvDU*tQLi1wTtfHX$hQcFGg9$NyJGEhFPKq2RK4&j>)&XRoAS={7nW5!m>Vu z6m^s^1D8`A8YRvGs9IjVcBfc(qQHSVs+4gfwY;Bs8L>*>cvL{%StFy@O!HEO2Ub>- zD8q21?ww5Sk!rP;PD)*)IOjdTz(cqMf=F=Jib~NnSh^jtZnrb6yh&t0MH?YTCc3=n zE-8BwX5&Ff5v8j9fS>Q7ta{mN;8|GWaXN=jI}yuEZP8aOIE7TDo`-?pvKcenrmZC# zes3_D5<^mIgzw$d(XgNx(?&Da%jLLAaw6SmR4YgIUJ`AAQiumF%v0M?fuqPOPN70o zb-_g*uqlcrB+_p~dE&|hu_`U;IjpP~^k9+!yb2mc!Sc9)DVeH!k2A}TB|8uV8d#7{ zW-3bAQp}J9NFp*ma<Z1U!*C=KD0Fb~p;REVff-(UF|L5o4=c*l^hPZG!eugcd3W!` zQB8tqsskKEs)NA_9IJX1PKUHQ@KV?;V$}d3S9<2j62t9~x=oP<Q665~n5vmmixf#Q zMs_f8V2yA9pYVY`-3}igHvJHb-plgFzemMOyK_3+o<|`YcDy9j;4SEZg2XpXPXn__ z!FX~l-DiNDwRP@p8KVh#c094T$%p*4=wlEDP(!;9lQeC{xz?mCvOMbUQp-44P)dru zj1_@VZ(N6yLn!BM@cU*<8HKVevK6o4`Q1Z(QTG@Go@eEeVhgA;(Y9SEfuY}HQJuk1 zFg2b?snw&#tJEMe8K|p+G7icVR@19LhOU7p@K+1QjQ(IXB#S6^Oj^DjfFgReOC;k% zx(__0(=e>LFBrK$Es_k2+#6nbF;i)JS$VfKEI!!XiV101;2wbZ;)1KHGZMxeiVOV( z$-qY%7HL1?a7?mWo{j`j&Ma+=yiC$fE3J!YxJs%`cT$c}KH;x3?<*p9A!_DbNEh7& zTO)`MCWeBp(;at`^0TMP<s29TKJBY%pERKHkS0qAJl<8i<ZN)ABImND8zExOs8wFU zg2w<YFUSTCshmdA=}d@?XQTA>>)5LpMo7%Sz8oAXH1rDHxs6C+uSn>ovLG!*jV@mE zh@DkVtsuA=Y!E17Jc>#&f^H=L?SR+}F~R|*(FdymD`v7595&Pg&sA5<2C2M$s={Vh z&mv^Jo=P~iHR#mNcvJM$p{DMIwKRn$?a9lZ=ngcJZ<UC{D#W@Jt!GDAGu1u&-Ws}W zmO>WznxuJ6>bkiQj-fFUrJStQ+EO$vqLVcO5^Qj!!H&W~b$hZ(jZw`ZBhW-LiB6-6 zwQ$tI!xbfl5v;;(3*HmSL&-{W1c;5r%_2MH1#9Z7HiL@A&Vpd0f`ZiE5^l=YlLZBs zc$JRp4Q#9I0+sst*P`IR9-`=w+Y;G(%cPksRLkN$5$Vi}Op|GfW(xW#E4Q0%q~yD- z<^{%CBDkRrH4$A=*1nk9^Q`JErnvX4nVgbY$o*uEIftUxYkhYEULzWb{GNgIACPHJ zOxPafY|4W6$ccw9VEOafe>&;)meH=Gw30$rZ0Hq4UaaFu4zST?dO;O6&VswbHf#4; zWTdF!#0FF^d&s?~Zc(5Cir19c5#*bsA<2oLTG-ttHfAzOx?2Vr%qgUYjSwE2(soeS zaMs1nZgq4Wkxk0p)DtaNqQFZjz2-9HHOZ30@2G2ZHIUeOIwG-rokn76@fE#Etb7^l z5XyHp9+sE-m4!4p=IpIfMZ5-l`E0Dk(}*$R`=gNSEJ-p8G6GHSqjqmCZ-Y8CD0!^X zrAc}r5iXZ2OjD7_xeDQ?QKvG_OJ7{j8l3{iqbMG^HPaH8>7abHa&k=GU=lYwIql>E zdNEsd<FjFg3Z?bhBO<JiW1VEAQcf8YeJtI6BZFaNJNKL!(aodQPm4HaCP>)rVZTPa zBc&v+?J=m|7+<%V8S<jls6%FHFk|fXlrD<AU<b<L42?e~S#1n5EpmqU>Pj!?A}UWJ zW*T9R8q#d2Scs>Cj-_S-t?YHtr{oG5G_xmxPP;LTtwc7p{p@D%HH9HY8Bk&g>7x#M zyPHJmleB@z-q`e)WB;+i)Fg$Z7<d!f1``z|)~x;lAP$XXhY5nA7*;Hh9I*lzKiErr zGemWE$fm>?l&YF3Rjw+<2i+(cpq9m~B;XaI9XS+DV3S0aH45q^C*y+-2QiqU#34oX zX8>1aOg&mJW8%YfCypG{ju1`7QtYS<alO)cT{r<JCCR}KF@bd=Zd#JDdY>aRF4SKH zbXhEdZJRvUS)zHMVthbrUG5cA;0$~l3YU>FY~~~NxK9fqFY?em6v-aKxI8Mw2;`h` z*#!|{+fD)&K?S39J07GD^D;6+j;yytANTdL?tBUW2|*6QEHs*}Bk}=50|45`c}cgI z{#J)8zS^TXhEvg`sXb&%Qw|VnZ)a?!N?7DiR$U;;h~emTDYkU-Od*Gu5NJH!jinBY zmdLDz3`9lWhY-l7yXv6un2{wY4D2EE+F$d=?$Cj?Z2L<sgNCI!C^6t`Gz$7PvA8<| ziVOx@=SUgU0_P9|l>T?q*j=QNQr%%`ZJ6|Q2rqNRE|%zPR~U1`cB&gq5zgthI3#f? z8!Q?*+suk+PA>LXfD0xiL22L~RTSG3B|eW_nkrte3Syf`90UpEDyI#u+;Ewll$fMg z$MD!UE<3>$CQ)$MqOb3>l;NnMdJ;PaGkc=+`4c4`+8bq`Ye<c-LCIxy+VlZ!4?*C< zH;m~<o}v`wC?{r+PR5Me*jbxZ54tZ1NyDI8OcH~MjB3@P@xjW8vzo|xAkey-y@IHr zwqQl%*OJu$4pX~<HUuXu6srBryO}T~an<QZg|cPszLt>ATW<9@*B9)V)oL?Ix*_E- zF*6mo>ngK0glvg(!4G}TA1^|dObAwSEiSA7DIAqZH%>@OZiwq}N<@q@_B78BPpAlx zI-HYILpRbtMAbh-`!VWZLK|$uY})~~gMQWs1CH163<yFvt5&QY{t4G%D+F;{GrB$p zJbj_BxY*rFG!KncdMCOnPT8`*)KNo1WM$lG6^kE*6lo0fD1eJ?C@<vAAar>ll9ovu zLdF8tPy|lVjf)vDrUz`==om*vH^RTxt8wWZ;R8oiCLv46J#u@EK-vmUB6j`;Ucry> zI#O{6m@y;txgb?sGT=>H%HW?jJbb6qqEZIMDK8@%o3tH8M0(*veTGs}ZyS6|T~0ja zE<QeZ-ZeGp5J!U+K@@@Iql({Up}>YX*s-OhYcegRZb$8SKb&}X9bYpWkce-cv53+w zb+&@1Dyh%<$~@9W`ZlrR>P>4#i<je}udcAa(NyV3Vl4p6L+SCfcsx%%&TMt(e#wCT zC^+cLT2)e8)a+4WH@*L$MDn16C@3|tmK2R_BmXV$n^Z1O^_f?=ve!<cPNnB_>MW51 zw7kql;vz3Ttz{^u7O6W*TT3&M(DbrEt5!ue5`Egz3>0X#!OkrThYymrPOWH$Oj9yz z`lQ%5PJw2G%ub4N-?%s9`U%&>C9SMlxwUn2tX`<P9#J6=ZtSDa>+{k#o+jgc3?yfW z;V25rJG|9oW46Ev>Ckfu;cD~k*iMR_h?)bj*%(!7=Xp~mHO)AzBj<=1GSG55u0%}v zV$X<e$^jcm@bhx5<N*|Kys}6;jh_v;z>qG1+C^t74W9`N6}VWf#Xu9y%Sy2}=+1n- zprWT<YMDF?d{jvndp!vZve*=d3b6e~!5r8nv6pi%Y*frzPY{ofpoupkhgmbJZ?fiy zVmH$e{(OVP{OY2$M^{feN8YyonpeMHhX*&K2?B_dwa?&-dZtXYf)eaxjEG;OYKJd6 z+20qNct~l~&lVzW+3G#^U+S}L(>K;i>ngOfhC+O&Py0=YYi|aTi!F>(k$%_{-qz_7 zBH2i$O|w~zd%rH}`(@`f4@UBOCGcXXmecU<6l=Zno>}+_)lRsm4q^!O6p2_JQNiO2 z5N9sm>AzO&|H15_3oWc};%Nd**PhkMr(PGArfZ&PgT!c@*c1y#HxynPz~~e{uu_9} zJJ@^b6K|=}EUM0+S!>lJT1bjgMBv6C&!AY+G0Y8^(yD>Jq8V4L5A`LY?=pPkSd;2& zYPuI$$O7*sF{#7BJ~%5U)f@8SXtdg0J?vX+PCb)^PRc&n5#9z~T)9R3u&B8C9Cq~5 z^CkuQdvSl*ec`OECB1FD30tK$4NZVmUtP({_^Rmh6C7=HD&KvX*G_3)mL$a~KEncZ zN+O<bOhNyAh_SmsO7!Y$zF#@Jv+IO-w6{Lk>==4^T&Rz6ZdL5qWg1Csl04XvvJ4Pg zRZ`Xjsb{Us?<p((eQm2(ys33h7VSkB^p&P7q>%J>as)&Y@zpW$r@6Q*mVRzcXWO7( zS>}bL$j3mbDz9zZl4R;uUJsBmK)vOgwH381A752meP;CNeNo;{_U^p({;pH*TQS{i zPIsb{zGCsz)k#|V#><LNe|=!}&K+AmxMS^`*WM`&UnjrO3C-l(b1P?0y!4e9{>3q_ zZ{~*LD!#v&Lb=f>r_Jfr@BZh1IRCv*9XxODXU|@GS+(cqYcBbroa#UIQYl_VwjL#K z8C><qr#reH-xN(<c4__dA6&=UrS*-+Y`XsspZnm~R$V&uR=LVF&TY|73ccG+LE{|x zZ&QD}>Z&`x_;Y*TQ(vlAj=eYP`swdY4V}04l{eqK<F%^~E0t<jP1T~kwP@wl#VD#w zMA0QrOY)X&+b(<dr_a6lqd)t#>mGad+QCO&dh&a3y}iC+=T|oD+VIBGZ6o%!Cui$^ z;J!b)?H!lz;+y+cLtebpw-)wX-tcJR3TkSmlSZSiI{D%|4<3B)We30Y+3!cK>yyK8 zxayu=Q?+!*wx_Ot&B4Ds>@E90{;_Me*KaLMul&>3PM!5K)3t5(UyFOa-}VPxV>jRS z#=<tuje_cgc2an3NAX-Uu-`{DRl1nHJMWq5>iw%x)k(wGYH_jnbkNDCbsymsJ6COa zcE{RtU)q#dbyANerlw9jSI&;m$&GfypUhl`PGa7gUyM#@j5mDpyZ`>G-~IGs{<23O zJ9z02o<JvaQ+?@4rf;gaYkaSNRAo^({^~zG^26(%cwg~%zFpk#iZ8vg)LrO4*QRg% z!@v9BgXU$Q({|FpPv6zM1D$m6w!Qrmf3@QiAO6zsefSf%e(ACCW54j$Pt3gci)Zv- zb<}Y;Pn{l{M#_G}BgXeWI(~fTRX3b16KAiy<esF`vG>kPum9<DZ$0AopSkX_$F6+M zcRu-=m&aG!zu_}qDeStxW7i3hz2(Wt`~58=FFxV7J7dbIqi|syd(N&a?7{X?2RiXJ z%C5Gx(Ez`-_CNk@>ZwaVeDFv2yn7`&S$$=3@Sf(jBX@M&d;ORG`7Kv}{My~SrP+IH zVfL!qPoL^vTS&IK_ZRm@a@FqQ+8aM*Rxd0J-KT49b%o^OwVEQY2&<?U$e_s}Tgy7P zN!Q1-ZkDyd8XwR-O(LTc`$|(`{qDo+1=#!vM65^+D~0Dyd4;ZbjefZ95mL?LDn$+x zUM`zkx6UUG@vfn3>JK0rZAqN;Joj9iOxHnY5D!f3KJBzEbKZ})CWmBSBKvwc%7bLL zjJ~P7K#yx8pOvsZNaXx%&9r8bh3bqGrJ0%9^*F|Oq^?FN7i2x2rKJJxT4rZhy66Yn zE(R=(75*kB6kpuL9xXBuBo6Ue=8XNs+>JNx17>D+&xCdLGi@Go`vN&M%XOleW)tCZ z$aTUJe&yf&e4PxxYlda;3(<=A1~>6o_{?6(;o;#IWjZO{+j-XlUFOo#x(wdd4CpT+ z4Fq5jZjcdi=H})0zFkg*-<a6_<u9vFHr=H<p>||Cp$#n(L)qs#VLANyb93jP4}mh* z1>HTot)HFiB#c2LnK;T|?%<Q{wAyxCHyIK8X#Y}O!|Vf#B65)HWO(@0r$x$a41m9e zXJv;R%4aU<WO(1Z-nDyXSYG|=cfCt#6c%*yu29c2td`3Q=_JU-;&E0i?+-f3^_I7j zE$F0Yu}(HE=;V>PE#^C_6T;c55M*yBnA>fgFmWDW3OPWNn%4>2a}S}Dqkb|s_vJ6E zRGaR4?>c4kcXx$$f?Qgpi(q0RwAq7Co_}EO;Q7DRQJ$N4<{9*IK->Cr=;Tt`Nl*!2 zb3#02fKHyt8HKznY8m5K{F_n_vj}`#CbqP7($k~(En8SMk51T&8TS3+`XxG%`Mztp zPIL#zvZOWPtbNSAeWLTs@$sI+kYt%o=(~EfKWz71oASP^^n`AZG2aP&*S#;K6KxXO zP8@B-rUO)rxzKlc@9DcTy{j0Z)&;xx-*kn$meP1@K__&XOq}2JR3K>^xlW?vdk#pX zeb?MXr~aCCV3;(Xqwi9kgs!XIdCfV9qT_#=PP9ENg1nu`oVFkByRv<?ZJiAFT!yqS z+;{1|MB+6@!ahV<Kbq~?6ZS8Dj&J<;kB+CtvX7DQrbtrNeT1Cov7TS-dCkzhdg&=4 zTzWqiq#94p$fOG&SOXso7keL{GkMjqCm)sB1CZhGP`cSHikffc!1eU+r7HVl-Q!o4 zyZic9$cY!q-C@rk{y6PqS{lA|YJ?-+u5m>EUPe0fZb?^VZg#f_sgCRZLDG3M9|qw$ z0>0RIxN4D^X-qsK$$62GR3t;jC4Qt4vv)JO-$pt`HJJ>tract)NmgZO5Q&j#C???( z^RimqwzEB%cJ&3KWuRYl_(hKe10$KNF?rHFoEY*D_AdrL@Cs$6JOg~-!RCi6abZ`# z3;Pn;YZvwv%I2ZO@=3{%$>Jb#b7(X5s+{d-j8D9NbGAQ`Z&vILM1WNMdCHLEa?YTi zPH|G!IN+<{7YMI${7{bFpV<XbTk`ajHUm#w{Wzw>iI@+_uHAe?Jx=%hb!O>gdk(Wb zfkcV^OnGZu_75U1g?VxF;w+6CWfLHDNbhqs4$dl@3*bd{+LdN|E)97Zl4PZn9ACur z*F+ZJvj@|E2-$v(J1O7SG(i?BRYhe|yj-mN5<^)%&wgK{c}9DmBM}I%hrO5|qHInI z{%qgmMdFVc%l2*tJC{|vr_ez~=jwQ_`%=Pi6!2Rx*)|vuqw9`kv(eC|;fZJ1ey;O@ z(Rmi?VDEF_!wyKb<D|KT=s?E*;y1o=c<y{gzqwAies~`{#)pR)zYgSy1<EDHzTGn{ z=;NEq#DNELdsYeNW)3{SzOxp6mwJKp<C&eAi+g%IH}?u+1i2Q|wB5t&4yX4Idyqg4 z>A4VM*<8hwfsU#3{m?R(=4?4o8RsTC*tf2-g=#WSG1GeD37wAaW0Tk1zM0+o9@s~| z@BycVQedXshFm8H&t<P@v`i<29iQuD%Q`)qV4dpZ$8%v{8#QJTOq_e%Q}hGT_)mjQ zn2#;di5z#_@V+-5S9)shr*qd2e`WY|#QB9f$ytvtvWIf_M5dE#bMLAHxg%IhG%z=z zI?3wBVxrtVylzf5UAAdcR=BE@;W_3O`eg7Q)QO&yFx>OV6Q!UN4rR)Lop$V-?RbQ- za!z$3!^?CM1bDtqWa1F*1Rg81w+b2UK9(BCzTTeByqzqqC$y6wLA#xF@7t1PHP?C8 zPPmlwr%Vda$FI^(4m@z6rR^fOXW6c}`}yr;#glo~v-Go6gWFE%i$`AMi;3Fqo{kcm zaPwN1?Gp5f%<*LKAJhr;o_5_)3YEW|MzBj|<{TVrG1tu&Uyi3(rW5I*7Cc`kbBEFi z<=J^})^}~`*7G9PjdRKh?Id(?i*g_Ot}ws)MeXGLp3!@yQ?)Vtm0zWus4g^1xjiJq z`?P&8YbSm0QJOX^v=i!mI9q1Z3$_zMC&$11_$-4ue<Vi?C_3lM;6JPr8K<4F$x(~K z%(s*7?yQ|KlmD^m<azDnz!IHYmrOZ&oWjY8hfNg!D6{ANpUv?%5URjFMK$9#J!=i9 zRC<qMz_<4+&fCy;6V8C0#mo6=I6v&LM=;0VkjLj#X1Xi(_9asz*yhosPUI;53?sL~ zqdEO;$rUcp@gi~B`lwrJE?*z1EV^q??ZRzL4*4l5-m13p47M=HX7o4Y_}Y5W__A%i zF5QV@>_C6{DzzoPQvH#v{`*z%=Ci+9pV@~ON7S$PK6Vq{r-vs%7)zkj`x)1tr4h4x z9q0Ew;@{vDpWn|Y*RAU4r!4vgc4~al{e_kc81@&6=lg>0Ps9#>@bmi>+xI83|4_kv zEu+(W71u-G@vYo1+5SbnuTlBPWIh-0dA#kJ-NAlDM{wYST^q~8;cFESo!TAXga1>R z-QEw`aTb2$t2q{bzqKKwUS(*A)4Z^cQSFY08zC>U7aP#~8ue#mPxz=Jl04jIe)G=_ zv<cmZGy0BwVSl5qF*Q=yI(9p8#7h%DeB`Syso<Bb`-qS2y9ig<7U;0^dl<LhQBibz z-=pq#w9x1GGa}dR**?jyDb=CDgLa1qQhZk3Lm*tA2eZ$^yf8v(-Jydsv<;R)_<5=< zVBVWK`gbft1g}37QhB{Vz@f>VqP-f2fCafiAZ<;-=kzYvF5nJfECgu%0TvL!n;GdM zcOVFcF15=-+GdW4Adf?ocyY{tS!UR!{w!98TO8FXO4%+3&A1J1Wijajg^|)$e2aPJ zfllpUs6&Bw%|p<IpykO1<Pu|^;)PhuQy*GTeutZ<IJCnps(m?yJ0zuIvyjZhyELPU zI0Po3{}aYSj-4mEJ~_CyW2x;{zj7(U<lgaMyTyUZ{COo7Qfx<BOuf{-j3UH(9)(|u zS?XIB<xm~X(g=s}*`>H;UX?MEbD6YDWBp3L>=(9W351yL7sl6=r;q0jZf#3TA@?sw z|Hox*7u|ABdFB_T+HMDfInFH#JA`wYiZlyt4jFZ2A(9JDzkpCztV`%T-;l=CSLCt$ zNh|-oGL19Q!_Ui}&~^>iGT^&Q29{V>%9${}r30jb4Q4PP$A>U^PzbZ_-gBNVgnDHL z6mImP@3M9E(fbiyAxpKLc0lp13UMNJC$Mhfv0+IPh%!tSsel;#1715*hbMTgF0P>a z11lXNFbIOo7L0=9->UF=fLCN25+7nh-o^x*AGcLlLZf+nRzxv#Fh#K#EYC)p!b0E@ z=X9BvPNYsHu9djJ*QXd+LE0b#pY(uH*1BM^6hVElx}AD8YXOB%fdDt+#e!BEK8?pN zpj{k!#h?i2Q?~pWM`)n(;2jTuG%g(aE**N`Z|cE09t41yE|*jiMTD5aF_;y#K`Qcy zh)y^Tig+Q%4o8T9&mk}p$6oaFj+}|5PH~uUK3h4nsEHH5z&ZX^Vuh6D6eoo|`H=c7 z;b)$$Y@H<&L}nd~^7EQOjn&yuNe9H^arhU3hjk=%@m7&zcB~x+sTXRuF~R~O7}P`t zt)Y#`xJfq<>{`0Ek{07ctU6dZ!}>R(889B{)6r>=S|XUSr>WScCTESyiiyMl>%3Yr z;?2nTh~DYtDLvMzjVF_2#*(E;$-t2hUPQ(b^RO>!A*N|Gswq~Zai=6SY%MTQ-l<*F zC2-=#>L%)fr>nKX>RV(^30L9zH!2;T@RfEXt6Ozj(mL-W?=8P@LuW`tX;|HaZPnq` z&S6pp30IyMc&JLoQzZB#GFJSE8-tXsOAe!^tMQ;wo~hODfejupm^Q>DN7_8WfwNY^ zu#^ip1zlpR({qdf?B>Wm#~YQ%OB|xISU@EQqY#Qk4Qq~ODV?e5T1Co4w@_)$09K}8 z8rIYCVLP7IhAi3>!j6WLME#~t^uS1iz!L%t1QX+^_lwsE+M&i#T-7FOaVuM;jdj~c z7Kc~fSPwf7?-jXp5FxJ$(@PIwNK*Ydy{4kRN|uw1A*)Y<Xqp1?JlGsU@!-y+RRN&Y z&E<_XM`;%xxOVX^d|Hc}xKU)GI?<y@AV`euU|KiV6HbPdZk9yYh+_&Ydx^u#7c_wx zBmtCGTHg5(Be1d|0K;dF0gaL9hjWUYniT>X;Pg$qmpTs*Z8Qx_PzLma;S0UhE-N83 zS{igoG&q&MGx#yAOlJ{jt|4UQBmFi@_+_e=&~2%Rrcs|SNsYlG<&bFyF+5k>`xR?U zeW2)*x~3OEqqf0kj(!zQA(S<xfo7fhX%LX-fpx`rl~oC86`{Sw8Y%TYj~s$Wl>~@+ zymmNJm1}5k8Ngt*r)shgRe7O7!vZ8+o*3*^3-K()sFkM-32LLjytG!Ujl*TE882B8 zZ-eNewnVz(P8lTn;X>_%8y8P%X)^l6rWM#Xl-i?R&kd!lMnjHi(bdICvkn=(X@CMv zJ&AF8)+u|{3=J|1>i#&J<%%i|+r5<pmy2L(`Yy-E(mll>plVp-cnYzos1A-Qijt^X zZ+sL>){?pn;YQ0zlQH7Gvdn=<Fz`gr^`Mz2ob}{N#pX3->ve&dtd52}DOrs%s6{uZ zO<9{^vPXQGFlB0D5&#u}*M0r^uj<1}wQ|x<oalcBjxtk^KDz0i+MW9}Mj`cKI;5cl z%P873I7ieEMCWBT<`Ka~h2a7NNa-P1Ju1#AI%Fw0be~Azq`u4n!si<y7q1iS^D4Ls z6X5VKj0-&y;=?RvIctp{7(*zjC@}02Obycnlu|AAywB@M9=1ZlkDn5W5Q2%_p;Qcd zA{J5~;^I*jeTp6A0kqsOJqEeg5)YtcdJupkxUZYx1<rj8GA`gTHCmQ~g4&L{MnVCM z`n(rZj1VT~FspJ1s0(}Cy~bn^@v=v&rJ14$d2JCb*;8Q(N42bLh4JDoeKy2N%d!s` zuL)eVQuxresXIjU)*8=x$ue^mUsn=KWCi3BQ}JFgNW?nEvc)B3hHCo0x~E&p>L5s< zmF3=gD&$Z|trGAZ(zJ9#EU!dv(}Y(Ho|tv<EYPI=Az2Yx<g%;4dt0wiud{5ZUq{Da z2LZ!aNhEDaG!P;qIZmMgz(HLt0<`EfT${p>ry11g{?!IaRc+Qi!GQPhHpQf<#Yic& zKs5&mC|itqFj~QDA+gX?_~dp(u)4ul0n@5&GYOJdy#x!~Tgd?pI?QGypr~4*ilH}F z+9kb;Q56*tw8?&gq(kc^f3|MY`c_-_jYO`2DBkM(J=9keuHm*zJ?4yWv>h^?${)ej z%8B%6HWpkhGOl2xJ~YKvRY~vb9+b6SdYdySf_W9$uq5j#2(7P;c8%0nz0|FJ<hX3? zP#yo27Wzy}YMiy(C4TB;C8GbnJu7_UQKoJiM-$ekY!{@sB)L_ULqwsDSuJRB_$d?Z zs&o-Ar9hzPV(h`#%aFAl6o_((i65Wp9bskFW5=|xa=w~$vIM<U^f4$P);tzs<9(I| z#8cgWl@cUJ;~MIe$aG_~fLP2biI_Ra<_6tGH^H8?5GQWPfbxu7ht94j6?%&fa)f|h zIu4I?i3s<urI!;Y?v?>)pwcRqrA?=LgkxOq>x(-j+3t0=6tl{=q=U28kcfnQpZ7S~ zZZRg^TI6#0H<?m;OS&qZIIm1evB1eBB#`L54cqhA6w)5)kX>oBQ7}&N=~R5VvSFXM z#R6hK5bR)-S{h|rU!*=}PB5C4La}g+zE2g3G5AsTDC<<#E6FTXM!O7t#c#36LC0X* zs>iV$;iHypXKTC}WGm&g)*N!f95J!JJE^B8)}|W3kD&?nXj9%~M^WuzYDj(hVw+g{ zMHw{frT0vgsqQdDECzENZ%)Kky2iB8WZ07gC*Dg#*Ui)ieS<7RuB=rS!eGP!2pK0l zPVwEeXlvC&?7^tFULPexe9IOu4mny0>dP3wkMdEzFng*srjdxLHAF>3N7(!%L1zr( z92mKS67iZ|(x5n%haHdUZ{VzpaDak^1FRK8#yriADwrdbL<2-7gDJu$q<|XLGLW>& zcn{YUdS*F#6{6FLt<}x|D92@LvNBcZj%_Nv9k_Ne7ikNJ7pX8qUbR~ZU^-n!(i)}M zM=ex?VtMUZ^FHPlytwG;KZcHo>$lQ`O-Ar$f`}k(@S1R3Q-)r3&?RGO?9)gqY;Q)y z7F&`|sCbqV(()c3kkK9%y;B*fL0TkT{mK=&3e6S>G|*1KC1$kX@vS_Y*y~qS3AQc^ zwpOXs4Ob*+Ltlsh-l4t3Qu?#<{xO@TP6tY>qpN~e^95i$$iWAj=>ZN0RxMDFTM9yz zkf@)zK1o=u)X9irWZ(sW^7g!-k)>Fi4^iuKvUY@)7&vrF)J}*ocLcJaBb9!wj5sXn z(66gPO~-<Gor;d=T$*%A7D+VI!O&B0h&(AqMa{v9CvH2sm4Pu0bL}FEWCMYtfj*{J zv6ha~g@&TB+~!?mAWb?(F5)I~r}|N$$CM#H&Gp?D8;L$3av#msrinc{v~rM$&UXN( z;lx@5bZ5po3me^*9p+6ds4#HV+-NcMX;y-_Z%n^_B+eu!C_$B;&I`33O6=H+_u!** zGKmCK7uA)n;p@Tx9phqp4w^el$Ak(q-WS^j8`?pMb3&%IhI)H4%n4Tk6d39z(Xx~? zzLE9xFqS%wxC~8l8+opXxv=FNdMD$;X5&a~UDX&^`PmU=6c|$R$rkJsVwrToCb2R( zbE&Q1*IGmUi0r3mcquXkB0T~mG6Y9%bNsv1g@zXBNT^eYA)|FE*9g8v29$^qoW~8~ zmg8QNjAd_|I`J_bnv+Na0OaLU3Z<#wf*HYBIYH~mOorz5%g|PAP$~IDag53lk^^jY zb23&QWq)U6<Dobr2?e9G``#9gN%cDM4%#$C>fXzQYe2@5q|X*LG#NE^P}ZCk4Gy#= zF_DW@0NwkWc6pUNha?#^PiqzfcubmCm^`hQ_l$>{gI7PzC>jV&DlKX)h;tNJvS2jy z;byToDgE0L-@CzZAi>j|8UZ0Cs5X(C>PXXV6pHOrL}Jfn#?T~BFxl3{ESAhFE#tFS zTY{-0l=kX6%LTS=zrHD<OppI_Hr|LdWE+#;UMqf$_y@JWqv0r}3LgwA1C;}jJCp6` zz=LF}P2iNPYwaWfk|tFpSMWS^A|h7HH6-iHl;Ojb8F~p??>727s}WN6AcZx85R2^V z+wX&8nc?~r9p1w<L_H3+uIXDvUke4JIL2=9(V1rr=EPQ!z^$Em^(uq`FB24L+X8#4 znKxPYWW<I9h!!KcJGK&TuODJ+<s|lHN^D$tW?}?VA8TvT_gC#K6fW07Dr%sWRxN17 zWfj0d2xm3C8aX&lsycfTMP7M08^a?@*R3I_pO(ROSxU^m0xKKUs);>D&!LzitlVj7 zjk7YO0UiZzR0n;xGO5+nK$+ePVs$q)Bub8;=CJeA%f^jTDP1s)@c!$`2w@IM2P_VS z$VApw-WHu?<KTBel;E@uE3}*7g#qONBx1!Pg?K#Cd9%~i!kh2=7||(DGd&Ro+q%5b ze40sK|AyYesv)MfOldBe(hA(&U93!K$?t7QC-bgVeGhiSwnB8?4W!{jo`!GQs5Le^ z_OU$~#k=%)>>h8ZP}7>IR;(y0_JOc=gn0_w`U<nvRcl>~BIce*)*GBRJ!Tx672n{E zGdummXNt6VeQZb$qvPnZZ~$Loc9x2T?)nAv+UrBhjrKdTW4HCDo`r8X>uQi$l%r}U zNvae*G22aLz@Cv_@4P$+$;Q!mt(3j&tP$;UP6L<3`MQr9)9iq&$LO?AY@=5FI@QQ@ z`TIt!yn#8nik7SpJLshhM;e#i(3_lb&IoB}b)1%ZA1O1BIdxQQZ|uG3pY-*}dMNtz za(2ht5itXtP+4_%YEO+vK#fPBlGtOqzCTWfIAE;gXr-j%<PsX)*@?V^bCQfr<>;t9 zrJrvQqYk}nrB6XpxoU5bbGF8ro%QbNYski~K5t`6gMyI58kEabO=e%>w==|@vOxoy zXmr*!kAT&*bk&=Uz0-f%Py4+hmOqtNOO81;C7L~T=PHVnsZEiZEAc0rJJ!;_lj2cF zCj0i=_ltb1cuJ)>U}Oz%ZoH~THrh_2Kty_2rg<vwiKe3~`s$8Va`s{J#&d3;>FrJ2 zl$>5Phqu-g^>u<*LaoRQ8WH6f;@woK(8sZ>(ANECa`N%Y%ovN4M`W+_yw37!)<@6R zOgQ{zBT?B@^Eda5TGwf0#{|i)kSQj+tlarb1$KJA1%OLlEL@huXN{>YSygwoi`*zW z`shz@KKR;yd*<Wsq#HUXGCO}SDeQ_(-Cg#jgO{BDoBh9k?y6O%Zj93L9nXI7)4jh@ zdF5rt9Q~2E3?4py;}>q9>gkQUuHN|Uj$&`J<_=ladwoZA#b50$9(;|R_~!O)*FXF9 zKi>b?&yU}6&+4Q!{_^eT3?8<9I;vgOY@}W3&a036_SEUWSs55fnj6op?B96xH_qMh z(r?VHdHkx+|N9^Q>F#YGx%Ob;{x3Ga@RP=IAGzi_|CM)s{<BZXHRdr{iB71+Km40- zzxGY9_>pC|-3Pz$#J5ksY{&b)wd&G?t4>%Et=V?qA9k!w2kt!lrY0Bmu85+o^j(o` z-FDCE*C&M+ZM*8L-@dtP;;@1L?Ss$G96h}ELpNT(Wvcx6t!q|od{<#@VeQ`H&dZ5* zd9?PrR?{`EsDI*9e{tiE(p7(O-P)O5zcaA!ufFr#!LiB*-tg#s|1>%K^KZU)wyW`U zvGSH}mD8K6K6uXm)85s<*Hu*cb8lY4%WG&}+O)K#w6~!`s3?J;C?LHlp%z>vU|(Ah zY*DHBu~_7zAWA2fP(y&4A|M4V5f(qP+PaFaYZXSt6|quu#c#nych|2im4ZO2efvK% z_r7=E%S-0;L&W{{wC~Q$ng5*mI5Ttaot(MT-c!HvJ3Dq=_5LeXT}*2(o!55e0XGi} zwBn4x{J+h)_o`{fzv&H1kplG|_o3Wnt#i&?eD9|I8C$>eg^$HL>HWZO*FQP=;=k-X z#WOk?EM(X8VO9H-$2Q)3*@WYl{QTna&G(!+>-$|tUUJEriHo!O_pG_?^2M_!cr!lz ziGdGI%Ji<8{NHP`-9h_@{WYs^@%=v5$%^cfb=P0Je%GQK)-L?rq`ogTWG8tu`v-11 z<fDW6YpxsUo6tzTH{@FHIO%o4XV1v?|H^OI3)lR3-kRYbeEizsJJ#>|=$CFi^)I(P zv^xBA@U=fg>;F7qt@_T}k6pJRJ8|_b*(r9MJn@S)y9Q@I7vj0=v$y~9XUng8{QT#} zzwg2sFY(pO8n<<iZ}(Uy*JGb6Mk(tA9roH|j~Vv;iH(Q+>>=!r{cLdhqCfxsn2RsC za{Z6~yruy<$+1rS!}@bK_vTn9(ao&`T~W09V_*I9wClaAujvi${NX!0R^Ra0&U+Vx zE06dcbTag=&t3TGZ0kLK`vIS7zpOuVSr2)OpSX0#uEp(_FFv_p&C1s7nXmoaKy=S7 zYVF!TopjIr-N(+H)XN${$6DE(xoly(Kl!$U=WO`F>)Wx})>7f==FZ@#Fie?=Z{wKy zr9B<P&Dd^3g{2%;*)5;JmY$8*zcxOnqqzA1pq}2e^oDL6($NM)z_`Nl<=CTF!2YMX zb2&_foyL%O=}=*~So|i=ld)7#!<~yx^h)7N1Drcse8)SQjg2f!c!x+Y9ipKVaN;n8 zY@3Vo&0rggpWTC`Zr)rh3~LB$Tn$_0mWzwA%{VwKJ2~$TU@5?_L`QApw4I$ZXJYrj z(mF!z7z5k-Hk`z^abuzQ-uL3Ty!Z%6qGzAo_B0^aU5N(+Osqh|WVwfy7wVDf#1lDO z53~2~CYa5_;2JsCnap4l3maJ@+l1pw2aXCclX#qO?8k{iE0<Ffrn#6Nc^Z2MD|BM_ zEY#zq8#E$NRwrM0V7Rz+Y4gVWwpg8PH9Fb6xg~eQRzACrD9p3SL?_Qw>11dr?6;|` zljUl|+;@JntdqiHygw_^3C^_1>5lrIp`1S}(FqqO`Jo3aMk|m;j^iIGES<5nnCPUN zhFK^5bSW1ed6sGJMsBCh&bc!Wd~(&?vQCz+YResW+{WPoWH1#AnGC10I^nZgSSPp- z7@dUB$qwu!i*=G6Zd%#Y!8<&lZ>tj=;nIoI7CHYK)WovmIBatRS)HWKnxT{6^sofO z_6Ww^ZFGWcsJN8F_dP#MJv}sZ+;vtS3Wd(Hc{2)w^H)abWO+#^!#hpi8Cr_-r&2mu zr#5un{LRIsakAt|<WyX~932d}&O)vp>FRgX`?J=};&FofP%b`$$8;1@H-DIm1=&pv zJWh;G{9F^UPBzV*yLt1a46M*eaU+{+ceXV*ANVB3N#~~i{vz%(9`~~2iW_nM<x2d6 ziFx6;<5>8!f7$*tyL0C9jy@>G=wwy#or`Ekao36O;4o#2=dQ#7JJ^0x(#bA!wxzd= z(~cx8zm^&sQw?Zy=gQ^VO%^7I3+Ap0o$%ggp1ZbT?kel#`V;hLuo-hG!zU7g&_rhL z0;GA^^wEmBYk6tzigmJd2;DF>cMW6i`t*rqofHmy2lnDZCr|*SH*f6O)>Oz1_ngp| z*2!G%f?OyXy7}FFiYRpA{Y#<npJtrY;X#SX>ZHRe&$7(5Xyz`|7@CQ7V%j{`3C~^F z{)yb<Jd(L9*2$pN$<pHT0{Ww8#|aG=3*8t<I1aKjcX{@_QAoP$jj8OZrKOI`&JTm8 z4RMPz(OX+sC*37zH})k4yx$Oif3VEhHTx2S49*b5V<uBJG)|8>a}bZ+28VGN<MXYY zKGW6je;E(`8Qu>$t98}{vxtS)PslkF`Ao*yg!4GQo3e26C~p?Bvhz@m%efq<1}*qZ zPadx>N2gpY@CFzzc+bJZB>rZFbY`z&_8S-~`M34(nUL5=n9p)qd2Tp{-R>Sfdy>h% z(RZ1%B(wA1mN(}lf^W)<a~QJ=IPX|C%Eo@0fI8gT)`vZv=A6Zx3i5oeqd)mP<cD{i z`RC!BM-*y)fSVUy1^ZAJQ?~QWe#QXr7x^jK49z>WZ^}H#N8L^Q{BFAkGzs%fuVVp@ zP9jpAFJ5Hq*?<=i?^k4s-Hu+~mk0}{UgS&I-?$p<ouCe7^1XmopUr0uf^X7s`gW`- z@}5DoT<hg4`Y%W0pd&PJD*qy!%Q!vLI62+}*nM$+^|UGXz=Xx@32gHxcJxnS1z(P{ z4cqZ$!V5@GYd?VCK0FO$0q@_oyyub4|00wRYr>FU|CWpT%;$s6d5jR!THb4E_gEq= zWDs56(SJEn+vJP-dQk`5AL6oKgfikhM?aR`dJ%)U%%NJ6!TZDI9q8LD8q|5%r#QVS za|`xXLJr*f`Mkw8=%%-0$~}|OR`%>k-g}Ak2rI4=pKUqqNNll)_gkV3?7l{h=Zw7m z{*LMQWCq#K)K>fm4*oK`7O_>jxp>eW*vk!fZebN2cVEZ0=XXB8b?5L#%*5DOkL@|z zw?4j!_s-#t&0(g4`LYG`FlGeu7M-{`!iNJ)WcJQF_3XL>csuo1%mc$6OINPsnWMY& z;hqoOfkr|9!n4E%dLC;YJkMggOy@Q}s}Q@cKZ-qnn|JQ)M9Si};dR*G#P^i8`1xu@ z^BjLm4^p&TvkiA)Y@HwK=xEu<GB9?`J&eqW#T?Ep<tz5V<Nj3`o-_$(8XM{y=5HK8 zs_AZg|F``3iu(l$Tg-%JKOo0?&FF#43!R%Wk>R<oSX5!%+$2rV#&ao_-aYEvqVZkr zPLJgc(K8D$hXfSl>n7Tg*c2Ur9}YQv)Q6UfEECtZ;;OmbW+y53)Z^c(Rf$fDv})Do zwmopk125k>jPui=6tsD9)8kvWZ*IZ^;IR211|$@WPKsNsPIf^jUC_ug{1>L|5W48P zRaAT!CF1Fwb@GJKNl(wJSSLhxZa@^yH^haHgKNea)zC>X(aGIlH?vO6G#u-s5H!=k zmKBH^w`&{j+PIw$b*xBq!jeD_S*NU%6QO}&=wxo96Wm}~CrECH`$&pnon%#_lj5#K zC%EC`yil}sey2`SKqn>IqWO8;5cWxGOox_oOZ!WHoJC28<1RZ3E2t<zSt)q@W)Oc* z0RLlR-&|U{w4(!`m}+Y>I^l02Y~I}ec$?O|KbX%#G-S@TqvFoC!+D&rPN=K15jwGV zvtKQrSu8&M@Dtd58S7*w#>w`co^9yl9Uc5&^rShao6mKGPVR<QSSOvu4`ZBk*41_1 zjXNTA0^$6eQ_@K>)=3Wcj^<)MZ*;=SEm7wvI>BR7jO#3#FDWFqTz=|JQ>+s|uuSZX z^=4q!8pbOaPkpQt-z)2cXX<VcqjR|y?Egi_Y{H9DQO9jXv}qGKF}2V{I-JjYo`nNX z`PLc}Mh0|(r%4PF*2Jn+xESqNom~9o6CQ6G9vZ^lQKJ*x_IUsH&TZIM+!^OHY{tn> zoEFJC;kk>V&5dTzW~uI1yOw*HdbT|=%<12=5p&l}*2%*?yjI-N;bTVGv8!o2<{;A^ z(8+Ko&t09A=mb52=dSo6y)<_f=h)@u#vH#(7K{A~b64EfcJ9JX);L@_ccpY<<}Ne- zOncOxyS#kmI59dI<XNy-%x21S7x_rvK^;eXb)7qQwEVITa~DR%Q1KaUq#ru5ZX%!P zn2^y4&*^=$&~jb&PZJ*gFy9LV_S$8YaVN(66O;XgEq(|-C>P>_J%e$4fRLd${Bd)x zBKGdZZoKahLq!4R;lW4c;Wqp!4x@va<es_Wl3g7#4rXr#7sTf?u1(~|<x9#Lm~$Pm za+{&ozjHnd!8g!i_nO#U3yI)og2ava-u&DGWP<Y+OD+&zCf?te`J%;TzG&0MPbv6g zrrjq=^)u~xkk}UqDak%b)G^){3D@we-IfOCBL(kY#QBd$+w`qpoX>Y5FEh);Vo|oV z|Ijk9uW^1BfCtv%PJo7cs5h_?pKRwa;k?8Uj(<HahT`yN*7DhhbgjmDi&=JUtltDV zOjs3V!a0w|C0a`X`{u<#I6>?kg6sG5xs9M9dw%1%&2jq8%tU<CTD1)2WU6oLGp>I` z>|PI7ffZydpI@92_M7@__`7{-8T;myT$8uA0P&Dnw>fb$22V;Ez8CgU$a(5dAU%5w zPLYCZs4v^C*kkx*H;G?{NKUp4`xoK(5B0&u#pV~l_1^%dh?*QIpHv0Hc7KBA?7t*3 zFzpg1!J6*KSOqDT61j1ZoiOBUo{nHAO<_7v_AB;=d`YC*07Aau@<P2O>na37!{Dp+ zp}H#efoF*un#rbOUx?b`7gOR(aLg`aITV_I>>G=Ca5LpS{I$cphw+?ZIB@e_>@&p6 zaflC0Uo-y7OC|}1#uFq#fg2i(y~gm8G*c92hSM*N<6dAVX(28HB~5IYrLvh41qNWe zV_qs#jR{RhnidJ60sKZ#skuxHx|8`g0=ADB$W5`R3{Auv!)}pnzYu9uk`B^98T_-v zZqm$UPaGLLrT8>E<Uk@N9Z~I<V5-wpg&{(vSqsX6fW5x8kS=rCXYx&Dp7K@#M+pTZ z`87m%za0sy$*B~F<(m`@T7M-Z4pnyG5~R1RtiaA7EF+1okj@diO{!LkQb)i%n4SvB zpp#gWd4Tj>^dSHfKY|scL$*7>dIGrVpREQmh$RM`S?os=JR=6F4q<MK;Fjs&|6zYp zoHD<%%;nZ9x1Yt@l&VywSc1>>89|(VXfjJ>XqrHku%W3@n1p#cvw^;HG5N#W2?g1b zKMV~M_79a5cA<lJEsL$=0zW|RwtOuXn3}n;5{JLT8g{)G!VM>y5Rzb+up(hbVFt`H z__MGx2>DMDOg0t!*y1Y0l@!ur>Aag$C8Wsb^56lj#IuFLM5BPmd;FEeltD^U<}S#w zd9r95$MMGJsgPZTA4(U(!Bu6#dI0f%t-*U4vUf?OQUDPC25ZtFf=QWcVje~KLnink z8r-6Kfq>l-Pzd7}!q*L7QU=b*5L`yU3~(@`D6-7>8sdx5q>NZ)@u7)9iyMt`YXn&z zkRii2e{*AB7IukTr&#}8s>^37p(%m~bQg*TglSKoUlQZ;gm`r|vt0%(g&&s=g$m#X zln;@j+e3t!=X)3@VNb{{j^zi13*;M8)@j{<?9nw6o#i3HkczLNEuM`>0DYbPYq@lY ziVsSFeCEP@XrAdurnC$wk*7E<E@6md;WGHV1?yl+5vYKe(Az?kJoLF9@Ox?=Gq~U& zNLP#r6#o3@1>o=;fGtqVj2AvjnZ$!&z(qpS)TY2lWB`01dxggjkSC9bG_k#o<tZZ( z3lT7zj8XLli4V$^Qj|00{Q!-`tEC<i;^7qCKSGEfQ{%doQpKKz8PG1W3FB~lqlnQ- z$&`&XkGrU-$&o)SZb-4qEEDF7X%`ino*9h2a2v&mEQVlPVxv$!>x0yEyD~0G0;G`~ zG6x^_j&TuE@`v>+Xf{Gd+u1z+tPvp8hWDc(T7l8QiNi$Oy>T_-^o+E*u%|?decY%_ zM%6Trlpk^kBadBBHpHdYvjIn<Wf!ok@QVn4tx8oZh$SNeU2_T-9@6=02xYT*#!a8_ zOD;wmZ!`k6W%&;lww$ISO2yTIxKxge1%ZS6VceJUbSIatlrM%6a%NLPub&GLn3$v_ zJw`yNLUwUc>@xKdrfxt@YZ#6S;+$ZXjR@bTI%<UWARR$YY4(rcs%E1#Z4OAIP$!gu zExHV`9PWdd1N|K5p)4P+GLj%+nPK&3c$vgD2{TyhHmfF#95Xk7+uw%`8K@U_L$b*f z@0}ft+epQYh_V`*s@kA2lnn97%?%h!%J^brz=bh{p5<Zk!ak4;I!|DDh>LN`hSOJO z*{T48of46P3AmjDxvh9wL+R&nNwA#6HtD#_fX4+w7EETE;QY8&99CT7?l{vvW*NfF zU?@cY1s3sWW#-`*vTI6d<#23Zv=Z0|$h6WCte_AfzD?S~upZ%q(+!{1Dm*0u#{xM& zJFg*s!vZH`JbbY!OI3v4tcaP2D9K<}CMe~SQOw}Fo1Y2r#mM6P%<RO{LsN)uX3-Gt zqi6xsa)uFK6jqHu*jPmh@6A9MIdPdY{kT0a<?DI+WT7cyWXSDma)r;30kvm1vYeZ; zapr3JuAdG26P`~U#>?8s_cri2DU}p6IC7ZGSA~n2Ta-T!d-u>!lursQg@4Q78Zyra zjBelyMd<l~DGA~kf~|Gf#fV<!C;5j+&xb~-Hf;I>qKaa<<b4>vc?M|^JR2p17zp7| z$QLGspXp0VMX-?KZFK$uE&^A-jnRFKNTkD`kg^_?i`eG}5riqsRd#HHhZ@-fCr!Nq z-&bJTPQ)p)_+fAX^?)fxujK>^pNQZn5GQ~fY@o(zWe|-x9)Bp@Y+pq~6y@+^IsNz< zQl!{5vdQQhA<wj^LJ2ULC~Q28P#u%R;5ZG}5AN~+F4pJm5}F;`++(?yPzJYib`i{E zT2bzP6d&bR{%UBX%^xxw8PN=AjUk}fB@@gv1ZWq|0}KcmLcWNw<>Q7%1jj-ex&j>Z z83<6!Ys1CkT=*Wr6~IQjh|&13C`{_g6*J-~myh6Mtis>o;JK4$Y`X;&-xOdj#NeSH zQSkG-G}Xa{tc<ya8~~rgoUlPf@bmZGaIGNBSPGjdSdIsAsFiQxL-T1E-|TCmFyMF$ zG|h(ZC1L|uq~|!5@3T#8ni+M46qSLzSv1e&8D^u#(9;z90c3{EL-|n?1*l>fesACf z3Wu2=(7-yq7GGjL8PBF&ek0}+#GC&a_>9JJPoNoR=aEfIb{Uw47{~E_>@d_)2Rr6l zE*MGJBNpT{H=1&zE{qCM-k6MR4w-mn+T57IP~->o!iUy3>1M&fbZgV~tG<9=!Z4?x zQf3ro5EF1$jc`>y1)160L@f$iP%smEK6+?_$5IUioR|q9M5*kA5HAGev)Q|!?M}@E z7==)Iz*)DO6nsIcFncQ1qA0{f4pA6#ysHD{=Kp~yrw7$=SH?-0O<XCC<0{#lEd$X^ zK3t?x@S5jo6%s9@06~^lChWl_3h`_B2#gW3Y~#>vl7(<e#5FG^^CzREc<(@&1CB6+ zSj8SL=dEqnNdmVo!<-S6@6LBqH{?yFFyxNlhaJ2l5%r1;n62HBG(e1(3DMNV>NioP z3z`*moQ=WM&_Q&p$(JKdJC#sn51J^zt5b;QF1#YNo08$f7BRrKZf4M0+QiIEQhe_l ze!Gv}(i?VB*!6HCG=nmrRA>wZNA<vis0e;TiEOTliGgDtJdoKGYNL8;3Dm3`<L?R( z3dmhEKtJjSGU&ynbrAXunF}@(*V<4(erP;=0f0ehsPOC9xq!p5lQJX_{*ppRW61n~ zXQY^t=OCmHFuvPDbhH5b7P@e}Bl;)>%W&Z=`?!M8gU#NfWl-+|@E2rCvJhel7ci(R z^h^l*bhB@RuT&mBBa25?2-Snn$<f^@RGD^OQNSBqCh+*rtCsS3DB(QIxrC+&cnFxx z_xahRPGcmPHp2iTwig9A4>BQQLZG@(0B)Zc!@h)6?3wT>)ukd@Sm&Lb>CSfeVV4(j zluDHyg=oWc{cBVqKo*!084(lwiYHo2{1$2|%^NcESx@0U-|yoOdX~?12r=?PC?LcH zN3o>P#FsDm?gj*oM1Kirc0(Rx0mLKQ5Pu2@lL;LfT)-`Wr?PwxJUl^E5a)Uf51}}w z9pRvi@J)ajA>Jb~?PLO+@)w4Xjv7u0u|?Q3-3thyGIR<*dzp74a6qBgh2#IQCl7xd z_ZlK_y!@2hGLGV`%~JwASNC&e<I*?AEmc)m#(VAPjYFuXN3TMLxK;HXiJo^qH=2=` zxFHG?GM8Fc;&}~6$Wwb@Apw}?r5GNQh8g@b)M#0_qSPT+*f-6Y0gLAYT!Re2-3X7M zY!C*Ztlx;bz~T(Je)!BlVQ~ID!-Ih!^7UqW2xS|%uA%9D95&=PwZjeY3l(=1g+R#H z5<vpq>&LMi5KiAxm<-LIitW9GvjBZ{4sW;WH*yT4bW!ZfF-qOa6~n&6cCvF6$5@IB z#Eu`cd{Qd*vZHJSYnRy^r!cB{td`022bPWQ9-*{|sk`fe(^LlUaX2X~x9EO+)&@5- zlO{8O%-V4SiIv}~{Q2FOUZzkUF=p>iEZa={)cclfl*u3IUCUWwdPuUx-Y0|W9~e*F z+4eI?-IU8H6~baL_ui(kKR2BxRHAv=fs+{6y?V}2D-E^e`)=ZgUp$Azol#MaaoE2Y z(E}=bZPx76%VPi3Kz%UfevV_Zg-Wu==b@CEO=btYRgnSV!l8^&cfzT370y0915Lzd zAf6Fqf^w`WMmd=HxXo*kVGnX%rpeLvtvwz+oIvXdy36M4Ldq><ji3e(m|ch&zZZe_ zx^{3=m0F08(#7Ex>_u(Itr9I9KztMO@cCwk2PO!G2g&gKgSH5u#(X^sPmy_S0j9nk zJ<#JjiOJ?<&eo8p;6UIRXsjtY9QkFJ^g@nA7D&Yx<bMn@%eML*oc^5Fvw9At0JX$Y za!fv|zzYq%3gtovoWh&n`c>W8d~cY;AnY~Z#5Zx*#E0`*f5^;$FH9L<AzFc>dV?Ib zOnUINFw?yZ-!e5NrdcRS57A<3PznX}+Hf(XkS4}(n0q2~(2vQ(zQ?;m%*N+s>vN~C z$Y%X3Z0V4Hh{=VYntBHYfaLZ#@Ns|Hv>Es?MCU!&k*FwtCYXjYrkv18Ou==n-E@RU zn0@f9h&i}{?+)09NHoNIEpHtPF)$Gku3!atNxG67^gQ~0Om&$VCk6iOsoAiH>zH50 zEd%mCM|qqin9m-+zHd6xpA4-o#38~V=5`bXq|B9Gk(-h~Y+n0Bgl1EF550nQmSt>A zTq5M3<MpJvhV0!_ntw%Yp$aJS8Zzn>tZ6}ONYXxs)r>D(q2-Z(l3ewhQ16V)Y5dy1 zAe*@++cvI66NVg@c%dx~UBe>{eGoysRQcRPt<n-fcv?1IoTDDH{MPP)a6&`m(Rk$z z;<f^ToS=R{p#>BwkPp3Vi1&c$FYBMt7dCl~O$!Fb&+$mtXYlrBWDVr7K^MLpc3>j= zbMpQiui;1GIBFWmw^M%HGL!+T>Gk=}Pm}5<d^tyx2EBosP(biwLfe?C&^eE8pt?)K z`h#cDjD^#}{7Z8W&q24i_2BFTZWDFD`rpqWoYPrPbMD0E^-Q3K<{*b_QB^KFUJ=ki zK?B|M@1*?1>_AhF`%gBPJ7!>Q5W=hQgDyH|Q)7eYU8imupaUBEzjpf_JN$qC{?+fx z4!mU1%liwhlV;q+-{Z`Fb<T`Te%ujPU-HxdhWRW`%(Qwy-PC~d@b1{~hhNXWPoH(j zLt8F5?6Iex!y7SI#J@C?!^TT*@inXaRmST*<FM=d{DwR61%f^_v5L5?hOmb+69K<4 zJJ8zIKj_{4!>4XrvVF}b$33>|+9Qh3^<Ja=K6D-Ll!5+ZGwp-kBLlaEz3pFzPU=># zxoZ8JXB=|yeLJrkzw2A=4==8_I`L)?<Stp*e#V*5$!zGP<*M5!UwFz<KkhnV#)jKk zPn>edxaIh!L*5JS_H*}4$PP|=?DIP|9B}U1mg}CntPV$BLMaMm%fveI=+Rxf9$j@= zVb#q0?mcPIy+5D&uCxE+s-FM4=-Jz+PgnzB@4v3!aqoeXr+i@U`VIF^ns(Nzmqo+7 z8V_6Y)A|T+_^cBJo%kNz_t2xCKj}xCuKY^Vz-8y0c*c@dxnnl0J+bQ-rXg^tgHEy& z8ljWHe(2=duif6f^~~Y>zkTKOTaIdYxjH2`ZU(j~Vw^xH*^GDXb;mxH%g%2v>7;MX z0J2fn%y`LzAGz}Qsb?LsWy`rM-*d%t+{WDCmyRDFqBAW1cEiApncf!funZ1X_b~zV zVdXbAwdS&54$LUH-2(&IUOeb+T)1$c=i>1nntA%F^*_Dwif8+xvQGS9(1%VQU7P(r zbkgv-pRKz0%`@tz&fPgM{;I3#hl_cfpz5>`I`MH!&d1~A{dY{h@am&}96phqv8#1X z>lw|<F?mh!X5H=k_h9atv}wn)w@sV*)v4G0@V(d3<XMnls60+sCs$p5z|6TP6&Ig$ z){=Lf{ewA&T{rmL!PCt+x#pSmJJyezJmtt$>+ijH+yUEOdtBc=SCPNudvPDJI-v~R z_lB#!bokjP%>3?wFTIS~mo(m&JO0s|+n1E*u7ceg7aY}%0>A<`*J*4-fAgE4Jk8e4 zMcVW@muwhY^oEBEg}ZV;dAh!STWs^mF?KH<A8WCBbB3*48NH2TYSW4pmT&h$)yD8X zy1DlaA^(=TLh}j57BlA4*ezQUdu3l|&q9v$NMZT9#NIcFOQ(RpbNhDY;G~hwaIh6| z9iKbI1vXTCdL51tq~hI$b+Ct~xrrI^@G#En0ol6o;deVa*hU$Q&JMKMeNS|n^mDiK zKC-RcHjv-k-_z3rFWc<e^1uez0PD{-Hn4>Pj9ghP?;$b*;tN`RDRu!+5L|+MSSK*v z25sHC12)KP>DzB~!e{6}CkyY|@slB(Kr})p!^3<WlZX9`Y#+TZbg~L^ppz{HoYK~^ zG+k!)mvu7z_-D?_s9`jaODBbu)_!>1x-ij6wo)g{H#cv?R)#_+tlc?#n`=rZrcAq< zzJj(Y7HwOWb%HdYt;L{?6Q17xi(l|`l-7xD`yECn*eYRkQY`RZJDZceLda5_gV&Vk zL_cVC(%s$D&xql;dD{x?FYYRK_FxlWT7<19<PSspUSat*Y_~CkCC-NTAuzSs&V<9k zB*e8N+O_MK*w|7mZbBI=sjQQOkALF)1sErVGhI4qo*V0AIalE6Z76fqIEi&~)?4p3 z*>lr^N;<*$ROCGmA>7Yl5RbORrVqBl##mqu(-XV!8JD3#;q*<=2^yoOPGF(GYZ3Z5 z<m_5xb%IaM#e~6z`ZhHsbC-UwG<OX#1UfM#i*<tH?D*xzjmWA*ww~O#FhVmJ-$fc6 zQzwa1;u@C(Rd~2|L#X91>u?lhanl%cSKZP1^HEO0I9a-C`=2<ITZ~Q$J=Hqd`Wwt$ z+o<9E!mF{jvgX`{`xn=jb&}(W%FJD5ouCoS+{HR+E@JLNLovSA+~qAQ7@c^F%5zuV z=kmR+ul4f*_VRV(4IaS^++#S5g+?AH89b_ma0%x#-fF`(9rKvF&HhB<^BcbmEVDY` z&Vy?LUGbj2My#5dzsuS48Sz#yE6?v~W#Xq*mN&?62FO34p6ST{jZ+rjY(<o7%BdXR z*wf183aO6sSq5+GFJ_s2g0to!FJ2=--uA3WuOHug0~Y%jk!BWk;XFpX$jyU%n{n)d zzkt)(y_*5Nr86eEO_-1uzN`&?md)RLZ2F)lyTT7CgM5(<+`b7|LgM^wdhmg#`3O(p z^dKWA00a9MGkn%19>?a-Vw_25+w7tT?D>hwKEv|<M&7T8CZg479|&1t_6D{t=vZCR zXVD1L5Iz5*lX;Kd<8)qKFY^6EQWq57?&-saE@PhE!$+j@EH_15FRm=R{sq{-hz`+x zUcA3CE+0b-4vwiG!fU;(kvX9S`vf^HWG`VLD3Q5<11JmL75QnDUtjne?))G79PM67 zygv7GdbGWD;Wg<IHhEo?F?&BTOt|ctcpoDWt-J>l*zP4OR-XpBcu(tn6@MM+BFI60 z_!M(eXDjDCd~yccE?Zk3;Cxyq+w&q>m(^tF{UG2wTRlc+=iF^5$*|p!ZTI?ACqcMl z=NBHR!3K->%v<8oOW!QwAqS5d!$s^@G*d5_9FdSg(T1+J#%*{t<-|DiFNuU}=dVXT zIFzH!_Kdbo!yG;R3;322+?L|myoVZjFqf(KmIWE?`NI8r<#q&-*-WBysdF(ejC7XE zx1~rghsMs|Slr4FD45snT*ETbbo%Ls9{R_4tES=e{D!UL1^#{kXyG|0J;YCE8Gd-< zjZ8bAddlwS=ee#-VV(w&k7-k$0HL<Yoo%-MWfc3$IvE=JmWEX5WLrrmW=~vY0u#FA zl4r0#v=$8wrMbmeCnzJI+KVD_srZ+WQzuIqxo}2qh;WuP`+4sgy8Y%w_!?u;=;W2y zP_-O&%r$WgPVZH(Jm&00RwqrU{ZibIaYnMCr*>MG_s6zCCsf$D3mepE^Y=#6Nq6_w ztvD^c)V|HbEpt0NA4%zi_e-LUn^)o<7#N*Et|?`b7rPk@q9R3I+@{4{g+;8BP5h)V zf?}N<HK$ltR}byuUXRa+U~8#)ZZdn~Mx^0!qQV+%W(9_iteSay=r?H{J~99zj1zPs zQ-pLeLIcl6FCDbwq*ygh>^sUM9|WvooJ^Qo*lfm0^WDQAUPr6S=N#6bjp6Wx!p((( z87I&aZqXPgCZp6iw&R2f^(YfcH2OGMb!gqE`g1lpUolR2r)`11y#gwni)CSa^&pdZ zayx(ffHrq`W0x{?QaMgkFo?*giwe*QddlL$x^<mx+n#0_m6YhDSiI}5Z)qHt37u@i z6o&oEpwY+4{TEltDTM;#+sdD~*ha-zC)|rzCnLwn?@c~q=wvC&TQ~!kig8kZT|uKM zsHOSicpCo;71lA1X$R{BbC=agQxo2Hpp()#dCH=AobcQQo!F10jH(kJC(k}x)(MZ3 z@tvxqlZEF%HC#1(g{Ocorm#++4b<z6rLo1a{0A7OVxkkg#dfyoryJ~&KkmHdHfAs{ z#AN|@>3vEEW4`$7Qu1^%RxY6$D|VE)Djj&iG6PK9QBv)5_Uw2{+h>Baetdt}XA(yU z^3dfS)ZvI9C3+dgB<}UGE=B=WvsE=?tZ;}({*@^#dyNm_DpomI_K}DZ{PYJ!@G(J& zEC%7}wVKQmLz)?;11bN$;-^IJLvMib5LC#E!(j!pT1AzSqR{wL(lCC^-3{_~13LyZ zKSmr?wZoWDX{7iLphifn=2VAAB-njMnERwW(TM!TbsIa6VC?8IW5?EKjig}>o`{9N z+~QxFs>A8Hz3}HJp0*dMZPL9SEn^F)Y=^xrEekLis+yvLn%rt;>d9_T`lTbyjE?I3 zMh}OGQlm%jX-rTnVXD@(qGKK>6(C34<Xn2T@%B21JjU)dabZR{YDB*Fb~}=7ld4$4 zl&fOTXuvqSreo9iDi!H&A*`EOd@0AI+oa_fNQ|i9$2@K?=2yfa40049FrXNh4U<sW z#h-<ffYAza4A_Kt_eoVGVS_^KYBYDq8qzoiI~&$7wk?8dsU#JWMhPTyso4@@b1-?D zV${g7mYB*6ZLI@5y2Z(jsFCS_;CVgE?Zy3f&-!qPb`FucOv}n%_(D<@VGit3PT6WX zfo<>+(#wn~FDv`^+?Q@$)3?SJ<t!DFGo}hx)h0njCRJF(Rl!Gu%gL*e<rw2j*Jxxm zRbY3}HdZ(~@SsT}<I*iWGBz+QSJ`|DD+V6h0*^)ESdq@SF;lYv9#gGqdFl2ZRckh7 zt@K84e5oDJToV((gP)II%v%OdEy_Gwi#rA{$vJVyfQ}iJh0;J3U9`5$5;yjg!d8A* z6}H?O*Ep*n9hI6{!h{cbX-r_{SL8Bw|4IZ|H>?c8uHT5NVgpplVZ!*zBpfP5j*PMm zS<T0v15L6dAzU6uNzlTRdIUCDz9wDOz!6)Stc<fhv#rLoT9R%g!g4CqT?q;h?BV;n z9VZ3}ad68*5nIxYZ+r#@ZUxn3Rv_XU1t@s{f56)$OfA9+7~(*D9e*_Y42|6u2`Y9W zxr$?hDpjjApj_7yH!S(8JY0}OgYcHhRjz(u>SmLL)*0(sPFO;@Ql;Z-l)WU!=}A?@ zV%^empLa^#LqIrsx>Oq_-VtbyNFqm-#P#D!hht&mkyY01sN#}{xEBPau<;o#kN;7q z)OSkp{qR+Cvw<q}0(dJaFv0rcY%bzII`H@d89efX?Xn5)_603fS=^wy{Hm%xaS*r? zao*yRms0KbyihIBfxR)v%L{Pn;5j>-)N1|VgRmfLv*h!BxW8kXe(c|C;oH#krtK45 z7_&Y*6=IW2_`=r9IR)=VaWW-4h}}rE2he?4=5qGklnJ2{Fg%PKGluxxfOhBCQQG>5 zgriVACZ_^B;(lIZ>`o3Kr-Qm~f{o`W68E!T_!h9b*sqZnzU4>DG0{bQgM+jG9yeNB z{k8eYJucD3Upv43@^`-lYTRq41rXCgA)8e1leOX9FV((H5keep;)xwjuy3XBm$tht z(2WFs`!ZD*(Cgx~f1QW9-7VN&;BWs8ky`rwXNcZ4pKadV)Qz2$E8=A-*hkhNV|<nH zaZ=Fb4XbD{4i92c1dXPK>d7BBs1nrPxxo<DSz3a<6Cy~Ba}~0U3n|-3UD-xl;XCzI zI+K3-^(j+P0ugbKnX0KLOrgPh{!#K?^Te$W;450E-Uy4LiBq5Xs-i0&lj$Uvqq<um zP^E-cdUNSuK(|im`zY3725%h959Y5{gZUfjG<x7IgL!PaP9yisuhBBt+LNKWm)KUx z1bZe(kjiW9XM17RMFslaV8-}SR$wb|NNwJd#xEmvXDS9ddCQN8p15uYe24!u6=S@) zd6f$gdmG-@@~h>Q!<FSO^V2>FCXN4V`*TW?!{Na)z_5utro9a(auRo6%S+^<@0v4y z%HdkRuom-g$P<=_yHZfGT8D3~RD?&Y3?RNlSsJQJP8616V^4*>OLcZ!fd1+fg7DNo z^0#0{Qdq&N4B4oJXWb-J8D~SbE(tDiInMg4XY|nU6I|i~Ucy)UEuMK*#A5_^;uK|4 zVXrc}5@^$;;elb<tMPjL_xW<FJU+UT4s&U{4RCia2T$GI6jgY?DqIm9HFDI5isXA2 z2uCI_O=KKZ5wO_SRJlU1M%6aQWq{yci5Q&@mm+r4({g651cSAhd&n#Iq)GunD#(}s z|Mr9&ce_+86aVol9p01dD$>}$aa-0%!FENrB7rC{+3YG3L2HA&n(X)qt9B$(AY!Dh zMsjM(u40aCix^<Pa9To-EK7QzC@zvISCXKWLJXxc#+I&J#<DL-!RRtRH0<sqvh0pp z;1UG;G5_kMs&lC7+abq~tYdX5QX@DnMr!b(DFVRxSE9C5unk;QieXPs5#oRv!6`G^ z%U<JCRpAVW&4oRcwe^@)qK1-vLGmVR<5d_#Ok!2STMuIro^_KDB0$Pq?S=ixkA3V< z5Y}G-H*w6jaW(OXP2#Oju>%V6tE??^Yg6Ey#=<yZRSznO%anX$dJ<UT0vwu>rt6TF zFk!R|)1Z<+X`!&hDf^gJ8Dfs5F!Ah>TdRoWmiNb!nQ8|EtL%`~g8BOuX;P!th+&A{ z4dS9G{3^4kC1BcfwYU;qxm89(hoeQjApGhEZ#O-rT&4vmMLCqbxU`jc)#(#NQur)Y zD!dwB9k%HLIHC29dL37qyGDiVc0}#cREi1lEx{^MRpGr4nl})<%Nt4MPaP)V5d3nx zX7F^I&JuwP1!WHI-y`G8(JZMVzO^bG(lTxs9wzLL(MZ!P<fO6~-K$2As)z}aP|Yk# zu_I6_;p~|k>`}6?l&b6lE2s#SFxE3xT<X3Sw|<QFJd6{Ce`&J4q{La3_}XGm9Iq07 zr3*Ixmt`Q3qKZ%urednSVP&VPj&_CvCxQXCH`vk!G%rq*glo+rHm<gCE3F(?9WKX= z=}X;ER88PPU$4n7GlR0%sKW#muI~FulCt7u*D!0<ro?06wJEI4mT`^zlvxzQr7T&3 z4F@G*2zQqPrT8+(;&&s=)|dQqQqv7i$lnn_rOJva!+bb5VO3Ay3(UAd%f^I_3uePs zIjl-C)>A1VG2t!a6JISf-$24-mm35HmBK2L9`s;EaGwN5W~WBV4My-rFp@+g<s~uf zjxpPCRJqYDG#%#T>97RPX%cebUGa&WD%X2`6$2`aIf;v4y5MoF+exLb0Pik8N$g5D zT6~gzq|0sgx1(URtf$_F7}>2Mk&(F7B3=CI`08-Ayivkx6#m~4I~=>LGe1$%h)gqO zal4BzrySdt$W7eQ(60E=((Nuk$tQ7lH~ntor{dLSFR8k$)#1GqU+OcvDJQ@elY^n4 zElIYw;Y3d2?rV98T%rT6DEmk81*7o)R&10<bbrf-m9z5RhO6tlr}9w#v1!unW5@qp z$5!9NtHUqS%1!=It>-LL*q~fwQNJw1$M&V<jtz9gR?DdlJCci|6S;{iPB<!1nV4X- z__~qbSsD$S@T=WKbTz*^oZwt;b-L>CXz3GN9FO_Ft?GWVXW>L{;_jXN!!QPv5m6;C z77J(M$`Ruv!KPd@PEuhcBuP3E&VW*vAoh>qs%m}=FO??=^NEJ(Pd|(d^Zlkg1=#z; z$na?SjfOAhUrRp6Dgz13wZ<j)6V$~%DJ7U{7pcb7Ng}m6U3It`mxL3y8b4YX_?qQO z<dj|Ir_S~SdvC*K$=0{8<r%3S4&?lQOS~waUPs*9aCJlNYk6h)6UyHIU%qf2&LHFY zC8oU%Cvp;ZU&~A6`uRgHO#FW{w>*RI(;@^xw!b|kac{#ZQO3Kk<yrXwKI@*T@%@<U z-1mpaTz%f7<{9f%>FU$1$ZE_B9j>m}9^@ZZ$?&T_ys@|8eJ#IQUQ+yE`tgaEsxhgs zc`!?bO`5-9xMq1qEV-~=15;Fc*~73`5>YkF?rV8TJ_oI)>O5cQa8i_{P4_&1NK$2K z`_LDZWT}#GZ#+RMu`2n>p2=I-ACwr%_+IF6Df_rh_dNfyq!67glMhR))O!;4AfPO< z(zhq_(&ed?mJa1{uF(He;;AJ~dmB#6V*kFDSCW6o+LCv_XMYRq;})p#O@q4w<YB*` zvyU_T8;R>k9Sr?9D#AX?HiTV~-O1L4XD2L*fI<ADy$p9#J&VC_-^h2$n-an5tTN9R zI&9jkzFg-$%pc9>4^bOC{PX!yB8cGK+i)B4E>k0PpU8L0n|c_kQJLop9ZuQ|^)_|h z^ZZ!>`{_m00weA<%0B<&`#I;oh>E$tC~*tK`tk#WcjqVhre7k@eA`cvSL@q;RTy7@ zsS{u_0!+OC(;&bcAi!h=m_`96C%}voV44J&0|l7z0!*_2lNVrG1egf|%tQgERe+f! zz)Tik4iaFd2rxCjomn-$rU~R6EWk_`U=9&rW(Y7d1(-m9nI*uq2{4BWFtY`imk2P2 z2{4BXFzo`&5dzE{0p_Iw%#i}j%LJI03ou6sFdYKS(E`jd0!*g>bF2XK3IXPo0?cs& z%&P>LR|_!53os`LFeeHyuMuEQ5@6;EFrfg`CBV!RU``fbx&@e11eo~(%xeXh1p>^e z0?cUw%<BZ0e-L0^FTlJ(fH_@&=@DSg5Ma&}VBRReEEHf00?Z--X0ZUXM1VO<fH_-$ zd6NKhjsSD60P|)6W~l(POn^C0fO(4m^Hu@oZ34{s0?cv&=IsK^1p>@F1ekXUFz*sz z-YvlVqX2WE0P`LJW`zKAkpS~v0p?-><`MzsQUT^N0cNEDbGZO>g#hzD0p>~p=KTW9 z2Lza_1ejF<j1pja1(-;Hxmtkf6JV|pU{(t-9~5Bv1(<6Em;nLiIsxW-0p<n)W{m*z zApvGkfLSZR+$g|&Sb(`nfVo+KxkZ5ahyZh|0JBbjxlMq%U4Z$h0P`^cX1xG&hX6Aq zz<gYQxl@3-OMv+&0p@N2<`V+UCk2>K2{4})V1@;le->aqBfxxCfY~6xY!qNVC&2uR z0P}eP<_iMMJp#-Z1(+`hF#jsRd|80`Hv#4=0?Z}>=BonC*94e*1(^E;7%jkjU4Z$9 z0P{@&=6(U@TLR3#3ozdnU>*=)z9YaqD8PJIfY~g-d{2ORNPyWQz&tF#d|!b1fdKO# z0?ZEum`4Pd9|<r&7GQoN!2DEz`I!Loa{=ZT0?aQ3m`4Se#{`&P2{8XDz&tL%{91td zjR5ms0?dC4F#jXK{8oVZodEND0p<?^%pV1q{}o`i3NTLyFxv!}KM62T3NYIRn5P7o zrv;cl3oy?JFwY7w&j~O)1eoUqn4JR5Uj&$40!-13!P%fS&h4zUJ@7mM#us4f1elBf zQ!l_Y2rvf-Fj)bnQGm$_FyjQ6CIRL^0cN}a(=5Q`1(+5AW`Y1SQGjU`U?vGLlLeTA z1ehrT%v1qpngDaK05e^HIYfY&A;8QOU;+VVmH^Wxz#J;T%obo?BETFbz#J~Xv<omt z2rzR5n3oDLM+z`66JTC0z#JvObO<m<3oyqBFr5O-u>#C11ejL}FvkfnuM%KhEx;Tv zz?>k!oG8G&Mu0g<fSD`6gaS;L05ea3Iaz?|7GO>hVCD-juN7bx2r#D#FsBJHuM=SY zL4bL^0P_X`=5zt3M}Rp)fH_lud7}WcP=F~2FpC73#RAL{0p=_L=4=7xO#;k00?fGr z%$o(6r2@<{0p>gb<}CuuTLqZ62{7jiFv|s)w+k>A2r%yuVBRUfyi0(2w*d2x0?dU1 z%zFfw6#~pf0?c~_n2QCNO9Yrp1(?eOn3V#|<pRtV0?hjam@5UC_X{u|5MZtnU{;Nd zQT&w?kJoz4J}>Nvwf_D4{m>*YI(otO`$dBNei6CX`yz6$_eJDh?~BO2-WQR3y)Pp7 zdS68D^}dMQ>wOWq*ZU%JulGgdUhj*@z1|m*d%Z6r_j+GM?)AQi-0OW2x!3z5a<BJA z<X-QK$i3bdk$b%_BKLY<MDF#zh}`Ra5xLj<B66?yMdV)Zi^#p+7m<6tFCzDPUqtTp zzKGoGeG$3W`yz6$_eJDh?~BO2-WQR3y)Pp7dS68D^}dMQ>wOWq*ZU%JulGgdUhj*@ zz1|m*d%Z6r_j+GM?)AQi-0OW2x!3z5a<BJA<X-QK$i3bdk$b%_BKLY<MDF#zh}`Ra z5xLj<B66?yMdV)Zi^#p+7m<6tFCzDPUqtTpzKGoGeG$3W`yz6$_u(r$V?Uz@9!2C{ z?~BO2-WQR3y)Pp7dS68D^}dMQ>wOWq*ZU%JulGgdUhj*@z1|m*d%Z6r_j+GM?)AQi z-0OW2x!3z5a<BJA<X-QK$i3bdk$b%_BKLY<MDF#zh}`Ra5xLj<B66?yMdV)Zi^#p+ z7m<6tFCzDPUqtTpzKGoGeG$3W`yz6$_eJDh?~BO2-WQR3y)Pp7dS68D^}dMQ>wOWq z*ZU%JulGgdUhj*@z1|m*d%Z6r_j+GM?)AQi-0OW2x!3z5a<BJA<X-QK$i3bdk$b%_ zBKLY<MDF#zh}`Ra5xLj<B66?yMdV)Zi^#p+7m;JV&pb;g!ShD1022u?R|_zG0?ai6 z%xVGVg91#y0CTMXGa$fRC%{}Uz}z6ftPx;7B)|*`Flz;v8wHpT3othcFgFV@w+JvF z5nyf=VAcsRw+S$}3osuQU_K_mtQTPJ5MYJ`n2!rEcM33f2{8X8z}zjsd_sWvqyY0N z0p`;J%&-9S&jQS61enhXFdGDzjRMT)1ekvjU_LLvd_jP@M}YaF0P`gQ=3fPvFAFgL zCcu0}fY~I#d{uz?ngDaJ0CS%JqXn3+3ozdhV7@89+%Ld<OMv-z0p{BR%mV_<cLbOR z1(@#&Fq;LK?+Gvu2{2m(n1=<J?+Y+L5Mcg8fcc>S^N0ZRBLU{e0?bbYn4bzTKNDbn zF2MXkfcd2W^QZvxm;m!D0p>pin8yW}Ukfn55n%pHfcb9$=6?j3-wH6l6JUNX!2Cgg z`J({yzXHrw0p<w-W}5)>CjsV30cN`Z^OOMdv;gyG0p=M2=2-#eIRR#e0Q0;6vr~Zi zivY7rfGLiRsj~Od`|Z76bVgpO0=;1NURq%9r3Ln0T43*`1@>NAVDF^`_Fh_G@1+Iy zURq%9r3Ln0T43*`1@>NAVDF^`_Fh_G@1+IyURq%9r3Ln0T43*`1@>NAVDF^`_Fh_G z@1+IyURq%9r3Ln0T43*`1@>NAVDF^`_Fh_G@1+IyURq%9r3Ln0T43*`1@>NAVDF^` z_Fh_G@1+IyURq%9r3Ln0T43*`1@>NAVDF^`_Fh_G@1+IyURq%9r3Ln0T43*`1@>NA zVDF^`_Fh_G@1+IyURq%9r3Ln0T43*`1@>NAVDF^`_Fh_G@1+IyURq%9r3Ln0T43*` z1@>NAVDF^`_Fh_G@1+IyURq%9r3Ln0T43*`1@>NAVDF^`_Fh_G@1+IyURq%9r3Ln0 zT43*`1@>NAVDF^`_Fh_G@1+IyURq%9r3Ln0T43*`1@>NAVDF^`_Fh_G@1+IyURq%9 zr3Ln0T43*`1@>NAVDF^`_Fh_G@1+IyURq%9r3Ln0T43*`1@>NAVDF^`_Fh_G@1+Iy zURq%9r3Ln0T43*`1@>NAVDF^`_Fh_G@1+IyURq%9r3Ln0T43*`1@>NAVDF^`_Fh_G z@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY z@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY z@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY z@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY z@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY z@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY z@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY z@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY z@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY z@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CY@1@CM?}hz-TJU{$ zE%?5>_WZGJ%S>K%0!&7LsTW`x1egN^n5+QPD8S?dm~jG3lK^v|05e{IX%=Ae0!)hl zGeLlvD8RG|Fp~tB$pXwl0?ZTvW~u-)O@KLAfSE4993sHX5MX8sFo6IwOMqz;U=9^v zW(zPc5nv7zU=9~x+69;+1eiGj%u5BBBL$e32{11gV2%=CIs}-b1(;(5m`(xaSOMl0 z0?aD~nBxSPR|zn$7GRDSU``NVP848XBfy*_z|0k3LII{rfSD)2oGid}3oxe$F!KeN z*9tHT1ej9=n9~H9*9kEHAi%s{fO&%ebGiW2Bfy*?z?><-yitHzD8Lj1m_-82VgY7} z0CScAbG88UCIRLg0p?r*=FI}kQUPX}0CS!I^A-W-tpd#31eo&$nB@Y@+Xa{l1ekXS zFz*y#-X*}iTY&jT0p>yh<~;(;3IXOK0p`5|%*6uCB?8Q)0?cIs%t`^~aslQF0p@)I z%#{Mn`vsT}2ryR(FssJKkhgx~M}8e(PZ|H>{bae6zpjH9h$v4Tb;RF-H#m;p|9f$? zL9DZS)(3y1zwTakHFQ<)b<j}kl6PKD<0lfG#c2*q!u#D9hc5T)VZR>s_ksO=;KkGj F{tw=?(QN<#