mirror of
https://github.com/EEVengers/ThunderScope.git
synced 2025-04-22 17:43:44 +00:00
Reorganized directory and removed legacy FW
This commit is contained in:
parent
0b04872d65
commit
31018fc841
Firmware
Artix7_PCIe
Blink
Blink.cache/wt
gui_handlers.wdfjava_command_handlers.wdfproject.wpcsynthesis.wdfsynthesis_details.wdfwebtalk_pa.xml
Blink.hw
Blink.runs
.jobs
vrs_config_1.xmlvrs_config_10.xmlvrs_config_11.xmlvrs_config_12.xmlvrs_config_13.xmlvrs_config_14.xmlvrs_config_15.xmlvrs_config_2.xmlvrs_config_3.xmlvrs_config_4.xmlvrs_config_5.xmlvrs_config_6.xmlvrs_config_7.xmlvrs_config_8.xmlvrs_config_9.xml
impl_1
.Vivado_Implementation.queue.rst.init_design.begin.rst.init_design.end.rst.opt_design.begin.rst.opt_design.end.rst.phys_opt_design.begin.rst.phys_opt_design.end.rst.place_design.begin.rst.place_design.end.rst.route_design.begin.rst.route_design.end.rst.vivado.begin.rst.vivado.end.rst.write_bitstream.begin.rst.write_bitstream.end.rstISEWrap.jsISEWrap.shblink.binblink.bitblink.tclblink.vdiblink_bus_skew_routed.pbblink_bus_skew_routed.rptblink_bus_skew_routed.rpxblink_clock_utilization_routed.rptblink_control_sets_placed.rptblink_drc_opted.pbblink_drc_opted.rptblink_drc_opted.rpxblink_drc_routed.pbblink_drc_routed.rptblink_drc_routed.rpxblink_io_placed.rptblink_methodology_drc_routed.pbblink_methodology_drc_routed.rptblink_methodology_drc_routed.rpxblink_opt.dcpblink_physopt.dcpblink_placed.dcpblink_power_routed.rptblink_power_routed.rpxblink_power_summary_routed.pbblink_route_status.pbblink_route_status.rptblink_routed.dcpblink_timing_summary_routed.pbblink_timing_summary_routed.rptblink_timing_summary_routed.rpxblink_utilization_placed.pbblink_utilization_placed.rptgen_run.xmlhtr.txtinit_design.pbopt_design.pbphys_opt_design.pbplace_design.pbproject.wdfroute_design.pbrundef.jsrunme.batrunme.logrunme.shusage_statistics_webtalk.htmlusage_statistics_webtalk.xmlvivado.jouvivado.pbwrite_bitstream.pb
synth_1
Blink.srcs
Blink.xprvivado.jouvivado.logPCIe_Test
PCIe_Test.binPCIe_Test.xprvivado.jouvivado.log
PCIe_Test.cache/wt
PCIe_Test.hw
PCIe_Test.srcs
constrs_1/imports/new
sources_1
bd/design_1
design_1.bddesign_1.bxml
hdl
ip
design_1_auto_cc_0
design_1_axi_interconnect_0_0
design_1_clk_wiz_0_0
design_1_mig_7series_0_0
design_1_mig_7series_0_0.veodesign_1_mig_7series_0_0.xcidesign_1_mig_7series_0_0.xml
design_1_mig_7series_0_0
mig_a.prjmig_b.prjxil_txt.indesign_1_util_ds_buf_0_0
design_1_util_vector_logic_0_0
design_1_xdma_0_0
design_1_xlconstant_0_0
design_1_xlconstant_0_2
ui
imports/hdl
datamover_test
datamover_test.bindatamover_test.xprvivado.jouvivado.log
datamover_test.cache/wt
datamover_test.hw
datamover_test.ip_user_files
README.txt
bd/design_1
ip
design_1_auto_cc_0
design_1_axi_datamover_0_0
design_1_axi_fifo_mm_s_0_0
design_1_axi_gpio_0_0
design_1_axi_gpio_1_0
design_1_axis_subset_converter_0_0
design_1_axis_subset_converter_0_0_sim_netlist.vdesign_1_axis_subset_converter_0_0_sim_netlist.vhdl
hdl
tdata_design_1_axis_subset_converter_0_0.vtdest_design_1_axis_subset_converter_0_0.vtid_design_1_axis_subset_converter_0_0.vtkeep_design_1_axis_subset_converter_0_0.vtlast_design_1_axis_subset_converter_0_0.vtop_design_1_axis_subset_converter_0_0.vtstrb_design_1_axis_subset_converter_0_0.vtuser_design_1_axis_subset_converter_0_0.v
sim
design_1_clk_wiz_0_0
design_1_mig_7series_0_0/design_1_mig_7series_0_0/user_design/rtl
axi
mig_7series_v4_2_axi_ctrl_addr_decode.vmig_7series_v4_2_axi_ctrl_read.vmig_7series_v4_2_axi_ctrl_reg.vmig_7series_v4_2_axi_ctrl_reg_bank.vmig_7series_v4_2_axi_ctrl_top.vmig_7series_v4_2_axi_ctrl_write.vmig_7series_v4_2_axi_mc.vmig_7series_v4_2_axi_mc_ar_channel.vmig_7series_v4_2_axi_mc_aw_channel.vmig_7series_v4_2_axi_mc_b_channel.vmig_7series_v4_2_axi_mc_cmd_arbiter.vmig_7series_v4_2_axi_mc_cmd_fsm.vmig_7series_v4_2_axi_mc_cmd_translator.vmig_7series_v4_2_axi_mc_fifo.vmig_7series_v4_2_axi_mc_incr_cmd.vmig_7series_v4_2_axi_mc_r_channel.vmig_7series_v4_2_axi_mc_simple_fifo.vmig_7series_v4_2_axi_mc_w_channel.vmig_7series_v4_2_axi_mc_wr_cmd_fsm.vmig_7series_v4_2_axi_mc_wrap_cmd.vmig_7series_v4_2_ddr_a_upsizer.vmig_7series_v4_2_ddr_axi_register_slice.vmig_7series_v4_2_ddr_axi_upsizer.vmig_7series_v4_2_ddr_axic_register_slice.vmig_7series_v4_2_ddr_carry_and.vmig_7series_v4_2_ddr_carry_latch_and.vmig_7series_v4_2_ddr_carry_latch_or.vmig_7series_v4_2_ddr_carry_or.vmig_7series_v4_2_ddr_command_fifo.vmig_7series_v4_2_ddr_comparator.vmig_7series_v4_2_ddr_comparator_sel.vmig_7series_v4_2_ddr_comparator_sel_static.vmig_7series_v4_2_ddr_r_upsizer.vmig_7series_v4_2_ddr_w_upsizer.v
clocking
mig_7series_v4_2_clk_ibuf.vmig_7series_v4_2_infrastructure.vmig_7series_v4_2_iodelay_ctrl.vmig_7series_v4_2_tempmon.v
controller
mig_7series_v4_2_arb_mux.vmig_7series_v4_2_arb_row_col.vmig_7series_v4_2_arb_select.vmig_7series_v4_2_bank_cntrl.vmig_7series_v4_2_bank_common.vmig_7series_v4_2_bank_compare.vmig_7series_v4_2_bank_mach.vmig_7series_v4_2_bank_queue.vmig_7series_v4_2_bank_state.vmig_7series_v4_2_col_mach.vmig_7series_v4_2_mc.vmig_7series_v4_2_rank_cntrl.vmig_7series_v4_2_rank_common.vmig_7series_v4_2_rank_mach.vmig_7series_v4_2_round_robin_arb.v
design_1_mig_7series_0_0.vdesign_1_mig_7series_0_0_mig_sim.vecc
mig_7series_v4_2_ecc_buf.vmig_7series_v4_2_ecc_dec_fix.vmig_7series_v4_2_ecc_gen.vmig_7series_v4_2_ecc_merge_enc.vmig_7series_v4_2_fi_xor.v
ip_top
phy
mig_7series_v4_2_ddr_byte_group_io.vmig_7series_v4_2_ddr_byte_lane.vmig_7series_v4_2_ddr_calib_top.vmig_7series_v4_2_ddr_if_post_fifo.vmig_7series_v4_2_ddr_mc_phy.vmig_7series_v4_2_ddr_mc_phy_wrapper.vmig_7series_v4_2_ddr_of_pre_fifo.vmig_7series_v4_2_ddr_phy_4lanes.vmig_7series_v4_2_ddr_phy_ck_addr_cmd_delay.vmig_7series_v4_2_ddr_phy_dqs_found_cal.vmig_7series_v4_2_ddr_phy_dqs_found_cal_hr.vmig_7series_v4_2_ddr_phy_init.vmig_7series_v4_2_ddr_phy_ocd_cntlr.vmig_7series_v4_2_ddr_phy_ocd_data.vmig_7series_v4_2_ddr_phy_ocd_edge.vmig_7series_v4_2_ddr_phy_ocd_lim.vmig_7series_v4_2_ddr_phy_ocd_mux.vmig_7series_v4_2_ddr_phy_ocd_po_cntlr.vmig_7series_v4_2_ddr_phy_ocd_samp.vmig_7series_v4_2_ddr_phy_oclkdelay_cal.vmig_7series_v4_2_ddr_phy_prbs_rdlvl.vmig_7series_v4_2_ddr_phy_rdlvl.vmig_7series_v4_2_ddr_phy_tempmon.vmig_7series_v4_2_ddr_phy_top.vmig_7series_v4_2_ddr_phy_wrcal.vmig_7series_v4_2_ddr_phy_wrlvl.vmig_7series_v4_2_ddr_phy_wrlvl_off_delay.vmig_7series_v4_2_ddr_prbs_gen.vmig_7series_v4_2_ddr_skip_calib_tap.vmig_7series_v4_2_poc_cc.vmig_7series_v4_2_poc_edge_store.vmig_7series_v4_2_poc_meta.vmig_7series_v4_2_poc_pd.vmig_7series_v4_2_poc_tap_base.vmig_7series_v4_2_poc_top.v
ui
design_1_util_ds_buf_0_0
design_1_util_vector_logic_0_0
design_1_xbar_0
design_1_xbar_1
design_1_xdma_0_0
sim
mem_init_files
sim_scripts/design_1
README.txt
activehdl
README.txtcompile.dodesign_1.shdesign_1_auto_cc_0.hdesign_1_xbar_0.hfile_info.txtmig_a.prjsimulate.dowave.do
ies
modelsim
README.txtcompile.dodesign_1.shdesign_1_auto_cc_0.hdesign_1_xbar_0.hfile_info.txtmig_a.prjsimulate.dowave.do
questa
README.txtcompile.dodesign_1.shdesign_1_auto_cc_0.hdesign_1_xbar_0.helaborate.dofile_info.txtmig_a.prjsimulate.dowave.do
riviera
README.txtcompile.dodesign_1.shdesign_1_auto_cc_0.hdesign_1_xbar_0.hdesign_1_xbar_1.hdesign_1_xbar_1_sc.hfile_info.txtmig_a.prjsimulate.dowave.do
vcs
README.txtdesign_1.shdesign_1_auto_cc_0.hdesign_1_xbar_0.hdesign_1_xbar_1.hdesign_1_xbar_1_sc.hfile_info.txtmig_a.prjsimulate.do
xcelium
README.txtdesign_1.shdesign_1_auto_cc_0.hdesign_1_xbar_0.hdesign_1_xbar_1.hdesign_1_xbar_1_sc.hfile_info.txtmig_a.prjrun.f
xsim
datamover_test.srcs
constrs_1/imports/new
sources_1
bd/design_1
design_1.bddesign_1.bxml
hdl
ip
design_1_auto_cc_0
design_1_axi_datamover_0_0
design_1_axi_gpio_0_0
design_1_axi_interconnect_0_0
design_1_axis_subset_converter_0_0
design_1_clk_wiz_0_0
design_1_mig_7series_0_0
design_1_util_ds_buf_0_0
design_1_util_vector_logic_0_0
design_1_xbar_0
design_1_xdma_0_0
design_1_xlconstant_0_0
design_1_xlconstant_0_2
design_1_xlconstant_0_3
ui
imports/hdl
new
dso_top_TE0712
dso_top.ip_user_files
bd/design_1/ip/design_1_xdma_0_0/ip_0
mem_init_files
sim_scripts/design_1
questa
riviera
vcs
xcelium
xsim
dso_top.srcs/sources_1/bd/design_1/ip
design_1_axi_clock_converter_0_0/sysc
design_1_clk_wiz_0_0
design_1_mig_7series_0_0
design_1_mig_7series_0_0/example_design
par
rtl/traffic_gen
mig_7series_v4_2_axi4_tg.vmig_7series_v4_2_axi4_wrapper.vmig_7series_v4_2_cmd_prbs_gen_axi.vmig_7series_v4_2_data_gen_chk.vmig_7series_v4_2_tg.v
sim
design_1_util_ds_buf_0_0
design_1_util_vector_logic_0_0
design_1_xdma_0_0
ip_1
ip_2
ip_3
ip_4
design_1_xlconstant_0_0
design_1_xlconstant_0_2
design_1_xlconstant_0_3/sim
dso_top_TE0712_unsigned
dso_top.ip_user_files
mem_init_files
axi_clock_converter.hdesign_1_auto_cc_0_sc.hdesign_1_xbar_0_sc.hdesign_1_xbar_1.hdesign_1_xbar_1_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.h
sim_scripts
design_1
activehdl
modelsim
questa
riviera
xcelium
xsim
fifo_generator_0
dso_top.srcs/sources_1/bd/design_1/ip
design_1_axi_clock_converter_0_0/sysc
design_1_clk_wiz_0_0
design_1_util_ds_buf_0_0
design_1_util_vector_logic_0_0
design_1_xdma_0_0
ip_0
ip_1
ip_2
ip_3
ip_4
design_1_xlconstant_0_0
design_1_xlconstant_0_2
design_1_xlconstant_0_3
dso_top_fpga_module
dso_top.ip_user_files/mem_init_files
axi_clock_converter.haxi_crossbar.hdesign_1_auto_cc_0_sc.hdesign_1_xbar_0_sc.hdesign_1_xbar_1.hdesign_1_xbar_1_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hsys_clk_gen_ps_v.txt
dso_top.srcs/sources_1/bd/design_1/ip
design_1_axi_crossbar_0_0/src
design_1_axi_crossbar_0_1/src
design_1_xdma_0_0/ip_0
design_1_xlconstant_0_0/sim
design_1_xlconstant_0_2/sim
design_1_xlconstant_0_3/sim
dso_top_fpga_module_rev2_unsigned
dso_top.ip_user_files/mem_init_files
axi_clock_converter.haxi_crossbar.hdesign_1_auto_cc_0_sc.hdesign_1_xbar_0_sc.hdesign_1_xbar_1.hdesign_1_xbar_1_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hsys_clk_gen_ps_v.txtxlconstant_v1_1_7.h
dso_top.srcs/sources_1/bd/design_1/ip
design_1_axi_crossbar_0_0/src
design_1_axi_crossbar_0_1/src
design_1_xdma_0_0/ip_0
design_1_xlconstant_0_0/sim
design_1_xlconstant_0_2/sim
design_1_xlconstant_0_3/sim
dso_top_fpga_module_unsigned
dso_top.ip_user_files
bd/design_1/ip
design_1_util_ds_buf_0_0/sim
design_1_xdma_0_0
ip_1/sim
ip_2/sim
ip_3/sim
ip_4/sim
design_1_xlconstant_0_0/sim
design_1_xlconstant_0_2/sim
design_1_xlconstant_0_3/sim
mem_init_files
dso_top.srcs/sources_1/bd/design_1
ip
design_1_axi_crossbar_0_0/src
design_1_axi_crossbar_0_1/src
design_1_clk_wiz_0_0
design_1_util_ds_buf_0_1
design_1_xdma_0_0
ip_0
source
design_1_xdma_0_0_pcie2_ip_axi_basic_rx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_null_gen.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_top.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_thrtl_ctl.vdesign_1_xdma_0_0_pcie2_ip_gt_common.vdesign_1_xdma_0_0_pcie2_ip_gt_rx_valid_filter_7x.vdesign_1_xdma_0_0_pcie2_ip_gt_top.vdesign_1_xdma_0_0_pcie2_ip_gt_wrapper.vdesign_1_xdma_0_0_pcie2_ip_gtp_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_gtx_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_pcie2_top.vdesign_1_xdma_0_0_pcie2_ip_pcie_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_top_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_brams_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_lane.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_misc.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_pipeline.vdesign_1_xdma_0_0_pcie2_ip_pcie_top.vdesign_1_xdma_0_0_pcie2_ip_pipe_clock.vdesign_1_xdma_0_0_pcie2_ip_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_pipe_eq.vdesign_1_xdma_0_0_pcie2_ip_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_pipe_sync.vdesign_1_xdma_0_0_pcie2_ip_pipe_user.vdesign_1_xdma_0_0_pcie2_ip_pipe_wrapper.vdesign_1_xdma_0_0_pcie2_ip_qpll_drp.vdesign_1_xdma_0_0_pcie2_ip_qpll_reset.vdesign_1_xdma_0_0_pcie2_ip_qpll_wrapper.vdesign_1_xdma_0_0_pcie2_ip_rxeq_scan.v
sys_clk_gen_ps_v.txtxdma_v4_1/hdl/verilog
design_1_xdma_0_0_axi_stream_intf.svdesign_1_xdma_0_0_cfg_sideband.svdesign_1_xdma_0_0_core_top.svdesign_1_xdma_0_0_dma_bram_wrap.svdesign_1_xdma_0_0_dma_bram_wrap_1024.svdesign_1_xdma_0_0_dma_bram_wrap_2048.svdesign_1_xdma_0_0_dma_cpl.svdesign_1_xdma_0_0_dma_req.svdesign_1_xdma_0_0_pcie2_to_pcie3_wrapper.svdesign_1_xdma_0_0_rx_demux.svdesign_1_xdma_0_0_rx_destraddler.svdesign_1_xdma_0_0_tgt_cpl.svdesign_1_xdma_0_0_tgt_req.svdesign_1_xdma_0_0_tx_mux.sv
design_1_xlconstant_0_0/sim
design_1_xlconstant_0_2/sim
design_1_xlconstant_0_3/sim
ipshared/276e
dso_top_litefury
DDR_16x.ucfdso_top_litefury.bindso_top_litefury.xprdso_top_litefury_2021_2.binvivado.jouvivado.log
dso_top_litefury.cache/wt
dso_top_litefury.hw
dso_top_litefury.ip_user_files
README.txt
bd/design_1
ip
design_1_axi_clock_converter_0_0/sim
design_1_axi_crossbar_0_0/sim
design_1_axi_crossbar_0_1/sim
design_1_axi_datamover_0_0/sim
design_1_axi_dwidth_converter_0_0/sim
design_1_axi_fifo_mm_s_0_0/sim
design_1_axi_gpio_0_1/sim
design_1_clk_wiz_0_0
design_1_mig_7series_0_0/design_1_mig_7series_0_0/user_design/rtl
axi
mig_7series_v4_2_axi_ctrl_addr_decode.vmig_7series_v4_2_axi_ctrl_read.vmig_7series_v4_2_axi_ctrl_reg.vmig_7series_v4_2_axi_ctrl_reg_bank.vmig_7series_v4_2_axi_ctrl_top.vmig_7series_v4_2_axi_ctrl_write.vmig_7series_v4_2_axi_mc.vmig_7series_v4_2_axi_mc_ar_channel.vmig_7series_v4_2_axi_mc_aw_channel.vmig_7series_v4_2_axi_mc_b_channel.vmig_7series_v4_2_axi_mc_cmd_arbiter.vmig_7series_v4_2_axi_mc_cmd_fsm.vmig_7series_v4_2_axi_mc_cmd_translator.vmig_7series_v4_2_axi_mc_fifo.vmig_7series_v4_2_axi_mc_incr_cmd.vmig_7series_v4_2_axi_mc_r_channel.vmig_7series_v4_2_axi_mc_simple_fifo.vmig_7series_v4_2_axi_mc_w_channel.vmig_7series_v4_2_axi_mc_wr_cmd_fsm.vmig_7series_v4_2_axi_mc_wrap_cmd.vmig_7series_v4_2_ddr_a_upsizer.vmig_7series_v4_2_ddr_axi_register_slice.vmig_7series_v4_2_ddr_axi_upsizer.vmig_7series_v4_2_ddr_axic_register_slice.vmig_7series_v4_2_ddr_carry_and.vmig_7series_v4_2_ddr_carry_latch_and.vmig_7series_v4_2_ddr_carry_latch_or.vmig_7series_v4_2_ddr_carry_or.vmig_7series_v4_2_ddr_command_fifo.vmig_7series_v4_2_ddr_comparator.vmig_7series_v4_2_ddr_comparator_sel.vmig_7series_v4_2_ddr_comparator_sel_static.vmig_7series_v4_2_ddr_r_upsizer.vmig_7series_v4_2_ddr_w_upsizer.v
clocking
mig_7series_v4_2_clk_ibuf.vmig_7series_v4_2_infrastructure.vmig_7series_v4_2_iodelay_ctrl.vmig_7series_v4_2_tempmon.v
controller
mig_7series_v4_2_arb_mux.vmig_7series_v4_2_arb_row_col.vmig_7series_v4_2_arb_select.vmig_7series_v4_2_bank_cntrl.vmig_7series_v4_2_bank_common.vmig_7series_v4_2_bank_compare.vmig_7series_v4_2_bank_mach.vmig_7series_v4_2_bank_queue.vmig_7series_v4_2_bank_state.vmig_7series_v4_2_col_mach.vmig_7series_v4_2_mc.vmig_7series_v4_2_rank_cntrl.vmig_7series_v4_2_rank_common.vmig_7series_v4_2_rank_mach.vmig_7series_v4_2_round_robin_arb.v
design_1_mig_7series_0_0.vdesign_1_mig_7series_0_0_mig_sim.vecc
mig_7series_v4_2_ecc_buf.vmig_7series_v4_2_ecc_dec_fix.vmig_7series_v4_2_ecc_gen.vmig_7series_v4_2_ecc_merge_enc.vmig_7series_v4_2_fi_xor.v
ip_top
phy
mig_7series_v4_2_ddr_byte_group_io.vmig_7series_v4_2_ddr_byte_lane.vmig_7series_v4_2_ddr_calib_top.vmig_7series_v4_2_ddr_if_post_fifo.vmig_7series_v4_2_ddr_mc_phy.vmig_7series_v4_2_ddr_mc_phy_wrapper.vmig_7series_v4_2_ddr_of_pre_fifo.vmig_7series_v4_2_ddr_phy_4lanes.vmig_7series_v4_2_ddr_phy_ck_addr_cmd_delay.vmig_7series_v4_2_ddr_phy_dqs_found_cal.vmig_7series_v4_2_ddr_phy_dqs_found_cal_hr.vmig_7series_v4_2_ddr_phy_init.vmig_7series_v4_2_ddr_phy_ocd_cntlr.vmig_7series_v4_2_ddr_phy_ocd_data.vmig_7series_v4_2_ddr_phy_ocd_edge.vmig_7series_v4_2_ddr_phy_ocd_lim.vmig_7series_v4_2_ddr_phy_ocd_mux.vmig_7series_v4_2_ddr_phy_ocd_po_cntlr.vmig_7series_v4_2_ddr_phy_ocd_samp.vmig_7series_v4_2_ddr_phy_oclkdelay_cal.vmig_7series_v4_2_ddr_phy_prbs_rdlvl.vmig_7series_v4_2_ddr_phy_rdlvl.vmig_7series_v4_2_ddr_phy_tempmon.vmig_7series_v4_2_ddr_phy_top.vmig_7series_v4_2_ddr_phy_wrcal.vmig_7series_v4_2_ddr_phy_wrlvl.vmig_7series_v4_2_ddr_phy_wrlvl_off_delay.vmig_7series_v4_2_ddr_prbs_gen.vmig_7series_v4_2_ddr_skip_calib_tap.vmig_7series_v4_2_poc_cc.vmig_7series_v4_2_poc_edge_store.vmig_7series_v4_2_poc_meta.vmig_7series_v4_2_poc_pd.vmig_7series_v4_2_poc_tap_base.vmig_7series_v4_2_poc_top.v
ui
design_1_util_ds_buf_0_0
design_1_util_vector_logic_0_0/sim
design_1_xdma_0_0
ip_0
sim
source
design_1_xdma_0_0_pcie2_ip_axi_basic_rx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_null_gen.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_top.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_thrtl_ctl.vdesign_1_xdma_0_0_pcie2_ip_core_top.vdesign_1_xdma_0_0_pcie2_ip_gt_common.vdesign_1_xdma_0_0_pcie2_ip_gt_rx_valid_filter_7x.vdesign_1_xdma_0_0_pcie2_ip_gt_top.vdesign_1_xdma_0_0_pcie2_ip_gt_wrapper.vdesign_1_xdma_0_0_pcie2_ip_gtp_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_gtx_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_pcie2_top.vdesign_1_xdma_0_0_pcie2_ip_pcie_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_top_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_brams_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_lane.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_misc.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_pipeline.vdesign_1_xdma_0_0_pcie2_ip_pcie_top.vdesign_1_xdma_0_0_pcie2_ip_pipe_clock.vdesign_1_xdma_0_0_pcie2_ip_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_pipe_eq.vdesign_1_xdma_0_0_pcie2_ip_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_pipe_sync.vdesign_1_xdma_0_0_pcie2_ip_pipe_user.vdesign_1_xdma_0_0_pcie2_ip_pipe_wrapper.vdesign_1_xdma_0_0_pcie2_ip_qpll_drp.vdesign_1_xdma_0_0_pcie2_ip_qpll_reset.vdesign_1_xdma_0_0_pcie2_ip_qpll_wrapper.vdesign_1_xdma_0_0_pcie2_ip_rxeq_scan.v
ip_1/sim
ip_2/sim
ip_3/sim
ip_4/sim
sim
xdma_v4_1/hdl/verilog
design_1_xdma_0_0_axi_stream_intf.svdesign_1_xdma_0_0_cfg_sideband.svdesign_1_xdma_0_0_core_top.svdesign_1_xdma_0_0_dma_bram_wrap.svdesign_1_xdma_0_0_dma_bram_wrap_1024.svdesign_1_xdma_0_0_dma_bram_wrap_2048.svdesign_1_xdma_0_0_dma_cpl.svdesign_1_xdma_0_0_dma_req.svdesign_1_xdma_0_0_pcie2_to_pcie3_wrapper.svdesign_1_xdma_0_0_rx_demux.svdesign_1_xdma_0_0_rx_destraddler.svdesign_1_xdma_0_0_tgt_cpl.svdesign_1_xdma_0_0_tgt_req.svdesign_1_xdma_0_0_tx_mux.sv
design_1_xlconstant_0_0/sim
design_1_xlconstant_0_2/sim
design_1_xlconstant_0_3/sim
sim
ipstatic
mem_init_files
axi_clock_converter.haxi_crossbar.haxi_data_fifo.haxi_dwidth_converter.hbd_48ac_one_0.hdesign_1_auto_cc_0.hdesign_1_auto_cc_0_sc.hdesign_1_auto_us_df_0.hdesign_1_auto_us_df_0_sc.hdesign_1_auto_us_df_1.hdesign_1_auto_us_df_1_sc.hdesign_1_axi_clock_converter_0_0.hdesign_1_axi_clock_converter_0_0_sc.hdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_m00_data_fifo_0.hdesign_1_m00_data_fifo_0_sc.hdesign_1_smartconnect_0_0.hdesign_1_smartconnect_0_0_sc.hdesign_1_xbar_0.hdesign_1_xbar_0_sc.hdesign_1_xbar_1.hdesign_1_xbar_1_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hmig_a.prjmig_b.prjsc_xtlm_design_1_smartconnect_0_0.memsmartconnect.cxxsmartconnect.hsmartconnect_xtlm.cxxsmartconnect_xtlm.hsmartconnect_xtlm_impl.hsys_clk_gen_ps_v.txtxlconstant_v1_1_7.h
sim_scripts/design_1
README.txt
activehdl
README.txtaxi_clock_converter.haxi_crossbar.haxi_dwidth_converter.hcompile.dodesign_1.shdesign_1.udodesign_1_axi_clock_converter_0_0.hdesign_1_axi_clock_converter_0_0_sc.hdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hfile_info.txtglbl.vmig_a.prjsimulate.dosys_clk_gen_ps_v.txtwave.doxlconstant_v1_1_7.h
ies
README.txtaxi_clock_converter.haxi_crossbar.haxi_dwidth_converter.hdesign_1.shdesign_1_axi_clock_converter_0_0.hdesign_1_axi_clock_converter_0_0_sc.hdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hfile_info.txtglbl.vmig_a.prjrun.fsys_clk_gen_ps_v.txtxlconstant_v1_1_7.h
modelsim
README.txtaxi_clock_converter.haxi_crossbar.haxi_dwidth_converter.hcompile.dodesign_1.shdesign_1.udodesign_1_axi_clock_converter_0_0.hdesign_1_axi_clock_converter_0_0_sc.hdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hfile_info.txtglbl.vmig_a.prjsimulate.dosys_clk_gen_ps_v.txtwave.doxlconstant_v1_1_7.h
questa
README.txtaxi_clock_converter.haxi_crossbar.haxi_dwidth_converter.hcompile.dodesign_1.shdesign_1.udodesign_1_axi_clock_converter_0_0.hdesign_1_axi_clock_converter_0_0_sc.hdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.helaborate.dofile_info.txtglbl.vmig_a.prjsimulate.dosys_clk_gen_ps_v.txtwave.doxlconstant_v1_1_7.h
riviera
README.txtaxi_clock_converter.haxi_crossbar.haxi_dwidth_converter.hcompile.dodesign_1.shdesign_1.udodesign_1_axi_clock_converter_0_0.hdesign_1_axi_clock_converter_0_0_sc.hdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hfile_info.txtglbl.vmig_a.prjsimulate.dosys_clk_gen_ps_v.txtwave.doxlconstant_v1_1_7.h
vcs
README.txtaxi_clock_converter.haxi_crossbar.haxi_dwidth_converter.hdesign_1.shdesign_1_axi_clock_converter_0_0.hdesign_1_axi_clock_converter_0_0_sc.hdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hfile_info.txtglbl.vmig_a.prjsimulate.dosys_clk_gen_ps_v.txtxlconstant_v1_1_7.h
xcelium
README.txtaxi_clock_converter.haxi_crossbar.haxi_dwidth_converter.hdesign_1.shdesign_1_axi_clock_converter_0_0.hdesign_1_axi_clock_converter_0_0_sc.hdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hfile_info.txtglbl.vmig_a.prjrun.fsys_clk_gen_ps_v.txtxlconstant_v1_1_7.h
xsim
README.txtaxi_clock_converter.haxi_crossbar.haxi_dwidth_converter.hcmd.tcldesign_1.shdesign_1_axi_clock_converter_0_0.hdesign_1_axi_clock_converter_0_0_sc.hdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.helab.optfile_info.txtglbl.vmig_a.prj
protoinst_files
sys_clk_gen_ps_v.txtvhdl.prjvlog.prjxlconstant_v1_1_7.hxsim.inidso_top_litefury.srcs
constrs_1
sources_1
bd/design_1
design_1.bddesign_1.bxml
hdl
ip
design_1_axi_clock_converter_0_0
design_1_axi_crossbar_0_0
design_1_axi_crossbar_0_1
design_1_axi_datamover_0_0
design_1_axi_fifo_mm_s_0_0
design_1_axi_gpio_0_1
design_1_clk_wiz_0_0
design_1_mig_7series_0_0
design_1_mig_7series_0_0.veodesign_1_mig_7series_0_0.xcidesign_1_mig_7series_0_0.xml
design_1_mig_7series_0_0
datasheet.txt
design_1_mig_7series_0_0_xmdf.tclmig_a.prjmig_b.prjxil_txt.inxil_txt.outdocs
example_design
mig.prjuser_design/constraints/compatible_ucf
design_1_util_ds_buf_0_0
design_1_util_vector_logic_0_0
design_1_xdma_0_0
design_1_xlconstant_0_0
design_1_xlconstant_0_2
design_1_xlconstant_0_3
ui
imports
ip
clk_wiz_0.xcix
fifo_generator_0
doc
fifo_generator_0.dcpfifo_generator_0.veofifo_generator_0.vhofifo_generator_0.xcififo_generator_0.xdcfifo_generator_0.xmlfifo_generator_0_clocks.xdcfifo_generator_0_ooc.xdcfifo_generator_0_sim_netlist.vfifo_generator_0_sim_netlist.vhdlfifo_generator_0_stub.vfifo_generator_0_stub.vhdlhdl
blk_mem_gen_v8_4_vhsyn_rfs.vhdfifo_generator_v13_2_rfs.vfifo_generator_v13_2_rfs.vhdfifo_generator_v13_2_vhsyn_rfs.vhd
sim
simulation
synth
new
Spartan6_USB
FPGA_Prog_Setup
FT2_FIFO_I2C
.gitignoreFT2_FIFO.vFT2_FIFO_I2C.bitFT2_FIFO_I2C.ucfFT2_FIFO_I2C.vFT2_FIFO_I2C.xiseFT2_Read_Write.vI2C_Transmit.v
FT2_FIFO_SPI
.gitignoreFT2_FIFO.vFT2_FIFO_SPI.bitFT2_FIFO_SPI.ucfFT2_FIFO_SPI.vFT2_FIFO_SPI.xiseFT2_Read_Write.vSPI_Transmit.v
FT2_Loopback
FT2_Read_Test
FT6_Write_Test
README.mdSerial_Controller
.gitignoreFT2_FIFO.vFT2_Read_Write.vI2C_Transmit.vSPI_Transmit.vSerial_Controller.bitSerial_Controller.ucfSerial_Controller.vSerial_Controller.xise
Serial_Output_Test
.gitignoreFT2_Read.vSerial_Output_Test.binSerial_Output_Test.bitSerial_Output_Test.ucfSerial_Output_Test.vSerial_Output_Test.xise
dso_top
FT2_FIFO.vFT2_Read_Write.vFT6_Write.vI2C_Transmit.vSPI_Transmit.vSerial_Controller.v
_xmsgs
data_serdes.lsodata_serdes.vdso_top.gisedso_top.ucfdso_top.vdso_top.xdldso_top.xisedso_top_bitgen.xwbtdso_top_guide.ncddso_top_summary.htmlfclk_serdes.lsofclk_serdes.vipcore_dir
_xmsgs
coregen.cgpcoregen1.cgccoregen1.cgpedit_fifo_generator_v9_3.tclfifo_generator_v9_3.asyfifo_generator_v9_3.gisefifo_generator_v9_3.ncffifo_generator_v9_3.ngcfifo_generator_v9_3.symfifo_generator_v9_3.vfifo_generator_v9_3.veofifo_generator_v9_3.vhdfifo_generator_v9_3.vhofifo_generator_v9_3.xcofifo_generator_v9_3.xisefifo_generator_v9_3
fifo_generator_v9_3_flist.txtfifo_generator_v9_3_xmdf.tcltmp
iseconfig
pa.fromNcd.tclpar_usage_statistics.htmlplanAhead.ngc2edif.logplanAhead_pid6058.debugplanAhead_pid9217.debugserdes.vserdes_clocking.lsoserdes_clocking.vdso_top_TE0712
dso_top.ip_user_files
README.txt
bd/design_1
ip
design_1_auto_cc_0/sim
design_1_auto_us_df_0/sim
design_1_auto_us_df_1/sim
design_1_axi_datamover_0_0/sim
design_1_axi_fifo_mm_s_0_0/sim
design_1_axi_gpio_0_1/sim
design_1_axi_gpio_1_0/sim
design_1_clk_wiz_0_0
design_1_m00_data_fifo_0/sim
design_1_mig_7series_0_0/design_1_mig_7series_0_0/user_design/rtl
axi
mig_7series_v4_2_axi_ctrl_addr_decode.vmig_7series_v4_2_axi_ctrl_read.vmig_7series_v4_2_axi_ctrl_reg.vmig_7series_v4_2_axi_ctrl_reg_bank.vmig_7series_v4_2_axi_ctrl_top.vmig_7series_v4_2_axi_ctrl_write.vmig_7series_v4_2_axi_mc.vmig_7series_v4_2_axi_mc_ar_channel.vmig_7series_v4_2_axi_mc_aw_channel.vmig_7series_v4_2_axi_mc_b_channel.vmig_7series_v4_2_axi_mc_cmd_arbiter.vmig_7series_v4_2_axi_mc_cmd_fsm.vmig_7series_v4_2_axi_mc_cmd_translator.vmig_7series_v4_2_axi_mc_fifo.vmig_7series_v4_2_axi_mc_incr_cmd.vmig_7series_v4_2_axi_mc_r_channel.vmig_7series_v4_2_axi_mc_simple_fifo.vmig_7series_v4_2_axi_mc_w_channel.vmig_7series_v4_2_axi_mc_wr_cmd_fsm.vmig_7series_v4_2_axi_mc_wrap_cmd.vmig_7series_v4_2_ddr_a_upsizer.vmig_7series_v4_2_ddr_axi_register_slice.vmig_7series_v4_2_ddr_axi_upsizer.vmig_7series_v4_2_ddr_axic_register_slice.vmig_7series_v4_2_ddr_carry_and.vmig_7series_v4_2_ddr_carry_latch_and.vmig_7series_v4_2_ddr_carry_latch_or.vmig_7series_v4_2_ddr_carry_or.vmig_7series_v4_2_ddr_command_fifo.vmig_7series_v4_2_ddr_comparator.vmig_7series_v4_2_ddr_comparator_sel.vmig_7series_v4_2_ddr_comparator_sel_static.vmig_7series_v4_2_ddr_r_upsizer.vmig_7series_v4_2_ddr_w_upsizer.v
clocking
mig_7series_v4_2_clk_ibuf.vmig_7series_v4_2_infrastructure.vmig_7series_v4_2_iodelay_ctrl.vmig_7series_v4_2_tempmon.v
controller
mig_7series_v4_2_arb_mux.vmig_7series_v4_2_arb_row_col.vmig_7series_v4_2_arb_select.vmig_7series_v4_2_bank_cntrl.vmig_7series_v4_2_bank_common.vmig_7series_v4_2_bank_compare.vmig_7series_v4_2_bank_mach.vmig_7series_v4_2_bank_queue.vmig_7series_v4_2_bank_state.vmig_7series_v4_2_col_mach.vmig_7series_v4_2_mc.vmig_7series_v4_2_rank_cntrl.vmig_7series_v4_2_rank_common.vmig_7series_v4_2_rank_mach.vmig_7series_v4_2_round_robin_arb.v
design_1_mig_7series_0_0.vdesign_1_mig_7series_0_0_mig_sim.vecc
mig_7series_v4_2_ecc_buf.vmig_7series_v4_2_ecc_dec_fix.vmig_7series_v4_2_ecc_gen.vmig_7series_v4_2_ecc_merge_enc.vmig_7series_v4_2_fi_xor.v
ip_top
phy
mig_7series_v4_2_ddr_byte_group_io.vmig_7series_v4_2_ddr_byte_lane.vmig_7series_v4_2_ddr_calib_top.vmig_7series_v4_2_ddr_if_post_fifo.vmig_7series_v4_2_ddr_mc_phy.vmig_7series_v4_2_ddr_mc_phy_wrapper.vmig_7series_v4_2_ddr_of_pre_fifo.vmig_7series_v4_2_ddr_phy_4lanes.vmig_7series_v4_2_ddr_phy_ck_addr_cmd_delay.vmig_7series_v4_2_ddr_phy_dqs_found_cal.vmig_7series_v4_2_ddr_phy_dqs_found_cal_hr.vmig_7series_v4_2_ddr_phy_init.vmig_7series_v4_2_ddr_phy_ocd_cntlr.vmig_7series_v4_2_ddr_phy_ocd_data.vmig_7series_v4_2_ddr_phy_ocd_edge.vmig_7series_v4_2_ddr_phy_ocd_lim.vmig_7series_v4_2_ddr_phy_ocd_mux.vmig_7series_v4_2_ddr_phy_ocd_po_cntlr.vmig_7series_v4_2_ddr_phy_ocd_samp.vmig_7series_v4_2_ddr_phy_oclkdelay_cal.vmig_7series_v4_2_ddr_phy_prbs_rdlvl.vmig_7series_v4_2_ddr_phy_rdlvl.vmig_7series_v4_2_ddr_phy_tempmon.vmig_7series_v4_2_ddr_phy_top.vmig_7series_v4_2_ddr_phy_wrcal.vmig_7series_v4_2_ddr_phy_wrlvl.vmig_7series_v4_2_ddr_phy_wrlvl_off_delay.vmig_7series_v4_2_ddr_prbs_gen.vmig_7series_v4_2_ddr_skip_calib_tap.vmig_7series_v4_2_poc_cc.vmig_7series_v4_2_poc_edge_store.vmig_7series_v4_2_poc_meta.vmig_7series_v4_2_poc_pd.vmig_7series_v4_2_poc_tap_base.vmig_7series_v4_2_poc_top.v
ui
design_1_smartconnect_0_0
bd_0
bd_48ac.bd
sc_xtlm_design_1_smartconnect_0_0.memip
ip_0/sim
ip_1/sim
ip_10/sim
ip_11/sim
ip_12/sim
ip_13/sim
ip_14/sim
ip_15/sim
ip_16/sim
ip_17/sim
ip_18/sim
ip_19/sim
ip_2/sim
ip_20/sim
ip_21/sim
ip_22/sim
ip_23/sim
ip_24/sim
ip_25/sim
ip_26/sim
ip_27/sim
ip_28/sim
ip_29/sim
ip_3/sim
ip_30/sim
ip_31/sim
ip_32/sim
ip_33/sim
ip_34/sim
ip_35/sim
ip_36/sim
ip_37/sim
ip_38/sim
ip_39/sim
ip_4/sim
ip_40/sim
ip_41/sim
ip_42/sim
ip_43/sim
ip_44/sim
ip_45/sim
ip_46/sim
ip_5/sim
ip_6/sim
ip_7/sim
ip_8/sim
ip_9/sim
sim
sim
design_1_util_ds_buf_0_0
design_1_util_vector_logic_0_0/sim
design_1_xbar_0/sim
design_1_xdma_0_0
ip_0
sim
source
design_1_xdma_0_0_pcie2_ip_axi_basic_rx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_null_gen.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_top.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_thrtl_ctl.vdesign_1_xdma_0_0_pcie2_ip_core_top.vdesign_1_xdma_0_0_pcie2_ip_gt_common.vdesign_1_xdma_0_0_pcie2_ip_gt_rx_valid_filter_7x.vdesign_1_xdma_0_0_pcie2_ip_gt_top.vdesign_1_xdma_0_0_pcie2_ip_gt_wrapper.vdesign_1_xdma_0_0_pcie2_ip_gtp_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_gtx_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_pcie2_top.vdesign_1_xdma_0_0_pcie2_ip_pcie_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_top_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_brams_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_lane.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_misc.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_pipeline.vdesign_1_xdma_0_0_pcie2_ip_pcie_top.vdesign_1_xdma_0_0_pcie2_ip_pipe_clock.vdesign_1_xdma_0_0_pcie2_ip_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_pipe_eq.vdesign_1_xdma_0_0_pcie2_ip_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_pipe_sync.vdesign_1_xdma_0_0_pcie2_ip_pipe_user.vdesign_1_xdma_0_0_pcie2_ip_pipe_wrapper.vdesign_1_xdma_0_0_pcie2_ip_qpll_drp.vdesign_1_xdma_0_0_pcie2_ip_qpll_reset.vdesign_1_xdma_0_0_pcie2_ip_qpll_wrapper.vdesign_1_xdma_0_0_pcie2_ip_rxeq_scan.v
ip_1/sim
ip_2/sim
ip_3/sim
ip_4/sim
sim
xdma_v4_1/hdl/verilog
design_1_xdma_0_0_axi_stream_intf.svdesign_1_xdma_0_0_cfg_sideband.svdesign_1_xdma_0_0_core_top.svdesign_1_xdma_0_0_dma_bram_wrap.svdesign_1_xdma_0_0_dma_bram_wrap_1024.svdesign_1_xdma_0_0_dma_bram_wrap_2048.svdesign_1_xdma_0_0_dma_cpl.svdesign_1_xdma_0_0_dma_req.svdesign_1_xdma_0_0_pcie2_to_pcie3_wrapper.svdesign_1_xdma_0_0_rx_demux.svdesign_1_xdma_0_0_rx_destraddler.svdesign_1_xdma_0_0_tgt_cpl.svdesign_1_xdma_0_0_tgt_req.svdesign_1_xdma_0_0_tx_mux.sv
design_1_xlconstant_0_0/sim
design_1_xlconstant_0_2/sim
design_1_xlconstant_0_3/sim
sim
ip
clk_wiz_0
clk_wiz_0.vclk_wiz_0.veoclk_wiz_0_clk_wiz.vclk_wiz_0_sim_netlist.vclk_wiz_0_sim_netlist.vhdlclk_wiz_0_stub.vclk_wiz_0_stub.vhdl
fifo_generator_0
ipstatic
hdl
mmcm_pll_drp_func_7s_mmcm.vhmmcm_pll_drp_func_7s_pll.vhmmcm_pll_drp_func_us_mmcm.vhmmcm_pll_drp_func_us_pll.vhmmcm_pll_drp_func_us_plus_mmcm.vhmmcm_pll_drp_func_us_plus_pll.vhsimulation
mem_init_files
axi_clock_converter.haxi_crossbar.haxi_data_fifo.haxi_dwidth_converter.hbd_48ac_one_0.hdesign_1_auto_cc_0.hdesign_1_auto_cc_0_sc.hdesign_1_auto_us_df_0.hdesign_1_auto_us_df_0_sc.hdesign_1_auto_us_df_1.hdesign_1_auto_us_df_1_sc.hdesign_1_m00_data_fifo_0.hdesign_1_m00_data_fifo_0_sc.hdesign_1_smartconnect_0_0.hdesign_1_smartconnect_0_0_sc.hdesign_1_xbar_0.hdesign_1_xbar_0_sc.hdesign_1_xbar_1.hdesign_1_xbar_1_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hmig_a.prjmig_b.prjsc_xtlm_design_1_smartconnect_0_0.memsmartconnect.cxxsmartconnect.hsmartconnect_xtlm.cxxsmartconnect_xtlm.hsmartconnect_xtlm_impl.hsys_clk_gen_ps_v.txtxlconstant_v1_1_7.h
sim_scripts
clk_wiz_0
design_1
README.txt
activehdl
README.txtaxi_clock_converter.haxi_crossbar.haxi_data_fifo.haxi_dwidth_converter.hbd_48ac_one_0.hcompile.dodesign_1.shdesign_1.udodesign_1_auto_cc_0.hdesign_1_auto_cc_0_sc.hdesign_1_auto_us_df_0.hdesign_1_auto_us_df_0_sc.hdesign_1_auto_us_df_1.hdesign_1_auto_us_df_1_sc.hdesign_1_m00_data_fifo_0.hdesign_1_m00_data_fifo_0_sc.hdesign_1_smartconnect_0_0.hdesign_1_smartconnect_0_0_sc.hdesign_1_xbar_0.hdesign_1_xbar_0_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hfile_info.txtglbl.vmig_b.prjsc_xtlm_design_1_smartconnect_0_0.memsimulate.dosmartconnect.cxxsmartconnect.hsmartconnect_xtlm.cxxsmartconnect_xtlm.hsmartconnect_xtlm_impl.hsys_clk_gen_ps_v.txtwave.doxlconstant_v1_1_7.h
ies
README.txtaxi_clock_converter.haxi_crossbar.haxi_data_fifo.haxi_dwidth_converter.hbd_48ac_one_0.hdesign_1.shdesign_1_auto_cc_0.hdesign_1_auto_cc_0_sc.hdesign_1_auto_us_df_0.hdesign_1_auto_us_df_0_sc.hdesign_1_auto_us_df_1.hdesign_1_auto_us_df_1_sc.hdesign_1_m00_data_fifo_0.hdesign_1_m00_data_fifo_0_sc.hdesign_1_smartconnect_0_0.hdesign_1_smartconnect_0_0_sc.hdesign_1_xbar_0.hdesign_1_xbar_0_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hfile_info.txtglbl.vmig_b.prjrun.fsc_xtlm_design_1_smartconnect_0_0.memsmartconnect.cxxsmartconnect.hsmartconnect_xtlm.cxxsmartconnect_xtlm.hsmartconnect_xtlm_impl.hsys_clk_gen_ps_v.txtxlconstant_v1_1_7.h
modelsim
README.txtaxi_clock_converter.haxi_crossbar.haxi_data_fifo.haxi_dwidth_converter.hbd_48ac_one_0.hcompile.dodesign_1.shdesign_1.udodesign_1_auto_cc_0.hdesign_1_auto_cc_0_sc.hdesign_1_auto_us_df_0.hdesign_1_auto_us_df_0_sc.hdesign_1_auto_us_df_1.hdesign_1_auto_us_df_1_sc.hdesign_1_m00_data_fifo_0.hdesign_1_m00_data_fifo_0_sc.hdesign_1_smartconnect_0_0.hdesign_1_smartconnect_0_0_sc.hdesign_1_xbar_0.hdesign_1_xbar_0_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hfile_info.txtglbl.vmig_b.prjsc_xtlm_design_1_smartconnect_0_0.memsimulate.dosmartconnect.cxxsmartconnect.hsmartconnect_xtlm.cxxsmartconnect_xtlm.hsmartconnect_xtlm_impl.hsys_clk_gen_ps_v.txtwave.doxlconstant_v1_1_7.h
questa
README.txtaxi_clock_converter.haxi_crossbar.haxi_data_fifo.haxi_dwidth_converter.hbd_48ac_one_0.hcompile.dodesign_1.shdesign_1.udodesign_1_auto_cc_0.hdesign_1_auto_cc_0_sc.hdesign_1_auto_us_df_0.hdesign_1_auto_us_df_0_sc.hdesign_1_auto_us_df_1.hdesign_1_auto_us_df_1_sc.hdesign_1_m00_data_fifo_0.hdesign_1_m00_data_fifo_0_sc.hdesign_1_smartconnect_0_0.hdesign_1_smartconnect_0_0_sc.hdesign_1_xbar_0.hdesign_1_xbar_0_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.helaborate.dofile_info.txtglbl.vmig_b.prjsc_xtlm_design_1_smartconnect_0_0.memsimulate.dosmartconnect.cxxsmartconnect.hsmartconnect_xtlm.cxxsmartconnect_xtlm.hsmartconnect_xtlm_impl.hsys_clk_gen_ps_v.txtwave.doxlconstant_v1_1_7.h
riviera
README.txtaxi_clock_converter.haxi_crossbar.haxi_data_fifo.haxi_dwidth_converter.hbd_48ac_one_0.hcompile.dodesign_1.shdesign_1.udodesign_1_auto_cc_0.hdesign_1_auto_cc_0_sc.hdesign_1_auto_us_df_0.hdesign_1_auto_us_df_0_sc.hdesign_1_auto_us_df_1.hdesign_1_auto_us_df_1_sc.hdesign_1_m00_data_fifo_0.hdesign_1_m00_data_fifo_0_sc.hdesign_1_smartconnect_0_0.hdesign_1_smartconnect_0_0_sc.hdesign_1_xbar_0.hdesign_1_xbar_0_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hfile_info.txtglbl.vmig_b.prjsc_xtlm_design_1_smartconnect_0_0.memsimulate.dosmartconnect.cxxsmartconnect.hsmartconnect_xtlm.cxxsmartconnect_xtlm.hsmartconnect_xtlm_impl.hsys_clk_gen_ps_v.txtwave.doxlconstant_v1_1_7.h
vcs
README.txtaxi_clock_converter.haxi_crossbar.haxi_data_fifo.haxi_dwidth_converter.hbd_48ac_one_0.hdesign_1.shdesign_1_auto_cc_0.hdesign_1_auto_cc_0_sc.hdesign_1_auto_us_df_0.hdesign_1_auto_us_df_0_sc.hdesign_1_auto_us_df_1.hdesign_1_auto_us_df_1_sc.hdesign_1_m00_data_fifo_0.hdesign_1_m00_data_fifo_0_sc.hdesign_1_smartconnect_0_0.hdesign_1_smartconnect_0_0_sc.hdesign_1_xbar_0.hdesign_1_xbar_0_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hfile_info.txtglbl.vmig_b.prjsc_xtlm_design_1_smartconnect_0_0.memsimulate.dosmartconnect.cxxsmartconnect.hsmartconnect_xtlm.cxxsmartconnect_xtlm.hsmartconnect_xtlm_impl.hsys_clk_gen_ps_v.txtxlconstant_v1_1_7.h
xcelium
README.txtaxi_clock_converter.haxi_crossbar.haxi_data_fifo.haxi_dwidth_converter.hbd_48ac_one_0.hdesign_1.shdesign_1_auto_cc_0.hdesign_1_auto_cc_0_sc.hdesign_1_auto_us_df_0.hdesign_1_auto_us_df_0_sc.hdesign_1_auto_us_df_1.hdesign_1_auto_us_df_1_sc.hdesign_1_m00_data_fifo_0.hdesign_1_m00_data_fifo_0_sc.hdesign_1_smartconnect_0_0.hdesign_1_smartconnect_0_0_sc.hdesign_1_xbar_0.hdesign_1_xbar_0_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hfile_info.txtglbl.vmig_b.prjrun.fsc_xtlm_design_1_smartconnect_0_0.memsmartconnect.cxxsmartconnect.hsmartconnect_xtlm.cxxsmartconnect_xtlm.hsmartconnect_xtlm_impl.hsys_clk_gen_ps_v.txtxlconstant_v1_1_7.h
xsim
README.txtaxi_clock_converter.haxi_crossbar.haxi_data_fifo.haxi_dwidth_converter.hbd_48ac_one_0.hcmd.tcldesign_1.shdesign_1_auto_cc_0.hdesign_1_auto_cc_0_sc.hdesign_1_auto_us_df_0.hdesign_1_auto_us_df_0_sc.hdesign_1_auto_us_df_1.hdesign_1_auto_us_df_1_sc.hdesign_1_m00_data_fifo_0.hdesign_1_m00_data_fifo_0_sc.hdesign_1_smartconnect_0_0.hdesign_1_smartconnect_0_0_sc.hdesign_1_xbar_0.hdesign_1_xbar_0_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.helab.optfile_info.txtglbl.vmig_b.prj
protoinst_files
sc_xtlm_design_1_smartconnect_0_0.memsmartconnect.cxxsmartconnect.hsmartconnect_xtlm.cxxsmartconnect_xtlm.hsmartconnect_xtlm_impl.hsys_clk_gen_ps_v.txtvhdl.prjvlog.prjxlconstant_v1_1_7.hxsim.inififo_generator_0
README.txt
activehdl
ies
modelsim
questa
README.txtcompile.doelaborate.dofifo_generator_0.shfifo_generator_0.udofile_info.txtglbl.vsimulate.dowave.do
riviera
vcs
xcelium
xsim
dso_top.srcs
constrs_1
sources_1
bd/design_1
design_1.bddesign_1.bxmldesign_1_ooc.xdc
hdl
hw_handoff
ip
design_1_axi_clock_converter_0_0
design_1_axi_clock_converter_0_0.dcpdesign_1_axi_clock_converter_0_0.xcidesign_1_axi_clock_converter_0_0.xmldesign_1_axi_clock_converter_0_0_clocks.xdcdesign_1_axi_clock_converter_0_0_ooc.xdcdesign_1_axi_clock_converter_0_0_sim_netlist.vdesign_1_axi_clock_converter_0_0_sim_netlist.vhdldesign_1_axi_clock_converter_0_0_stub.vdesign_1_axi_clock_converter_0_0_stub.vhdl
sim
design_1_axi_clock_converter_0_0.cppdesign_1_axi_clock_converter_0_0.hdesign_1_axi_clock_converter_0_0.vdesign_1_axi_clock_converter_0_0_sc.cppdesign_1_axi_clock_converter_0_0_sc.hdesign_1_axi_clock_converter_0_0_stub.sv
synth
sysc
design_1_axi_crossbar_0_0
design_1_axi_crossbar_0_0.dcpdesign_1_axi_crossbar_0_0.xcidesign_1_axi_crossbar_0_0.xmldesign_1_axi_crossbar_0_0_ooc.xdcdesign_1_axi_crossbar_0_0_sim_netlist.vdesign_1_axi_crossbar_0_0_sim_netlist.vhdldesign_1_axi_crossbar_0_0_stub.vdesign_1_axi_crossbar_0_0_stub.vhdl
sim
design_1_axi_crossbar_0_0.cppdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0.vdesign_1_axi_crossbar_0_0_sc.cppdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_0_stub.sv
src
synth
design_1_axi_crossbar_0_1
design_1_axi_crossbar_0_1.dcpdesign_1_axi_crossbar_0_1.xcidesign_1_axi_crossbar_0_1.xmldesign_1_axi_crossbar_0_1_ooc.xdcdesign_1_axi_crossbar_0_1_sim_netlist.vdesign_1_axi_crossbar_0_1_sim_netlist.vhdldesign_1_axi_crossbar_0_1_stub.vdesign_1_axi_crossbar_0_1_stub.vhdl
sim
design_1_axi_crossbar_0_1.cppdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1.vdesign_1_axi_crossbar_0_1_sc.cppdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_crossbar_0_1_stub.sv
src
synth
design_1_axi_datamover_0_0
design_1_axi_datamover_0_0.dcpdesign_1_axi_datamover_0_0.xcidesign_1_axi_datamover_0_0.xdcdesign_1_axi_datamover_0_0.xmldesign_1_axi_datamover_0_0_clocks.xdcdesign_1_axi_datamover_0_0_ooc.xdcdesign_1_axi_datamover_0_0_sim_netlist.vdesign_1_axi_datamover_0_0_sim_netlist.vhdldesign_1_axi_datamover_0_0_stub.vdesign_1_axi_datamover_0_0_stub.vhdl
sim
synth
design_1_axi_dwidth_converter_0_0
design_1_axi_dwidth_converter_0_0.dcpdesign_1_axi_dwidth_converter_0_0.xcidesign_1_axi_dwidth_converter_0_0.xmldesign_1_axi_dwidth_converter_0_0_clocks.xdcdesign_1_axi_dwidth_converter_0_0_ooc.xdcdesign_1_axi_dwidth_converter_0_0_sim_netlist.vdesign_1_axi_dwidth_converter_0_0_sim_netlist.vhdldesign_1_axi_dwidth_converter_0_0_stub.vdesign_1_axi_dwidth_converter_0_0_stub.vhdl
sim
design_1_axi_dwidth_converter_0_0.cppdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0.vdesign_1_axi_dwidth_converter_0_0_sc.cppdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_axi_dwidth_converter_0_0_stub.sv
src
synth
design_1_axi_fifo_mm_s_0_0
design_1_axi_fifo_mm_s_0_0.dcpdesign_1_axi_fifo_mm_s_0_0.xcidesign_1_axi_fifo_mm_s_0_0.xmldesign_1_axi_fifo_mm_s_0_0_ooc.xdcdesign_1_axi_fifo_mm_s_0_0_sim_netlist.vdesign_1_axi_fifo_mm_s_0_0_sim_netlist.vhdldesign_1_axi_fifo_mm_s_0_0_stub.vdesign_1_axi_fifo_mm_s_0_0_stub.vhdl
sim
synth
design_1_axi_gpio_0_1
design_1_axi_gpio_0_1.dcpdesign_1_axi_gpio_0_1.xcidesign_1_axi_gpio_0_1.xdcdesign_1_axi_gpio_0_1.xmldesign_1_axi_gpio_0_1_board.xdcdesign_1_axi_gpio_0_1_ooc.xdcdesign_1_axi_gpio_0_1_sim_netlist.vdesign_1_axi_gpio_0_1_sim_netlist.vhdldesign_1_axi_gpio_0_1_stub.vdesign_1_axi_gpio_0_1_stub.vhdl
sim
synth
design_1_clk_wiz_0_0
design_1_clk_wiz_0_0.dcpdesign_1_clk_wiz_0_0.vdesign_1_clk_wiz_0_0.xcidesign_1_clk_wiz_0_0.xdcdesign_1_clk_wiz_0_0.xmldesign_1_clk_wiz_0_0_board.xdcdesign_1_clk_wiz_0_0_clk_wiz.vdesign_1_clk_wiz_0_0_late.xdcdesign_1_clk_wiz_0_0_ooc.xdcdesign_1_clk_wiz_0_0_sim_netlist.vdesign_1_clk_wiz_0_0_sim_netlist.vhdldesign_1_clk_wiz_0_0_stub.vdesign_1_clk_wiz_0_0_stub.vhdl
design_1_mig_7series_0_0
design_1_mig_7series_0_0.dcpdesign_1_mig_7series_0_0.veodesign_1_mig_7series_0_0.xcidesign_1_mig_7series_0_0.xml
design_1_mig_7series_0_0
datasheet.txt
design_1_mig_7series_0_0_sim_netlist.vdesign_1_mig_7series_0_0_sim_netlist.vhdldesign_1_mig_7series_0_0_stub.vdesign_1_mig_7series_0_0_stub.vhdldesign_1_mig_7series_0_0_xmdf.tclmig_a.prjmig_b.prjxil_txt.inxil_txt.outdocs
example_design
mig.prjuser_design
constraints
rtl
axi
mig_7series_v4_2_axi_ctrl_addr_decode.vmig_7series_v4_2_axi_ctrl_read.vmig_7series_v4_2_axi_ctrl_reg.vmig_7series_v4_2_axi_ctrl_reg_bank.vmig_7series_v4_2_axi_ctrl_top.vmig_7series_v4_2_axi_ctrl_write.vmig_7series_v4_2_axi_mc.vmig_7series_v4_2_axi_mc_ar_channel.vmig_7series_v4_2_axi_mc_aw_channel.vmig_7series_v4_2_axi_mc_b_channel.vmig_7series_v4_2_axi_mc_cmd_arbiter.vmig_7series_v4_2_axi_mc_cmd_fsm.vmig_7series_v4_2_axi_mc_cmd_translator.vmig_7series_v4_2_axi_mc_fifo.vmig_7series_v4_2_axi_mc_incr_cmd.vmig_7series_v4_2_axi_mc_r_channel.vmig_7series_v4_2_axi_mc_simple_fifo.vmig_7series_v4_2_axi_mc_w_channel.vmig_7series_v4_2_axi_mc_wr_cmd_fsm.vmig_7series_v4_2_axi_mc_wrap_cmd.vmig_7series_v4_2_ddr_a_upsizer.vmig_7series_v4_2_ddr_axi_register_slice.vmig_7series_v4_2_ddr_axi_upsizer.vmig_7series_v4_2_ddr_axic_register_slice.vmig_7series_v4_2_ddr_carry_and.vmig_7series_v4_2_ddr_carry_latch_and.vmig_7series_v4_2_ddr_carry_latch_or.vmig_7series_v4_2_ddr_carry_or.vmig_7series_v4_2_ddr_command_fifo.vmig_7series_v4_2_ddr_comparator.vmig_7series_v4_2_ddr_comparator_sel.vmig_7series_v4_2_ddr_comparator_sel_static.vmig_7series_v4_2_ddr_r_upsizer.vmig_7series_v4_2_ddr_w_upsizer.v
clocking
mig_7series_v4_2_clk_ibuf.vmig_7series_v4_2_infrastructure.vmig_7series_v4_2_iodelay_ctrl.vmig_7series_v4_2_tempmon.v
controller
mig_7series_v4_2_arb_mux.vmig_7series_v4_2_arb_row_col.vmig_7series_v4_2_arb_select.vmig_7series_v4_2_bank_cntrl.vmig_7series_v4_2_bank_common.vmig_7series_v4_2_bank_compare.vmig_7series_v4_2_bank_mach.vmig_7series_v4_2_bank_queue.vmig_7series_v4_2_bank_state.vmig_7series_v4_2_col_mach.vmig_7series_v4_2_mc.vmig_7series_v4_2_rank_cntrl.vmig_7series_v4_2_rank_common.vmig_7series_v4_2_rank_mach.vmig_7series_v4_2_round_robin_arb.v
design_1_mig_7series_0_0.vdesign_1_mig_7series_0_0_mig.vdesign_1_mig_7series_0_0_mig_sim.vecc
mig_7series_v4_2_ecc_buf.vmig_7series_v4_2_ecc_dec_fix.vmig_7series_v4_2_ecc_gen.vmig_7series_v4_2_ecc_merge_enc.vmig_7series_v4_2_fi_xor.v
ip_top
phy
mig_7series_v4_2_ddr_byte_group_io.vmig_7series_v4_2_ddr_byte_lane.vmig_7series_v4_2_ddr_calib_top.vmig_7series_v4_2_ddr_if_post_fifo.vmig_7series_v4_2_ddr_mc_phy.vmig_7series_v4_2_ddr_mc_phy_wrapper.vmig_7series_v4_2_ddr_of_pre_fifo.vmig_7series_v4_2_ddr_phy_4lanes.vmig_7series_v4_2_ddr_phy_ck_addr_cmd_delay.vmig_7series_v4_2_ddr_phy_dqs_found_cal.vmig_7series_v4_2_ddr_phy_dqs_found_cal_hr.vmig_7series_v4_2_ddr_phy_init.vmig_7series_v4_2_ddr_phy_ocd_cntlr.vmig_7series_v4_2_ddr_phy_ocd_data.vmig_7series_v4_2_ddr_phy_ocd_edge.vmig_7series_v4_2_ddr_phy_ocd_lim.vmig_7series_v4_2_ddr_phy_ocd_mux.vmig_7series_v4_2_ddr_phy_ocd_po_cntlr.vmig_7series_v4_2_ddr_phy_ocd_samp.vmig_7series_v4_2_ddr_phy_oclkdelay_cal.vmig_7series_v4_2_ddr_phy_prbs_rdlvl.vmig_7series_v4_2_ddr_phy_rdlvl.vmig_7series_v4_2_ddr_phy_tempmon.vmig_7series_v4_2_ddr_phy_top.vmig_7series_v4_2_ddr_phy_wrcal.vmig_7series_v4_2_ddr_phy_wrlvl.vmig_7series_v4_2_ddr_phy_wrlvl_off_delay.vmig_7series_v4_2_ddr_prbs_gen.vmig_7series_v4_2_ddr_skip_calib_tap.vmig_7series_v4_2_poc_cc.vmig_7series_v4_2_poc_edge_store.vmig_7series_v4_2_poc_meta.vmig_7series_v4_2_poc_pd.vmig_7series_v4_2_poc_tap_base.vmig_7series_v4_2_poc_top.v
ui
design_1_util_ds_buf_0_0
design_1_util_ds_buf_0_0.dcpdesign_1_util_ds_buf_0_0.xcidesign_1_util_ds_buf_0_0.xmldesign_1_util_ds_buf_0_0_board.xdcdesign_1_util_ds_buf_0_0_ooc.xdcdesign_1_util_ds_buf_0_0_sim_netlist.vdesign_1_util_ds_buf_0_0_sim_netlist.vhdldesign_1_util_ds_buf_0_0_stub.vdesign_1_util_ds_buf_0_0_stub.vhdl
sim
synth
util_ds_buf.vhddesign_1_util_vector_logic_0_0
design_1_util_vector_logic_0_0.dcpdesign_1_util_vector_logic_0_0.xcidesign_1_util_vector_logic_0_0.xmldesign_1_util_vector_logic_0_0_sim_netlist.vdesign_1_util_vector_logic_0_0_sim_netlist.vhdldesign_1_util_vector_logic_0_0_stub.vdesign_1_util_vector_logic_0_0_stub.vhdl
sim
synth
design_1_xdma_0_0
design_1_xdma_0_0.dcpdesign_1_xdma_0_0.xcidesign_1_xdma_0_0.xmldesign_1_xdma_0_0_board.xdcdesign_1_xdma_0_0_sim_netlist.vdesign_1_xdma_0_0_sim_netlist.vhdldesign_1_xdma_0_0_stub.vdesign_1_xdma_0_0_stub.vhdl
ip_0
design_1_xdma_0_0_pcie2_ip.xcidesign_1_xdma_0_0_pcie2_ip.xml
sim
source
design_1_xdma_0_0_pcie2_ip-PCIE_X0Y0.xdcdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_null_gen.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_top.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_thrtl_ctl.vdesign_1_xdma_0_0_pcie2_ip_core_top.vdesign_1_xdma_0_0_pcie2_ip_gt_common.vdesign_1_xdma_0_0_pcie2_ip_gt_rx_valid_filter_7x.vdesign_1_xdma_0_0_pcie2_ip_gt_top.vdesign_1_xdma_0_0_pcie2_ip_gt_wrapper.vdesign_1_xdma_0_0_pcie2_ip_gtp_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_gtx_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_pcie2_top.vdesign_1_xdma_0_0_pcie2_ip_pcie_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_top_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_brams_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_lane.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_misc.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_pipeline.vdesign_1_xdma_0_0_pcie2_ip_pcie_top.vdesign_1_xdma_0_0_pcie2_ip_pipe_clock.vdesign_1_xdma_0_0_pcie2_ip_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_pipe_eq.vdesign_1_xdma_0_0_pcie2_ip_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_pipe_sync.vdesign_1_xdma_0_0_pcie2_ip_pipe_user.vdesign_1_xdma_0_0_pcie2_ip_pipe_wrapper.vdesign_1_xdma_0_0_pcie2_ip_qpll_drp.vdesign_1_xdma_0_0_pcie2_ip_qpll_reset.vdesign_1_xdma_0_0_pcie2_ip_qpll_wrapper.vdesign_1_xdma_0_0_pcie2_ip_rxeq_scan.v
synth
sys_clk_gen_ps_v.txtip_1
sim
synth
xdma_v4_1_6_blk_mem_64_reg_be.xcixdma_v4_1_6_blk_mem_64_reg_be.xmlxdma_v4_1_6_blk_mem_64_reg_be_ooc.xdcip_2
sim
synth
xdma_v4_1_6_blk_mem_64_noreg_be.xcixdma_v4_1_6_blk_mem_64_noreg_be.xmlxdma_v4_1_6_blk_mem_64_noreg_be_ooc.xdcip_3
pcie2_fifo_generator_dma_cpl.xcipcie2_fifo_generator_dma_cpl.xdcpcie2_fifo_generator_dma_cpl.xml
sim
synth
ip_4
pcie2_fifo_generator_tgt_brdg.xcipcie2_fifo_generator_tgt_brdg.xdcpcie2_fifo_generator_tgt_brdg.xml
sim
synth
sim
source
synth
xdma_v4_1/hdl/verilog
design_1_xdma_0_0_axi_stream_intf.svdesign_1_xdma_0_0_cfg_sideband.svdesign_1_xdma_0_0_core_top.svdesign_1_xdma_0_0_dma_bram_wrap.svdesign_1_xdma_0_0_dma_bram_wrap_1024.svdesign_1_xdma_0_0_dma_bram_wrap_2048.svdesign_1_xdma_0_0_dma_cpl.svdesign_1_xdma_0_0_dma_req.svdesign_1_xdma_0_0_pcie2_to_pcie3_wrapper.svdesign_1_xdma_0_0_rx_demux.svdesign_1_xdma_0_0_rx_destraddler.svdesign_1_xdma_0_0_tgt_cpl.svdesign_1_xdma_0_0_tgt_req.svdesign_1_xdma_0_0_tx_mux.sv
design_1_xlconstant_0_0
design_1_xlconstant_0_0.xcidesign_1_xlconstant_0_0.xml
sim
design_1_xlconstant_0_0.cppdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_0.vdesign_1_xlconstant_0_0_stub.svxlconstant_v1_1_7.h
synth
design_1_xlconstant_0_2
design_1_xlconstant_0_2.xcidesign_1_xlconstant_0_2.xml
sim
design_1_xlconstant_0_2.cppdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_2.vdesign_1_xlconstant_0_2_stub.svxlconstant_v1_1_7.h
synth
design_1_xlconstant_0_3
ipshared
0513/hdl
07be/hdl
2137/hdl
2751/hdl
276e
hdl
simulation
2985
2ef9/hdl
47c9/hdl
51ce/hdl
5bfc/hdl
66ea/hdl
7589/hdl
8b3d
mmcm_pll_drp_func_7s_mmcm.vhmmcm_pll_drp_func_7s_pll.vhmmcm_pll_drp_func_us_mmcm.vhmmcm_pll_drp_func_us_pll.vhmmcm_pll_drp_func_us_plus_mmcm.vhmmcm_pll_drp_func_us_plus_pll.vh
8dfa/hdl
a040/hdl
a5cb/hdl
af86/hdl
b68e/hdl
b752/hdl
b8f8/hdl
verilog
axi_infrastructure_header.vhaxidma_fifo.vhdma_defines.svhdma_defines.vhdma_pcie_axis_cc_if.svhdma_pcie_axis_cq_if.svhdma_pcie_axis_rc_if.svhdma_pcie_axis_rq_if.svhdma_pcie_c2h_crdt_if.svhdma_pcie_dsc_in_if.svhdma_pcie_dsc_out_if.svhdma_pcie_fabric_input_if.svhdma_pcie_fabric_output_if.svhdma_pcie_gic_if.svhdma_pcie_h2c_crdt_if.svhdma_pcie_mi_16Bx2048_4Bwe_ram_if.svhdma_pcie_mi_2Bx2048_ram_if.svhdma_pcie_mi_4Bx2048_4Bwe_ram_if.svhdma_pcie_mi_64Bx1024_32Bwe_ram_if.svhdma_pcie_mi_64Bx128_32Bwe_ram_if.svhdma_pcie_mi_64Bx2048_32Bwe_ram_if.svhdma_pcie_mi_64Bx256_32Bwe_ram_if.svhdma_pcie_mi_64Bx512_32Bwe_ram_if.svhdma_pcie_mi_8Bx2048_4Bwe_ram_if.svhdma_pcie_mi_dsc_cpld_if.svhdma_pcie_mi_dsc_cpli_if.svhdma_pcie_misc_input_if.svhdma_pcie_misc_output_if.svhdma_soft_defines.vhpcie_dma_attr_defines.svhpciedmacoredefines.vhxdma_axi4mm_axi_bridge.vh
xdma_v4_1_vl_rfs.svbb35/hdl
e6d5
hdl
simulation
ec67/hdl
ef1e/hdl
fcfc/hdl
sim
synth
ui
imports
ip
clk_wiz_0.xcix
fifo_generator_0
doc
fifo_generator_0.dcpfifo_generator_0.veofifo_generator_0.vhofifo_generator_0.xcififo_generator_0.xdcfifo_generator_0.xmlfifo_generator_0_clocks.xdcfifo_generator_0_ooc.xdcfifo_generator_0_sim_netlist.vfifo_generator_0_sim_netlist.vhdlfifo_generator_0_stub.vfifo_generator_0_stub.vhdlhdl
blk_mem_gen_v8_4_vhsyn_rfs.vhdfifo_generator_v13_2_rfs.vfifo_generator_v13_2_rfs.vhdfifo_generator_v13_2_vhsyn_rfs.vhd
sim
simulation
synth
new
dso_top_TE0712_unsigned
dso_top.ip_user_files
README.txt
ip
clk_wiz_0
clk_wiz_0.vclk_wiz_0.veoclk_wiz_0_clk_wiz.vclk_wiz_0_sim_netlist.vclk_wiz_0_sim_netlist.vhdlclk_wiz_0_stub.vclk_wiz_0_stub.vhdl
fifo_generator_0
ipstatic
mem_init_files
axi_clock_converter.haxi_crossbar.haxi_data_fifo.haxi_dwidth_converter.hbd_48ac_one_0.hdesign_1_auto_cc_0.hdesign_1_auto_cc_0_sc.hdesign_1_auto_us_df_0.hdesign_1_auto_us_df_0_sc.hdesign_1_auto_us_df_1.hdesign_1_auto_us_df_1_sc.hdesign_1_axi_clock_converter_0_0.hdesign_1_axi_clock_converter_0_0_sc.hdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_m00_data_fifo_0.hdesign_1_m00_data_fifo_0_sc.hdesign_1_smartconnect_0_0.hdesign_1_smartconnect_0_0_sc.hdesign_1_xbar_0.hdesign_1_xbar_0_sc.hdesign_1_xbar_1.hdesign_1_xbar_1_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hmig_a.prjmig_b.prjsc_xtlm_design_1_smartconnect_0_0.memsmartconnect.cxxsmartconnect.hsmartconnect_xtlm.cxxsmartconnect_xtlm.hsmartconnect_xtlm_impl.hsys_clk_gen_ps_v.txtxlconstant_v1_1_7.h
sim_scripts
clk_wiz_0
design_1
README.txt
activehdl
README.txtcompile.dodesign_1.shdesign_1.udofile_info.txtglbl.vmig_a.prjsimulate.dosys_clk_gen_ps_v.txtwave.do
ies
modelsim
README.txtcompile.dodesign_1.shdesign_1.udofile_info.txtglbl.vmig_a.prjsimulate.dosys_clk_gen_ps_v.txtwave.do
questa
README.txtcompile.dodesign_1.shdesign_1.udoelaborate.dofile_info.txtglbl.vmig_a.prjsimulate.dosys_clk_gen_ps_v.txtwave.do
riviera
README.txtcompile.dodesign_1.shdesign_1.udofile_info.txtglbl.vmig_a.prjsimulate.dosys_clk_gen_ps_v.txtwave.do
vcs
xcelium
xsim
fifo_generator_0
README.txt
activehdl
ies
modelsim
questa
README.txtcompile.doelaborate.dofifo_generator_0.shfifo_generator_0.udofile_info.txtglbl.vsimulate.dowave.do
riviera
vcs
xcelium
xsim
dso_top.srcs
constrs_1
sources_1
bd/design_1
design_1.bddesign_1.bxmldesign_1_ooc.xdc
hdl
hw_handoff
ip
design_1_axi_clock_converter_0_0
design_1_axi_clock_converter_0_0.dcpdesign_1_axi_clock_converter_0_0.xcidesign_1_axi_clock_converter_0_0.xmldesign_1_axi_clock_converter_0_0_clocks.xdcdesign_1_axi_clock_converter_0_0_ooc.xdcdesign_1_axi_clock_converter_0_0_sim_netlist.vdesign_1_axi_clock_converter_0_0_sim_netlist.vhdldesign_1_axi_clock_converter_0_0_stub.vdesign_1_axi_clock_converter_0_0_stub.vhdl
sim
design_1_axi_clock_converter_0_0.cppdesign_1_axi_clock_converter_0_0.hdesign_1_axi_clock_converter_0_0.vdesign_1_axi_clock_converter_0_0_sc.cppdesign_1_axi_clock_converter_0_0_sc.hdesign_1_axi_clock_converter_0_0_stub.sv
synth
sysc
design_1_axi_crossbar_0_0
design_1_axi_crossbar_0_0.dcpdesign_1_axi_crossbar_0_0.xcidesign_1_axi_crossbar_0_0.xmldesign_1_axi_crossbar_0_0_ooc.xdcdesign_1_axi_crossbar_0_0_sim_netlist.vdesign_1_axi_crossbar_0_0_sim_netlist.vhdldesign_1_axi_crossbar_0_0_stub.vdesign_1_axi_crossbar_0_0_stub.vhdl
sim
design_1_axi_crossbar_0_0.cppdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0.vdesign_1_axi_crossbar_0_0_sc.cppdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_0_stub.sv
src
synth
design_1_axi_crossbar_0_1
design_1_axi_crossbar_0_1.dcpdesign_1_axi_crossbar_0_1.xcidesign_1_axi_crossbar_0_1.xmldesign_1_axi_crossbar_0_1_ooc.xdcdesign_1_axi_crossbar_0_1_sim_netlist.vdesign_1_axi_crossbar_0_1_sim_netlist.vhdldesign_1_axi_crossbar_0_1_stub.vdesign_1_axi_crossbar_0_1_stub.vhdl
sim
design_1_axi_crossbar_0_1.cppdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1.vdesign_1_axi_crossbar_0_1_sc.cppdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_crossbar_0_1_stub.sv
src
synth
design_1_axi_datamover_0_0
design_1_axi_datamover_0_0.dcpdesign_1_axi_datamover_0_0.xcidesign_1_axi_datamover_0_0.xdcdesign_1_axi_datamover_0_0.xmldesign_1_axi_datamover_0_0_clocks.xdcdesign_1_axi_datamover_0_0_ooc.xdcdesign_1_axi_datamover_0_0_sim_netlist.vdesign_1_axi_datamover_0_0_sim_netlist.vhdldesign_1_axi_datamover_0_0_stub.vdesign_1_axi_datamover_0_0_stub.vhdl
sim
synth
design_1_axi_dwidth_converter_0_0
design_1_axi_dwidth_converter_0_0.dcpdesign_1_axi_dwidth_converter_0_0.xcidesign_1_axi_dwidth_converter_0_0.xmldesign_1_axi_dwidth_converter_0_0_clocks.xdcdesign_1_axi_dwidth_converter_0_0_ooc.xdcdesign_1_axi_dwidth_converter_0_0_sim_netlist.vdesign_1_axi_dwidth_converter_0_0_sim_netlist.vhdldesign_1_axi_dwidth_converter_0_0_stub.vdesign_1_axi_dwidth_converter_0_0_stub.vhdl
sim
design_1_axi_dwidth_converter_0_0.cppdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0.vdesign_1_axi_dwidth_converter_0_0_sc.cppdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_axi_dwidth_converter_0_0_stub.sv
src
synth
design_1_axi_fifo_mm_s_0_0
design_1_axi_fifo_mm_s_0_0.dcpdesign_1_axi_fifo_mm_s_0_0.xcidesign_1_axi_fifo_mm_s_0_0.xmldesign_1_axi_fifo_mm_s_0_0_ooc.xdcdesign_1_axi_fifo_mm_s_0_0_sim_netlist.vdesign_1_axi_fifo_mm_s_0_0_sim_netlist.vhdldesign_1_axi_fifo_mm_s_0_0_stub.vdesign_1_axi_fifo_mm_s_0_0_stub.vhdl
sim
synth
design_1_axi_gpio_0_1
design_1_axi_gpio_0_1.dcpdesign_1_axi_gpio_0_1.xcidesign_1_axi_gpio_0_1.xdcdesign_1_axi_gpio_0_1.xmldesign_1_axi_gpio_0_1_board.xdcdesign_1_axi_gpio_0_1_ooc.xdcdesign_1_axi_gpio_0_1_sim_netlist.vdesign_1_axi_gpio_0_1_sim_netlist.vhdldesign_1_axi_gpio_0_1_stub.vdesign_1_axi_gpio_0_1_stub.vhdl
sim
synth
design_1_clk_wiz_0_0
design_1_clk_wiz_0_0.dcpdesign_1_clk_wiz_0_0.vdesign_1_clk_wiz_0_0.xcidesign_1_clk_wiz_0_0.xdcdesign_1_clk_wiz_0_0.xmldesign_1_clk_wiz_0_0_board.xdcdesign_1_clk_wiz_0_0_clk_wiz.vdesign_1_clk_wiz_0_0_late.xdcdesign_1_clk_wiz_0_0_ooc.xdcdesign_1_clk_wiz_0_0_sim_netlist.vdesign_1_clk_wiz_0_0_sim_netlist.vhdldesign_1_clk_wiz_0_0_stub.vdesign_1_clk_wiz_0_0_stub.vhdl
design_1_mig_7series_0_0
design_1_mig_7series_0_0.dcpdesign_1_mig_7series_0_0.xcidesign_1_mig_7series_0_0.xmldesign_1_mig_7series_0_0_sim_netlist.vdesign_1_mig_7series_0_0_sim_netlist.vhdldesign_1_mig_7series_0_0_stub.vdesign_1_mig_7series_0_0_stub.vhdlmig_a.prjmig_b.prj
design_1_mig_7series_0_0/user_design
constraints
rtl
axi
mig_7series_v4_2_axi_ctrl_addr_decode.vmig_7series_v4_2_axi_ctrl_read.vmig_7series_v4_2_axi_ctrl_reg.vmig_7series_v4_2_axi_ctrl_reg_bank.vmig_7series_v4_2_axi_ctrl_top.vmig_7series_v4_2_axi_ctrl_write.vmig_7series_v4_2_axi_mc.vmig_7series_v4_2_axi_mc_ar_channel.vmig_7series_v4_2_axi_mc_aw_channel.vmig_7series_v4_2_axi_mc_b_channel.vmig_7series_v4_2_axi_mc_cmd_arbiter.vmig_7series_v4_2_axi_mc_cmd_fsm.vmig_7series_v4_2_axi_mc_cmd_translator.vmig_7series_v4_2_axi_mc_fifo.vmig_7series_v4_2_axi_mc_incr_cmd.vmig_7series_v4_2_axi_mc_r_channel.vmig_7series_v4_2_axi_mc_simple_fifo.vmig_7series_v4_2_axi_mc_w_channel.vmig_7series_v4_2_axi_mc_wr_cmd_fsm.vmig_7series_v4_2_axi_mc_wrap_cmd.vmig_7series_v4_2_ddr_a_upsizer.vmig_7series_v4_2_ddr_axi_register_slice.vmig_7series_v4_2_ddr_axi_upsizer.vmig_7series_v4_2_ddr_axic_register_slice.vmig_7series_v4_2_ddr_carry_and.vmig_7series_v4_2_ddr_carry_latch_and.vmig_7series_v4_2_ddr_carry_latch_or.vmig_7series_v4_2_ddr_carry_or.vmig_7series_v4_2_ddr_command_fifo.vmig_7series_v4_2_ddr_comparator.vmig_7series_v4_2_ddr_comparator_sel.vmig_7series_v4_2_ddr_comparator_sel_static.vmig_7series_v4_2_ddr_r_upsizer.vmig_7series_v4_2_ddr_w_upsizer.v
clocking
mig_7series_v4_2_clk_ibuf.vmig_7series_v4_2_infrastructure.vmig_7series_v4_2_iodelay_ctrl.vmig_7series_v4_2_tempmon.v
controller
mig_7series_v4_2_arb_mux.vmig_7series_v4_2_arb_row_col.vmig_7series_v4_2_arb_select.vmig_7series_v4_2_bank_cntrl.vmig_7series_v4_2_bank_common.vmig_7series_v4_2_bank_compare.vmig_7series_v4_2_bank_mach.vmig_7series_v4_2_bank_queue.vmig_7series_v4_2_bank_state.vmig_7series_v4_2_col_mach.vmig_7series_v4_2_mc.vmig_7series_v4_2_rank_cntrl.vmig_7series_v4_2_rank_common.vmig_7series_v4_2_rank_mach.vmig_7series_v4_2_round_robin_arb.v
design_1_mig_7series_0_0.vdesign_1_mig_7series_0_0_mig.vdesign_1_mig_7series_0_0_mig_sim.vecc
mig_7series_v4_2_ecc_buf.vmig_7series_v4_2_ecc_dec_fix.vmig_7series_v4_2_ecc_gen.vmig_7series_v4_2_ecc_merge_enc.vmig_7series_v4_2_fi_xor.v
ip_top
phy
mig_7series_v4_2_ddr_byte_group_io.vmig_7series_v4_2_ddr_byte_lane.vmig_7series_v4_2_ddr_calib_top.vmig_7series_v4_2_ddr_if_post_fifo.vmig_7series_v4_2_ddr_mc_phy.vmig_7series_v4_2_ddr_mc_phy_wrapper.vmig_7series_v4_2_ddr_of_pre_fifo.vmig_7series_v4_2_ddr_phy_4lanes.vmig_7series_v4_2_ddr_phy_ck_addr_cmd_delay.vmig_7series_v4_2_ddr_phy_dqs_found_cal.vmig_7series_v4_2_ddr_phy_dqs_found_cal_hr.vmig_7series_v4_2_ddr_phy_init.vmig_7series_v4_2_ddr_phy_ocd_cntlr.vmig_7series_v4_2_ddr_phy_ocd_data.vmig_7series_v4_2_ddr_phy_ocd_edge.vmig_7series_v4_2_ddr_phy_ocd_lim.vmig_7series_v4_2_ddr_phy_ocd_mux.vmig_7series_v4_2_ddr_phy_ocd_po_cntlr.vmig_7series_v4_2_ddr_phy_ocd_samp.vmig_7series_v4_2_ddr_phy_oclkdelay_cal.vmig_7series_v4_2_ddr_phy_prbs_rdlvl.vmig_7series_v4_2_ddr_phy_rdlvl.vmig_7series_v4_2_ddr_phy_tempmon.vmig_7series_v4_2_ddr_phy_top.vmig_7series_v4_2_ddr_phy_wrcal.vmig_7series_v4_2_ddr_phy_wrlvl.vmig_7series_v4_2_ddr_phy_wrlvl_off_delay.vmig_7series_v4_2_ddr_prbs_gen.vmig_7series_v4_2_ddr_skip_calib_tap.vmig_7series_v4_2_poc_cc.vmig_7series_v4_2_poc_edge_store.vmig_7series_v4_2_poc_meta.vmig_7series_v4_2_poc_pd.vmig_7series_v4_2_poc_tap_base.vmig_7series_v4_2_poc_top.v
ui
design_1_util_ds_buf_0_0
design_1_util_ds_buf_0_0.dcpdesign_1_util_ds_buf_0_0.xcidesign_1_util_ds_buf_0_0.xmldesign_1_util_ds_buf_0_0_board.xdcdesign_1_util_ds_buf_0_0_ooc.xdcdesign_1_util_ds_buf_0_0_sim_netlist.vdesign_1_util_ds_buf_0_0_sim_netlist.vhdldesign_1_util_ds_buf_0_0_stub.vdesign_1_util_ds_buf_0_0_stub.vhdl
sim
synth
util_ds_buf.vhddesign_1_util_vector_logic_0_0
design_1_util_vector_logic_0_0.dcpdesign_1_util_vector_logic_0_0.xcidesign_1_util_vector_logic_0_0.xmldesign_1_util_vector_logic_0_0_sim_netlist.vdesign_1_util_vector_logic_0_0_sim_netlist.vhdldesign_1_util_vector_logic_0_0_stub.vdesign_1_util_vector_logic_0_0_stub.vhdl
sim
synth
design_1_xdma_0_0
design_1_xdma_0_0.dcpdesign_1_xdma_0_0.xcidesign_1_xdma_0_0.xmldesign_1_xdma_0_0_board.xdcdesign_1_xdma_0_0_sim_netlist.vdesign_1_xdma_0_0_sim_netlist.vhdldesign_1_xdma_0_0_stub.vdesign_1_xdma_0_0_stub.vhdl
ip_0
design_1_xdma_0_0_pcie2_ip.xcidesign_1_xdma_0_0_pcie2_ip.xml
sim
source
design_1_xdma_0_0_pcie2_ip-PCIE_X0Y0.xdcdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_null_gen.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_top.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_thrtl_ctl.vdesign_1_xdma_0_0_pcie2_ip_core_top.vdesign_1_xdma_0_0_pcie2_ip_gt_common.vdesign_1_xdma_0_0_pcie2_ip_gt_rx_valid_filter_7x.vdesign_1_xdma_0_0_pcie2_ip_gt_top.vdesign_1_xdma_0_0_pcie2_ip_gt_wrapper.vdesign_1_xdma_0_0_pcie2_ip_gtp_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_gtx_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_pcie2_top.vdesign_1_xdma_0_0_pcie2_ip_pcie_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_top_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_brams_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_lane.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_misc.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_pipeline.vdesign_1_xdma_0_0_pcie2_ip_pcie_top.vdesign_1_xdma_0_0_pcie2_ip_pipe_clock.vdesign_1_xdma_0_0_pcie2_ip_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_pipe_eq.vdesign_1_xdma_0_0_pcie2_ip_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_pipe_sync.vdesign_1_xdma_0_0_pcie2_ip_pipe_user.vdesign_1_xdma_0_0_pcie2_ip_pipe_wrapper.vdesign_1_xdma_0_0_pcie2_ip_qpll_drp.vdesign_1_xdma_0_0_pcie2_ip_qpll_reset.vdesign_1_xdma_0_0_pcie2_ip_qpll_wrapper.vdesign_1_xdma_0_0_pcie2_ip_rxeq_scan.v
synth
sys_clk_gen_ps_v.txtip_1
sim
synth
xdma_v4_1_6_blk_mem_64_reg_be.xcixdma_v4_1_6_blk_mem_64_reg_be.xmlxdma_v4_1_6_blk_mem_64_reg_be_ooc.xdcip_2
sim
synth
xdma_v4_1_6_blk_mem_64_noreg_be.xcixdma_v4_1_6_blk_mem_64_noreg_be.xmlxdma_v4_1_6_blk_mem_64_noreg_be_ooc.xdcip_3
pcie2_fifo_generator_dma_cpl.xcipcie2_fifo_generator_dma_cpl.xdcpcie2_fifo_generator_dma_cpl.xml
sim
synth
ip_4
pcie2_fifo_generator_tgt_brdg.xcipcie2_fifo_generator_tgt_brdg.xdcpcie2_fifo_generator_tgt_brdg.xml
sim
synth
sim
source
synth
xdma_v4_1/hdl/verilog
design_1_xdma_0_0_axi_stream_intf.svdesign_1_xdma_0_0_cfg_sideband.svdesign_1_xdma_0_0_core_top.svdesign_1_xdma_0_0_dma_bram_wrap.svdesign_1_xdma_0_0_dma_bram_wrap_1024.svdesign_1_xdma_0_0_dma_bram_wrap_2048.svdesign_1_xdma_0_0_dma_cpl.svdesign_1_xdma_0_0_dma_req.svdesign_1_xdma_0_0_pcie2_to_pcie3_wrapper.svdesign_1_xdma_0_0_rx_demux.svdesign_1_xdma_0_0_rx_destraddler.svdesign_1_xdma_0_0_tgt_cpl.svdesign_1_xdma_0_0_tgt_req.svdesign_1_xdma_0_0_tx_mux.sv
design_1_xlconstant_0_0
design_1_xlconstant_0_0.xcidesign_1_xlconstant_0_0.xml
sim
design_1_xlconstant_0_0.cppdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_0.vdesign_1_xlconstant_0_0_stub.svxlconstant_v1_1_7.h
synth
design_1_xlconstant_0_2
design_1_xlconstant_0_2.xcidesign_1_xlconstant_0_2.xml
sim
design_1_xlconstant_0_2.cppdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_2.vdesign_1_xlconstant_0_2_stub.svxlconstant_v1_1_7.h
synth
design_1_xlconstant_0_3
ipshared
0513/hdl
07be/hdl
2137/hdl
2751/hdl
276e
hdl
simulation
2985
2ef9/hdl
47c9/hdl
51ce/hdl
5bfc/hdl
66ea/hdl
7589/hdl
8b3d
mmcm_pll_drp_func_7s_mmcm.vhmmcm_pll_drp_func_7s_pll.vhmmcm_pll_drp_func_us_mmcm.vhmmcm_pll_drp_func_us_pll.vhmmcm_pll_drp_func_us_plus_mmcm.vhmmcm_pll_drp_func_us_plus_pll.vh
8dfa/hdl
a040/hdl
a5cb/hdl
af86/hdl
b68e/hdl
b752/hdl
b8f8/hdl
verilog
axi_infrastructure_header.vhaxidma_fifo.vhdma_defines.svhdma_defines.vhdma_pcie_axis_cc_if.svhdma_pcie_axis_cq_if.svhdma_pcie_axis_rc_if.svhdma_pcie_axis_rq_if.svhdma_pcie_c2h_crdt_if.svhdma_pcie_dsc_in_if.svhdma_pcie_dsc_out_if.svhdma_pcie_fabric_input_if.svhdma_pcie_fabric_output_if.svhdma_pcie_gic_if.svhdma_pcie_h2c_crdt_if.svhdma_pcie_mi_16Bx2048_4Bwe_ram_if.svhdma_pcie_mi_2Bx2048_ram_if.svhdma_pcie_mi_4Bx2048_4Bwe_ram_if.svhdma_pcie_mi_64Bx1024_32Bwe_ram_if.svhdma_pcie_mi_64Bx128_32Bwe_ram_if.svhdma_pcie_mi_64Bx2048_32Bwe_ram_if.svhdma_pcie_mi_64Bx256_32Bwe_ram_if.svhdma_pcie_mi_64Bx512_32Bwe_ram_if.svhdma_pcie_mi_8Bx2048_4Bwe_ram_if.svhdma_pcie_mi_dsc_cpld_if.svhdma_pcie_mi_dsc_cpli_if.svhdma_pcie_misc_input_if.svhdma_pcie_misc_output_if.svhdma_soft_defines.vhpcie_dma_attr_defines.svhpciedmacoredefines.vhxdma_axi4mm_axi_bridge.vh
xdma_v4_1_vl_rfs.svbb35/hdl
e6d5
hdl
simulation
ec67/hdl
ef1e/hdl
fcfc/hdl
sim
synth
ui
imports
ip
.Xil
clk_wiz_0.xcixfifo_generator_0
doc
fifo_generator_0.dcpfifo_generator_0.veofifo_generator_0.vhofifo_generator_0.xcififo_generator_0.xdcfifo_generator_0.xmlfifo_generator_0_clocks.xdcfifo_generator_0_ooc.xdcfifo_generator_0_sim_netlist.vfifo_generator_0_sim_netlist.vhdlfifo_generator_0_stub.vfifo_generator_0_stub.vhdlhdl
blk_mem_gen_v8_4_vhsyn_rfs.vhdfifo_generator_v13_2_rfs.vfifo_generator_v13_2_rfs.vhdfifo_generator_v13_2_vhsyn_rfs.vhd
sim
simulation
synth
new
dso_top_fpga_module
dso_top.ip_user_files
README.txt
bd/design_1
ip
design_1_axi_crossbar_0_0/sim
design_1_axi_crossbar_0_1
design_1_axi_datamover_0_0/sim
design_1_axi_dwidth_converter_0_0/sim
design_1_axi_fifo_mm_s_0_0/sim
design_1_axi_gpio_0_1/sim
design_1_axixclk_0_0/sim
design_1_clk_wiz_0_0
design_1_util_ds_buf_0_0
design_1_xdma_0_0
ip_0
sim
source
design_1_xdma_0_0_pcie2_ip_axi_basic_rx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_null_gen.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_top.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_thrtl_ctl.vdesign_1_xdma_0_0_pcie2_ip_core_top.vdesign_1_xdma_0_0_pcie2_ip_gt_common.vdesign_1_xdma_0_0_pcie2_ip_gt_rx_valid_filter_7x.vdesign_1_xdma_0_0_pcie2_ip_gt_top.vdesign_1_xdma_0_0_pcie2_ip_gt_wrapper.vdesign_1_xdma_0_0_pcie2_ip_gtp_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_gtx_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_pcie2_top.vdesign_1_xdma_0_0_pcie2_ip_pcie_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_top_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_brams_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_lane.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_misc.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_pipeline.vdesign_1_xdma_0_0_pcie2_ip_pcie_top.vdesign_1_xdma_0_0_pcie2_ip_pipe_clock.vdesign_1_xdma_0_0_pcie2_ip_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_pipe_eq.vdesign_1_xdma_0_0_pcie2_ip_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_pipe_sync.vdesign_1_xdma_0_0_pcie2_ip_pipe_user.vdesign_1_xdma_0_0_pcie2_ip_pipe_wrapper.vdesign_1_xdma_0_0_pcie2_ip_qpll_drp.vdesign_1_xdma_0_0_pcie2_ip_qpll_reset.vdesign_1_xdma_0_0_pcie2_ip_qpll_wrapper.vdesign_1_xdma_0_0_pcie2_ip_rxeq_scan.v
ip_1/sim
ip_2/sim
ip_3/sim
ip_4/sim
sim
xdma_v4_1/hdl/verilog
design_1_xdma_0_0_axi_stream_intf.svdesign_1_xdma_0_0_cfg_sideband.svdesign_1_xdma_0_0_core_top.svdesign_1_xdma_0_0_dma_bram_wrap.svdesign_1_xdma_0_0_dma_bram_wrap_1024.svdesign_1_xdma_0_0_dma_bram_wrap_2048.svdesign_1_xdma_0_0_dma_cpl.svdesign_1_xdma_0_0_dma_req.svdesign_1_xdma_0_0_pcie2_to_pcie3_wrapper.svdesign_1_xdma_0_0_rx_demux.svdesign_1_xdma_0_0_rx_destraddler.svdesign_1_xdma_0_0_tgt_cpl.svdesign_1_xdma_0_0_tgt_req.svdesign_1_xdma_0_0_tx_mux.sv
design_1_xlconstant_0_0/sim
design_1_xlconstant_0_2/sim
design_1_xlconstant_0_3/sim
sim
ip
clk_wiz_0
clk_wiz_0.vclk_wiz_0.veoclk_wiz_0_clk_wiz.vclk_wiz_0_sim_netlist.vclk_wiz_0_sim_netlist.vhdlclk_wiz_0_stub.vclk_wiz_0_stub.vhdl
fifo_generator_0
ipstatic
hdl
mmcm_pll_drp_func_7s_mmcm.vhmmcm_pll_drp_func_7s_pll.vhmmcm_pll_drp_func_us_mmcm.vhmmcm_pll_drp_func_us_pll.vhmmcm_pll_drp_func_us_plus_mmcm.vhmmcm_pll_drp_func_us_plus_pll.vhsimulation
mem_init_files
axi_clock_converter.haxi_crossbar.haxi_data_fifo.haxi_dwidth_converter.hbd_48ac_one_0.hdesign_1_auto_cc_0.hdesign_1_auto_cc_0_sc.hdesign_1_auto_us_df_0.hdesign_1_auto_us_df_0_sc.hdesign_1_auto_us_df_1.hdesign_1_auto_us_df_1_sc.hdesign_1_axi_clock_converter_0_0.hdesign_1_axi_clock_converter_0_0_sc.hdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_m00_data_fifo_0.hdesign_1_m00_data_fifo_0_sc.hdesign_1_smartconnect_0_0.hdesign_1_smartconnect_0_0_sc.hdesign_1_xbar_0.hdesign_1_xbar_0_sc.hdesign_1_xbar_1.hdesign_1_xbar_1_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hsc_xtlm_design_1_smartconnect_0_0.memsmartconnect.cxxsmartconnect.hsmartconnect_xtlm.cxxsmartconnect_xtlm.hsmartconnect_xtlm_impl.hsys_clk_gen_ps_v.txtxlconstant_v1_1_7.h
dso_top.srcs
constrs_1
sources_1
bd/design_1
design_1.bddesign_1.bxmldesign_1_ooc.xdc
hdl
hw_handoff
ip
design_1_axi_crossbar_0_0
design_1_axi_crossbar_0_0.dcpdesign_1_axi_crossbar_0_0.xcidesign_1_axi_crossbar_0_0.xmldesign_1_axi_crossbar_0_0_ooc.xdcdesign_1_axi_crossbar_0_0_sim_netlist.vdesign_1_axi_crossbar_0_0_sim_netlist.vhdldesign_1_axi_crossbar_0_0_stub.vdesign_1_axi_crossbar_0_0_stub.vhdl
sim
design_1_axi_crossbar_0_0.cppdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0.vdesign_1_axi_crossbar_0_0_sc.cppdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_0_stub.sv
src
synth
design_1_axi_crossbar_0_1
design_1_axi_crossbar_0_1.dcpdesign_1_axi_crossbar_0_1.xcidesign_1_axi_crossbar_0_1.xmldesign_1_axi_crossbar_0_1_ooc.xdcdesign_1_axi_crossbar_0_1_sim_netlist.vdesign_1_axi_crossbar_0_1_sim_netlist.vhdldesign_1_axi_crossbar_0_1_stub.vdesign_1_axi_crossbar_0_1_stub.vhdl
sim
design_1_axi_crossbar_0_1.cppdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1.vdesign_1_axi_crossbar_0_1_sc.cppdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_crossbar_0_1_stub.sv
src
synth
design_1_axi_datamover_0_0
design_1_axi_datamover_0_0.dcpdesign_1_axi_datamover_0_0.xcidesign_1_axi_datamover_0_0.xdcdesign_1_axi_datamover_0_0.xmldesign_1_axi_datamover_0_0_clocks.xdcdesign_1_axi_datamover_0_0_ooc.xdcdesign_1_axi_datamover_0_0_sim_netlist.vdesign_1_axi_datamover_0_0_sim_netlist.vhdldesign_1_axi_datamover_0_0_stub.vdesign_1_axi_datamover_0_0_stub.vhdl
sim
synth
design_1_axi_dwidth_converter_0_0
design_1_axi_dwidth_converter_0_0.dcpdesign_1_axi_dwidth_converter_0_0.xcidesign_1_axi_dwidth_converter_0_0.xmldesign_1_axi_dwidth_converter_0_0_clocks.xdcdesign_1_axi_dwidth_converter_0_0_ooc.xdcdesign_1_axi_dwidth_converter_0_0_sim_netlist.vdesign_1_axi_dwidth_converter_0_0_sim_netlist.vhdldesign_1_axi_dwidth_converter_0_0_stub.vdesign_1_axi_dwidth_converter_0_0_stub.vhdl
sim
design_1_axi_dwidth_converter_0_0.cppdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0.vdesign_1_axi_dwidth_converter_0_0_sc.cppdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_axi_dwidth_converter_0_0_stub.sv
src
synth
design_1_axi_fifo_mm_s_0_0
design_1_axi_fifo_mm_s_0_0.dcpdesign_1_axi_fifo_mm_s_0_0.xcidesign_1_axi_fifo_mm_s_0_0.xmldesign_1_axi_fifo_mm_s_0_0_ooc.xdcdesign_1_axi_fifo_mm_s_0_0_sim_netlist.vdesign_1_axi_fifo_mm_s_0_0_sim_netlist.vhdldesign_1_axi_fifo_mm_s_0_0_stub.vdesign_1_axi_fifo_mm_s_0_0_stub.vhdl
sim
synth
design_1_axi_gpio_0_1
design_1_axi_gpio_0_1.dcpdesign_1_axi_gpio_0_1.xcidesign_1_axi_gpio_0_1.xdcdesign_1_axi_gpio_0_1.xmldesign_1_axi_gpio_0_1_board.xdcdesign_1_axi_gpio_0_1_ooc.xdcdesign_1_axi_gpio_0_1_sim_netlist.vdesign_1_axi_gpio_0_1_sim_netlist.vhdldesign_1_axi_gpio_0_1_stub.vdesign_1_axi_gpio_0_1_stub.vhdl
sim
synth
design_1_clk_wiz_0_0
design_1_clk_wiz_0_0.dcpdesign_1_clk_wiz_0_0.vdesign_1_clk_wiz_0_0.xcidesign_1_clk_wiz_0_0.xdcdesign_1_clk_wiz_0_0.xmldesign_1_clk_wiz_0_0_board.xdcdesign_1_clk_wiz_0_0_clk_wiz.vdesign_1_clk_wiz_0_0_late.xdcdesign_1_clk_wiz_0_0_ooc.xdcdesign_1_clk_wiz_0_0_sim_netlist.vdesign_1_clk_wiz_0_0_sim_netlist.vhdldesign_1_clk_wiz_0_0_stub.vdesign_1_clk_wiz_0_0_stub.vhdl
design_1_mig_7series_0_1
design_1_mig_7series_0_1.dcpdesign_1_mig_7series_0_1.xcidesign_1_mig_7series_0_1.xmldesign_1_mig_7series_0_1_sim_netlist.vdesign_1_mig_7series_0_1_sim_netlist.vhdldesign_1_mig_7series_0_1_stub.vdesign_1_mig_7series_0_1_stub.vhdlmig_a.prjmig_b.prj
design_1_mig_7series_0_1/user_design
constraints
rtl
axi
mig_7series_v4_2_axi_ctrl_addr_decode.vmig_7series_v4_2_axi_ctrl_read.vmig_7series_v4_2_axi_ctrl_reg.vmig_7series_v4_2_axi_ctrl_reg_bank.vmig_7series_v4_2_axi_ctrl_top.vmig_7series_v4_2_axi_ctrl_write.vmig_7series_v4_2_axi_mc.vmig_7series_v4_2_axi_mc_ar_channel.vmig_7series_v4_2_axi_mc_aw_channel.vmig_7series_v4_2_axi_mc_b_channel.vmig_7series_v4_2_axi_mc_cmd_arbiter.vmig_7series_v4_2_axi_mc_cmd_fsm.vmig_7series_v4_2_axi_mc_cmd_translator.vmig_7series_v4_2_axi_mc_fifo.vmig_7series_v4_2_axi_mc_incr_cmd.vmig_7series_v4_2_axi_mc_r_channel.vmig_7series_v4_2_axi_mc_simple_fifo.vmig_7series_v4_2_axi_mc_w_channel.vmig_7series_v4_2_axi_mc_wr_cmd_fsm.vmig_7series_v4_2_axi_mc_wrap_cmd.vmig_7series_v4_2_ddr_a_upsizer.vmig_7series_v4_2_ddr_axi_register_slice.vmig_7series_v4_2_ddr_axi_upsizer.vmig_7series_v4_2_ddr_axic_register_slice.vmig_7series_v4_2_ddr_carry_and.vmig_7series_v4_2_ddr_carry_latch_and.vmig_7series_v4_2_ddr_carry_latch_or.vmig_7series_v4_2_ddr_carry_or.vmig_7series_v4_2_ddr_command_fifo.vmig_7series_v4_2_ddr_comparator.vmig_7series_v4_2_ddr_comparator_sel.vmig_7series_v4_2_ddr_comparator_sel_static.vmig_7series_v4_2_ddr_r_upsizer.vmig_7series_v4_2_ddr_w_upsizer.v
clocking
mig_7series_v4_2_clk_ibuf.vmig_7series_v4_2_infrastructure.vmig_7series_v4_2_iodelay_ctrl.vmig_7series_v4_2_tempmon.v
controller
mig_7series_v4_2_arb_mux.vmig_7series_v4_2_arb_row_col.vmig_7series_v4_2_arb_select.vmig_7series_v4_2_bank_cntrl.vmig_7series_v4_2_bank_common.vmig_7series_v4_2_bank_compare.vmig_7series_v4_2_bank_mach.vmig_7series_v4_2_bank_queue.vmig_7series_v4_2_bank_state.vmig_7series_v4_2_col_mach.vmig_7series_v4_2_mc.vmig_7series_v4_2_rank_cntrl.vmig_7series_v4_2_rank_common.vmig_7series_v4_2_rank_mach.vmig_7series_v4_2_round_robin_arb.v
design_1_mig_7series_0_1.vdesign_1_mig_7series_0_1_mig.vdesign_1_mig_7series_0_1_mig_sim.vecc
mig_7series_v4_2_ecc_buf.vmig_7series_v4_2_ecc_dec_fix.vmig_7series_v4_2_ecc_gen.vmig_7series_v4_2_ecc_merge_enc.vmig_7series_v4_2_fi_xor.v
ip_top
phy
mig_7series_v4_2_ddr_byte_group_io.vmig_7series_v4_2_ddr_byte_lane.vmig_7series_v4_2_ddr_calib_top.vmig_7series_v4_2_ddr_if_post_fifo.vmig_7series_v4_2_ddr_mc_phy.vmig_7series_v4_2_ddr_mc_phy_wrapper.vmig_7series_v4_2_ddr_of_pre_fifo.vmig_7series_v4_2_ddr_phy_4lanes.vmig_7series_v4_2_ddr_phy_ck_addr_cmd_delay.vmig_7series_v4_2_ddr_phy_dqs_found_cal.vmig_7series_v4_2_ddr_phy_dqs_found_cal_hr.vmig_7series_v4_2_ddr_phy_init.vmig_7series_v4_2_ddr_phy_ocd_cntlr.vmig_7series_v4_2_ddr_phy_ocd_data.vmig_7series_v4_2_ddr_phy_ocd_edge.vmig_7series_v4_2_ddr_phy_ocd_lim.vmig_7series_v4_2_ddr_phy_ocd_mux.vmig_7series_v4_2_ddr_phy_ocd_po_cntlr.vmig_7series_v4_2_ddr_phy_ocd_samp.vmig_7series_v4_2_ddr_phy_oclkdelay_cal.vmig_7series_v4_2_ddr_phy_prbs_rdlvl.vmig_7series_v4_2_ddr_phy_rdlvl.vmig_7series_v4_2_ddr_phy_tempmon.vmig_7series_v4_2_ddr_phy_top.vmig_7series_v4_2_ddr_phy_wrcal.vmig_7series_v4_2_ddr_phy_wrlvl.vmig_7series_v4_2_ddr_phy_wrlvl_off_delay.vmig_7series_v4_2_ddr_prbs_gen.vmig_7series_v4_2_ddr_skip_calib_tap.vmig_7series_v4_2_poc_cc.vmig_7series_v4_2_poc_edge_store.vmig_7series_v4_2_poc_meta.vmig_7series_v4_2_poc_pd.vmig_7series_v4_2_poc_tap_base.vmig_7series_v4_2_poc_top.v
ui
design_1_util_ds_buf_0_0
design_1_util_ds_buf_0_0.dcpdesign_1_util_ds_buf_0_0.xcidesign_1_util_ds_buf_0_0.xmldesign_1_util_ds_buf_0_0_board.xdcdesign_1_util_ds_buf_0_0_ooc.xdcdesign_1_util_ds_buf_0_0_sim_netlist.vdesign_1_util_ds_buf_0_0_sim_netlist.vhdldesign_1_util_ds_buf_0_0_stub.vdesign_1_util_ds_buf_0_0_stub.vhdl
sim
synth
util_ds_buf.vhddesign_1_util_ds_buf_0_1
design_1_util_ds_buf_0_1.dcpdesign_1_util_ds_buf_0_1.xcidesign_1_util_ds_buf_0_1.xmldesign_1_util_ds_buf_0_1_board.xdcdesign_1_util_ds_buf_0_1_ooc.xdcdesign_1_util_ds_buf_0_1_sim_netlist.vdesign_1_util_ds_buf_0_1_sim_netlist.vhdldesign_1_util_ds_buf_0_1_stub.vdesign_1_util_ds_buf_0_1_stub.vhdl
sim
synth
util_ds_buf.vhddesign_1_xdma_0_0
design_1_xdma_0_0.dcpdesign_1_xdma_0_0.xcidesign_1_xdma_0_0.xmldesign_1_xdma_0_0_board.xdcdesign_1_xdma_0_0_sim_netlist.vdesign_1_xdma_0_0_sim_netlist.vhdldesign_1_xdma_0_0_stub.vdesign_1_xdma_0_0_stub.vhdl
ip_0
design_1_xdma_0_0_pcie2_ip.xcidesign_1_xdma_0_0_pcie2_ip.xml
sim
source
design_1_xdma_0_0_pcie2_ip-PCIE_X0Y0.xdcdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_null_gen.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_top.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_thrtl_ctl.vdesign_1_xdma_0_0_pcie2_ip_core_top.vdesign_1_xdma_0_0_pcie2_ip_gt_common.vdesign_1_xdma_0_0_pcie2_ip_gt_rx_valid_filter_7x.vdesign_1_xdma_0_0_pcie2_ip_gt_top.vdesign_1_xdma_0_0_pcie2_ip_gt_wrapper.vdesign_1_xdma_0_0_pcie2_ip_gtp_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_gtx_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_pcie2_top.vdesign_1_xdma_0_0_pcie2_ip_pcie_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_top_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_brams_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_lane.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_misc.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_pipeline.vdesign_1_xdma_0_0_pcie2_ip_pcie_top.vdesign_1_xdma_0_0_pcie2_ip_pipe_clock.vdesign_1_xdma_0_0_pcie2_ip_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_pipe_eq.vdesign_1_xdma_0_0_pcie2_ip_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_pipe_sync.vdesign_1_xdma_0_0_pcie2_ip_pipe_user.vdesign_1_xdma_0_0_pcie2_ip_pipe_wrapper.vdesign_1_xdma_0_0_pcie2_ip_qpll_drp.vdesign_1_xdma_0_0_pcie2_ip_qpll_reset.vdesign_1_xdma_0_0_pcie2_ip_qpll_wrapper.vdesign_1_xdma_0_0_pcie2_ip_rxeq_scan.v
synth
sys_clk_gen_ps_v.txtip_1
sim
synth
xdma_v4_1_6_blk_mem_64_reg_be.xcixdma_v4_1_6_blk_mem_64_reg_be.xmlxdma_v4_1_6_blk_mem_64_reg_be_ooc.xdcip_2
sim
synth
xdma_v4_1_6_blk_mem_64_noreg_be.xcixdma_v4_1_6_blk_mem_64_noreg_be.xmlxdma_v4_1_6_blk_mem_64_noreg_be_ooc.xdcip_3
pcie2_fifo_generator_dma_cpl.xcipcie2_fifo_generator_dma_cpl.xdcpcie2_fifo_generator_dma_cpl.xml
sim
synth
ip_4
pcie2_fifo_generator_tgt_brdg.xcipcie2_fifo_generator_tgt_brdg.xdcpcie2_fifo_generator_tgt_brdg.xml
sim
synth
sim
source
synth
xdma_v4_1/hdl/verilog
design_1_xdma_0_0_axi_stream_intf.svdesign_1_xdma_0_0_cfg_sideband.svdesign_1_xdma_0_0_core_top.svdesign_1_xdma_0_0_dma_bram_wrap.svdesign_1_xdma_0_0_dma_bram_wrap_1024.svdesign_1_xdma_0_0_dma_bram_wrap_2048.svdesign_1_xdma_0_0_dma_cpl.svdesign_1_xdma_0_0_dma_req.svdesign_1_xdma_0_0_pcie2_to_pcie3_wrapper.svdesign_1_xdma_0_0_rx_demux.svdesign_1_xdma_0_0_rx_destraddler.svdesign_1_xdma_0_0_tgt_cpl.svdesign_1_xdma_0_0_tgt_req.svdesign_1_xdma_0_0_tx_mux.sv
design_1_xlconstant_0_0
design_1_xlconstant_0_0.xcidesign_1_xlconstant_0_0.xml
sim
design_1_xlconstant_0_0.cppdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_0.vdesign_1_xlconstant_0_0_stub.svxlconstant_v1_1_7.h
synth
design_1_xlconstant_0_2
design_1_xlconstant_0_2.xcidesign_1_xlconstant_0_2.xml
sim
design_1_xlconstant_0_2.cppdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_2.vdesign_1_xlconstant_0_2_stub.svxlconstant_v1_1_7.h
synth
design_1_xlconstant_0_3
ipshared
0513/hdl
07be/hdl
2751/hdl
276e
hdl
simulation
2985
2ef9/hdl
47c9/hdl
51ce/hdl
5bfc/hdl
66ea/hdl
7589/hdl
8b3d
mmcm_pll_drp_func_7s_mmcm.vhmmcm_pll_drp_func_7s_pll.vhmmcm_pll_drp_func_us_mmcm.vhmmcm_pll_drp_func_us_pll.vhmmcm_pll_drp_func_us_plus_mmcm.vhmmcm_pll_drp_func_us_plus_pll.vh
8dfa/hdl
a040/hdl
a5cb/hdl
af86/hdl
b68e/hdl
b752/hdl
b8f8/hdl
verilog
axi_infrastructure_header.vhaxidma_fifo.vhdma_defines.svhdma_defines.vhdma_pcie_axis_cc_if.svhdma_pcie_axis_cq_if.svhdma_pcie_axis_rc_if.svhdma_pcie_axis_rq_if.svhdma_pcie_c2h_crdt_if.svhdma_pcie_dsc_in_if.svhdma_pcie_dsc_out_if.svhdma_pcie_fabric_input_if.svhdma_pcie_fabric_output_if.svhdma_pcie_gic_if.svhdma_pcie_h2c_crdt_if.svhdma_pcie_mi_16Bx2048_4Bwe_ram_if.svhdma_pcie_mi_2Bx2048_ram_if.svhdma_pcie_mi_4Bx2048_4Bwe_ram_if.svhdma_pcie_mi_64Bx1024_32Bwe_ram_if.svhdma_pcie_mi_64Bx128_32Bwe_ram_if.svhdma_pcie_mi_64Bx2048_32Bwe_ram_if.svhdma_pcie_mi_64Bx256_32Bwe_ram_if.svhdma_pcie_mi_64Bx512_32Bwe_ram_if.svhdma_pcie_mi_8Bx2048_4Bwe_ram_if.svhdma_pcie_mi_dsc_cpld_if.svhdma_pcie_mi_dsc_cpli_if.svhdma_pcie_misc_input_if.svhdma_pcie_misc_output_if.svhdma_soft_defines.vhpcie_dma_attr_defines.svhpciedmacoredefines.vhxdma_axi4mm_axi_bridge.vh
xdma_v4_1_vl_rfs.svbb35/hdl
e6d5
hdl
simulation
ec67/hdl
ef1e/hdl
fcfc/hdl
sim
synth
ui
imports
ip
.Xil
clk_wiz_0.xcixfifo_generator_0
new
dso_top_fpga_module_rev2_unsigned
dso_top.ip_user_files
README.txt
bd/design_1
ip
design_1_axi_crossbar_0_0/sim
design_1_axi_crossbar_0_1
design_1_axi_datamover_0_0/sim
design_1_axi_dwidth_converter_0_0/sim
design_1_axi_fifo_mm_s_0_0/sim
design_1_axi_gpio_0_1/sim
design_1_axixclk_0_0/sim
design_1_clk_wiz_0_0
design_1_util_ds_buf_0_0
design_1_xdma_0_0
ip_0
sim
source
design_1_xdma_0_0_pcie2_ip_axi_basic_rx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_null_gen.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_top.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_thrtl_ctl.vdesign_1_xdma_0_0_pcie2_ip_core_top.vdesign_1_xdma_0_0_pcie2_ip_gt_common.vdesign_1_xdma_0_0_pcie2_ip_gt_rx_valid_filter_7x.vdesign_1_xdma_0_0_pcie2_ip_gt_top.vdesign_1_xdma_0_0_pcie2_ip_gt_wrapper.vdesign_1_xdma_0_0_pcie2_ip_gtp_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_gtx_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_pcie2_top.vdesign_1_xdma_0_0_pcie2_ip_pcie_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_top_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_brams_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_lane.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_misc.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_pipeline.vdesign_1_xdma_0_0_pcie2_ip_pcie_top.vdesign_1_xdma_0_0_pcie2_ip_pipe_clock.vdesign_1_xdma_0_0_pcie2_ip_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_pipe_eq.vdesign_1_xdma_0_0_pcie2_ip_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_pipe_sync.vdesign_1_xdma_0_0_pcie2_ip_pipe_user.vdesign_1_xdma_0_0_pcie2_ip_pipe_wrapper.vdesign_1_xdma_0_0_pcie2_ip_qpll_drp.vdesign_1_xdma_0_0_pcie2_ip_qpll_reset.vdesign_1_xdma_0_0_pcie2_ip_qpll_wrapper.vdesign_1_xdma_0_0_pcie2_ip_rxeq_scan.v
ip_1/sim
ip_2/sim
ip_3/sim
ip_4/sim
sim
xdma_v4_1/hdl/verilog
design_1_xdma_0_0_axi_stream_intf.svdesign_1_xdma_0_0_cfg_sideband.svdesign_1_xdma_0_0_core_top.svdesign_1_xdma_0_0_dma_bram_wrap.svdesign_1_xdma_0_0_dma_bram_wrap_1024.svdesign_1_xdma_0_0_dma_bram_wrap_2048.svdesign_1_xdma_0_0_dma_cpl.svdesign_1_xdma_0_0_dma_req.svdesign_1_xdma_0_0_pcie2_to_pcie3_wrapper.svdesign_1_xdma_0_0_rx_demux.svdesign_1_xdma_0_0_rx_destraddler.svdesign_1_xdma_0_0_tgt_cpl.svdesign_1_xdma_0_0_tgt_req.svdesign_1_xdma_0_0_tx_mux.sv
design_1_xlconstant_0_0/sim
design_1_xlconstant_0_2/sim
design_1_xlconstant_0_3/sim
sim
ip
clk_wiz_0
clk_wiz_0.vclk_wiz_0.veoclk_wiz_0_clk_wiz.vclk_wiz_0_sim_netlist.vclk_wiz_0_sim_netlist.vhdlclk_wiz_0_stub.vclk_wiz_0_stub.vhdl
fifo_generator_0
ipstatic
hdl
mmcm_pll_drp_func_7s_mmcm.vhmmcm_pll_drp_func_7s_pll.vhmmcm_pll_drp_func_us_mmcm.vhmmcm_pll_drp_func_us_pll.vhmmcm_pll_drp_func_us_plus_mmcm.vhmmcm_pll_drp_func_us_plus_pll.vhsimulation
mem_init_files
axi_clock_converter.haxi_crossbar.haxi_data_fifo.haxi_dwidth_converter.hbd_48ac_one_0.hdesign_1_auto_cc_0.hdesign_1_auto_cc_0_sc.hdesign_1_auto_us_df_0.hdesign_1_auto_us_df_0_sc.hdesign_1_auto_us_df_1.hdesign_1_auto_us_df_1_sc.hdesign_1_axi_clock_converter_0_0.hdesign_1_axi_clock_converter_0_0_sc.hdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_m00_data_fifo_0.hdesign_1_m00_data_fifo_0_sc.hdesign_1_smartconnect_0_0.hdesign_1_smartconnect_0_0_sc.hdesign_1_xbar_0.hdesign_1_xbar_0_sc.hdesign_1_xbar_1.hdesign_1_xbar_1_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hsc_xtlm_design_1_smartconnect_0_0.memsmartconnect.cxxsmartconnect.hsmartconnect_xtlm.cxxsmartconnect_xtlm.hsmartconnect_xtlm_impl.hsys_clk_gen_ps_v.txtxlconstant_v1_1_7.h
dso_top.srcs
constrs_1
sources_1
bd/design_1
design_1.bddesign_1.bxmldesign_1_ooc.xdc
hdl
hw_handoff
ip
design_1_axi_crossbar_0_0
design_1_axi_crossbar_0_0.dcpdesign_1_axi_crossbar_0_0.xcidesign_1_axi_crossbar_0_0.xmldesign_1_axi_crossbar_0_0_ooc.xdcdesign_1_axi_crossbar_0_0_sim_netlist.vdesign_1_axi_crossbar_0_0_sim_netlist.vhdldesign_1_axi_crossbar_0_0_stub.vdesign_1_axi_crossbar_0_0_stub.vhdl
sim
design_1_axi_crossbar_0_0.cppdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0.vdesign_1_axi_crossbar_0_0_sc.cppdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_0_stub.sv
src
synth
design_1_axi_crossbar_0_1
design_1_axi_crossbar_0_1.dcpdesign_1_axi_crossbar_0_1.xcidesign_1_axi_crossbar_0_1.xmldesign_1_axi_crossbar_0_1_ooc.xdcdesign_1_axi_crossbar_0_1_sim_netlist.vdesign_1_axi_crossbar_0_1_sim_netlist.vhdldesign_1_axi_crossbar_0_1_stub.vdesign_1_axi_crossbar_0_1_stub.vhdl
sim
design_1_axi_crossbar_0_1.cppdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1.vdesign_1_axi_crossbar_0_1_sc.cppdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_crossbar_0_1_stub.sv
src
synth
design_1_axi_datamover_0_0
design_1_axi_datamover_0_0.dcpdesign_1_axi_datamover_0_0.xcidesign_1_axi_datamover_0_0.xdcdesign_1_axi_datamover_0_0.xmldesign_1_axi_datamover_0_0_clocks.xdcdesign_1_axi_datamover_0_0_ooc.xdcdesign_1_axi_datamover_0_0_sim_netlist.vdesign_1_axi_datamover_0_0_sim_netlist.vhdldesign_1_axi_datamover_0_0_stub.vdesign_1_axi_datamover_0_0_stub.vhdl
sim
synth
design_1_axi_dwidth_converter_0_0
design_1_axi_dwidth_converter_0_0.dcpdesign_1_axi_dwidth_converter_0_0.xcidesign_1_axi_dwidth_converter_0_0.xmldesign_1_axi_dwidth_converter_0_0_clocks.xdcdesign_1_axi_dwidth_converter_0_0_ooc.xdcdesign_1_axi_dwidth_converter_0_0_sim_netlist.vdesign_1_axi_dwidth_converter_0_0_sim_netlist.vhdldesign_1_axi_dwidth_converter_0_0_stub.vdesign_1_axi_dwidth_converter_0_0_stub.vhdl
sim
design_1_axi_dwidth_converter_0_0.cppdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0.vdesign_1_axi_dwidth_converter_0_0_sc.cppdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_axi_dwidth_converter_0_0_stub.sv
src
synth
design_1_axi_fifo_mm_s_0_0
design_1_axi_fifo_mm_s_0_0.dcpdesign_1_axi_fifo_mm_s_0_0.xcidesign_1_axi_fifo_mm_s_0_0.xmldesign_1_axi_fifo_mm_s_0_0_ooc.xdcdesign_1_axi_fifo_mm_s_0_0_sim_netlist.vdesign_1_axi_fifo_mm_s_0_0_sim_netlist.vhdldesign_1_axi_fifo_mm_s_0_0_stub.vdesign_1_axi_fifo_mm_s_0_0_stub.vhdl
sim
synth
design_1_axi_gpio_0_1
design_1_axi_gpio_0_1.dcpdesign_1_axi_gpio_0_1.xcidesign_1_axi_gpio_0_1.xdcdesign_1_axi_gpio_0_1.xmldesign_1_axi_gpio_0_1_board.xdcdesign_1_axi_gpio_0_1_ooc.xdcdesign_1_axi_gpio_0_1_sim_netlist.vdesign_1_axi_gpio_0_1_sim_netlist.vhdldesign_1_axi_gpio_0_1_stub.vdesign_1_axi_gpio_0_1_stub.vhdl
sim
synth
design_1_clk_wiz_0_0
design_1_clk_wiz_0_0.dcpdesign_1_clk_wiz_0_0.vdesign_1_clk_wiz_0_0.xcidesign_1_clk_wiz_0_0.xdcdesign_1_clk_wiz_0_0.xmldesign_1_clk_wiz_0_0_board.xdcdesign_1_clk_wiz_0_0_clk_wiz.vdesign_1_clk_wiz_0_0_late.xdcdesign_1_clk_wiz_0_0_ooc.xdcdesign_1_clk_wiz_0_0_sim_netlist.vdesign_1_clk_wiz_0_0_sim_netlist.vhdldesign_1_clk_wiz_0_0_stub.vdesign_1_clk_wiz_0_0_stub.vhdl
design_1_mig_7series_0_1
design_1_mig_7series_0_1.dcpdesign_1_mig_7series_0_1.xcidesign_1_mig_7series_0_1.xmldesign_1_mig_7series_0_1_sim_netlist.vdesign_1_mig_7series_0_1_sim_netlist.vhdldesign_1_mig_7series_0_1_stub.vdesign_1_mig_7series_0_1_stub.vhdlmig_a.prjmig_b.prj
design_1_mig_7series_0_1/user_design
constraints
rtl
axi
mig_7series_v4_2_axi_ctrl_addr_decode.vmig_7series_v4_2_axi_ctrl_read.vmig_7series_v4_2_axi_ctrl_reg.vmig_7series_v4_2_axi_ctrl_reg_bank.vmig_7series_v4_2_axi_ctrl_top.vmig_7series_v4_2_axi_ctrl_write.vmig_7series_v4_2_axi_mc.vmig_7series_v4_2_axi_mc_ar_channel.vmig_7series_v4_2_axi_mc_aw_channel.vmig_7series_v4_2_axi_mc_b_channel.vmig_7series_v4_2_axi_mc_cmd_arbiter.vmig_7series_v4_2_axi_mc_cmd_fsm.vmig_7series_v4_2_axi_mc_cmd_translator.vmig_7series_v4_2_axi_mc_fifo.vmig_7series_v4_2_axi_mc_incr_cmd.vmig_7series_v4_2_axi_mc_r_channel.vmig_7series_v4_2_axi_mc_simple_fifo.vmig_7series_v4_2_axi_mc_w_channel.vmig_7series_v4_2_axi_mc_wr_cmd_fsm.vmig_7series_v4_2_axi_mc_wrap_cmd.vmig_7series_v4_2_ddr_a_upsizer.vmig_7series_v4_2_ddr_axi_register_slice.vmig_7series_v4_2_ddr_axi_upsizer.vmig_7series_v4_2_ddr_axic_register_slice.vmig_7series_v4_2_ddr_carry_and.vmig_7series_v4_2_ddr_carry_latch_and.vmig_7series_v4_2_ddr_carry_latch_or.vmig_7series_v4_2_ddr_carry_or.vmig_7series_v4_2_ddr_command_fifo.vmig_7series_v4_2_ddr_comparator.vmig_7series_v4_2_ddr_comparator_sel.vmig_7series_v4_2_ddr_comparator_sel_static.vmig_7series_v4_2_ddr_r_upsizer.vmig_7series_v4_2_ddr_w_upsizer.v
clocking
mig_7series_v4_2_clk_ibuf.vmig_7series_v4_2_infrastructure.vmig_7series_v4_2_iodelay_ctrl.vmig_7series_v4_2_tempmon.v
controller
mig_7series_v4_2_arb_mux.vmig_7series_v4_2_arb_row_col.vmig_7series_v4_2_arb_select.vmig_7series_v4_2_bank_cntrl.vmig_7series_v4_2_bank_common.vmig_7series_v4_2_bank_compare.vmig_7series_v4_2_bank_mach.vmig_7series_v4_2_bank_queue.vmig_7series_v4_2_bank_state.vmig_7series_v4_2_col_mach.vmig_7series_v4_2_mc.vmig_7series_v4_2_rank_cntrl.vmig_7series_v4_2_rank_common.vmig_7series_v4_2_rank_mach.vmig_7series_v4_2_round_robin_arb.v
design_1_mig_7series_0_1.vdesign_1_mig_7series_0_1_mig.vdesign_1_mig_7series_0_1_mig_sim.vecc
mig_7series_v4_2_ecc_buf.vmig_7series_v4_2_ecc_dec_fix.vmig_7series_v4_2_ecc_gen.vmig_7series_v4_2_ecc_merge_enc.vmig_7series_v4_2_fi_xor.v
ip_top
phy
mig_7series_v4_2_ddr_byte_group_io.vmig_7series_v4_2_ddr_byte_lane.vmig_7series_v4_2_ddr_calib_top.vmig_7series_v4_2_ddr_if_post_fifo.vmig_7series_v4_2_ddr_mc_phy.vmig_7series_v4_2_ddr_mc_phy_wrapper.vmig_7series_v4_2_ddr_of_pre_fifo.vmig_7series_v4_2_ddr_phy_4lanes.vmig_7series_v4_2_ddr_phy_ck_addr_cmd_delay.vmig_7series_v4_2_ddr_phy_dqs_found_cal.vmig_7series_v4_2_ddr_phy_dqs_found_cal_hr.vmig_7series_v4_2_ddr_phy_init.vmig_7series_v4_2_ddr_phy_ocd_cntlr.vmig_7series_v4_2_ddr_phy_ocd_data.vmig_7series_v4_2_ddr_phy_ocd_edge.vmig_7series_v4_2_ddr_phy_ocd_lim.vmig_7series_v4_2_ddr_phy_ocd_mux.vmig_7series_v4_2_ddr_phy_ocd_po_cntlr.vmig_7series_v4_2_ddr_phy_ocd_samp.vmig_7series_v4_2_ddr_phy_oclkdelay_cal.vmig_7series_v4_2_ddr_phy_prbs_rdlvl.vmig_7series_v4_2_ddr_phy_rdlvl.vmig_7series_v4_2_ddr_phy_tempmon.vmig_7series_v4_2_ddr_phy_top.vmig_7series_v4_2_ddr_phy_wrcal.vmig_7series_v4_2_ddr_phy_wrlvl.vmig_7series_v4_2_ddr_phy_wrlvl_off_delay.vmig_7series_v4_2_ddr_prbs_gen.vmig_7series_v4_2_ddr_skip_calib_tap.vmig_7series_v4_2_poc_cc.vmig_7series_v4_2_poc_edge_store.vmig_7series_v4_2_poc_meta.vmig_7series_v4_2_poc_pd.vmig_7series_v4_2_poc_tap_base.vmig_7series_v4_2_poc_top.v
ui
design_1_util_ds_buf_0_0
design_1_util_ds_buf_0_0.dcpdesign_1_util_ds_buf_0_0.xcidesign_1_util_ds_buf_0_0.xmldesign_1_util_ds_buf_0_0_board.xdcdesign_1_util_ds_buf_0_0_ooc.xdcdesign_1_util_ds_buf_0_0_sim_netlist.vdesign_1_util_ds_buf_0_0_sim_netlist.vhdldesign_1_util_ds_buf_0_0_stub.vdesign_1_util_ds_buf_0_0_stub.vhdl
sim
synth
util_ds_buf.vhddesign_1_util_ds_buf_0_1
design_1_util_ds_buf_0_1.dcpdesign_1_util_ds_buf_0_1.xcidesign_1_util_ds_buf_0_1.xmldesign_1_util_ds_buf_0_1_board.xdcdesign_1_util_ds_buf_0_1_ooc.xdcdesign_1_util_ds_buf_0_1_sim_netlist.vdesign_1_util_ds_buf_0_1_sim_netlist.vhdldesign_1_util_ds_buf_0_1_stub.vdesign_1_util_ds_buf_0_1_stub.vhdl
sim
synth
util_ds_buf.vhddesign_1_xdma_0_0
design_1_xdma_0_0.dcpdesign_1_xdma_0_0.xcidesign_1_xdma_0_0.xmldesign_1_xdma_0_0_board.xdcdesign_1_xdma_0_0_sim_netlist.vdesign_1_xdma_0_0_sim_netlist.vhdldesign_1_xdma_0_0_stub.vdesign_1_xdma_0_0_stub.vhdl
ip_0
design_1_xdma_0_0_pcie2_ip.xcidesign_1_xdma_0_0_pcie2_ip.xml
sim
source
design_1_xdma_0_0_pcie2_ip-PCIE_X0Y0.xdcdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_null_gen.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_top.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_thrtl_ctl.vdesign_1_xdma_0_0_pcie2_ip_core_top.vdesign_1_xdma_0_0_pcie2_ip_gt_common.vdesign_1_xdma_0_0_pcie2_ip_gt_rx_valid_filter_7x.vdesign_1_xdma_0_0_pcie2_ip_gt_top.vdesign_1_xdma_0_0_pcie2_ip_gt_wrapper.vdesign_1_xdma_0_0_pcie2_ip_gtp_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_gtx_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_pcie2_top.vdesign_1_xdma_0_0_pcie2_ip_pcie_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_top_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_brams_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_lane.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_misc.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_pipeline.vdesign_1_xdma_0_0_pcie2_ip_pcie_top.vdesign_1_xdma_0_0_pcie2_ip_pipe_clock.vdesign_1_xdma_0_0_pcie2_ip_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_pipe_eq.vdesign_1_xdma_0_0_pcie2_ip_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_pipe_sync.vdesign_1_xdma_0_0_pcie2_ip_pipe_user.vdesign_1_xdma_0_0_pcie2_ip_pipe_wrapper.vdesign_1_xdma_0_0_pcie2_ip_qpll_drp.vdesign_1_xdma_0_0_pcie2_ip_qpll_reset.vdesign_1_xdma_0_0_pcie2_ip_qpll_wrapper.vdesign_1_xdma_0_0_pcie2_ip_rxeq_scan.v
synth
sys_clk_gen_ps_v.txtip_1
sim
synth
xdma_v4_1_6_blk_mem_64_reg_be.xcixdma_v4_1_6_blk_mem_64_reg_be.xmlxdma_v4_1_6_blk_mem_64_reg_be_ooc.xdcip_2
sim
synth
xdma_v4_1_6_blk_mem_64_noreg_be.xcixdma_v4_1_6_blk_mem_64_noreg_be.xmlxdma_v4_1_6_blk_mem_64_noreg_be_ooc.xdcip_3
pcie2_fifo_generator_dma_cpl.xcipcie2_fifo_generator_dma_cpl.xdcpcie2_fifo_generator_dma_cpl.xml
sim
synth
ip_4
pcie2_fifo_generator_tgt_brdg.xcipcie2_fifo_generator_tgt_brdg.xdcpcie2_fifo_generator_tgt_brdg.xml
sim
synth
sim
source
synth
xdma_v4_1/hdl/verilog
design_1_xdma_0_0_axi_stream_intf.svdesign_1_xdma_0_0_cfg_sideband.svdesign_1_xdma_0_0_core_top.svdesign_1_xdma_0_0_dma_bram_wrap.svdesign_1_xdma_0_0_dma_bram_wrap_1024.svdesign_1_xdma_0_0_dma_bram_wrap_2048.svdesign_1_xdma_0_0_dma_cpl.svdesign_1_xdma_0_0_dma_req.svdesign_1_xdma_0_0_pcie2_to_pcie3_wrapper.svdesign_1_xdma_0_0_rx_demux.svdesign_1_xdma_0_0_rx_destraddler.svdesign_1_xdma_0_0_tgt_cpl.svdesign_1_xdma_0_0_tgt_req.svdesign_1_xdma_0_0_tx_mux.sv
design_1_xlconstant_0_0
design_1_xlconstant_0_0.xcidesign_1_xlconstant_0_0.xml
sim
design_1_xlconstant_0_0.cppdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_0.vdesign_1_xlconstant_0_0_stub.svxlconstant_v1_1_7.h
synth
design_1_xlconstant_0_2
design_1_xlconstant_0_2.xcidesign_1_xlconstant_0_2.xml
sim
design_1_xlconstant_0_2.cppdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_2.vdesign_1_xlconstant_0_2_stub.svxlconstant_v1_1_7.h
synth
design_1_xlconstant_0_3
ipshared
0513/hdl
07be/hdl
2751/hdl
276e
hdl
simulation
2985
2ef9/hdl
47c9/hdl
51ce/hdl
5bfc/hdl
66ea/hdl
7589/hdl
8b3d
mmcm_pll_drp_func_7s_mmcm.vhmmcm_pll_drp_func_7s_pll.vhmmcm_pll_drp_func_us_mmcm.vhmmcm_pll_drp_func_us_pll.vhmmcm_pll_drp_func_us_plus_mmcm.vhmmcm_pll_drp_func_us_plus_pll.vh
8dfa/hdl
a040/hdl
a5cb/hdl
af86/hdl
b68e/hdl
b752/hdl
b8f8/hdl
verilog
axi_infrastructure_header.vhaxidma_fifo.vhdma_defines.svhdma_defines.vhdma_pcie_axis_cc_if.svhdma_pcie_axis_cq_if.svhdma_pcie_axis_rc_if.svhdma_pcie_axis_rq_if.svhdma_pcie_c2h_crdt_if.svhdma_pcie_dsc_in_if.svhdma_pcie_dsc_out_if.svhdma_pcie_fabric_input_if.svhdma_pcie_fabric_output_if.svhdma_pcie_gic_if.svhdma_pcie_h2c_crdt_if.svhdma_pcie_mi_16Bx2048_4Bwe_ram_if.svhdma_pcie_mi_2Bx2048_ram_if.svhdma_pcie_mi_4Bx2048_4Bwe_ram_if.svhdma_pcie_mi_64Bx1024_32Bwe_ram_if.svhdma_pcie_mi_64Bx128_32Bwe_ram_if.svhdma_pcie_mi_64Bx2048_32Bwe_ram_if.svhdma_pcie_mi_64Bx256_32Bwe_ram_if.svhdma_pcie_mi_64Bx512_32Bwe_ram_if.svhdma_pcie_mi_8Bx2048_4Bwe_ram_if.svhdma_pcie_mi_dsc_cpld_if.svhdma_pcie_mi_dsc_cpli_if.svhdma_pcie_misc_input_if.svhdma_pcie_misc_output_if.svhdma_soft_defines.vhpcie_dma_attr_defines.svhpciedmacoredefines.vhxdma_axi4mm_axi_bridge.vh
xdma_v4_1_vl_rfs.svbb35/hdl
e6d5
hdl
simulation
ec67/hdl
ef1e/hdl
fcfc/hdl
sim
synth
ui
imports
ip
.Xil
clk_wiz_0.xcixfifo_generator_0
new
dso_top_fpga_module_unsigned
dso_top.ip_user_files
README.txt
bd/design_1
ip
design_1_axi_crossbar_0_0/sim
design_1_axi_crossbar_0_1
design_1_axi_datamover_0_0/sim
design_1_axi_dwidth_converter_0_0/sim
design_1_axi_fifo_mm_s_0_0/sim
design_1_axi_gpio_0_1/sim
design_1_axixclk_0_0/sim
design_1_clk_wiz_0_0
design_1_util_ds_buf_0_0
design_1_xdma_0_0
ip_0
sim
source
design_1_xdma_0_0_pcie2_ip_axi_basic_rx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_null_gen.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_top.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_thrtl_ctl.vdesign_1_xdma_0_0_pcie2_ip_core_top.vdesign_1_xdma_0_0_pcie2_ip_gt_common.vdesign_1_xdma_0_0_pcie2_ip_gt_rx_valid_filter_7x.vdesign_1_xdma_0_0_pcie2_ip_gt_top.vdesign_1_xdma_0_0_pcie2_ip_gt_wrapper.vdesign_1_xdma_0_0_pcie2_ip_gtp_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_gtx_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_pcie2_top.vdesign_1_xdma_0_0_pcie2_ip_pcie_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_top_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_brams_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_lane.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_misc.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_pipeline.vdesign_1_xdma_0_0_pcie2_ip_pcie_top.vdesign_1_xdma_0_0_pcie2_ip_pipe_clock.vdesign_1_xdma_0_0_pcie2_ip_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_pipe_eq.vdesign_1_xdma_0_0_pcie2_ip_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_pipe_sync.vdesign_1_xdma_0_0_pcie2_ip_pipe_user.vdesign_1_xdma_0_0_pcie2_ip_pipe_wrapper.vdesign_1_xdma_0_0_pcie2_ip_qpll_drp.vdesign_1_xdma_0_0_pcie2_ip_qpll_reset.vdesign_1_xdma_0_0_pcie2_ip_qpll_wrapper.vdesign_1_xdma_0_0_pcie2_ip_rxeq_scan.v
ip_1/sim
ip_2/sim
ip_3/sim
ip_4/sim
sim
xdma_v4_1/hdl/verilog
design_1_xdma_0_0_axi_stream_intf.svdesign_1_xdma_0_0_cfg_sideband.svdesign_1_xdma_0_0_core_top.svdesign_1_xdma_0_0_dma_bram_wrap.svdesign_1_xdma_0_0_dma_bram_wrap_1024.svdesign_1_xdma_0_0_dma_bram_wrap_2048.svdesign_1_xdma_0_0_dma_cpl.svdesign_1_xdma_0_0_dma_req.svdesign_1_xdma_0_0_pcie2_to_pcie3_wrapper.svdesign_1_xdma_0_0_rx_demux.svdesign_1_xdma_0_0_rx_destraddler.svdesign_1_xdma_0_0_tgt_cpl.svdesign_1_xdma_0_0_tgt_req.svdesign_1_xdma_0_0_tx_mux.sv
design_1_xlconstant_0_0/sim
design_1_xlconstant_0_2/sim
design_1_xlconstant_0_3/sim
sim
ip
clk_wiz_0
clk_wiz_0.vclk_wiz_0.veoclk_wiz_0_clk_wiz.vclk_wiz_0_sim_netlist.vclk_wiz_0_sim_netlist.vhdlclk_wiz_0_stub.vclk_wiz_0_stub.vhdl
fifo_generator_0
ipstatic
hdl
mmcm_pll_drp_func_7s_mmcm.vhmmcm_pll_drp_func_7s_pll.vhmmcm_pll_drp_func_us_mmcm.vhmmcm_pll_drp_func_us_pll.vhmmcm_pll_drp_func_us_plus_mmcm.vhmmcm_pll_drp_func_us_plus_pll.vhsimulation
mem_init_files
axi_clock_converter.haxi_crossbar.haxi_data_fifo.haxi_dwidth_converter.hbd_48ac_one_0.hdesign_1_auto_cc_0.hdesign_1_auto_cc_0_sc.hdesign_1_auto_us_df_0.hdesign_1_auto_us_df_0_sc.hdesign_1_auto_us_df_1.hdesign_1_auto_us_df_1_sc.hdesign_1_axi_clock_converter_0_0.hdesign_1_axi_clock_converter_0_0_sc.hdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_m00_data_fifo_0.hdesign_1_m00_data_fifo_0_sc.hdesign_1_smartconnect_0_0.hdesign_1_smartconnect_0_0_sc.hdesign_1_xbar_0.hdesign_1_xbar_0_sc.hdesign_1_xbar_1.hdesign_1_xbar_1_sc.hdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_3.hsc_xtlm_design_1_smartconnect_0_0.memsmartconnect.cxxsmartconnect.hsmartconnect_xtlm.cxxsmartconnect_xtlm.hsmartconnect_xtlm_impl.hsys_clk_gen_ps_v.txtxlconstant_v1_1_7.h
dso_top.srcs
constrs_1
sources_1
bd/design_1
design_1.bddesign_1.bxmldesign_1_ooc.xdc
hdl
hw_handoff
ip
design_1_axi_crossbar_0_0
design_1_axi_crossbar_0_0.dcpdesign_1_axi_crossbar_0_0.xcidesign_1_axi_crossbar_0_0.xmldesign_1_axi_crossbar_0_0_ooc.xdcdesign_1_axi_crossbar_0_0_sim_netlist.vdesign_1_axi_crossbar_0_0_sim_netlist.vhdldesign_1_axi_crossbar_0_0_stub.vdesign_1_axi_crossbar_0_0_stub.vhdl
sim
design_1_axi_crossbar_0_0.cppdesign_1_axi_crossbar_0_0.hdesign_1_axi_crossbar_0_0.vdesign_1_axi_crossbar_0_0_sc.cppdesign_1_axi_crossbar_0_0_sc.hdesign_1_axi_crossbar_0_0_stub.sv
src
synth
design_1_axi_crossbar_0_1
design_1_axi_crossbar_0_1.dcpdesign_1_axi_crossbar_0_1.xcidesign_1_axi_crossbar_0_1.xmldesign_1_axi_crossbar_0_1_ooc.xdcdesign_1_axi_crossbar_0_1_sim_netlist.vdesign_1_axi_crossbar_0_1_sim_netlist.vhdldesign_1_axi_crossbar_0_1_stub.vdesign_1_axi_crossbar_0_1_stub.vhdl
sim
design_1_axi_crossbar_0_1.cppdesign_1_axi_crossbar_0_1.hdesign_1_axi_crossbar_0_1.vdesign_1_axi_crossbar_0_1_sc.cppdesign_1_axi_crossbar_0_1_sc.hdesign_1_axi_crossbar_0_1_stub.sv
src
synth
design_1_axi_datamover_0_0
design_1_axi_datamover_0_0.dcpdesign_1_axi_datamover_0_0.xcidesign_1_axi_datamover_0_0.xdcdesign_1_axi_datamover_0_0.xmldesign_1_axi_datamover_0_0_clocks.xdcdesign_1_axi_datamover_0_0_ooc.xdcdesign_1_axi_datamover_0_0_sim_netlist.vdesign_1_axi_datamover_0_0_sim_netlist.vhdldesign_1_axi_datamover_0_0_stub.vdesign_1_axi_datamover_0_0_stub.vhdl
sim
synth
design_1_axi_dwidth_converter_0_0
design_1_axi_dwidth_converter_0_0.dcpdesign_1_axi_dwidth_converter_0_0.xcidesign_1_axi_dwidth_converter_0_0.xmldesign_1_axi_dwidth_converter_0_0_clocks.xdcdesign_1_axi_dwidth_converter_0_0_ooc.xdcdesign_1_axi_dwidth_converter_0_0_sim_netlist.vdesign_1_axi_dwidth_converter_0_0_sim_netlist.vhdldesign_1_axi_dwidth_converter_0_0_stub.vdesign_1_axi_dwidth_converter_0_0_stub.vhdl
sim
design_1_axi_dwidth_converter_0_0.cppdesign_1_axi_dwidth_converter_0_0.hdesign_1_axi_dwidth_converter_0_0.vdesign_1_axi_dwidth_converter_0_0_sc.cppdesign_1_axi_dwidth_converter_0_0_sc.hdesign_1_axi_dwidth_converter_0_0_stub.sv
src
synth
design_1_axi_fifo_mm_s_0_0
design_1_axi_fifo_mm_s_0_0.dcpdesign_1_axi_fifo_mm_s_0_0.xcidesign_1_axi_fifo_mm_s_0_0.xmldesign_1_axi_fifo_mm_s_0_0_ooc.xdcdesign_1_axi_fifo_mm_s_0_0_sim_netlist.vdesign_1_axi_fifo_mm_s_0_0_sim_netlist.vhdldesign_1_axi_fifo_mm_s_0_0_stub.vdesign_1_axi_fifo_mm_s_0_0_stub.vhdl
sim
synth
design_1_axi_gpio_0_1
design_1_axi_gpio_0_1.dcpdesign_1_axi_gpio_0_1.xcidesign_1_axi_gpio_0_1.xdcdesign_1_axi_gpio_0_1.xmldesign_1_axi_gpio_0_1_board.xdcdesign_1_axi_gpio_0_1_ooc.xdcdesign_1_axi_gpio_0_1_sim_netlist.vdesign_1_axi_gpio_0_1_sim_netlist.vhdldesign_1_axi_gpio_0_1_stub.vdesign_1_axi_gpio_0_1_stub.vhdl
sim
synth
design_1_clk_wiz_0_0
design_1_clk_wiz_0_0.dcpdesign_1_clk_wiz_0_0.vdesign_1_clk_wiz_0_0.xcidesign_1_clk_wiz_0_0.xdcdesign_1_clk_wiz_0_0.xmldesign_1_clk_wiz_0_0_board.xdcdesign_1_clk_wiz_0_0_clk_wiz.vdesign_1_clk_wiz_0_0_late.xdcdesign_1_clk_wiz_0_0_ooc.xdcdesign_1_clk_wiz_0_0_sim_netlist.vdesign_1_clk_wiz_0_0_sim_netlist.vhdldesign_1_clk_wiz_0_0_stub.vdesign_1_clk_wiz_0_0_stub.vhdl
design_1_mig_7series_0_1
design_1_mig_7series_0_1.dcpdesign_1_mig_7series_0_1.xcidesign_1_mig_7series_0_1.xmldesign_1_mig_7series_0_1_sim_netlist.vdesign_1_mig_7series_0_1_sim_netlist.vhdldesign_1_mig_7series_0_1_stub.vdesign_1_mig_7series_0_1_stub.vhdlmig_a.prjmig_b.prj
design_1_mig_7series_0_1/user_design
constraints
rtl
axi
mig_7series_v4_2_axi_ctrl_addr_decode.vmig_7series_v4_2_axi_ctrl_read.vmig_7series_v4_2_axi_ctrl_reg.vmig_7series_v4_2_axi_ctrl_reg_bank.vmig_7series_v4_2_axi_ctrl_top.vmig_7series_v4_2_axi_ctrl_write.vmig_7series_v4_2_axi_mc.vmig_7series_v4_2_axi_mc_ar_channel.vmig_7series_v4_2_axi_mc_aw_channel.vmig_7series_v4_2_axi_mc_b_channel.vmig_7series_v4_2_axi_mc_cmd_arbiter.vmig_7series_v4_2_axi_mc_cmd_fsm.vmig_7series_v4_2_axi_mc_cmd_translator.vmig_7series_v4_2_axi_mc_fifo.vmig_7series_v4_2_axi_mc_incr_cmd.vmig_7series_v4_2_axi_mc_r_channel.vmig_7series_v4_2_axi_mc_simple_fifo.vmig_7series_v4_2_axi_mc_w_channel.vmig_7series_v4_2_axi_mc_wr_cmd_fsm.vmig_7series_v4_2_axi_mc_wrap_cmd.vmig_7series_v4_2_ddr_a_upsizer.vmig_7series_v4_2_ddr_axi_register_slice.vmig_7series_v4_2_ddr_axi_upsizer.vmig_7series_v4_2_ddr_axic_register_slice.vmig_7series_v4_2_ddr_carry_and.vmig_7series_v4_2_ddr_carry_latch_and.vmig_7series_v4_2_ddr_carry_latch_or.vmig_7series_v4_2_ddr_carry_or.vmig_7series_v4_2_ddr_command_fifo.vmig_7series_v4_2_ddr_comparator.vmig_7series_v4_2_ddr_comparator_sel.vmig_7series_v4_2_ddr_comparator_sel_static.vmig_7series_v4_2_ddr_r_upsizer.vmig_7series_v4_2_ddr_w_upsizer.v
clocking
mig_7series_v4_2_clk_ibuf.vmig_7series_v4_2_infrastructure.vmig_7series_v4_2_iodelay_ctrl.vmig_7series_v4_2_tempmon.v
controller
mig_7series_v4_2_arb_mux.vmig_7series_v4_2_arb_row_col.vmig_7series_v4_2_arb_select.vmig_7series_v4_2_bank_cntrl.vmig_7series_v4_2_bank_common.vmig_7series_v4_2_bank_compare.vmig_7series_v4_2_bank_mach.vmig_7series_v4_2_bank_queue.vmig_7series_v4_2_bank_state.vmig_7series_v4_2_col_mach.vmig_7series_v4_2_mc.vmig_7series_v4_2_rank_cntrl.vmig_7series_v4_2_rank_common.vmig_7series_v4_2_rank_mach.vmig_7series_v4_2_round_robin_arb.v
design_1_mig_7series_0_1.vdesign_1_mig_7series_0_1_mig.vdesign_1_mig_7series_0_1_mig_sim.vecc
mig_7series_v4_2_ecc_buf.vmig_7series_v4_2_ecc_dec_fix.vmig_7series_v4_2_ecc_gen.vmig_7series_v4_2_ecc_merge_enc.vmig_7series_v4_2_fi_xor.v
ip_top
phy
mig_7series_v4_2_ddr_byte_group_io.vmig_7series_v4_2_ddr_byte_lane.vmig_7series_v4_2_ddr_calib_top.vmig_7series_v4_2_ddr_if_post_fifo.vmig_7series_v4_2_ddr_mc_phy.vmig_7series_v4_2_ddr_mc_phy_wrapper.vmig_7series_v4_2_ddr_of_pre_fifo.vmig_7series_v4_2_ddr_phy_4lanes.vmig_7series_v4_2_ddr_phy_ck_addr_cmd_delay.vmig_7series_v4_2_ddr_phy_dqs_found_cal.vmig_7series_v4_2_ddr_phy_dqs_found_cal_hr.vmig_7series_v4_2_ddr_phy_init.vmig_7series_v4_2_ddr_phy_ocd_cntlr.vmig_7series_v4_2_ddr_phy_ocd_data.vmig_7series_v4_2_ddr_phy_ocd_edge.vmig_7series_v4_2_ddr_phy_ocd_lim.vmig_7series_v4_2_ddr_phy_ocd_mux.vmig_7series_v4_2_ddr_phy_ocd_po_cntlr.vmig_7series_v4_2_ddr_phy_ocd_samp.vmig_7series_v4_2_ddr_phy_oclkdelay_cal.vmig_7series_v4_2_ddr_phy_prbs_rdlvl.vmig_7series_v4_2_ddr_phy_rdlvl.vmig_7series_v4_2_ddr_phy_tempmon.vmig_7series_v4_2_ddr_phy_top.vmig_7series_v4_2_ddr_phy_wrcal.vmig_7series_v4_2_ddr_phy_wrlvl.vmig_7series_v4_2_ddr_phy_wrlvl_off_delay.vmig_7series_v4_2_ddr_prbs_gen.vmig_7series_v4_2_ddr_skip_calib_tap.vmig_7series_v4_2_poc_cc.vmig_7series_v4_2_poc_edge_store.vmig_7series_v4_2_poc_meta.vmig_7series_v4_2_poc_pd.vmig_7series_v4_2_poc_tap_base.vmig_7series_v4_2_poc_top.v
ui
design_1_util_ds_buf_0_0
design_1_util_ds_buf_0_0.dcpdesign_1_util_ds_buf_0_0.xcidesign_1_util_ds_buf_0_0.xmldesign_1_util_ds_buf_0_0_board.xdcdesign_1_util_ds_buf_0_0_ooc.xdcdesign_1_util_ds_buf_0_0_sim_netlist.vdesign_1_util_ds_buf_0_0_sim_netlist.vhdldesign_1_util_ds_buf_0_0_stub.vdesign_1_util_ds_buf_0_0_stub.vhdl
sim
synth
util_ds_buf.vhddesign_1_util_ds_buf_0_1
design_1_util_ds_buf_0_1.dcpdesign_1_util_ds_buf_0_1.xcidesign_1_util_ds_buf_0_1.xmldesign_1_util_ds_buf_0_1_board.xdcdesign_1_util_ds_buf_0_1_ooc.xdcdesign_1_util_ds_buf_0_1_sim_netlist.vdesign_1_util_ds_buf_0_1_sim_netlist.vhdldesign_1_util_ds_buf_0_1_stub.vdesign_1_util_ds_buf_0_1_stub.vhdl
sim
synth
util_ds_buf.vhddesign_1_xdma_0_0
design_1_xdma_0_0.dcpdesign_1_xdma_0_0.xcidesign_1_xdma_0_0.xmldesign_1_xdma_0_0_board.xdcdesign_1_xdma_0_0_sim_netlist.vdesign_1_xdma_0_0_sim_netlist.vhdldesign_1_xdma_0_0_stub.vdesign_1_xdma_0_0_stub.vhdl
ip_0
design_1_xdma_0_0_pcie2_ip.xcidesign_1_xdma_0_0_pcie2_ip.xml
sim
source
design_1_xdma_0_0_pcie2_ip-PCIE_X0Y0.xdcdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_null_gen.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_rx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_top.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_pipeline.vdesign_1_xdma_0_0_pcie2_ip_axi_basic_tx_thrtl_ctl.vdesign_1_xdma_0_0_pcie2_ip_core_top.vdesign_1_xdma_0_0_pcie2_ip_gt_common.vdesign_1_xdma_0_0_pcie2_ip_gt_rx_valid_filter_7x.vdesign_1_xdma_0_0_pcie2_ip_gt_top.vdesign_1_xdma_0_0_pcie2_ip_gt_wrapper.vdesign_1_xdma_0_0_pcie2_ip_gtp_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_gtp_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_gtx_cpllpd_ovrd.vdesign_1_xdma_0_0_pcie2_ip_pcie2_top.vdesign_1_xdma_0_0_pcie2_ip_pcie_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_bram_top_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_brams_7x.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_lane.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_misc.vdesign_1_xdma_0_0_pcie2_ip_pcie_pipe_pipeline.vdesign_1_xdma_0_0_pcie2_ip_pcie_top.vdesign_1_xdma_0_0_pcie2_ip_pipe_clock.vdesign_1_xdma_0_0_pcie2_ip_pipe_drp.vdesign_1_xdma_0_0_pcie2_ip_pipe_eq.vdesign_1_xdma_0_0_pcie2_ip_pipe_rate.vdesign_1_xdma_0_0_pcie2_ip_pipe_reset.vdesign_1_xdma_0_0_pcie2_ip_pipe_sync.vdesign_1_xdma_0_0_pcie2_ip_pipe_user.vdesign_1_xdma_0_0_pcie2_ip_pipe_wrapper.vdesign_1_xdma_0_0_pcie2_ip_qpll_drp.vdesign_1_xdma_0_0_pcie2_ip_qpll_reset.vdesign_1_xdma_0_0_pcie2_ip_qpll_wrapper.vdesign_1_xdma_0_0_pcie2_ip_rxeq_scan.v
synth
sys_clk_gen_ps_v.txtip_1
sim
synth
xdma_v4_1_6_blk_mem_64_reg_be.xcixdma_v4_1_6_blk_mem_64_reg_be.xmlxdma_v4_1_6_blk_mem_64_reg_be_ooc.xdcip_2
sim
synth
xdma_v4_1_6_blk_mem_64_noreg_be.xcixdma_v4_1_6_blk_mem_64_noreg_be.xmlxdma_v4_1_6_blk_mem_64_noreg_be_ooc.xdcip_3
pcie2_fifo_generator_dma_cpl.xcipcie2_fifo_generator_dma_cpl.xdcpcie2_fifo_generator_dma_cpl.xml
sim
synth
ip_4
pcie2_fifo_generator_tgt_brdg.xcipcie2_fifo_generator_tgt_brdg.xdcpcie2_fifo_generator_tgt_brdg.xml
sim
synth
sim
source
synth
xdma_v4_1/hdl/verilog
design_1_xdma_0_0_axi_stream_intf.svdesign_1_xdma_0_0_cfg_sideband.svdesign_1_xdma_0_0_core_top.svdesign_1_xdma_0_0_dma_bram_wrap.svdesign_1_xdma_0_0_dma_bram_wrap_1024.svdesign_1_xdma_0_0_dma_bram_wrap_2048.svdesign_1_xdma_0_0_dma_cpl.svdesign_1_xdma_0_0_dma_req.svdesign_1_xdma_0_0_pcie2_to_pcie3_wrapper.svdesign_1_xdma_0_0_rx_demux.svdesign_1_xdma_0_0_rx_destraddler.svdesign_1_xdma_0_0_tgt_cpl.svdesign_1_xdma_0_0_tgt_req.svdesign_1_xdma_0_0_tx_mux.sv
design_1_xlconstant_0_0
design_1_xlconstant_0_0.xcidesign_1_xlconstant_0_0.xml
sim
design_1_xlconstant_0_0.cppdesign_1_xlconstant_0_0.hdesign_1_xlconstant_0_0.vdesign_1_xlconstant_0_0_stub.svxlconstant_v1_1_7.h
synth
design_1_xlconstant_0_2
design_1_xlconstant_0_2.xcidesign_1_xlconstant_0_2.xml
sim
design_1_xlconstant_0_2.cppdesign_1_xlconstant_0_2.hdesign_1_xlconstant_0_2.vdesign_1_xlconstant_0_2_stub.svxlconstant_v1_1_7.h
synth
design_1_xlconstant_0_3
ipshared
0513/hdl
07be/hdl
2751/hdl
276e
hdl
simulation
2985
2ef9/hdl
47c9/hdl
51ce/hdl
5bfc/hdl
66ea/hdl
7589/hdl
8b3d
mmcm_pll_drp_func_7s_mmcm.vhmmcm_pll_drp_func_7s_pll.vhmmcm_pll_drp_func_us_mmcm.vhmmcm_pll_drp_func_us_pll.vhmmcm_pll_drp_func_us_plus_mmcm.vhmmcm_pll_drp_func_us_plus_pll.vh
8dfa/hdl
a040/hdl
a5cb/hdl
af86/hdl
b68e/hdl
b752/hdl
b8f8/hdl
verilog
axi_infrastructure_header.vhaxidma_fifo.vhdma_defines.svhdma_defines.vhdma_pcie_axis_cc_if.svhdma_pcie_axis_cq_if.svhdma_pcie_axis_rc_if.svhdma_pcie_axis_rq_if.svhdma_pcie_c2h_crdt_if.svhdma_pcie_dsc_in_if.svhdma_pcie_dsc_out_if.svhdma_pcie_fabric_input_if.svhdma_pcie_fabric_output_if.svhdma_pcie_gic_if.svhdma_pcie_h2c_crdt_if.svhdma_pcie_mi_16Bx2048_4Bwe_ram_if.svhdma_pcie_mi_2Bx2048_ram_if.svhdma_pcie_mi_4Bx2048_4Bwe_ram_if.svhdma_pcie_mi_64Bx1024_32Bwe_ram_if.svhdma_pcie_mi_64Bx128_32Bwe_ram_if.svhdma_pcie_mi_64Bx2048_32Bwe_ram_if.svhdma_pcie_mi_64Bx256_32Bwe_ram_if.svhdma_pcie_mi_64Bx512_32Bwe_ram_if.svhdma_pcie_mi_8Bx2048_4Bwe_ram_if.svhdma_pcie_mi_dsc_cpld_if.svhdma_pcie_mi_dsc_cpli_if.svhdma_pcie_misc_input_if.svhdma_pcie_misc_output_if.svhdma_soft_defines.vhpcie_dma_attr_defines.svhpciedmacoredefines.vhxdma_axi4mm_axi_bridge.vh
xdma_v4_1_vl_rfs.svbb35/hdl
e6d5
hdl
simulation
ec67/hdl
ef1e/hdl
fcfc/hdl
sim
synth
ui
imports
ip
.Xil
clk_wiz_0.xcixfifo_generator_0
new
@ -1,75 +0,0 @@
|
||||
version:1
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f68646c5f6e65746c6973745f626c6f636b5f64657369676e:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:39:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:3238:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f796573:3133:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626f61726463686f6f7365725f626f6172645f7461626c65:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626f6172646761646765745f75726c:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:3135:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e6669726d736176657465787465646974736469616c6f675f796573:32:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6372656174655f66696c65:32:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:637265617465636f6e73747261696e747366696c6570616e656c5f66696c655f6e616d65:32:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:3234:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696e64616e647265706c616365616c6c6469616c6f675f66696e64:34:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696e64616e647265706c616365616c6c6469616c6f675f6f70656e5f696e5f6e65775f746162:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696e64616e647265706c616365616c6c6469616c6f675f7365617263685f656e61626c65645f64657369676e5f736f757263655f66696c6573:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696e64696e66696c6573766965775f63616e63656c:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696e64696e66696c6573766965775f7265706c6163655f616c6c5f6f6363757272656e6365735f696e5f616c6c5f66696c6573:34:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696e64696e66696c6573766965775f726f6f74:33:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:3339:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68696e70757468616e646c65725f746f67676c655f6c696e655f636f6d6d656e7473:37:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68617264776172657472656570616e656c5f68617264776172655f747265655f7461626c65:3334:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f636865636b706f696e74:3131:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f64657369676e5f68756273:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f65646974:3338:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6578706f7274:34:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f66696c65:3330:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f666c6f77:3136:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f68656c70:36:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f696d706f7274:35:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6970:3131:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f6f70656e:32:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f70726f6a656374:3134:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f7265706f727473:3130:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f73657474696e6773:33:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f746578745f656469746f72:3130:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f746f6f6c73:3130:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f76696577:3132:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f77696e646f77:3132:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e77696e6d656e756d67725f6c61796f7574:3134:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f646973636172645f757365725f637265617465645f6d65737361676573:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f7365766572697479:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f766965775f74726565:35:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e657770726f6a65637477697a6172645f646f5f6e6f745f737065636966795f736f75726365735f61745f746869735f74696d65:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e756d6a6f627363686f6f7365725f6e756d6265725f6f665f6a6f6273:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f636f6e6669675f6d656d6f7279:32:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:33:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f636f6e6e6563745f746172676574:3236:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:33:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f62697473747265616d5f73657474696e6773:32:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f636c6f73655f736572766572:32:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f676f746f5f70726f6a6563745f6d616e61676572:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6f70656e5f68617264776172655f6d616e61676572:33:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6f70656e5f7461726765745f77697a617264:32:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f70726f6772616d5f66706761:39:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f726566726573685f736572766572:34:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f726566726573685f746172676574:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7265706c6163655f696e5f66696c6573:34:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f62697467656e:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e:33:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f636f6465:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:37:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d64656275677461625f6f70656e5f746172676574:3231:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f70726f6772616d:39:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f63616e63656c:35:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f70726f6a6563745f6e616d65:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f637573746f6d5f636f6d6d616e6473:33:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7361766570726f6a6563747574696c735f73617665:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f686965726172636879:32:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:33:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74617267657463686f6f73657270616e656c5f6164645f78696c696e785f7669727475616c5f6361626c655f61735f6861726477617265:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:746f756368706f696e747375727665796469616c6f675f6e6f:31:00:00
|
||||
eof:1362908104
|
@ -1,21 +0,0 @@
|
||||
version:1
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:33:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6175746f636f6e6e656374746172676574:3235:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636c6f7365736572766572:32:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:65786974617070:34:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e63686f70656e746172676574:32:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e636870726f6772616d66706761:39:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e68617264776172656d616e61676572:3132:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e726563656e74746172676574:36:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:70726f6772616d646576696365:32:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72656672657368736572766572:34:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72656672657368746172676574:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:3136:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:31:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:32:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:32:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f6f6c7373657474696e6773:32:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b70726f6a6563746d616e61676572:35:00:00
|
||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:75692e76696577732e632e682e65:34:00:00
|
||||
eof:3898447374
|
@ -1,4 +0,0 @@
|
||||
version:1
|
||||
57656254616c6b5472616e736d697373696f6e417474656d70746564:11
|
||||
6d6f64655f636f756e7465727c4755494d6f6465:4
|
||||
eof:
|
@ -1,42 +0,0 @@
|
||||
version:1
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761313030746667673438342d32:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:626c696e6b:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c696e74:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6f73:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
|
||||
73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a323373:00:00
|
||||
73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313030322e3037384d42:00:00
|
||||
73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:302e3030304d42:00:00
|
||||
eof:868902999
|
@ -1,3 +0,0 @@
|
||||
version:1
|
||||
73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00
|
||||
eof:2511430288
|
@ -1,122 +0,0 @@
|
||||
<?xml version="1.0" encoding="UTF-8" ?>
|
||||
<document>
|
||||
<!--The data in this file is primarily intended for consumption by Xilinx tools.
|
||||
The structure and the elements are likely to change over the next few releases.
|
||||
This means code written to parse this file will need to be revisited each subsequent release.-->
|
||||
<application name="pa" timeStamp="Mon Feb 8 16:26:48 2021">
|
||||
<section name="Project Information" visible="false">
|
||||
<property name="ProjectID" value="a275d1bbd2f64b0daa654a595c43d6d4" type="ProjectID"/>
|
||||
<property name="ProjectIteration" value="15" type="ProjectIteration"/>
|
||||
</section>
|
||||
<section name="PlanAhead Usage" visible="true">
|
||||
<item name="Project Data">
|
||||
<property name="SrcSetCount" value="1" type="SrcSetCount"/>
|
||||
<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/>
|
||||
<property name="DesignMode" value="RTL" type="DesignMode"/>
|
||||
<property name="SynthesisStrategy" value="Vivado Synthesis Defaults" type="SynthesisStrategy"/>
|
||||
<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/>
|
||||
</item>
|
||||
<item name="Java Command Handlers">
|
||||
<property name="AddSources" value="3" type="JavaHandler"/>
|
||||
<property name="AutoConnectTarget" value="25" type="JavaHandler"/>
|
||||
<property name="CloseServer" value="2" type="JavaHandler"/>
|
||||
<property name="ExitApp" value="4" type="JavaHandler"/>
|
||||
<property name="LaunchOpenTarget" value="2" type="JavaHandler"/>
|
||||
<property name="LaunchProgramFpga" value="9" type="JavaHandler"/>
|
||||
<property name="NewProject" value="1" type="JavaHandler"/>
|
||||
<property name="OpenHardwareManager" value="12" type="JavaHandler"/>
|
||||
<property name="OpenRecentTarget" value="6" type="JavaHandler"/>
|
||||
<property name="ProgramDevice" value="2" type="JavaHandler"/>
|
||||
<property name="RefreshServer" value="4" type="JavaHandler"/>
|
||||
<property name="RefreshTarget" value="1" type="JavaHandler"/>
|
||||
<property name="RunBitgen" value="16" type="JavaHandler"/>
|
||||
<property name="RunImplementation" value="1" type="JavaHandler"/>
|
||||
<property name="RunSynthesis" value="2" type="JavaHandler"/>
|
||||
<property name="ShowView" value="2" type="JavaHandler"/>
|
||||
<property name="ToolsSettings" value="2" type="JavaHandler"/>
|
||||
<property name="ViewTaskProjectManager" value="5" type="JavaHandler"/>
|
||||
<property name="ui.views.c.h.e" value="4" type="JavaHandler"/>
|
||||
</item>
|
||||
<item name="Gui Handlers">
|
||||
<property name="AddSrcWizard_SPECIFY_HDL_NETLIST_BLOCK_DESIGN" value="1" type="GuiHandlerData"/>
|
||||
<property name="BaseDialog_CANCEL" value="9" type="GuiHandlerData"/>
|
||||
<property name="BaseDialog_OK" value="28" type="GuiHandlerData"/>
|
||||
<property name="BaseDialog_YES" value="13" type="GuiHandlerData"/>
|
||||
<property name="BoardChooser_BOARD_TABLE" value="1" type="GuiHandlerData"/>
|
||||
<property name="BoardGadget_URL" value="1" type="GuiHandlerData"/>
|
||||
<property name="CmdMsgDialog_OK" value="15" type="GuiHandlerData"/>
|
||||
<property name="ConfirmSaveTextEditsDialog_YES" value="2" type="GuiHandlerData"/>
|
||||
<property name="ConstraintsChooserPanel_CREATE_FILE" value="2" type="GuiHandlerData"/>
|
||||
<property name="CreateConstraintsFilePanel_FILE_NAME" value="2" type="GuiHandlerData"/>
|
||||
<property name="CreateSrcFileDialog_FILE_NAME" value="1" type="GuiHandlerData"/>
|
||||
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="24" type="GuiHandlerData"/>
|
||||
<property name="FindAndReplaceAllDialog_FIND" value="4" type="GuiHandlerData"/>
|
||||
<property name="FindAndReplaceAllDialog_OPEN_IN_NEW_TAB" value="1" type="GuiHandlerData"/>
|
||||
<property name="FindAndReplaceAllDialog_SEARCH_ENABLED_DESIGN_SOURCE_FILES" value="1" type="GuiHandlerData"/>
|
||||
<property name="FindInFilesView_CANCEL" value="1" type="GuiHandlerData"/>
|
||||
<property name="FindInFilesView_REPLACE_ALL_OCCURRENCES_IN_ALL_FILES" value="4" type="GuiHandlerData"/>
|
||||
<property name="FindInFilesView_ROOT" value="3" type="GuiHandlerData"/>
|
||||
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="39" type="GuiHandlerData"/>
|
||||
<property name="GettingStartedView_CREATE_NEW_PROJECT" value="1" type="GuiHandlerData"/>
|
||||
<property name="HInputHandler_TOGGLE_LINE_COMMENTS" value="7" type="GuiHandlerData"/>
|
||||
<property name="HardwareTreePanel_HARDWARE_TREE_TABLE" value="34" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_CHECKPOINT" value="11" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_DESIGN_HUBS" value="1" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_EDIT" value="38" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_EXPORT" value="4" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_FILE" value="30" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_FLOW" value="16" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_HELP" value="6" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_IMPORT" value="5" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_IP" value="11" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_OPEN" value="2" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_PROJECT" value="14" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_REPORTS" value="10" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_SETTINGS" value="3" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_TEXT_EDITOR" value="10" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_TOOLS" value="10" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_VIEW" value="12" type="GuiHandlerData"/>
|
||||
<property name="MainMenuMgr_WINDOW" value="12" type="GuiHandlerData"/>
|
||||
<property name="MainWinMenuMgr_LAYOUT" value="14" type="GuiHandlerData"/>
|
||||
<property name="MsgTreePanel_DISCARD_USER_CREATED_MESSAGES" value="1" type="GuiHandlerData"/>
|
||||
<property name="MsgTreePanel_MESSAGE_SEVERITY" value="1" type="GuiHandlerData"/>
|
||||
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="5" type="GuiHandlerData"/>
|
||||
<property name="NewProjectWizard_DO_NOT_SPECIFY_SOURCES_AT_THIS_TIME" value="1" type="GuiHandlerData"/>
|
||||
<property name="NumJobsChooser_NUMBER_OF_JOBS" value="1" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_ADD_CONFIG_MEMORY" value="2" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_ADD_SOURCES" value="3" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_AUTO_CONNECT_TARGET" value="26" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_AUTO_UPDATE_HIER" value="3" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_BITSTREAM_SETTINGS" value="2" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_CLOSE_SERVER" value="2" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_GOTO_PROJECT_MANAGER" value="1" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_OPEN_HARDWARE_MANAGER" value="3" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_OPEN_TARGET_WIZARD" value="2" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_PROGRAM_FPGA" value="9" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_REFRESH_SERVER" value="4" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_REFRESH_TARGET" value="1" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_REPLACE_IN_FILES" value="4" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_RUN_BITGEN" value="1" type="GuiHandlerData"/>
|
||||
<property name="PACommandNames_SIMULATION_RUN" value="3" type="GuiHandlerData"/>
|
||||
<property name="PAViews_CODE" value="1" type="GuiHandlerData"/>
|
||||
<property name="PAViews_PROJECT_SUMMARY" value="7" type="GuiHandlerData"/>
|
||||
<property name="ProgramDebugTab_OPEN_TARGET" value="21" type="GuiHandlerData"/>
|
||||
<property name="ProgramFpgaDialog_PROGRAM" value="9" type="GuiHandlerData"/>
|
||||
<property name="ProgressDialog_CANCEL" value="5" type="GuiHandlerData"/>
|
||||
<property name="ProjectNameChooser_PROJECT_NAME" value="1" type="GuiHandlerData"/>
|
||||
<property name="RDICommands_CUSTOM_COMMANDS" value="3" type="GuiHandlerData"/>
|
||||
<property name="SaveProjectUtils_SAVE" value="1" type="GuiHandlerData"/>
|
||||
<property name="SrcChooserPanel_CREATE_FILE" value="1" type="GuiHandlerData"/>
|
||||
<property name="SrcMenu_IP_HIERARCHY" value="2" type="GuiHandlerData"/>
|
||||
<property name="SyntheticaGettingStartedView_RECENT_PROJECTS" value="3" type="GuiHandlerData"/>
|
||||
<property name="TargetChooserPanel_ADD_XILINX_VIRTUAL_CABLE_AS_HARDWARE" value="1" type="GuiHandlerData"/>
|
||||
<property name="TouchpointSurveyDialog_NO" value="1" type="GuiHandlerData"/>
|
||||
</item>
|
||||
<item name="Other">
|
||||
<property name="GuiMode" value="4" type="GuiMode"/>
|
||||
<property name="BatchMode" value="0" type="BatchMode"/>
|
||||
<property name="TclMode" value="3" type="TclMode"/>
|
||||
</item>
|
||||
</section>
|
||||
</application>
|
||||
</document>
|
@ -1,8 +0,0 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<!-- Product Version: Vivado v2020.2 (64-bit) -->
|
||||
<!-- -->
|
||||
<!-- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -->
|
||||
|
||||
<labtools version="1" minor="0">
|
||||
<HWSession Dir="hw_1" File="hw.xml"/>
|
||||
</labtools>
|
@ -1,17 +0,0 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<!-- Product Version: Vivado v2020.2 (64-bit) -->
|
||||
<!-- -->
|
||||
<!-- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved. -->
|
||||
|
||||
<hwsession version="1" minor="2">
|
||||
<device name="xc7a100t_0" gui_info=""/>
|
||||
<ObjectList object_type="hw_device" gui_info="">
|
||||
<Object name="xc7a100t_0" gui_info="">
|
||||
<Properties Property="FULL_PROBES.FILE" value=""/>
|
||||
<Properties Property="PROBES.FILE" value=""/>
|
||||
<Properties Property="PROGRAM.HW_BITSTREAM" value="$_project_name_.runs/impl_1/blink.bit"/>
|
||||
<Properties Property="SLR.COUNT" value="1"/>
|
||||
</Object>
|
||||
</ObjectList>
|
||||
<probeset name="hw project" active="false"/>
|
||||
</hwsession>
|
@ -1,9 +0,0 @@
|
||||
<?xml version="1.0"?>
|
||||
<Runs Version="1" Minor="0">
|
||||
<Run Id="synth_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
|
||||
<Parameters>
|
||||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
|
||||
</Parameters>
|
||||
</Runs>
|
||||
|
@ -1,12 +0,0 @@
|
||||
<?xml version="1.0"?>
|
||||
<Runs Version="1" Minor="0">
|
||||
<Run Id="synth_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
|
||||
<Run Id="impl_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
|
||||
<Parent Id="synth_1"/>
|
||||
</Run>
|
||||
<Parameters>
|
||||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
|
||||
</Parameters>
|
||||
</Runs>
|
||||
|
@ -1,12 +0,0 @@
|
||||
<?xml version="1.0"?>
|
||||
<Runs Version="1" Minor="0">
|
||||
<Run Id="synth_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
|
||||
<Run Id="impl_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
|
||||
<Parent Id="synth_1"/>
|
||||
</Run>
|
||||
<Parameters>
|
||||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
|
||||
</Parameters>
|
||||
</Runs>
|
||||
|
@ -1,12 +0,0 @@
|
||||
<?xml version="1.0"?>
|
||||
<Runs Version="1" Minor="0">
|
||||
<Run Id="synth_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
|
||||
<Run Id="impl_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
|
||||
<Parent Id="synth_1"/>
|
||||
</Run>
|
||||
<Parameters>
|
||||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
|
||||
</Parameters>
|
||||
</Runs>
|
||||
|
@ -1,12 +0,0 @@
|
||||
<?xml version="1.0"?>
|
||||
<Runs Version="1" Minor="0">
|
||||
<Run Id="synth_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
|
||||
<Run Id="impl_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
|
||||
<Parent Id="synth_1"/>
|
||||
</Run>
|
||||
<Parameters>
|
||||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
|
||||
</Parameters>
|
||||
</Runs>
|
||||
|
@ -1,12 +0,0 @@
|
||||
<?xml version="1.0"?>
|
||||
<Runs Version="1" Minor="0">
|
||||
<Run Id="synth_1" LaunchDir="C:/Users/Aleksa/Documents/EEVengers/Firmware/Artix7_PCIe/Blink/Blink.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
|
||||
<Run Id="impl_1" LaunchDir="C:/Users/Aleksa/Documents/EEVengers/Firmware/Artix7_PCIe/Blink/Blink.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
|
||||
<Parent Id="synth_1"/>
|
||||
</Run>
|
||||
<Parameters>
|
||||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
|
||||
</Parameters>
|
||||
</Runs>
|
||||
|
@ -1,12 +0,0 @@
|
||||
<?xml version="1.0"?>
|
||||
<Runs Version="1" Minor="0">
|
||||
<Run Id="synth_1" LaunchDir="C:/Users/Aleksa/Documents/EEVengers/Firmware/Artix7_PCIe/Blink/Blink.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
|
||||
<Run Id="impl_1" LaunchDir="C:/Users/Aleksa/Documents/EEVengers/Firmware/Artix7_PCIe/Blink/Blink.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
|
||||
<Parent Id="synth_1"/>
|
||||
</Run>
|
||||
<Parameters>
|
||||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
|
||||
</Parameters>
|
||||
</Runs>
|
||||
|
@ -1,9 +0,0 @@
|
||||
<?xml version="1.0"?>
|
||||
<Runs Version="1" Minor="0">
|
||||
<Run Id="impl_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="route_design"/>
|
||||
<Parameters>
|
||||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
|
||||
</Parameters>
|
||||
</Runs>
|
||||
|
@ -1,9 +0,0 @@
|
||||
<?xml version="1.0"?>
|
||||
<Runs Version="1" Minor="0">
|
||||
<Run Id="impl_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="write_bitstream" ToStepId="write_bitstream"/>
|
||||
<Parameters>
|
||||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
|
||||
</Parameters>
|
||||
</Runs>
|
||||
|
@ -1,12 +0,0 @@
|
||||
<?xml version="1.0"?>
|
||||
<Runs Version="1" Minor="0">
|
||||
<Run Id="synth_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
|
||||
<Run Id="impl_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
|
||||
<Parent Id="synth_1"/>
|
||||
</Run>
|
||||
<Parameters>
|
||||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
|
||||
</Parameters>
|
||||
</Runs>
|
||||
|
@ -1,12 +0,0 @@
|
||||
<?xml version="1.0"?>
|
||||
<Runs Version="1" Minor="0">
|
||||
<Run Id="synth_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
|
||||
<Run Id="impl_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
|
||||
<Parent Id="synth_1"/>
|
||||
</Run>
|
||||
<Parameters>
|
||||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
|
||||
</Parameters>
|
||||
</Runs>
|
||||
|
@ -1,12 +0,0 @@
|
||||
<?xml version="1.0"?>
|
||||
<Runs Version="1" Minor="0">
|
||||
<Run Id="synth_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
|
||||
<Run Id="impl_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
|
||||
<Parent Id="synth_1"/>
|
||||
</Run>
|
||||
<Parameters>
|
||||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
|
||||
</Parameters>
|
||||
</Runs>
|
||||
|
@ -1,12 +0,0 @@
|
||||
<?xml version="1.0"?>
|
||||
<Runs Version="1" Minor="0">
|
||||
<Run Id="synth_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
|
||||
<Run Id="impl_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
|
||||
<Parent Id="synth_1"/>
|
||||
</Run>
|
||||
<Parameters>
|
||||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
|
||||
</Parameters>
|
||||
</Runs>
|
||||
|
@ -1,12 +0,0 @@
|
||||
<?xml version="1.0"?>
|
||||
<Runs Version="1" Minor="0">
|
||||
<Run Id="synth_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
|
||||
<Run Id="impl_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
|
||||
<Parent Id="synth_1"/>
|
||||
</Run>
|
||||
<Parameters>
|
||||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
|
||||
</Parameters>
|
||||
</Runs>
|
||||
|
@ -1,12 +0,0 @@
|
||||
<?xml version="1.0"?>
|
||||
<Runs Version="1" Minor="0">
|
||||
<Run Id="synth_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
|
||||
<Run Id="impl_1" LaunchDir="C:/Users/Aleksa/Documents/FPGA_Dev/Blink/Blink.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
|
||||
<Parent Id="synth_1"/>
|
||||
</Run>
|
||||
<Parameters>
|
||||
<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
|
||||
<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
|
||||
</Parameters>
|
||||
</Runs>
|
||||
|
@ -1,5 +0,0 @@
|
||||
<?xml version="1.0"?>
|
||||
<ProcessHandle Version="1" Minor="0">
|
||||
<Process Command=".planAhead." Owner="Aleksa" Host="DESKTOP-J72MK93" Pid="56240">
|
||||
</Process>
|
||||
</ProcessHandle>
|
Some files were not shown because too many files have changed in this diff Show More
Loading…
Reference in New Issue
Block a user