glider/pcb/mainboard_lite/fpga.kicad_sch

3514 lines
150 KiB
Plaintext

(kicad_sch (version 20230121) (generator eeschema)
(uuid 864d0bcb-36aa-4be3-a032-a3f5c762e258)
(paper "A4")
(title_block
(title "Caster EPDC")
(date "2022-07-03")
(rev "R0.4")
(company "Copyright 2022 Modos / Engineer: Wenting Zhang")
)
(lib_symbols
(symbol "Connector_Generic:Conn_02x05_Odd_Even" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "J" (at 1.27 7.62 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_02x05_Odd_Even" (at 1.27 -7.62 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "connector" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Connector*:*_2x??_*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Conn_02x05_Odd_Even_1_1"
(rectangle (start -1.27 -4.953) (end 0 -5.207)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 -2.413) (end 0 -2.667)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 0.127) (end 0 -0.127)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 2.667) (end 0 2.413)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 5.207) (end 0 4.953)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 6.35) (end 3.81 -6.35)
(stroke (width 0.254) (type default))
(fill (type background))
)
(rectangle (start 3.81 -4.953) (end 2.54 -5.207)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 3.81 -2.413) (end 2.54 -2.667)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 3.81 0.127) (end 2.54 -0.127)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 3.81 2.667) (end 2.54 2.413)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start 3.81 5.207) (end 2.54 4.953)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(pin passive line (at -5.08 5.08 0) (length 3.81)
(name "Pin_1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -5.08 180) (length 3.81)
(name "Pin_10" (effects (font (size 1.27 1.27))))
(number "10" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 5.08 180) (length 3.81)
(name "Pin_2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 2.54 0) (length 3.81)
(name "Pin_3" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 2.54 180) (length 3.81)
(name "Pin_4" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 0 0) (length 3.81)
(name "Pin_5" (effects (font (size 1.27 1.27))))
(number "5" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 0 180) (length 3.81)
(name "Pin_6" (effects (font (size 1.27 1.27))))
(number "6" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -2.54 0) (length 3.81)
(name "Pin_7" (effects (font (size 1.27 1.27))))
(number "7" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 7.62 -2.54 180) (length 3.81)
(name "Pin_8" (effects (font (size 1.27 1.27))))
(number "8" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -5.08 0) (length 3.81)
(name "Pin_9" (effects (font (size 1.27 1.27))))
(number "9" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:C" (pin_numbers hide) (pin_names (offset 0.254)) (in_bom yes) (on_board yes)
(property "Reference" "C" (at 0.635 2.54 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "C" (at 0.635 -2.54 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "" (at 0.9652 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "cap capacitor" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Unpolarized capacitor" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "C_*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "C_0_1"
(polyline
(pts
(xy -2.032 -0.762)
(xy 2.032 -0.762)
)
(stroke (width 0.508) (type default))
(fill (type none))
)
(polyline
(pts
(xy -2.032 0.762)
(xy 2.032 0.762)
)
(stroke (width 0.508) (type default))
(fill (type none))
)
)
(symbol "C_1_1"
(pin passive line (at 0 3.81 270) (length 2.794)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -3.81 90) (length 2.794)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:R" (pin_numbers hide) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "R" (at 2.032 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "R" (at 0 0 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at -1.778 0 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "R res resistor" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Resistor" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "R_*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "R_0_1"
(rectangle (start -1.016 -2.54) (end 1.016 2.54)
(stroke (width 0.254) (type default))
(fill (type none))
)
)
(symbol "R_1_1"
(pin passive line (at 0 3.81 270) (length 1.27)
(name "~" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at 0 -3.81 90) (length 1.27)
(name "~" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "FPGA_Xilinx_Spartan6:XC6SLX16-FTG256" (pin_names (offset 1.016)) (in_bom yes) (on_board yes)
(property "Reference" "U" (at 0 1.27 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "XC6SLX16-FTG256" (at 0 -1.27 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)))
)
(property "ki_locked" "" (at 0 0 0)
(effects (font (size 1.27 1.27)))
)
(property "ki_keywords" "FPGA" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Spartan 6 LX 16 XC6SLX16-FTG256" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "XC6SLX16-FTG256_1_1"
(rectangle (start -44.45 72.39) (end 44.45 -78.74)
(stroke (width 0.254) (type default))
(fill (type background))
)
(pin bidirectional line (at -50.8 10.16 0) (length 6.35)
(name "IO_L35N_GCLK16_0" (effects (font (size 1.27 1.27))))
(number "A10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -10.16 0) (length 6.35)
(name "IO_L39N_0" (effects (font (size 1.27 1.27))))
(number "A11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -20.32 0) (length 6.35)
(name "IO_L62N_VREF_0" (effects (font (size 1.27 1.27))))
(number "A12" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -25.4 0) (length 6.35)
(name "IO_L63N_SCP6_0" (effects (font (size 1.27 1.27))))
(number "A13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -35.56 0) (length 6.35)
(name "IO_L65N_SCP2_0" (effects (font (size 1.27 1.27))))
(number "A14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 55.88 0) (length 6.35)
(name "IO_L1N_VREF_0" (effects (font (size 1.27 1.27))))
(number "A4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 50.8 0) (length 6.35)
(name "IO_L2N_0" (effects (font (size 1.27 1.27))))
(number "A5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 40.64 0) (length 6.35)
(name "IO_L4N_0" (effects (font (size 1.27 1.27))))
(number "A6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 30.48 0) (length 6.35)
(name "IO_L6N_0" (effects (font (size 1.27 1.27))))
(number "A7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 20.32 0) (length 6.35)
(name "IO_L33N_0" (effects (font (size 1.27 1.27))))
(number "A8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 15.24 0) (length 6.35)
(name "IO_L34N_GCLK18_0" (effects (font (size 1.27 1.27))))
(number "A9" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 12.7 0) (length 6.35)
(name "IO_L35P_GCLK17_0" (effects (font (size 1.27 1.27))))
(number "B10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -17.78 0) (length 6.35)
(name "IO_L62P_0" (effects (font (size 1.27 1.27))))
(number "B12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -35.56 78.74 270) (length 6.35)
(name "VCCO_0" (effects (font (size 1.27 1.27))))
(number "B13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -33.02 0) (length 6.35)
(name "IO_L65P_SCP3_0" (effects (font (size 1.27 1.27))))
(number "B14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 53.34 180) (length 6.35)
(name "IO_L29P_A23_M1A13_1" (effects (font (size 1.27 1.27))))
(number "B15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 50.8 180) (length 6.35)
(name "IO_L29N_A22_M1A14_1" (effects (font (size 1.27 1.27))))
(number "B16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -40.64 78.74 270) (length 6.35)
(name "VCCO_0" (effects (font (size 1.27 1.27))))
(number "B4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 53.34 0) (length 6.35)
(name "IO_L2P_0" (effects (font (size 1.27 1.27))))
(number "B5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 43.18 0) (length 6.35)
(name "IO_L4P_0" (effects (font (size 1.27 1.27))))
(number "B6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 22.86 0) (length 6.35)
(name "IO_L33P_0" (effects (font (size 1.27 1.27))))
(number "B8" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -38.1 78.74 270) (length 6.35)
(name "VCCO_0" (effects (font (size 1.27 1.27))))
(number "B9" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 0 0) (length 6.35)
(name "IO_L37N_GCLK12_0" (effects (font (size 1.27 1.27))))
(number "C10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -7.62 0) (length 6.35)
(name "IO_L39P_0" (effects (font (size 1.27 1.27))))
(number "C11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -22.86 0) (length 6.35)
(name "IO_L63P_SCP7_0" (effects (font (size 1.27 1.27))))
(number "C13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 33.02 180) (length 6.35)
(name "IO_L33P_A15_M1A10_1" (effects (font (size 1.27 1.27))))
(number "C15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 30.48 180) (length 6.35)
(name "IO_L33N_A14_M1A4_1" (effects (font (size 1.27 1.27))))
(number "C16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 58.42 0) (length 6.35)
(name "IO_L1P_HSWAPEN_0" (effects (font (size 1.27 1.27))))
(number "C4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 45.72 0) (length 6.35)
(name "IO_L3N_0" (effects (font (size 1.27 1.27))))
(number "C5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 25.4 0) (length 6.35)
(name "IO_L7N_0" (effects (font (size 1.27 1.27))))
(number "C6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 33.02 0) (length 6.35)
(name "IO_L6P_0" (effects (font (size 1.27 1.27))))
(number "C7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -5.08 0) (length 6.35)
(name "IO_L38N_VREF_0" (effects (font (size 1.27 1.27))))
(number "C8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 17.78 0) (length 6.35)
(name "IO_L34P_GCLK19_0" (effects (font (size 1.27 1.27))))
(number "C9" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -30.48 78.74 270) (length 6.35)
(name "VCCO_0" (effects (font (size 1.27 1.27))))
(number "D10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -38.1 0) (length 6.35)
(name "IO_L66P_SCP1_0" (effects (font (size 1.27 1.27))))
(number "D11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -40.64 0) (length 6.35)
(name "IO_L66N_SCP0_0" (effects (font (size 1.27 1.27))))
(number "D12" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 43.18 180) (length 6.35)
(name "IO_L31P_A19_M1CKE_1" (effects (font (size 1.27 1.27))))
(number "D14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 27.94 78.74 270) (length 6.35)
(name "VCCO_1" (effects (font (size 1.27 1.27))))
(number "D15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 40.64 180) (length 6.35)
(name "IO_L31N_A18_M1A12_1" (effects (font (size 1.27 1.27))))
(number "D16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 48.26 0) (length 6.35)
(name "IO_L3P_0" (effects (font (size 1.27 1.27))))
(number "D5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 27.94 0) (length 6.35)
(name "IO_L7P_0" (effects (font (size 1.27 1.27))))
(number "D6" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -33.02 78.74 270) (length 6.35)
(name "VCCO_0" (effects (font (size 1.27 1.27))))
(number "D7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -2.54 0) (length 6.35)
(name "IO_L38P_0" (effects (font (size 1.27 1.27))))
(number "D8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -15.24 0) (length 6.35)
(name "IO_L40N_0" (effects (font (size 1.27 1.27))))
(number "D9" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 2.54 0) (length 6.35)
(name "IO_L37P_GCLK13_0" (effects (font (size 1.27 1.27))))
(number "E10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -30.48 0) (length 6.35)
(name "IO_L64N_SCP4_0" (effects (font (size 1.27 1.27))))
(number "E11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 55.88 180) (length 6.35)
(name "IO_L1N_A24_VREF_1" (effects (font (size 1.27 1.27))))
(number "E12" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 58.42 180) (length 6.35)
(name "IO_L1P_A25_1" (effects (font (size 1.27 1.27))))
(number "E13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 27.94 180) (length 6.35)
(name "IO_L34P_A13_M1WE_1" (effects (font (size 1.27 1.27))))
(number "E15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 25.4 180) (length 6.35)
(name "IO_L34N_A12_M1BA2_1" (effects (font (size 1.27 1.27))))
(number "E16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 35.56 0) (length 6.35)
(name "IO_L5N_0" (effects (font (size 1.27 1.27))))
(number "E6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 7.62 0) (length 6.35)
(name "IO_L36P_GCLK15_0" (effects (font (size 1.27 1.27))))
(number "E7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 5.08 0) (length 6.35)
(name "IO_L36N_GCLK14_0" (effects (font (size 1.27 1.27))))
(number "E8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -27.94 0) (length 6.35)
(name "IO_L64P_SCP5_0" (effects (font (size 1.27 1.27))))
(number "F10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 48.26 180) (length 6.35)
(name "IO_L30P_A21_M1RESET_1" (effects (font (size 1.27 1.27))))
(number "F12" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 38.1 180) (length 6.35)
(name "IO_L32P_A17_M1A8_1" (effects (font (size 1.27 1.27))))
(number "F13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 35.56 180) (length 6.35)
(name "IO_L32N_A16_M1A9_1" (effects (font (size 1.27 1.27))))
(number "F14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 22.86 180) (length 6.35)
(name "IO_L35P_A11_M1A7_1" (effects (font (size 1.27 1.27))))
(number "F15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 20.32 180) (length 6.35)
(name "IO_L35N_A10_M1A2_1" (effects (font (size 1.27 1.27))))
(number "F16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 38.1 0) (length 6.35)
(name "IO_L5P_0" (effects (font (size 1.27 1.27))))
(number "F7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -12.7 0) (length 6.35)
(name "IO_L40P_0" (effects (font (size 1.27 1.27))))
(number "F9" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 45.72 180) (length 6.35)
(name "IO_L30N_A20_M1A11_1" (effects (font (size 1.27 1.27))))
(number "G11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 7.62 180) (length 6.35)
(name "IO_L38P_A5_M1CLK_1" (effects (font (size 1.27 1.27))))
(number "G12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 30.48 78.74 270) (length 6.35)
(name "VCCO_1" (effects (font (size 1.27 1.27))))
(number "G13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 17.78 180) (length 6.35)
(name "IO_L36P_A9_M1BA0_1" (effects (font (size 1.27 1.27))))
(number "G14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 15.24 180) (length 6.35)
(name "IO_L36N_A8_M1BA1_1" (effects (font (size 1.27 1.27))))
(number "G16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 5.08 180) (length 6.35)
(name "IO_L38N_A4_M1CLKN_1" (effects (font (size 1.27 1.27))))
(number "H11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 2.54 180) (length 6.35)
(name "IO_L39P_M1A3_1" (effects (font (size 1.27 1.27))))
(number "H13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 0 180) (length 6.35)
(name "IO_L39N_M1ODT_1" (effects (font (size 1.27 1.27))))
(number "H14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 12.7 180) (length 6.35)
(name "IO_L37P_A7_M1A0_1" (effects (font (size 1.27 1.27))))
(number "H15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 10.16 180) (length 6.35)
(name "IO_L37N_A6_M1A1_1" (effects (font (size 1.27 1.27))))
(number "H16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -2.54 180) (length 6.35)
(name "IO_L40P_GCLK11_M1A5_1" (effects (font (size 1.27 1.27))))
(number "J11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -5.08 180) (length 6.35)
(name "IO_L40N_GCLK10_M1A6_1" (effects (font (size 1.27 1.27))))
(number "J12" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -7.62 180) (length 6.35)
(name "IO_L41P_GCLK9_IRDY1_M1RASN_1" (effects (font (size 1.27 1.27))))
(number "J13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -17.78 180) (length 6.35)
(name "IO_L43P_GCLK5_M1DQ4_1" (effects (font (size 1.27 1.27))))
(number "J14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 33.02 78.74 270) (length 6.35)
(name "VCCO_1" (effects (font (size 1.27 1.27))))
(number "J15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -20.32 180) (length 6.35)
(name "IO_L43N_GCLK4_M1DQ5_1" (effects (font (size 1.27 1.27))))
(number "J16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -15.24 180) (length 6.35)
(name "IO_L42N_GCLK6_TRDY1_M1LDM_1" (effects (font (size 1.27 1.27))))
(number "K11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -12.7 180) (length 6.35)
(name "IO_L42P_GCLK7_M1UDM_1" (effects (font (size 1.27 1.27))))
(number "K12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 35.56 78.74 270) (length 6.35)
(name "VCCO_1" (effects (font (size 1.27 1.27))))
(number "K13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -10.16 180) (length 6.35)
(name "IO_L41N_GCLK8_M1CASN_1" (effects (font (size 1.27 1.27))))
(number "K14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -22.86 180) (length 6.35)
(name "IO_L44P_A3_M1DQ6_1" (effects (font (size 1.27 1.27))))
(number "K15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -25.4 180) (length 6.35)
(name "IO_L44N_A2_M1DQ7_1" (effects (font (size 1.27 1.27))))
(number "K16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -68.58 180) (length 6.35)
(name "IO_L53P_1" (effects (font (size 1.27 1.27))))
(number "L12" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -71.12 180) (length 6.35)
(name "IO_L53N_VREF_1" (effects (font (size 1.27 1.27))))
(number "L13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -38.1 180) (length 6.35)
(name "IO_L47P_FWE_B_M1DQ0_1" (effects (font (size 1.27 1.27))))
(number "L14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -40.64 180) (length 6.35)
(name "IO_L47N_LDC_M1DQ1_1" (effects (font (size 1.27 1.27))))
(number "L16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -73.66 180) (length 6.35)
(name "IO_L74P_AWAKE_1" (effects (font (size 1.27 1.27))))
(number "M13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -76.2 180) (length 6.35)
(name "IO_L74N_DOUT_BUSY_1" (effects (font (size 1.27 1.27))))
(number "M14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -33.02 180) (length 6.35)
(name "IO_L46P_FCS_B_M1DQ2_1" (effects (font (size 1.27 1.27))))
(number "M15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -35.56 180) (length 6.35)
(name "IO_L46N_FOE_B_M1DQ3_1" (effects (font (size 1.27 1.27))))
(number "M16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -27.94 180) (length 6.35)
(name "IO_L45P_A1_M1LDQS_1" (effects (font (size 1.27 1.27))))
(number "N14" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 38.1 78.74 270) (length 6.35)
(name "VCCO_1" (effects (font (size 1.27 1.27))))
(number "N15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -30.48 180) (length 6.35)
(name "IO_L45N_A0_M1LDQSN_1" (effects (font (size 1.27 1.27))))
(number "N16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -43.18 180) (length 6.35)
(name "IO_L48P_HDC_M1DQ8_1" (effects (font (size 1.27 1.27))))
(number "P15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -45.72 180) (length 6.35)
(name "IO_L48N_M1DQ9_1" (effects (font (size 1.27 1.27))))
(number "P16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -63.5 180) (length 6.35)
(name "IO_L52P_M1DQ14_1" (effects (font (size 1.27 1.27))))
(number "R12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 40.64 78.74 270) (length 6.35)
(name "VCCO_1" (effects (font (size 1.27 1.27))))
(number "R13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -53.34 180) (length 6.35)
(name "IO_L50P_M1UDQS_1" (effects (font (size 1.27 1.27))))
(number "R14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -48.26 180) (length 6.35)
(name "IO_L49P_M1DQ10_1" (effects (font (size 1.27 1.27))))
(number "R15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -50.8 180) (length 6.35)
(name "IO_L49N_M1DQ11_1" (effects (font (size 1.27 1.27))))
(number "R16" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -66.04 180) (length 6.35)
(name "IO_L52N_M1DQ15_1" (effects (font (size 1.27 1.27))))
(number "T12" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -60.96 180) (length 6.35)
(name "IO_L51N_M1DQ13_1" (effects (font (size 1.27 1.27))))
(number "T13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -58.42 180) (length 6.35)
(name "IO_L51P_M1DQ12_1" (effects (font (size 1.27 1.27))))
(number "T14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -55.88 180) (length 6.35)
(name "IO_L50N_M1UDQSN_1" (effects (font (size 1.27 1.27))))
(number "T15" (effects (font (size 1.27 1.27))))
)
)
(symbol "XC6SLX16-FTG256_2_1"
(rectangle (start -44.45 72.39) (end 44.45 -78.74)
(stroke (width 0.254) (type default))
(fill (type background))
)
(pin bidirectional line (at 50.8 -55.88 180) (length 6.35)
(name "IO_L52N_M3A9_3" (effects (font (size 1.27 1.27))))
(number "A2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -76.2 180) (length 6.35)
(name "IO_L83N_VREF_3" (effects (font (size 1.27 1.27))))
(number "A3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -45.72 180) (length 6.35)
(name "IO_L50N_M3BA2_3" (effects (font (size 1.27 1.27))))
(number "B1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -53.34 180) (length 6.35)
(name "IO_L52P_M3A8_3" (effects (font (size 1.27 1.27))))
(number "B2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -73.66 180) (length 6.35)
(name "IO_L83P_3" (effects (font (size 1.27 1.27))))
(number "B3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -43.18 180) (length 6.35)
(name "IO_L50P_M3WE_3" (effects (font (size 1.27 1.27))))
(number "C1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -35.56 180) (length 6.35)
(name "IO_L48N_M3BA1_3" (effects (font (size 1.27 1.27))))
(number "C2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -33.02 180) (length 6.35)
(name "IO_L48P_M3BA0_3" (effects (font (size 1.27 1.27))))
(number "C3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -40.64 180) (length 6.35)
(name "IO_L49N_M3A2_3" (effects (font (size 1.27 1.27))))
(number "D1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 30.48 78.74 270) (length 6.35)
(name "VCCO_3" (effects (font (size 1.27 1.27))))
(number "D2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -38.1 180) (length 6.35)
(name "IO_L49P_M3A7_3" (effects (font (size 1.27 1.27))))
(number "D3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -25.4 180) (length 6.35)
(name "IO_L46N_M3CLKN_3" (effects (font (size 1.27 1.27))))
(number "E1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -22.86 180) (length 6.35)
(name "IO_L46P_M3CLK_3" (effects (font (size 1.27 1.27))))
(number "E2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -66.04 180) (length 6.35)
(name "IO_L54N_M3A11_3" (effects (font (size 1.27 1.27))))
(number "E3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -63.5 180) (length 6.35)
(name "IO_L54P_M3RESET_3" (effects (font (size 1.27 1.27))))
(number "E4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 0 180) (length 6.35)
(name "IO_L41N_GCLK26_M3DQ5_3" (effects (font (size 1.27 1.27))))
(number "F1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 2.54 180) (length 6.35)
(name "IO_L41P_GCLK27_M3DQ4_3" (effects (font (size 1.27 1.27))))
(number "F2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -60.96 180) (length 6.35)
(name "IO_L53N_M3A12_3" (effects (font (size 1.27 1.27))))
(number "F3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -58.42 180) (length 6.35)
(name "IO_L53P_M3CKE_3" (effects (font (size 1.27 1.27))))
(number "F4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -71.12 180) (length 6.35)
(name "IO_L55N_M3A14_3" (effects (font (size 1.27 1.27))))
(number "F5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -68.58 180) (length 6.35)
(name "IO_L55P_M3A13_3" (effects (font (size 1.27 1.27))))
(number "F6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 5.08 180) (length 6.35)
(name "IO_L40N_M3DQ7_3" (effects (font (size 1.27 1.27))))
(number "G1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 7.62 180) (length 6.35)
(name "IO_L40P_M3DQ6_3" (effects (font (size 1.27 1.27))))
(number "G3" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 33.02 78.74 270) (length 6.35)
(name "VCCO_3" (effects (font (size 1.27 1.27))))
(number "G4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -50.8 180) (length 6.35)
(name "IO_L51N_M3A4_3" (effects (font (size 1.27 1.27))))
(number "G5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -48.26 180) (length 6.35)
(name "IO_L51P_M3A10_3" (effects (font (size 1.27 1.27))))
(number "G6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 10.16 180) (length 6.35)
(name "IO_L39N_M3LDQSN_3" (effects (font (size 1.27 1.27))))
(number "H1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 12.7 180) (length 6.35)
(name "IO_L39P_M3LDQS_3" (effects (font (size 1.27 1.27))))
(number "H2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -15.24 180) (length 6.35)
(name "IO_L44N_GCLK20_M3A6_3" (effects (font (size 1.27 1.27))))
(number "H3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -12.7 180) (length 6.35)
(name "IO_L44P_GCLK21_M3A5_3" (effects (font (size 1.27 1.27))))
(number "H4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -10.16 180) (length 6.35)
(name "IO_L43N_GCLK22_IRDY2_M3CASN_3" (effects (font (size 1.27 1.27))))
(number "H5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 15.24 180) (length 6.35)
(name "IO_L38N_M3DQ3_3" (effects (font (size 1.27 1.27))))
(number "J1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 35.56 78.74 270) (length 6.35)
(name "VCCO_3" (effects (font (size 1.27 1.27))))
(number "J2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 17.78 180) (length 6.35)
(name "IO_L38P_M3DQ2_3" (effects (font (size 1.27 1.27))))
(number "J3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -5.08 180) (length 6.35)
(name "IO_L42N_GCLK24_M3LDM_3" (effects (font (size 1.27 1.27))))
(number "J4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -7.62 180) (length 6.35)
(name "IO_L43P_GCLK23_M3RASN_3" (effects (font (size 1.27 1.27))))
(number "J6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 20.32 180) (length 6.35)
(name "IO_L37N_M3DQ1_3" (effects (font (size 1.27 1.27))))
(number "K1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 22.86 180) (length 6.35)
(name "IO_L37P_M3DQ0_3" (effects (font (size 1.27 1.27))))
(number "K2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -2.54 180) (length 6.35)
(name "IO_L42P_GCLK25_TRDY2_M3UDM_3" (effects (font (size 1.27 1.27))))
(number "K3" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 38.1 78.74 270) (length 6.35)
(name "VCCO_3" (effects (font (size 1.27 1.27))))
(number "K4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -27.94 180) (length 6.35)
(name "IO_L47P_M3A0_3" (effects (font (size 1.27 1.27))))
(number "K5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -30.48 180) (length 6.35)
(name "IO_L47N_M3A1_3" (effects (font (size 1.27 1.27))))
(number "K6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 25.4 180) (length 6.35)
(name "IO_L36N_M3DQ9_3" (effects (font (size 1.27 1.27))))
(number "L1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 27.94 0) (length 6.35)
(name "IO_L16P_2" (effects (font (size 1.27 1.27))))
(number "L10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 27.94 180) (length 6.35)
(name "IO_L36P_M3DQ8_3" (effects (font (size 1.27 1.27))))
(number "L3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -17.78 180) (length 6.35)
(name "IO_L45P_M3A3_3" (effects (font (size 1.27 1.27))))
(number "L4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 -20.32 180) (length 6.35)
(name "IO_L45N_M3ODT_3" (effects (font (size 1.27 1.27))))
(number "L5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -20.32 0) (length 6.35)
(name "IO_L62N_D6_2" (effects (font (size 1.27 1.27))))
(number "L7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -17.78 0) (length 6.35)
(name "IO_L62P_D5_2" (effects (font (size 1.27 1.27))))
(number "L8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 30.48 180) (length 6.35)
(name "IO_L35N_M3DQ11_3" (effects (font (size 1.27 1.27))))
(number "M1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 25.4 0) (length 6.35)
(name "IO_L16N_VREF_2" (effects (font (size 1.27 1.27))))
(number "M10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 50.8 0) (length 6.35)
(name "IO_L2N_CMPMOSI_2" (effects (font (size 1.27 1.27))))
(number "M11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 53.34 0) (length 6.35)
(name "IO_L2P_CMPCLK_2" (effects (font (size 1.27 1.27))))
(number "M12" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 33.02 180) (length 6.35)
(name "IO_L35P_M3DQ10_3" (effects (font (size 1.27 1.27))))
(number "M2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 55.88 180) (length 6.35)
(name "IO_L1N_VREF_3" (effects (font (size 1.27 1.27))))
(number "M3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 58.42 180) (length 6.35)
(name "IO_L1P_3" (effects (font (size 1.27 1.27))))
(number "M4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 53.34 180) (length 6.35)
(name "IO_L2P_3" (effects (font (size 1.27 1.27))))
(number "M5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -27.94 0) (length 6.35)
(name "IO_L64P_D8_2" (effects (font (size 1.27 1.27))))
(number "M6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 5.08 0) (length 6.35)
(name "IO_L31N_GCLK30_D15_2" (effects (font (size 1.27 1.27))))
(number "M7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 17.78 0) (length 6.35)
(name "IO_L29P_GCLK3_2" (effects (font (size 1.27 1.27))))
(number "M9" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 35.56 180) (length 6.35)
(name "IO_L34N_M3UDQSN_3" (effects (font (size 1.27 1.27))))
(number "N1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -38.1 78.74 270) (length 6.35)
(name "VCCO_2" (effects (font (size 1.27 1.27))))
(number "N10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 38.1 0) (length 6.35)
(name "IO_L13P_M1_2" (effects (font (size 1.27 1.27))))
(number "N11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 43.18 0) (length 6.35)
(name "IO_L12P_D1_MISO2_2" (effects (font (size 1.27 1.27))))
(number "N12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 40.64 78.74 270) (length 6.35)
(name "VCCO_3" (effects (font (size 1.27 1.27))))
(number "N2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 38.1 180) (length 6.35)
(name "IO_L34P_M3UDQS_3" (effects (font (size 1.27 1.27))))
(number "N3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 50.8 180) (length 6.35)
(name "IO_L2N_3" (effects (font (size 1.27 1.27))))
(number "N4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -12.7 0) (length 6.35)
(name "IO_L49P_D3_2" (effects (font (size 1.27 1.27))))
(number "N5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -30.48 0) (length 6.35)
(name "IO_L64N_D9_2" (effects (font (size 1.27 1.27))))
(number "N6" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -40.64 78.74 270) (length 6.35)
(name "VCCO_2" (effects (font (size 1.27 1.27))))
(number "N7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 15.24 0) (length 6.35)
(name "IO_L29N_GCLK2_2" (effects (font (size 1.27 1.27))))
(number "N8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 33.02 0) (length 6.35)
(name "IO_L14P_D11_2" (effects (font (size 1.27 1.27))))
(number "N9" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 40.64 180) (length 6.35)
(name "IO_L33N_M3DQ13_3" (effects (font (size 1.27 1.27))))
(number "P1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 48.26 0) (length 6.35)
(name "IO_L3P_D0_DIN_MISO_MISO1_2" (effects (font (size 1.27 1.27))))
(number "P10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 35.56 0) (length 6.35)
(name "IO_L13N_D10_2" (effects (font (size 1.27 1.27))))
(number "P11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 40.64 0) (length 6.35)
(name "IO_L12N_D2_MISO3_2" (effects (font (size 1.27 1.27))))
(number "P12" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 43.18 180) (length 6.35)
(name "IO_L33P_M3DQ12_3" (effects (font (size 1.27 1.27))))
(number "P2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -22.86 0) (length 6.35)
(name "IO_L63P_2" (effects (font (size 1.27 1.27))))
(number "P4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -15.24 0) (length 6.35)
(name "IO_L49N_D4_2" (effects (font (size 1.27 1.27))))
(number "P5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -2.54 0) (length 6.35)
(name "IO_L47P_2" (effects (font (size 1.27 1.27))))
(number "P6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 7.62 0) (length 6.35)
(name "IO_L31P_GCLK31_D14_2" (effects (font (size 1.27 1.27))))
(number "P7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 12.7 0) (length 6.35)
(name "IO_L30P_GCLK1_D13_2" (effects (font (size 1.27 1.27))))
(number "P8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 30.48 0) (length 6.35)
(name "IO_L14N_D12_2" (effects (font (size 1.27 1.27))))
(number "P9" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 45.72 180) (length 6.35)
(name "IO_L32N_M3DQ15_3" (effects (font (size 1.27 1.27))))
(number "R1" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 58.42 0) (length 6.35)
(name "IO_L1P_CCLK_2" (effects (font (size 1.27 1.27))))
(number "R11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 50.8 48.26 180) (length 6.35)
(name "IO_L32P_M3DQ14_3" (effects (font (size 1.27 1.27))))
(number "R2" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -33.02 0) (length 6.35)
(name "IO_L65P_INIT_B_2" (effects (font (size 1.27 1.27))))
(number "R3" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -35.56 78.74 270) (length 6.35)
(name "VCCO_2" (effects (font (size 1.27 1.27))))
(number "R4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -7.62 0) (length 6.35)
(name "IO_L48P_D7_2" (effects (font (size 1.27 1.27))))
(number "R5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 2.54 0) (length 6.35)
(name "IO_L32P_GCLK29_2" (effects (font (size 1.27 1.27))))
(number "R7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -33.02 78.74 270) (length 6.35)
(name "VCCO_2" (effects (font (size 1.27 1.27))))
(number "R8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 22.86 0) (length 6.35)
(name "IO_L23P_2" (effects (font (size 1.27 1.27))))
(number "R9" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 45.72 0) (length 6.35)
(name "IO_L3N_MOSI_CSI_B_MISO0_2" (effects (font (size 1.27 1.27))))
(number "T10" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 55.88 0) (length 6.35)
(name "IO_L1N_M0_CMPMISO_2" (effects (font (size 1.27 1.27))))
(number "T11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -35.56 0) (length 6.35)
(name "IO_L65N_CSO_B_2" (effects (font (size 1.27 1.27))))
(number "T3" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -25.4 0) (length 6.35)
(name "IO_L63N_2" (effects (font (size 1.27 1.27))))
(number "T4" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -10.16 0) (length 6.35)
(name "IO_L48N_RDWR_B_VREF_2" (effects (font (size 1.27 1.27))))
(number "T5" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 -5.08 0) (length 6.35)
(name "IO_L47N_2" (effects (font (size 1.27 1.27))))
(number "T6" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 0 0) (length 6.35)
(name "IO_L32N_GCLK28_2" (effects (font (size 1.27 1.27))))
(number "T7" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 10.16 0) (length 6.35)
(name "IO_L30N_GCLK0_USERCCLK_2" (effects (font (size 1.27 1.27))))
(number "T8" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at -50.8 20.32 0) (length 6.35)
(name "IO_L23N_2" (effects (font (size 1.27 1.27))))
(number "T9" (effects (font (size 1.27 1.27))))
)
)
(symbol "XC6SLX16-FTG256_3_1"
(rectangle (start -31.75 15.24) (end 31.75 -15.24)
(stroke (width 0.254) (type default))
(fill (type background))
)
(pin bidirectional line (at 38.1 7.62 180) (length 6.35)
(name "TMS" (effects (font (size 1.27 1.27))))
(number "A15" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 38.1 12.7 180) (length 6.35)
(name "TDI" (effects (font (size 1.27 1.27))))
(number "C12" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 38.1 5.08 180) (length 6.35)
(name "TCK" (effects (font (size 1.27 1.27))))
(number "C14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 38.1 10.16 180) (length 6.35)
(name "TDO" (effects (font (size 1.27 1.27))))
(number "E14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 38.1 -12.7 180) (length 6.35)
(name "CMPCS_B_2" (effects (font (size 1.27 1.27))))
(number "L11" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 38.1 0 180) (length 6.35)
(name "DONE_2" (effects (font (size 1.27 1.27))))
(number "P13" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 38.1 -7.62 180) (length 6.35)
(name "SUSPEND" (effects (font (size 1.27 1.27))))
(number "P14" (effects (font (size 1.27 1.27))))
)
(pin bidirectional line (at 38.1 -2.54 180) (length 6.35)
(name "PROGRAM_B_2" (effects (font (size 1.27 1.27))))
(number "T2" (effects (font (size 1.27 1.27))))
)
)
(symbol "XC6SLX16-FTG256_4_1"
(rectangle (start -19.05 30.48) (end 19.05 -30.48)
(stroke (width 0.254) (type default))
(fill (type background))
)
(pin power_in line (at -25.4 2.54 0) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "A1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 0 0) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "A16" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 -5.08 0) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 -2.54 0) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "B7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 -10.16 0) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "D13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 -7.62 0) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "D4" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 27.94 0) (length 6.35)
(name "VCCAUX" (effects (font (size 1.27 1.27))))
(number "E5" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 -12.7 0) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "E9" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 22.86 0) (length 6.35)
(name "VCCAUX" (effects (font (size 1.27 1.27))))
(number "F11" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 25.4 0) (length 6.35)
(name "VCCAUX" (effects (font (size 1.27 1.27))))
(number "F8" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 20.32 0) (length 6.35)
(name "VCCAUX" (effects (font (size 1.27 1.27))))
(number "G10" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 -20.32 0) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "G15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 -15.24 0) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "G2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 27.94 180) (length 6.35)
(name "VCCINT" (effects (font (size 1.27 1.27))))
(number "G7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 -17.78 0) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "G8" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 25.4 180) (length 6.35)
(name "VCCINT" (effects (font (size 1.27 1.27))))
(number "G9" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 20.32 180) (length 6.35)
(name "VCCINT" (effects (font (size 1.27 1.27))))
(number "H10" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 -27.94 0) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "H12" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 17.78 0) (length 6.35)
(name "VCCAUX" (effects (font (size 1.27 1.27))))
(number "H6" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 -22.86 0) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "H7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 22.86 180) (length 6.35)
(name "VCCINT" (effects (font (size 1.27 1.27))))
(number "H8" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 -25.4 0) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "H9" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 15.24 0) (length 6.35)
(name "VCCAUX" (effects (font (size 1.27 1.27))))
(number "J10" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 2.54 180) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "J5" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 17.78 180) (length 6.35)
(name "VCCINT" (effects (font (size 1.27 1.27))))
(number "J7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 0 180) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "J8" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 15.24 180) (length 6.35)
(name "VCCINT" (effects (font (size 1.27 1.27))))
(number "J9" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 10.16 180) (length 6.35)
(name "VCCINT" (effects (font (size 1.27 1.27))))
(number "K10" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 -2.54 180) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "K7" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 12.7 180) (length 6.35)
(name "VCCINT" (effects (font (size 1.27 1.27))))
(number "K8" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 -5.08 180) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "K9" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 -10.16 180) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "L15" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 -7.62 180) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "L2" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 12.7 0) (length 6.35)
(name "VCCAUX" (effects (font (size 1.27 1.27))))
(number "L6" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at -25.4 10.16 0) (length 6.35)
(name "VCCAUX" (effects (font (size 1.27 1.27))))
(number "L9" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 -12.7 180) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "M8" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 -15.24 180) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "N13" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 -17.78 180) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "P3" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 -22.86 180) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "R10" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 -20.32 180) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "R6" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 -25.4 180) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "T1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 25.4 -27.94 180) (length 6.35)
(name "GND" (effects (font (size 1.27 1.27))))
(number "T16" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Oscillator:ASE-xxxMHz" (pin_names (offset 0.254)) (in_bom yes) (on_board yes)
(property "Reference" "X" (at -5.08 6.35 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "ASE-xxxMHz" (at 1.27 -6.35 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Oscillator:Oscillator_SMD_Abracon_ASE-4Pin_3.2x2.5mm" (at 17.78 -8.89 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.abracon.com/Oscillators/ASV.pdf" (at -2.54 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "3.3V CMOS SMD Crystal Clock Oscillator" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "3.3V CMOS SMD Crystal Clock Oscillator, Abracon" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Oscillator*SMD*Abracon*ASE*3.2x2.5mm*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "ASE-xxxMHz_0_1"
(rectangle (start -5.08 5.08) (end 5.08 -5.08)
(stroke (width 0.254) (type default))
(fill (type background))
)
(polyline
(pts
(xy -1.27 -0.762)
(xy -1.016 -0.762)
(xy -1.016 0.762)
(xy -0.508 0.762)
(xy -0.508 -0.762)
(xy 0 -0.762)
(xy 0 0.762)
(xy 0.508 0.762)
(xy 0.508 -0.762)
(xy 0.762 -0.762)
)
(stroke (width 0) (type default))
(fill (type none))
)
)
(symbol "ASE-xxxMHz_1_1"
(pin input line (at -7.62 0 0) (length 2.54)
(name "EN" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 -7.62 90) (length 2.54)
(name "GND" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
(pin output line (at 7.62 0 180) (length 2.54)
(name "OUT" (effects (font (size 1.27 1.27))))
(number "3" (effects (font (size 1.27 1.27))))
)
(pin power_in line (at 0 7.62 270) (length 2.54)
(name "Vdd" (effects (font (size 1.27 1.27))))
(number "4" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+3V3_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default))
(fill (type none))
)
)
(symbol "+3V3_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+3V3" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (at 0 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "power-flag" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "GND_0_1"
(polyline
(pts
(xy 0 0)
(xy 0 -1.27)
(xy 1.27 -1.27)
(xy 0 -2.54)
(xy -1.27 -1.27)
(xy 0 -1.27)
)
(stroke (width 0) (type default))
(fill (type none))
)
)
(symbol "GND_1_1"
(pin power_in line (at 0 0 270) (length 0) hide
(name "GND" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
)
(junction (at 154.94 33.02) (diameter 0) (color 0 0 0 0)
(uuid 127f66bb-b676-4c5f-9d98-86e5a96353f4)
)
(junction (at 27.94 25.4) (diameter 0) (color 0 0 0 0)
(uuid 3321a629-bc7c-41de-b50d-382293e5dd52)
)
(junction (at 50.8 25.4) (diameter 0) (color 0 0 0 0)
(uuid 357df23b-ac1a-44af-b0c0-5d903bdd572e)
)
(junction (at 144.78 25.4) (diameter 0.9144) (color 0 0 0 0)
(uuid 40ec6894-44c9-4b1f-88ce-3fed176c8a4a)
)
(junction (at 154.94 25.4) (diameter 0) (color 0 0 0 0)
(uuid 4aa704f3-497c-404f-8819-61068898df47)
)
(junction (at 38.1 25.4) (diameter 0.9144) (color 0 0 0 0)
(uuid 4fcab6ce-2ead-491f-9c19-85ea25ff7d76)
)
(junction (at 124.46 25.4) (diameter 0) (color 0 0 0 0)
(uuid 5a0a85a4-d31c-4770-8999-04ca23ed8f34)
)
(junction (at 256.54 81.28) (diameter 0) (color 0 0 0 0)
(uuid 5f818751-0b9a-4271-890b-8cd27de29a53)
)
(junction (at 127 25.4) (diameter 0) (color 0 0 0 0)
(uuid 6969e221-fd60-4bd3-a542-dc38b2577ac1)
)
(junction (at 264.16 78.74) (diameter 0) (color 0 0 0 0)
(uuid 6b5740bd-89b8-416c-9a05-4eed40af016b)
)
(junction (at 58.42 25.4) (diameter 0) (color 0 0 0 0)
(uuid 719115c6-814b-4866-98dd-c6d38eb164c9)
)
(junction (at 254 27.94) (diameter 0) (color 0 0 0 0)
(uuid 824c1595-5a4c-4bc4-a534-6db64d1a6219)
)
(junction (at 53.34 25.4) (diameter 0) (color 0 0 0 0)
(uuid 90d56b47-242b-497c-9f1c-2438131cbddb)
)
(junction (at 129.54 25.4) (diameter 0) (color 0 0 0 0)
(uuid 964abe72-d670-4d2a-91a5-bf64407a4ba0)
)
(junction (at 121.92 25.4) (diameter 0) (color 0 0 0 0)
(uuid a929924a-2961-49be-ae4f-3cd3ad844f82)
)
(junction (at 55.88 25.4) (diameter 0) (color 0 0 0 0)
(uuid b5d629d9-4b0c-4aed-ae07-a94e6b9db4b6)
)
(junction (at 27.94 33.02) (diameter 0) (color 0 0 0 0)
(uuid cd411ee3-300a-4a32-b0e3-fb2899ca3005)
)
(junction (at 256.54 86.36) (diameter 0) (color 0 0 0 0)
(uuid cff0ecbc-4d3f-4854-b768-e6fb8d4c639f)
)
(junction (at 246.38 139.7) (diameter 0.9144) (color 0 0 0 0)
(uuid d0cb4053-6c03-4886-93d0-681b51ece2eb)
)
(junction (at 246.38 48.26) (diameter 0) (color 0 0 0 0)
(uuid d9ea80d7-5317-42cb-9996-7949150a60b4)
)
(junction (at 246.38 27.94) (diameter 0) (color 0 0 0 0)
(uuid ea48dd9d-66bc-4d54-9ab7-f1f207568f3f)
)
(junction (at 132.08 25.4) (diameter 0) (color 0 0 0 0)
(uuid f3fbfbfe-4b46-419d-9313-3bca45a254c7)
)
(junction (at 271.78 137.16) (diameter 0.9144) (color 0 0 0 0)
(uuid f763dc1d-2212-452a-b8d3-69d1689f42e4)
)
(no_connect (at 248.92 142.24) (uuid 22aba5c9-eef2-45e8-9083-886d0e045f15))
(no_connect (at 248.92 144.78) (uuid 3d90b4d7-ec91-4456-8cc0-cc01b77d7dce))
(no_connect (at 240.03 91.44) (uuid d8669606-0ebd-4711-9295-b71ae102f2e4))
(wire (pts (xy 55.88 25.4) (xy 58.42 25.4))
(stroke (width 0) (type default))
(uuid 049de162-0ebc-496e-b1f7-cae6159178bc)
)
(wire (pts (xy 58.42 25.4) (xy 60.96 25.4))
(stroke (width 0) (type default))
(uuid 049de162-0ebc-496e-b1f7-cae6159178bd)
)
(wire (pts (xy 240.03 66.04) (xy 254 66.04))
(stroke (width 0) (type solid))
(uuid 071a7397-d0cf-4f4c-a117-1abe25f0749b)
)
(wire (pts (xy 254 27.94) (xy 254 30.48))
(stroke (width 0) (type default))
(uuid 108434e4-1efc-44f3-9c10-1d90c6e5c2bc)
)
(wire (pts (xy 35.56 63.5) (xy 40.64 63.5))
(stroke (width 0) (type default))
(uuid 127e4c20-b2a6-4c4d-b665-d2b67f73f44c)
)
(wire (pts (xy 154.94 25.4) (xy 144.78 25.4))
(stroke (width 0) (type solid))
(uuid 12f310ec-2e52-4ef9-83a5-bee25d92c6bd)
)
(wire (pts (xy 142.24 144.78) (xy 147.32 144.78))
(stroke (width 0) (type default))
(uuid 15705bba-2118-4956-aca0-eadc04cdd716)
)
(wire (pts (xy 264.16 78.74) (xy 266.7 78.74))
(stroke (width 0) (type default))
(uuid 199c7d08-0638-4e22-bc07-89b8ccd86849)
)
(wire (pts (xy 248.92 137.16) (xy 246.38 137.16))
(stroke (width 0) (type solid))
(uuid 1a661161-68a6-4a67-b484-65ea26783f5b)
)
(wire (pts (xy 233.68 38.1) (xy 238.76 38.1))
(stroke (width 0) (type default))
(uuid 1dc6391e-f955-4117-91a8-0edf059d7027)
)
(wire (pts (xy 254 68.58) (xy 240.03 68.58))
(stroke (width 0) (type solid))
(uuid 1ee7faeb-fc70-4d81-8597-d66fc3270651)
)
(wire (pts (xy 142.24 121.92) (xy 147.32 121.92))
(stroke (width 0) (type default))
(uuid 224612db-66fe-443e-9abb-71c3a5a31f6b)
)
(wire (pts (xy 142.24 154.94) (xy 147.32 154.94))
(stroke (width 0) (type default))
(uuid 2329e94a-e048-4716-9946-03a303e77404)
)
(wire (pts (xy 256.54 86.36) (xy 266.7 86.36))
(stroke (width 0) (type default))
(uuid 29879257-c315-48aa-a7e7-cc6cae362af4)
)
(wire (pts (xy 35.56 76.2) (xy 40.64 76.2))
(stroke (width 0) (type default))
(uuid 2dbf972c-c052-4af4-bfdf-54d35cbc7f8f)
)
(wire (pts (xy 275.59 134.62) (xy 261.62 134.62))
(stroke (width 0) (type solid))
(uuid 2ed02a1c-d3e3-4f84-b63b-11a231e26104)
)
(wire (pts (xy 142.24 167.64) (xy 147.32 167.64))
(stroke (width 0) (type default))
(uuid 2f1adcd3-33fb-4f3c-aab1-c4cb4db24acb)
)
(wire (pts (xy 142.24 170.18) (xy 147.32 170.18))
(stroke (width 0) (type default))
(uuid 34deaacf-7d5e-4026-967b-5632caaf7fd1)
)
(wire (pts (xy 35.56 88.9) (xy 40.64 88.9))
(stroke (width 0) (type default))
(uuid 3b0f1dfa-00d4-4aff-99da-16b32a1306a4)
)
(wire (pts (xy 38.1 45.72) (xy 40.64 45.72))
(stroke (width 0) (type default))
(uuid 3e9f004b-857f-4f8b-80ce-3f4e70f0b6dc)
)
(wire (pts (xy 142.24 180.34) (xy 147.32 180.34))
(stroke (width 0) (type default))
(uuid 419d653c-b342-436d-bc88-399f37de1c7e)
)
(wire (pts (xy 256.54 76.2) (xy 256.54 81.28))
(stroke (width 0) (type default))
(uuid 43c27baf-ecc8-43c1-bce8-bf43ccffe413)
)
(wire (pts (xy 142.24 152.4) (xy 147.32 152.4))
(stroke (width 0) (type default))
(uuid 45587e65-372a-4e49-b552-c9176a4a6289)
)
(wire (pts (xy 240.03 78.74) (xy 264.16 78.74))
(stroke (width 0) (type default))
(uuid 456ca0f0-19f3-4eb3-a6fb-ca4e7ca84f61)
)
(wire (pts (xy 35.56 86.36) (xy 40.64 86.36))
(stroke (width 0) (type default))
(uuid 477ba63f-492d-48fe-80c6-55629e07faea)
)
(wire (pts (xy 246.38 48.26) (xy 246.38 50.8))
(stroke (width 0) (type default))
(uuid 4a9b8aff-486f-44b9-b65e-5930c7fb4809)
)
(wire (pts (xy 246.38 45.72) (xy 246.38 48.26))
(stroke (width 0) (type default))
(uuid 4a9b8aff-486f-44b9-b65e-5930c7fb480a)
)
(wire (pts (xy 27.94 45.72) (xy 30.48 45.72))
(stroke (width 0) (type default))
(uuid 4b6161ef-c40a-4ebd-b873-e24353d46f9f)
)
(wire (pts (xy 254 71.12) (xy 240.03 71.12))
(stroke (width 0) (type solid))
(uuid 4bd7cf66-6268-4ede-95c9-ea40e90be394)
)
(wire (pts (xy 271.78 137.16) (xy 261.62 137.16))
(stroke (width 0) (type solid))
(uuid 4d2e1f05-e536-4b29-b3f5-1e0dd7a507dc)
)
(wire (pts (xy 142.24 165.1) (xy 147.32 165.1))
(stroke (width 0) (type default))
(uuid 4fee5131-39d4-4251-8461-8d57f13bc291)
)
(wire (pts (xy 119.38 25.4) (xy 121.92 25.4))
(stroke (width 0) (type default))
(uuid 52fd30d3-21c1-4462-b5e5-72f0477952f3)
)
(wire (pts (xy 121.92 25.4) (xy 124.46 25.4))
(stroke (width 0) (type default))
(uuid 52fd30d3-21c1-4462-b5e5-72f0477952f4)
)
(wire (pts (xy 124.46 25.4) (xy 127 25.4))
(stroke (width 0) (type default))
(uuid 52fd30d3-21c1-4462-b5e5-72f0477952f5)
)
(wire (pts (xy 246.38 137.16) (xy 246.38 139.7))
(stroke (width 0) (type solid))
(uuid 58413adc-61b0-4826-b239-4c73480924e0)
)
(wire (pts (xy 248.92 139.7) (xy 246.38 139.7))
(stroke (width 0) (type solid))
(uuid 5c2af412-152a-4072-ab89-934d41718287)
)
(wire (pts (xy 35.56 101.6) (xy 40.64 101.6))
(stroke (width 0) (type default))
(uuid 5c3e8023-4add-416d-b394-bef8731442f6)
)
(wire (pts (xy 142.24 162.56) (xy 147.32 162.56))
(stroke (width 0) (type default))
(uuid 636e3180-0251-4535-b3e9-121e776f4290)
)
(wire (pts (xy 35.56 60.96) (xy 40.64 60.96))
(stroke (width 0) (type default))
(uuid 640f9727-7546-4902-9b58-3a47a12acede)
)
(wire (pts (xy 142.24 147.32) (xy 147.32 147.32))
(stroke (width 0) (type default))
(uuid 692cb68f-b138-4b5d-bc06-19da9977002c)
)
(wire (pts (xy 254 73.66) (xy 240.03 73.66))
(stroke (width 0) (type solid))
(uuid 6cca7f96-f0ea-4c50-8a24-ad1cfe7d0c3c)
)
(wire (pts (xy 264.16 27.94) (xy 254 27.94))
(stroke (width 0) (type default))
(uuid 79ff3ab8-273b-4f97-bddc-7982a608969b)
)
(wire (pts (xy 264.16 27.94) (xy 264.16 30.48))
(stroke (width 0) (type default))
(uuid 79ff3ab8-273b-4f97-bddc-7982a608969c)
)
(wire (pts (xy 246.38 139.7) (xy 246.38 147.32))
(stroke (width 0) (type solid))
(uuid 7cc48473-639b-4559-af50-0057a572e211)
)
(wire (pts (xy 264.16 76.2) (xy 264.16 78.74))
(stroke (width 0) (type default))
(uuid 7cf03c9b-6573-4562-b1c5-bacd43cee743)
)
(wire (pts (xy 35.56 139.7) (xy 40.64 139.7))
(stroke (width 0) (type default))
(uuid 83ca5746-7082-4597-9a27-7a72bd8f7cb1)
)
(wire (pts (xy 271.78 132.08) (xy 271.78 137.16))
(stroke (width 0) (type solid))
(uuid 885a52ff-0d40-4b5a-96ef-34071cdada78)
)
(wire (pts (xy 142.24 137.16) (xy 147.32 137.16))
(stroke (width 0) (type default))
(uuid 8bf36ffc-1220-4b1a-aee3-74c9cdc2619a)
)
(wire (pts (xy 142.24 124.46) (xy 147.32 124.46))
(stroke (width 0) (type default))
(uuid 8ddabdae-b968-4085-9c60-87881a00e076)
)
(wire (pts (xy 240.03 86.36) (xy 256.54 86.36))
(stroke (width 0) (type default))
(uuid 8efc50d2-894c-4d34-886f-afe60d25d8ec)
)
(wire (pts (xy 256.54 81.28) (xy 266.7 81.28))
(stroke (width 0) (type default))
(uuid 8f0c5e29-25b4-481b-8917-f73088d9582f)
)
(wire (pts (xy 142.24 149.86) (xy 147.32 149.86))
(stroke (width 0) (type default))
(uuid 8f54b536-56ba-4032-8ce4-76c78988b842)
)
(wire (pts (xy 246.38 134.62) (xy 248.92 134.62))
(stroke (width 0) (type solid))
(uuid 8fd9957b-2e5b-421e-ae87-6ee5d1f8103c)
)
(wire (pts (xy 261.62 144.78) (xy 275.59 144.78))
(stroke (width 0) (type default))
(uuid 90c4a2c7-3dc5-4607-96ab-f843386cb28b)
)
(wire (pts (xy 215.9 38.1) (xy 226.06 38.1))
(stroke (width 0) (type default))
(uuid 95d45bd7-c125-44ff-aa88-532a96b28954)
)
(wire (pts (xy 142.24 139.7) (xy 147.32 139.7))
(stroke (width 0) (type default))
(uuid 973a0400-6cd8-49a0-ad82-853628c25b07)
)
(wire (pts (xy 38.1 33.02) (xy 27.94 33.02))
(stroke (width 0) (type solid))
(uuid 9a092beb-e12e-42e5-95ac-363db6cc4cba)
)
(wire (pts (xy 240.03 81.28) (xy 256.54 81.28))
(stroke (width 0) (type default))
(uuid a34aea57-8d53-41ce-bc4b-f75d99294f67)
)
(wire (pts (xy 142.24 88.9) (xy 147.32 88.9))
(stroke (width 0) (type default))
(uuid a6e4c4ea-29c4-4272-9fed-1a744e8d531b)
)
(wire (pts (xy 142.24 134.62) (xy 147.32 134.62))
(stroke (width 0) (type default))
(uuid ab032efd-9614-43a1-a959-97d2b17766f3)
)
(wire (pts (xy 264.16 38.1) (xy 264.16 48.26))
(stroke (width 0) (type default))
(uuid acb5f14f-0131-41e2-b497-aa618d1db3e6)
)
(wire (pts (xy 264.16 48.26) (xy 246.38 48.26))
(stroke (width 0) (type default))
(uuid acb5f14f-0131-41e2-b497-aa618d1db3e7)
)
(wire (pts (xy 246.38 127) (xy 246.38 134.62))
(stroke (width 0) (type solid))
(uuid ad2053bd-d0c7-4e20-aa82-403fd13c5dfc)
)
(wire (pts (xy 142.24 127) (xy 147.32 127))
(stroke (width 0) (type default))
(uuid b4bf43f6-dae0-446f-9d2f-7b14f4b600f6)
)
(wire (pts (xy 38.1 25.4) (xy 50.8 25.4))
(stroke (width 0) (type solid))
(uuid b5db1adf-80c3-4d17-b464-83a825a0739c)
)
(wire (pts (xy 50.8 25.4) (xy 53.34 25.4))
(stroke (width 0) (type solid))
(uuid b5db1adf-80c3-4d17-b464-83a825a0739d)
)
(wire (pts (xy 53.34 25.4) (xy 55.88 25.4))
(stroke (width 0) (type solid))
(uuid b5db1adf-80c3-4d17-b464-83a825a0739e)
)
(wire (pts (xy 275.59 137.16) (xy 271.78 137.16))
(stroke (width 0) (type solid))
(uuid b600d9a3-57f4-47f5-bdc8-4afcd0500356)
)
(wire (pts (xy 142.24 132.08) (xy 147.32 132.08))
(stroke (width 0) (type default))
(uuid b82f3100-b230-4de3-83a4-c02bad62e36a)
)
(wire (pts (xy 35.56 78.74) (xy 40.64 78.74))
(stroke (width 0) (type default))
(uuid b8e6a375-1973-4662-bd47-1eb4bb1fd3b6)
)
(wire (pts (xy 129.54 25.4) (xy 127 25.4))
(stroke (width 0) (type solid))
(uuid be3f63d2-8a6e-4f22-be90-7c20a2a92185)
)
(wire (pts (xy 132.08 25.4) (xy 129.54 25.4))
(stroke (width 0) (type solid))
(uuid be3f63d2-8a6e-4f22-be90-7c20a2a92186)
)
(wire (pts (xy 144.78 25.4) (xy 132.08 25.4))
(stroke (width 0) (type solid))
(uuid be3f63d2-8a6e-4f22-be90-7c20a2a92187)
)
(wire (pts (xy 142.24 160.02) (xy 147.32 160.02))
(stroke (width 0) (type default))
(uuid c3cf4cee-f70d-4f2f-a863-3406e865d33c)
)
(wire (pts (xy 275.59 142.24) (xy 261.62 142.24))
(stroke (width 0) (type solid))
(uuid d073120f-d220-40fd-aac7-e23abe925c76)
)
(wire (pts (xy 144.78 33.02) (xy 154.94 33.02))
(stroke (width 0) (type solid))
(uuid d2dfe2fd-4d11-4340-8252-fe155695210c)
)
(wire (pts (xy 142.24 93.98) (xy 147.32 93.98))
(stroke (width 0) (type default))
(uuid da48174e-b6e5-4a3a-9274-954a33bb70a3)
)
(wire (pts (xy 246.38 27.94) (xy 246.38 30.48))
(stroke (width 0) (type default))
(uuid df980830-aeb3-4763-b43e-a84bfe09a39e)
)
(wire (pts (xy 142.24 129.54) (xy 147.32 129.54))
(stroke (width 0) (type default))
(uuid e8b7044f-dd5a-46a1-a7c4-34420683275f)
)
(wire (pts (xy 246.38 27.94) (xy 254 27.94))
(stroke (width 0) (type default))
(uuid eb3d15bd-1cc3-433a-bd14-45e8fe7d5f4f)
)
(wire (pts (xy 142.24 157.48) (xy 147.32 157.48))
(stroke (width 0) (type default))
(uuid eebdefe4-ca9e-4c25-8a39-fe8e775bd787)
)
(wire (pts (xy 27.94 25.4) (xy 38.1 25.4))
(stroke (width 0) (type solid))
(uuid f0d9ad66-8c8d-47a1-b19b-e80b45c8a8ab)
)
(wire (pts (xy 275.59 139.7) (xy 261.62 139.7))
(stroke (width 0) (type solid))
(uuid f249a689-1f78-4446-ae7a-7edd3473e34b)
)
(wire (pts (xy 142.24 142.24) (xy 147.32 142.24))
(stroke (width 0) (type default))
(uuid f4d2ec30-22b2-4f0d-a595-8c5b1398f1c3)
)
(wire (pts (xy 35.56 104.14) (xy 40.64 104.14))
(stroke (width 0) (type default))
(uuid f5076701-aa10-49ab-af66-39ec889c3c96)
)
(label "FPGA_TMS" (at 242.57 71.12 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 05e97c69-7870-4d3f-b5d9-843b728eeaf8)
)
(label "FPGA_PROG" (at 242.57 81.28 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 3a17c7b8-a7bf-4a4d-a4b0-ba3b3ba928b0)
)
(label "FPGA_DONE" (at 242.57 78.74 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 4fad2030-3072-4425-8061-c5826c58c83a)
)
(label "FPGA_TMS" (at 264.16 134.62 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 731abb49-553f-4a22-a444-a40ab1fbd7ea)
)
(label "FPGA_TCK" (at 242.57 73.66 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 8d716ff4-dedf-400d-b2db-ba5f74ec4eed)
)
(label "FPGA_SUSP" (at 242.57 86.36 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 8e2616de-8ba3-437e-a9dd-9139f00d784c)
)
(label "CRESET" (at 264.16 144.78 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid 998bdde0-96e4-4b0f-b83f-8ca9f4374e00)
)
(label "FPGA_TCK" (at 264.16 137.16 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid a4288645-6aab-4c90-818c-12a8dc58ae5e)
)
(label "FPGA_TDO" (at 242.57 68.58 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid c4d0071e-ef4e-4756-ab3a-1b321c85d764)
)
(label "FPGA_TDI" (at 242.57 66.04 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid c8a08bdc-e661-4a95-b3f3-d8b550b742f2)
)
(label "FPGA_TDI" (at 264.16 142.24 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid eb248767-bdc0-40cc-a019-7a9bc2a8d72d)
)
(label "FPGA_TDO" (at 264.16 139.7 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left bottom))
(uuid f01dfdbf-bdd5-47a4-8024-1e089c433255)
)
(global_label "EPDC_D6" (shape output) (at 147.32 165.1 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 0eb92caf-b43c-4278-b95c-784da89a9c4d)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 158.044 165.0206 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "EPDC_SDCLK" (shape output) (at 147.32 132.08 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 12d63a81-6e0a-46c1-a5cc-f48f1377ebb8)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 161.6121 132.0006 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "EPDC_D9" (shape output) (at 147.32 129.54 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 16dd1f82-71d4-4ce2-b2ba-3855c0245f42)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 158.044 129.4606 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "TMDS_D2N" (shape input) (at 35.56 60.96 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 1b4def86-4daa-4514-8aa9-04f0b533f1fd)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 23.5529 60.96 0)
(effects (font (size 1.27 1.27)) (justify right) hide)
)
)
(global_label "TMDS_CKN" (shape input) (at 35.56 101.6 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 228bb3c3-93fa-409a-8736-fb3981018baa)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 23.4924 101.6 0)
(effects (font (size 1.27 1.27)) (justify right) hide)
)
)
(global_label "EPDC_D13" (shape output) (at 147.32 137.16 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 2bf36717-8f84-4d62-aa05-b51d63179bdc)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 159.2535 137.0806 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "VIN_HPD" (shape output) (at 35.56 139.7 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 2da45442-7b8c-48b0-9232-6382b0833257)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 24.7128 139.7 0)
(effects (font (size 1.27 1.27)) (justify right) hide)
)
)
(global_label "FPGA_DONE" (shape output) (at 266.7 78.74 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 322e78c4-7426-4ef9-8e1c-e897c39f83ee)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 279.8779 78.6606 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "EPDC_D11" (shape output) (at 147.32 127 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 3439329d-fb7b-4e1f-90e0-2f77ef37a52e)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 159.2535 126.9206 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "EPDC_GDOE" (shape output) (at 147.32 88.9 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 3a2ba441-11bc-40eb-98a8-e4bf659d2e38)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 160.584 88.8206 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "EPDC_D3" (shape output) (at 147.32 152.4 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 3d65c51a-3dbf-46aa-84cb-33a6569276fe)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 158.044 152.3206 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "EPDC_D0" (shape output) (at 147.32 149.86 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 40a76925-00f9-49f2-8bdb-ea8d19e84643)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 158.044 149.7806 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "EPDC_GDSP" (shape output) (at 147.32 124.46 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 49a66e81-eb4c-4b0f-bf19-d50da3adadc4)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 160.584 124.3806 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "TMDS_D2P" (shape input) (at 35.56 63.5 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 505e6627-9236-4414-9b05-091a14ed22ea)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 23.6134 63.5 0)
(effects (font (size 1.27 1.27)) (justify right) hide)
)
)
(global_label "EPDC_D15" (shape output) (at 147.32 134.62 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 5463e5ca-1d81-4e21-a5e2-6b85c209085b)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 159.2535 134.5406 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "TMDS_CKP" (shape input) (at 35.56 104.14 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 70fbba88-1574-4c7b-82c6-67e6a504cd31)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 23.5529 104.14 0)
(effects (font (size 1.27 1.27)) (justify right) hide)
)
)
(global_label "EPDC_D4" (shape output) (at 147.32 160.02 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 7aab3fb4-d70c-46a5-b864-dabc79406c8f)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 158.044 159.9406 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "EPDC_SDOE" (shape output) (at 147.32 157.48 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 894671c0-9fea-482c-9014-0469fab60874)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 160.5235 157.4006 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "TMDS_D1P" (shape input) (at 35.56 78.74 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 8d5b2ca4-7b89-4c14-9cf9-de491b1900cd)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 23.6134 78.74 0)
(effects (font (size 1.27 1.27)) (justify right) hide)
)
)
(global_label "EPDC_SDCE0" (shape output) (at 147.32 142.24 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 99565f69-35e5-4571-8946-d5147bbe6077)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 161.6726 142.1606 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "EPDC_D10" (shape output) (at 147.32 121.92 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 9d57c3f7-e566-48c0-89a4-3454b92dee99)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 159.2535 121.8406 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "FPGA_PROG" (shape input) (at 266.7 81.28 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid ae96088a-82d0-4cb8-b7fa-04ec7a27238c)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 279.843 81.2006 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "GCLK" (shape output) (at 215.9 38.1 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid af998d19-7194-45d4-a2e9-da4fec2ec8d5)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 208.6488 38.0206 0)
(effects (font (size 1.27 1.27)) (justify right) hide)
)
)
(global_label "FPGA_SUSP" (shape input) (at 266.7 86.36 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid b2760bea-cccb-4eb9-8fc6-a6ee8e96c66f)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 279.7221 86.2806 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "EPDC_D8" (shape output) (at 147.32 170.18 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid bacee4aa-76d4-433c-9bfd-3e4500b27448)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 158.044 170.1006 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "TMDS_D1N" (shape input) (at 35.56 76.2 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid c4ddff39-530e-44d9-a518-24c33807806d)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 23.5529 76.2 0)
(effects (font (size 1.27 1.27)) (justify right) hide)
)
)
(global_label "TMDS_D0N" (shape input) (at 35.56 86.36 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid c5d86e31-5c8f-4d40-b4fc-c31abc37a70d)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 23.5529 86.36 0)
(effects (font (size 1.27 1.27)) (justify right) hide)
)
)
(global_label "EPDC_D7" (shape output) (at 147.32 167.64 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid cdf14c68-7a98-4dd2-af79-3e7ea0bffbd1)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 158.044 167.5606 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "EPDC_D14" (shape output) (at 147.32 139.7 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid d26ace7c-a0ab-4bc6-93a9-5a8e7e6771a1)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 159.2535 139.6206 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "EPDC_D2" (shape output) (at 147.32 154.94 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid d2dd493a-9629-4a93-bbd4-9829b5f41036)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 158.044 154.8606 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "EPDC_SDLE" (shape output) (at 147.32 180.34 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid d4735488-d0a9-4c99-aa35-ae029517b810)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 160.2211 180.2606 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "TMDS_D0P" (shape input) (at 35.56 88.9 180) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify right))
(uuid e2739cff-cdf1-4448-9dc0-a983ac2495d3)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 23.6134 88.9 0)
(effects (font (size 1.27 1.27)) (justify right) hide)
)
)
(global_label "EPDC_D5" (shape output) (at 147.32 162.56 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid e9b0faa6-5c9f-437b-9209-09b9caf14359)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 158.044 162.4806 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "EPDC_GDCLK" (shape output) (at 147.32 93.98 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid ef30d513-4bb6-4c85-9f2c-ee164775fe6f)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 161.6726 93.9006 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "EPDC_D12" (shape output) (at 147.32 144.78 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid f2bcb96b-041c-44a9-ab7c-6074d0267fb3)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 159.2535 144.7006 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(global_label "EPDC_D1" (shape output) (at 147.32 147.32 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid fa04bb8a-be51-4a1e-ba71-324e2478039f)
(property "Intersheetrefs" "${INTERSHEET_REFS}" (at 158.044 147.2406 0)
(effects (font (size 1.27 1.27)) (justify left) hide)
)
)
(symbol (lib_id "power:GND") (at 246.38 147.32 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 024dbc69-0743-4ce7-ac41-9cb4f67ca0cc)
(property "Reference" "#PWR044" (at 246.38 153.67 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 246.507 151.7142 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 246.38 147.32 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 246.38 147.32 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 29d86f5c-d934-4f42-82ea-4a3a1a6b3b4b))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "#PWR044") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 256.54 68.58 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 03771b95-ba08-4079-8921-4c79704ee819)
(property "Reference" "#PWR045" (at 256.54 72.39 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 256.54 63.5 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 256.54 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 256.54 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 23956a10-fbc8-45d0-a414-884b3a66e723))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "#PWR045") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C") (at 264.16 34.29 0) (mirror x) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 0b002a0e-c9be-493d-9710-b413c99ccb62)
(property "Reference" "C30" (at 269.24 33.02 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "220nF" (at 269.24 35.56 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (at 265.1252 30.48 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 264.16 34.29 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C880414" (at 264.16 34.29 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Ref.Price" "0.0036" (at 264.16 34.29 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 670f40cf-266e-4617-8bfd-2579f12c508a))
(pin "2" (uuid 27ce76a0-99c6-4026-b3ab-07fadc19c8ad))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "C30") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R") (at 256.54 72.39 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 1224fb12-b855-42a2-9ec0-12b740b1347e)
(property "Reference" "R13" (at 260.35 71.12 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "4.7K" (at 260.35 73.66 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (at 258.318 72.39 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 256.54 72.39 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C105870" (at 256.54 72.39 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Ref.Price" "0.0006" (at 256.54 72.39 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 26e3d268-6119-4780-bc36-fef0c1599967))
(pin "2" (uuid 47ab11e2-4a3f-49d8-8bac-2d409cb13e42))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "R13") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C") (at 38.1 29.21 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 182883b6-e752-4629-8d3c-cf3e85783b31)
(property "Reference" "C27" (at 41.021 28.0416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "220nF" (at 41.021 30.353 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (at 39.0652 33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 38.1 29.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C880414" (at 38.1 29.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Ref.Price" "0.0036" (at 38.1 29.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ba316d59-0ad5-44cb-b16d-a77576a29e37))
(pin "2" (uuid a7cbe84d-2520-4a8e-9c9c-647fc47454a3))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "C27") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R") (at 34.29 45.72 90) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 1e2afb7b-36e7-40b3-80fc-c3f22c82b74f)
(property "Reference" "R10" (at 30.5816 43.942 90)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "4.7K" (at 41.783 43.942 90)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (at 34.29 47.498 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 34.29 45.72 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C105870" (at 34.29 45.72 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Ref.Price" "0.0006" (at 34.29 45.72 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f9097905-7c8b-4bdd-8310-89312fd74efd))
(pin "2" (uuid 16fc559e-709d-4058-9301-64f82454ef16))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "R10") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 271.78 124.46 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 2dc4d965-5707-4371-9b36-57f2385ee9ae)
(property "Reference" "#PWR048" (at 271.78 128.27 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 271.78 119.38 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 271.78 124.46 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 271.78 124.46 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 4f356077-dbf0-4cde-a1c5-c4b7a4831271))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "#PWR048") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R") (at 256.54 90.17 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 313cf671-2598-4db5-ab8f-e3b500b6d10f)
(property "Reference" "R14" (at 260.35 88.9 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "4.7K" (at 260.35 91.44 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (at 258.318 90.17 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 256.54 90.17 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C105870" (at 256.54 90.17 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Ref.Price" "0.0006" (at 256.54 90.17 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a4d58772-ebda-4d95-9906-31bc81a0d3e5))
(pin "2" (uuid 98da828f-c5cb-436c-b082-662f406ccbfb))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "R14") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C") (at 154.94 29.21 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 446943bb-7101-4459-89a9-f1a823afbc67)
(property "Reference" "C29" (at 152.019 28.0416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "220nF" (at 152.019 30.353 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (at 153.9748 33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 154.94 29.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C880414" (at 154.94 29.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Ref.Price" "0.0036" (at 154.94 29.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid a9763e89-e4e0-4205-a542-c050b2b9f324))
(pin "2" (uuid 4335a15e-3603-4d16-8164-7f40e79344e2))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "C29") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C") (at 27.94 29.21 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 4c795ea2-01d7-42c5-8cb0-dadf82d19b56)
(property "Reference" "C26" (at 30.861 28.0416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "220nF" (at 30.861 30.353 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (at 28.9052 33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 27.94 29.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C880414" (at 27.94 29.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Ref.Price" "0.0036" (at 27.94 29.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 0bc511f0-415b-48e5-92f5-e64fff3fe974))
(pin "2" (uuid 3f2a40bf-eb4d-4ecc-a744-17db94533169))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "C26") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 154.94 33.02 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 5cffc813-a06a-4496-926f-15577aa4b8fd)
(property "Reference" "#PWR040" (at 154.94 39.37 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 154.813 37.4142 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 154.94 33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 154.94 33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ca176f84-85d6-46c0-8603-7c4482f1452e))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "#PWR040") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 246.38 50.8 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 6f9711b3-6e56-4b43-b3ea-45bed898b33c)
(property "Reference" "#PWR042" (at 246.38 57.15 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 246.253 55.1942 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 246.38 50.8 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 246.38 50.8 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 7255bfe3-4493-4111-ae7f-572d970843ad))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "#PWR042") (unit 1)
)
)
)
)
(symbol (lib_id "FPGA_Xilinx_Spartan6:XC6SLX16-FTG256") (at 91.44 104.14 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 843bb313-2b9a-483f-8d1e-801cfeca0b81)
(property "Reference" "U5" (at 91.44 185.42 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "XC6SLX16-FTG256" (at 91.44 187.96 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "footprints:Xilinx_FTG256" (at 91.44 104.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 91.44 104.14 0)
(effects (font (size 1.27 1.27)))
)
(property "LCSC" "C39313" (at 91.44 104.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Ref.Price" "7.4837" (at 91.44 104.14 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "A10" (uuid 67323068-ccf5-487c-88bc-e1ef3616bdcf))
(pin "A11" (uuid 16f87460-f5aa-45af-966f-ca0cba470670))
(pin "A12" (uuid a92c1298-261e-4145-841e-894ad4861bd6))
(pin "A13" (uuid 7a0a058f-fa02-44fc-9c8d-cd9ba65d2c4c))
(pin "A14" (uuid 0a936ec4-6b72-4102-9f6b-f06edab7d899))
(pin "A4" (uuid 5ba236dc-22db-4ce8-bd76-1834a3778412))
(pin "A5" (uuid f570bc79-6327-474e-bfe3-ac15f726fda7))
(pin "A6" (uuid 9345fae2-f632-4d70-a57d-8dc2bff1c361))
(pin "A7" (uuid bc0ba6bd-61e5-49c1-b115-a605f5af0559))
(pin "A8" (uuid 18da16dd-e7a0-48ea-ad12-934ad350f2fe))
(pin "A9" (uuid 4d1ee01c-b0db-494d-86a3-3a246aae6c2f))
(pin "B10" (uuid 88c66f9c-7aa4-4206-a522-47801c229e54))
(pin "B12" (uuid c5fffbc7-236d-4610-b77c-73b1fea8ef9d))
(pin "B13" (uuid 7e000499-69a7-4e41-9df3-33220e47ab7d))
(pin "B14" (uuid ce70d930-1a39-4bc1-8365-9c8bf94d24ea))
(pin "B15" (uuid 2a91bcf4-85f6-47f8-a0a2-5a5f7afb8dc2))
(pin "B16" (uuid 07871a3f-4052-4f45-bb19-f6ac9608b314))
(pin "B4" (uuid 95d7132b-c049-4710-ad0d-68db84f1c9f3))
(pin "B5" (uuid dcd4f31a-6ea5-4b07-9399-a26aff7e245b))
(pin "B6" (uuid 2db857ff-39d5-400e-9834-926e9926877a))
(pin "B8" (uuid 80db3a1f-aba6-416d-9dd3-c1d00c8fa32e))
(pin "B9" (uuid 3de7d388-6f58-4f45-92f7-b0484312bb93))
(pin "C10" (uuid bed41f3b-b28f-4b9c-afab-582b75da9ffa))
(pin "C11" (uuid 563af543-479a-4743-99c0-7d9d6b000734))
(pin "C13" (uuid 80ed7de0-b058-4ec4-8ee5-3f9d17602ba0))
(pin "C15" (uuid 1bb76b7e-d84c-485a-8df5-33992a42f5ad))
(pin "C16" (uuid ff1192ae-4d3e-4f8d-a2b1-0a80fc528468))
(pin "C4" (uuid a3832c10-1d92-4f7a-b32f-d0dcea06a450))
(pin "C5" (uuid 60739d62-31d0-4772-afff-f8d31a45b294))
(pin "C6" (uuid 2c9d6d2f-9de4-4f05-a2da-ef2542e38f94))
(pin "C7" (uuid b947cf33-f0cd-4ffa-902d-84c7c7e19aa2))
(pin "C8" (uuid 0863654b-28ef-4c14-8b06-1ecbfdbe4b36))
(pin "C9" (uuid 4db667cd-11f2-4342-b0ee-2955365bd99b))
(pin "D10" (uuid ded2cace-e8cb-41f8-820d-77e684bbad49))
(pin "D11" (uuid 77ad53fb-d104-4d49-9d03-a0e66d9453ba))
(pin "D12" (uuid 00bed643-f492-4eaf-9c48-b2cc86c7fa14))
(pin "D14" (uuid d61ce734-ebad-4463-8b6a-ccef08bb78dd))
(pin "D15" (uuid 111e2cba-725d-4dd4-944d-42d54a140b93))
(pin "D16" (uuid c1c583ce-4045-45d0-ac20-0f8ee6347b91))
(pin "D5" (uuid 09666fcf-41d4-4a9c-8f8e-10f8e2123afa))
(pin "D6" (uuid e02831d2-ca52-4335-9ae5-ad2e6a18c79a))
(pin "D7" (uuid dec9324f-a42b-4449-8d77-2c2ab46a2e30))
(pin "D8" (uuid b5deab3f-56da-48c1-8956-8ce206f9f06a))
(pin "D9" (uuid bc8ad9c1-75c4-48d5-9929-66f8de2739d5))
(pin "E10" (uuid c2d3ed57-c19a-4fbb-98ac-9c7950a51621))
(pin "E11" (uuid 53b66627-ac13-452f-a8eb-4abedb454d99))
(pin "E12" (uuid 6f818819-5474-4f1c-b1c5-352a359f5784))
(pin "E13" (uuid 8ed1454b-843f-4b25-a97a-91f29d28af99))
(pin "E15" (uuid f3246123-c9a0-49e3-9ad0-13a65905416c))
(pin "E16" (uuid 56085066-c57b-43bc-9e2a-e2251ca05012))
(pin "E6" (uuid b47e4c7a-a2f0-4107-9368-aee2dca0741d))
(pin "E7" (uuid 5ce10597-7f02-4b85-b062-607cf6b6ba81))
(pin "E8" (uuid 78749fd6-b0c8-44d3-b395-3f8f9ff2d5f1))
(pin "F10" (uuid 3b6250d3-d936-4fbe-a036-2a18c1896918))
(pin "F12" (uuid 3600f28f-4464-4ad3-b5fd-f19a0b907137))
(pin "F13" (uuid 24cb3923-dcfe-429d-b8f7-9940285763d1))
(pin "F14" (uuid 61b3a2a8-86e7-4e80-84e7-741f9f1bbcdd))
(pin "F15" (uuid 28e68dd6-b624-491e-a843-f8e658d089b1))
(pin "F16" (uuid c484ac17-ac53-4dbc-97a7-6762e4b3e86a))
(pin "F7" (uuid 4bd59859-1e92-4bce-aa7b-1b1e4ab0bcfa))
(pin "F9" (uuid 9dda5ae1-c6ca-4f35-8a5a-1544d5bcd25e))
(pin "G11" (uuid b3759d5a-1f96-460f-a949-3b17fb3586f0))
(pin "G12" (uuid f4863b5f-1fc0-4b92-8e47-539d509505fb))
(pin "G13" (uuid 03bb1811-4ba7-4b02-a25c-e151267677bf))
(pin "G14" (uuid 6603e61e-cf65-443c-9447-08727fcfa931))
(pin "G16" (uuid 3daf04ac-535e-45ae-a21d-03f532bbf616))
(pin "H11" (uuid 75c32875-f0ca-4afb-8485-f3f8063a5a8e))
(pin "H13" (uuid 61faab4c-0d66-4d33-8d6f-e63c4d6786f7))
(pin "H14" (uuid 2899e8e1-2157-4fa6-a070-2430107076cc))
(pin "H15" (uuid 5ce38ee1-c490-4f7c-bc63-d57a87d45d87))
(pin "H16" (uuid b98a46c1-c4fe-497a-96b4-c6e52cc04dc3))
(pin "J11" (uuid 7fac6351-d19b-4ecc-82ae-e42da183b3bb))
(pin "J12" (uuid 92af5640-4b93-486b-83d5-4ae3b6298d54))
(pin "J13" (uuid fefc3e5d-7c25-44dc-bb7f-575a6bb6e111))
(pin "J14" (uuid c2b83732-de06-4f98-bf35-babab1fbf5ac))
(pin "J15" (uuid 7e66b4d0-33b3-49b6-b58f-8c8070bb6986))
(pin "J16" (uuid 2a967f50-a7f5-482c-8e45-7883082ad579))
(pin "K11" (uuid 0bb434a9-4fb1-4385-a8b3-17a00d87d938))
(pin "K12" (uuid 3acc0f55-a702-42d4-99af-3385f88e1c32))
(pin "K13" (uuid d812789b-49e8-409c-83b6-e3fdb8893b45))
(pin "K14" (uuid bbc6a6d7-0970-4a21-a9bf-e07047d9e282))
(pin "K15" (uuid 0811dfec-a4e3-4fa3-a7a3-f37221eaf90d))
(pin "K16" (uuid 64c2705e-d20f-405f-9ccd-870c753b536b))
(pin "L12" (uuid c4c4f948-4593-47ae-8109-bb36ddb527fe))
(pin "L13" (uuid c62f1768-e15b-4f0d-a40e-01b2930dcb87))
(pin "L14" (uuid d7263bf5-f95d-498f-b799-4a832f90070b))
(pin "L16" (uuid b26a4f61-3b01-4d64-930e-3e81d670c25a))
(pin "M13" (uuid 3e0b4eff-9892-441a-9d6e-98d34304b0f3))
(pin "M14" (uuid 6f55d5c9-3855-4ad5-aace-d8348b069385))
(pin "M15" (uuid bda298b9-4837-4fd0-8829-abcd2484cf35))
(pin "M16" (uuid be991bd9-b56f-4dfc-a950-10a2ed125dd0))
(pin "N14" (uuid 39441eca-ed1e-4d17-bcf3-4447f8c69302))
(pin "N15" (uuid 8204c153-ffbd-4f1e-bfb0-50cb304645da))
(pin "N16" (uuid 33541398-693c-4d40-b0a9-217913a024ce))
(pin "P15" (uuid 707db11b-5835-4346-98db-3e7aedd67d19))
(pin "P16" (uuid c3cbe834-7206-445e-8429-57d11ae053c6))
(pin "R12" (uuid a9fac892-9de3-4ae2-9f1b-dc86ecb167d7))
(pin "R13" (uuid b273ec0a-e264-40f2-831d-0ab72e7e13c2))
(pin "R14" (uuid be0d5a84-1a26-4fc0-a910-7284f5cc8ec4))
(pin "R15" (uuid 368ec68b-9732-4524-a224-f66416b21e6d))
(pin "R16" (uuid b458de47-028b-4cf5-8ec5-95f18251bdf5))
(pin "T12" (uuid 048129db-380c-4be3-a2a2-83fc81b66fa2))
(pin "T13" (uuid c96a885f-a907-48a2-a775-811ff7fca8ad))
(pin "T14" (uuid 89417c8f-5563-404c-94b2-b76a6d7f3936))
(pin "T15" (uuid 13146871-bcea-458f-8747-f236bc63aaa0))
(pin "A2" (uuid cac4cb5b-e63c-457b-a79c-bea33a93cd26))
(pin "A3" (uuid 881dbe00-16d7-4c23-8f18-9a0f36ab8ce2))
(pin "B1" (uuid 5ffb2c61-5500-468e-aeba-77b574c55d07))
(pin "B2" (uuid 4d308664-9ee4-4857-9762-84640b12919f))
(pin "B3" (uuid fcc64e30-6c1e-496d-b0bf-151bce2f9185))
(pin "C1" (uuid 7c84763f-03a2-4473-b89b-6299dc0dffc2))
(pin "C2" (uuid 48cd8709-e809-4db2-8e4b-8c57939935a5))
(pin "C3" (uuid 9b664497-1f91-42a8-95b1-ac7143071bb1))
(pin "D1" (uuid 20e957ec-c10e-4701-b8fb-397af96a0f5c))
(pin "D2" (uuid 6a2cec3c-4272-4f4d-8f71-cc46aa808d2e))
(pin "D3" (uuid e475688b-080b-4cca-82ee-c6151b0d52d3))
(pin "E1" (uuid 4c477b77-85b5-41dd-9a3b-f64ee1bb3355))
(pin "E2" (uuid ae5e78b5-40e9-4f66-9d88-fd162eafeba1))
(pin "E3" (uuid 1cf6bf54-f69b-4eb7-8872-e4b7e7219071))
(pin "E4" (uuid 331313ee-3dd1-4496-a69f-7760f47be236))
(pin "F1" (uuid 4bd5052c-2844-45f9-9aa0-c80a4a6b81a8))
(pin "F2" (uuid a49a1251-0ec5-4786-8006-aff3393b3575))
(pin "F3" (uuid 8ea5d9f4-370a-46be-8733-12990fcb87d9))
(pin "F4" (uuid 45bde3c0-f85d-429a-8751-58ac0fe434d5))
(pin "F5" (uuid b368f36e-2b54-4bc6-910d-9c2edb22a06a))
(pin "F6" (uuid 284027d9-036e-4f66-8dbc-027a0942f24b))
(pin "G1" (uuid b9a177a0-55b2-44ff-9beb-26383e3e930e))
(pin "G3" (uuid 1f768bbf-7eae-4e07-b9e7-ce6c471a2c77))
(pin "G4" (uuid c4e839e0-dc66-4ce9-992b-06efef9ff9ac))
(pin "G5" (uuid 934b2455-60d3-44c8-b101-2514464ad690))
(pin "G6" (uuid cbba71f9-90b1-40e7-8a20-8f0ccd6edfbd))
(pin "H1" (uuid 1ad9de27-27e9-4c6c-aa65-fcc1365d878d))
(pin "H2" (uuid b3aa386b-0808-4711-9438-d810cde896ba))
(pin "H3" (uuid be7d409c-cbb9-4e32-89b2-f8b022c81a27))
(pin "H4" (uuid c1a4358d-5c99-4e6a-a7bd-bbfdae258cda))
(pin "H5" (uuid 830d1ea0-92cc-4932-a2e3-41b7b0819e2f))
(pin "J1" (uuid 3c64c0ca-0467-43e4-b396-ab912c78a85c))
(pin "J2" (uuid cc4a8206-5f12-4e7e-9ebc-1bbc35c4b09f))
(pin "J3" (uuid 2a6585a0-76b4-482f-b445-1db5d31052bc))
(pin "J4" (uuid 97540e78-e393-40e2-8edf-895d369fa3e8))
(pin "J6" (uuid 8434f507-cc40-4d67-92ce-4e25671ce3ae))
(pin "K1" (uuid c71bc85d-2dc1-4678-8929-d81e81b26192))
(pin "K2" (uuid 39b078dc-8062-46ee-a3e3-c5b7a3aad6ec))
(pin "K3" (uuid 488c193a-fa49-4a7a-872f-724ae01b1950))
(pin "K4" (uuid 4c425bb4-fc56-4eed-aa99-34e067b8346b))
(pin "K5" (uuid 4c029c20-4bc2-4c0f-aabd-48f562f4bb9f))
(pin "K6" (uuid 02b94dfa-503b-4090-9555-eeaa05636ac7))
(pin "L1" (uuid d9a58a09-8b30-4b25-809a-387f3dcda45b))
(pin "L10" (uuid e4d75662-c60e-4e39-b7f4-2fbd334c6757))
(pin "L3" (uuid 5c5c2c67-4839-4df7-baba-6b21d34cddd2))
(pin "L4" (uuid 32bb1f46-ce8d-4b9b-9e50-1aa31957d539))
(pin "L5" (uuid 3252a2e3-b80a-4b6d-a1f6-a5964b4ee0f1))
(pin "L7" (uuid 3ac884b0-5432-4446-b1d9-888da38eec7e))
(pin "L8" (uuid 7403f31f-8af2-4945-b382-4bace59fe750))
(pin "M1" (uuid 9f1e6c7a-1f1b-4653-bd17-40b2369f0f1b))
(pin "M10" (uuid 98601e70-0f76-4abd-877d-184853ae84b9))
(pin "M11" (uuid 0eb31974-6351-45d9-8460-e43e6717843b))
(pin "M12" (uuid 5cf0986b-a304-4c9c-8efd-df945461143f))
(pin "M2" (uuid 57227f57-9cff-43e2-9029-8eeb8167fb5f))
(pin "M3" (uuid 4e77e079-5be1-4c3f-8621-1234211bff30))
(pin "M4" (uuid 51013327-0950-4037-8675-cc99c73a96b6))
(pin "M5" (uuid 24c96e56-68d0-4ffa-bb26-b05269eb73aa))
(pin "M6" (uuid 6a823b1e-f7ec-45f8-835d-39024da6d7e5))
(pin "M7" (uuid 0abc92f2-45ff-4f8c-9d0f-bee62adf52ec))
(pin "M9" (uuid d1754c20-57a6-40bf-8b41-c8d1e805aabd))
(pin "N1" (uuid a396976e-ebee-4554-bcb0-b478b170b67b))
(pin "N10" (uuid 0a2ef0aa-35fa-429b-96d4-b44763f70a76))
(pin "N11" (uuid c8b8fd91-e724-4494-8b80-f37e3dd40316))
(pin "N12" (uuid 63ab83bd-27ff-4dc6-8c24-41499fb20c69))
(pin "N2" (uuid d1a0d427-72ef-44fb-8e51-c26aa2b38ada))
(pin "N3" (uuid 2ef46898-5d61-412c-820d-015e3157890c))
(pin "N4" (uuid 0d420cdc-ea89-43af-bef9-4a5829dbf835))
(pin "N5" (uuid b4144ce4-811f-43e6-adf8-fdadade75c9f))
(pin "N6" (uuid 08633d69-f57a-450a-be35-a08302542e0e))
(pin "N7" (uuid 52149f6f-8597-481e-aa70-b16e2d7c8883))
(pin "N8" (uuid afec5af6-8bd4-4512-a656-c2b9a182e203))
(pin "N9" (uuid d6b5706c-4017-466b-b86b-bd8dfd5ecc09))
(pin "P1" (uuid 3a844c7b-ac9b-4d5b-83fd-fdbad25b3b11))
(pin "P10" (uuid 302895d7-7acd-40bb-9c38-2137bf13495a))
(pin "P11" (uuid a2e2878b-5baf-4de0-a4f3-d9163ee91778))
(pin "P12" (uuid 1c178741-065e-4883-a764-aed19ca14c1a))
(pin "P2" (uuid 3e82f709-3150-4a2a-b325-8a24226db8ca))
(pin "P4" (uuid 7b424dba-3449-403a-94b7-180e805fe6a2))
(pin "P5" (uuid 83b0f73e-5800-4237-912a-a9391d7c2952))
(pin "P6" (uuid 2a80b1d6-6b3e-435a-bd32-a8212df35580))
(pin "P7" (uuid cf136071-c357-4abd-8abb-89053ba21127))
(pin "P8" (uuid 224d5b94-5c2a-4131-8d5d-39bcac8968d3))
(pin "P9" (uuid b322969d-4dec-4ec7-8df5-03513b805708))
(pin "R1" (uuid a7dc032f-e3f6-4e8b-8b76-d64f5e597357))
(pin "R11" (uuid ff84e21d-608c-463f-b3ba-94911910be7b))
(pin "R2" (uuid 3f3bf896-009d-47cc-b50e-b538a2e751a8))
(pin "R3" (uuid dba6d755-2210-4bda-8e5e-7d03fe2a132c))
(pin "R4" (uuid d0234082-cc96-4b70-afde-4d1cc7833df3))
(pin "R5" (uuid d1025037-bfa3-41b0-8b3e-02f2aa5ffc0b))
(pin "R7" (uuid beec11b2-f084-4ae9-a942-b116578cd67c))
(pin "R8" (uuid 8270ba6c-e104-4dd2-aceb-533b0b008223))
(pin "R9" (uuid fe73ba5b-6ac9-4ebe-b827-2e2478bb05cb))
(pin "T10" (uuid b8fa6ac9-8eae-43d8-8217-09784a446703))
(pin "T11" (uuid 4896a9d5-b72b-442a-938c-5d1e1fbe5106))
(pin "T3" (uuid cfb9a083-8343-410e-afe2-e12e6259c353))
(pin "T4" (uuid 6097ac6e-1851-41a2-a716-2daaffa9a5b2))
(pin "T5" (uuid accc4e75-afe7-4560-8074-ba1d99c0f6f5))
(pin "T6" (uuid d320eba0-731b-4545-9025-32859c3e8ab5))
(pin "T7" (uuid 806ac22d-6d07-40bc-b6ed-4a151ae2f7b8))
(pin "T8" (uuid 73c19455-bee1-4962-b9a3-0149d3890efb))
(pin "T9" (uuid c880beed-864d-406f-bb3e-575796484b53))
(pin "A15" (uuid aa7f1f2d-3494-4048-939c-f88b596e888f))
(pin "C12" (uuid 576367e2-a010-4039-abd6-0bd4f3971820))
(pin "C14" (uuid 6967d6d7-5131-4f91-b842-dfe8573dcafa))
(pin "E14" (uuid 65356b4b-d1e8-4d0a-9035-96de916bf239))
(pin "L11" (uuid bc3b9a13-0f03-495f-b128-18e231422738))
(pin "P13" (uuid d02129bb-fe7b-464d-a9bb-b569c662f58a))
(pin "P14" (uuid 6a5c6d9a-ee18-4e8d-91bb-6c45cc2907bb))
(pin "T2" (uuid 944f1e91-c557-4f02-b82e-a9410c29a0fe))
(pin "A1" (uuid 47657fb4-1f63-47d6-bf60-073e16f60570))
(pin "A16" (uuid 9a8f5480-cdbd-40a6-88eb-3140d8c50a60))
(pin "B11" (uuid f396812e-53c1-4ba7-8bcb-b392cde16877))
(pin "B7" (uuid 305053de-8231-4521-876a-2335a55e1f18))
(pin "D13" (uuid 6fcc2c13-8795-458f-aea1-6893e7a78433))
(pin "D4" (uuid b043c84b-85e4-4724-a34e-9a852c409309))
(pin "E5" (uuid 38dcc612-4e9d-4a1a-b08b-34fa463e6b32))
(pin "E9" (uuid 24756adb-88e4-4125-815a-12b9c0cf6400))
(pin "F11" (uuid 6ca00cb4-d700-4bfe-b3fb-baa1409f4f7e))
(pin "F8" (uuid ab412e42-9916-45ee-afdd-62cc2947fa2b))
(pin "G10" (uuid e067faa2-596b-4c1d-9595-b91076ababbc))
(pin "G15" (uuid 9ba3b6b9-3ed8-4903-bffe-09b705177238))
(pin "G2" (uuid 0c35c810-57b6-4d5c-8fa1-e65143f6b513))
(pin "G7" (uuid c225c89d-3e18-47f0-8fff-eb31b66eec16))
(pin "G8" (uuid a35f5291-f825-4676-97a7-0effc2e6d29e))
(pin "G9" (uuid b93a8197-e4fa-4285-871e-d08ffb64488c))
(pin "H10" (uuid f1d98696-a48d-4c13-9abc-558a12fe1ee4))
(pin "H12" (uuid 9e464646-474e-481e-ad40-79f0150ed8cd))
(pin "H6" (uuid f0676c76-a8d1-4d2a-a03e-1d6d251716c8))
(pin "H7" (uuid 18ef7a3d-80c2-45b8-8a16-41dd0e316dec))
(pin "H8" (uuid 45dd1c0c-e02c-4b37-9fc8-d7f820fdffb8))
(pin "H9" (uuid 7b2c0821-8e97-4ea9-96fd-032500c9a891))
(pin "J10" (uuid 0240400f-ffd6-4554-aaf5-b98f434fa096))
(pin "J5" (uuid 2cc895ae-a715-4eae-bbe3-4842cf81c35d))
(pin "J7" (uuid c852c117-d4db-4ef7-9c48-266bcaba7d07))
(pin "J8" (uuid 1d7f3b12-8ee0-4baa-ab48-c5e9587a1c3d))
(pin "J9" (uuid 509cbe70-a1b5-4066-9e0d-c17a469015e4))
(pin "K10" (uuid ab49b2af-441e-4687-a0de-98a3d670e02c))
(pin "K7" (uuid b1217531-8cbf-49dc-8a78-3eb2b9bbca17))
(pin "K8" (uuid 1c4eb329-3072-4b05-bb6f-4c48fd1dbfe9))
(pin "K9" (uuid 66c72efd-99db-4afa-8477-77fdc4451e55))
(pin "L15" (uuid b237dd5b-91ee-49ba-9f0b-fc8f8538f943))
(pin "L2" (uuid 222e2191-c773-4836-b285-e49176dc8505))
(pin "L6" (uuid 256b1730-6183-49bb-b116-a5b667e5e45c))
(pin "L9" (uuid 5a08d4ee-cf08-4dbd-865d-966654481b2a))
(pin "M8" (uuid a911e243-be6e-49c3-b570-e4de62c3a752))
(pin "N13" (uuid bf1059d1-10c1-4744-8d8d-06523459eecd))
(pin "P3" (uuid fd2f9654-8099-4412-aa31-3eac63d32f99))
(pin "R10" (uuid 9405eed2-63c8-4fe3-92f1-8c596711ccb2))
(pin "R6" (uuid 4cd5200c-533d-436f-951c-e668a52d6a39))
(pin "T1" (uuid e539852c-11b3-4aea-837f-efc3a3c18994))
(pin "T16" (uuid cc0b622f-1bf2-41c9-bbbb-609009051a74))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "U5") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 246.38 127 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 8a0946a6-4768-43b2-982d-8080817ec959)
(property "Reference" "#PWR043" (at 246.38 130.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 246.38 121.92 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 246.38 127 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 246.38 127 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 60aae73d-3110-4d8f-b2fd-a08cf859ace0))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "#PWR043") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 27.94 33.02 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 8e17d773-01d8-464e-b376-2855b9612b32)
(property "Reference" "#PWR037" (at 27.94 39.37 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 28.067 37.4142 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 27.94 33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 27.94 33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 284096c8-d7a5-43ab-8d18-4ba86b982304))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "#PWR037") (unit 1)
)
)
)
)
(symbol (lib_id "FPGA_Xilinx_Spartan6:XC6SLX16-FTG256") (at 201.93 78.74 0) (unit 3)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid 8f428b5a-547b-42c2-af44-92a05d9182ab)
(property "Reference" "U5" (at 205.0415 58.42 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "XC6SLX16-FTG256" (at 205.0415 60.96 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "footprints:Xilinx_FTG256" (at 201.93 78.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 201.93 78.74 0)
(effects (font (size 1.27 1.27)))
)
(property "LCSC" "C39313" (at 201.93 78.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Ref.Price" "7.4837" (at 201.93 78.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "A10" (uuid 8896154f-8a16-4fd6-9fdb-211eaad21b00))
(pin "A11" (uuid e15aade6-e766-406f-828c-214f0c63efe8))
(pin "A12" (uuid 0e1c1bfe-46c1-45a7-8a5d-45600ee980e7))
(pin "A13" (uuid 4da665cb-855e-4015-a9cb-8b1fbb7daa76))
(pin "A14" (uuid f9535f1e-192f-4e66-b71b-66c2c4d70050))
(pin "A4" (uuid 24440644-d076-4c50-ae64-d41770d2cc0e))
(pin "A5" (uuid fa516136-0beb-4c4f-9e2e-ca7ae27dc30d))
(pin "A6" (uuid 7b3f1c1e-e0b1-4753-8f90-4f44589558d1))
(pin "A7" (uuid bc838377-8ba5-4e47-bd25-60e98cb0efb9))
(pin "A8" (uuid a4e59ec0-30f8-4d43-975f-7a0cc138d50d))
(pin "A9" (uuid d38aed51-5e0c-4912-a068-d0d59a25226f))
(pin "B10" (uuid c01ecf35-6e22-4acf-bd18-f61805a0d89c))
(pin "B12" (uuid e89f3301-0239-4a27-99ff-f5b6597186b0))
(pin "B13" (uuid ceb384da-839f-4b93-8479-b8145e65303c))
(pin "B14" (uuid eb4b0f42-d36e-436e-85ad-e74c9b771b99))
(pin "B15" (uuid d0b4af1d-6b32-46d8-9391-f284e347e52d))
(pin "B16" (uuid 9d66aca4-584e-4e26-939e-ff20c9e0ff99))
(pin "B4" (uuid c1a64f09-ffa4-43bb-8e43-16eac8070aac))
(pin "B5" (uuid 7e9c8e7d-ef6c-48f9-a9fc-f564a2f1afb8))
(pin "B6" (uuid 628476f1-2ed4-4c0c-aa78-cd0975f218e2))
(pin "B8" (uuid 06b559a7-4989-4393-a13f-e3702f966fcc))
(pin "B9" (uuid 737d7657-a735-4801-9e19-813143939b78))
(pin "C10" (uuid bfcc96e3-6e9f-464a-90d9-a502a826e787))
(pin "C11" (uuid 5705e75c-76aa-460c-89f8-1f2b850e926a))
(pin "C13" (uuid a1e4b69c-4a31-44c3-b3bd-479879358c82))
(pin "C15" (uuid 1cb934e0-b321-4a74-a95d-964ec1fda343))
(pin "C16" (uuid 9ac52701-cc0f-4ee8-8406-e9136ea61b5e))
(pin "C4" (uuid ef7894ae-89b4-4528-b9c4-b0f3ec831e81))
(pin "C5" (uuid ce558341-2142-496a-9f57-1288f88ca00b))
(pin "C6" (uuid 229b7ef3-e05a-44d5-847a-8eddff44c9ec))
(pin "C7" (uuid ce984e53-08b9-444a-b5f1-5136a8988994))
(pin "C8" (uuid 6d09f3d5-68a6-4d39-9c8e-cc68fa26bdf2))
(pin "C9" (uuid 65e83b9a-5c3e-438a-819e-9b9620d403e8))
(pin "D10" (uuid 5de3957c-23ec-437b-b23f-ab19fd6db3ce))
(pin "D11" (uuid 3a39b1b6-09fc-458b-92f8-3054e0026c2d))
(pin "D12" (uuid 47999b88-4ce2-43a1-ae96-04dc346a855d))
(pin "D14" (uuid 4ac0f9c4-b6ae-46a4-9798-eab66b3ea27d))
(pin "D15" (uuid 0643144b-ad13-4086-aae2-50f3a5efe5b8))
(pin "D16" (uuid 1035e285-e44d-42a0-9d17-36c4206625f2))
(pin "D5" (uuid 735a27f8-7d16-48e4-9624-d941f7031417))
(pin "D6" (uuid e78b6cd1-6b7e-4b70-b24f-8646a9be5d6a))
(pin "D7" (uuid 19fca0e2-b54b-406b-9f63-c3b31cf2d9eb))
(pin "D8" (uuid 4e9ec648-d81d-4ad5-84f2-1f703cf7dda3))
(pin "D9" (uuid 1da1271f-8672-45bc-9cbf-06b69625cc22))
(pin "E10" (uuid 36849346-d7a3-446a-8df4-53b78960f4f1))
(pin "E11" (uuid d97b9747-90c5-4714-aa90-d4ac81fe745a))
(pin "E12" (uuid 2541f80f-ba86-43d7-b961-35159a241015))
(pin "E13" (uuid 5b250796-da51-44e0-9f0c-1e3c34180c42))
(pin "E15" (uuid d80468a8-3500-4d74-8b87-6e6182a8b528))
(pin "E16" (uuid 0ad7e419-5fe6-4c87-a164-2200fefab8b1))
(pin "E6" (uuid 9cc6efdc-9341-41e4-babd-81e08d96f88d))
(pin "E7" (uuid e3b8fc35-43a5-45c5-a5a7-e6ff9ad42db7))
(pin "E8" (uuid f97efbfd-d6b6-4891-98ec-2f110baa548b))
(pin "F10" (uuid 0cee2822-4410-43c5-91e1-ee900b233d02))
(pin "F12" (uuid 637f7422-06c7-464c-9a4c-7b5fbc1ba90b))
(pin "F13" (uuid 699c338a-4a8c-4021-85af-01d19b8e5456))
(pin "F14" (uuid 7df470d1-05c3-44e5-8a57-a0e13f9c5638))
(pin "F15" (uuid a5a3df95-1a39-4eb8-90dd-264d3d474cd4))
(pin "F16" (uuid 171a06b9-6f40-4e1c-afc7-e08e80a4987a))
(pin "F7" (uuid 892cfe19-97c0-407b-b9de-d690ae5b9b2c))
(pin "F9" (uuid ec052ffb-1b06-4e84-b34a-6a4bd221d568))
(pin "G11" (uuid 66124acf-ba4c-42a5-a394-c8a615717e51))
(pin "G12" (uuid 0c787b77-4b68-40ea-bf3b-245e9c618814))
(pin "G13" (uuid b4b9f5ed-d9f5-47c1-be95-b9037adce08c))
(pin "G14" (uuid ca4b4d60-f189-4f34-9aef-a92c1f490c7e))
(pin "G16" (uuid b7dd3c65-ce1d-46a5-849f-71276ed26a97))
(pin "H11" (uuid 70bc213a-9459-488a-bf49-c0aba5631d85))
(pin "H13" (uuid e2a0a7e2-1aaf-4cf9-8451-7dc688d5dcad))
(pin "H14" (uuid e7010df2-bdd3-40f5-9873-c72fd2a40982))
(pin "H15" (uuid f54c4908-7a25-4c5e-a706-c03c3e4ff4e9))
(pin "H16" (uuid bf7ca814-2220-4771-87db-5a3d32f6731c))
(pin "J11" (uuid 2e7222a5-5fac-4ee5-b17c-32a05a4b4e0e))
(pin "J12" (uuid a31763a9-ce51-4688-ae51-7b03e8b5ccf7))
(pin "J13" (uuid 1b0a22c5-96d8-41d7-8c7b-4a0e8fecf633))
(pin "J14" (uuid 2c528dd1-5729-4b5d-adc7-d3a33bfb5a01))
(pin "J15" (uuid 846709fc-3721-44fa-a3ac-82ff7f673642))
(pin "J16" (uuid 2d507f3c-60a7-46e6-885d-b748592b91ba))
(pin "K11" (uuid 24712863-1a36-45d4-b39a-7ad1896c3855))
(pin "K12" (uuid f90b8ee6-8b68-47b0-9ff2-2a6ce359a395))
(pin "K13" (uuid 87b94a0b-b4f9-4729-9b5e-29ed78925c31))
(pin "K14" (uuid 00673a6d-4c06-4530-87b5-06ae0a73498f))
(pin "K15" (uuid 344e1e0e-5d03-4abf-9dc7-d51963cd300c))
(pin "K16" (uuid e54deb28-c9d6-435a-995f-6bbb0867e1e0))
(pin "L12" (uuid a499bf91-0d8d-4865-a6a8-d2168eff9c91))
(pin "L13" (uuid 34d4ecaa-57f2-4a4b-ba75-ad25bafafd28))
(pin "L14" (uuid b11996ab-1468-426c-82d5-cc9df4a3ef20))
(pin "L16" (uuid c23ed8a2-7ec0-4889-803e-9bc26e7ccb59))
(pin "M13" (uuid 617d3767-e879-434b-9825-8205d9e9e874))
(pin "M14" (uuid 0a3c6c9e-0f96-4698-b287-d618c30a9223))
(pin "M15" (uuid 444965e7-0c3b-488b-825a-f734557c1f72))
(pin "M16" (uuid 8e0612f3-1d78-4d21-8d4f-8ce17ae2d86a))
(pin "N14" (uuid 0caa9a5d-d954-4165-a236-5985fbdc517e))
(pin "N15" (uuid 0759e2aa-afb6-4a14-a23c-393494a2681e))
(pin "N16" (uuid 28c84da3-b5ac-4dce-b173-d0acf27d496d))
(pin "P15" (uuid 2575453a-7a29-4718-8f36-146aad4af572))
(pin "P16" (uuid 943f2c47-4a9f-46d3-be9f-83183d48dd56))
(pin "R12" (uuid 1f71df47-c4a3-4a4f-acc2-764effd746e7))
(pin "R13" (uuid bb67fe7e-aaff-44d1-87ae-12061a83c217))
(pin "R14" (uuid 997e289c-fe29-4ee1-bdf1-81fc45a7e63a))
(pin "R15" (uuid c167669b-c211-430b-9932-c9d596dd9d04))
(pin "R16" (uuid ad4e5a3b-4449-4bcb-8315-255858a1cd59))
(pin "T12" (uuid 0f96ed82-dbe8-47e3-962e-75f1c45a50af))
(pin "T13" (uuid fc6d1b03-abd5-4cdd-b7b7-557298e7bdd4))
(pin "T14" (uuid 1e78b33c-2f44-4b6f-9527-28c4551862d9))
(pin "T15" (uuid 6a53d848-37f0-442c-aafe-03a5066d5b8f))
(pin "A2" (uuid 30a1e5f6-aad9-43a1-ba4a-94856cf723f9))
(pin "A3" (uuid 42b41911-a1f5-441f-9128-3111b3d850e2))
(pin "B1" (uuid 9a8186e7-73ab-4085-afc2-734d020de8a5))
(pin "B2" (uuid 24d7c8e1-295d-47ea-a4f1-a95ebdb5cc9c))
(pin "B3" (uuid 6877cdba-8f46-4f8b-bf42-64e44559160f))
(pin "C1" (uuid 5cf3efbf-a2e0-491e-a443-271cf072a733))
(pin "C2" (uuid 6a690ff0-21e1-4830-a50a-797766cb2722))
(pin "C3" (uuid 2ecd07e5-b320-4117-9675-e3489c278c5a))
(pin "D1" (uuid 25be21e5-74f3-43a0-a1bb-56e7e4d1860e))
(pin "D2" (uuid e249b9a5-044d-4b2a-8cc8-8914bc826b26))
(pin "D3" (uuid a82108f2-e41e-4548-9966-b3eda9eb053f))
(pin "E1" (uuid 7b0546a6-fb59-46b3-b8ef-af0d1567e0bc))
(pin "E2" (uuid 4b64768e-0718-4e81-aefe-b32abcb4bd38))
(pin "E3" (uuid c6ae2d2b-fbf5-4e53-9bfe-15d6b46f0663))
(pin "E4" (uuid 3c99c110-4b5a-4881-8e15-e1cfa5f07822))
(pin "F1" (uuid 53134fea-6f74-4d86-869b-dc56ee7f4aec))
(pin "F2" (uuid 2fbfe90e-8aaa-44fc-9dee-ca40ff52b726))
(pin "F3" (uuid 8721817a-9a3c-4b38-b180-47f0d05a2584))
(pin "F4" (uuid 289d1094-0c98-4b8d-9302-839e45fdfe6f))
(pin "F5" (uuid f08f3ffd-f1d4-46ba-9933-41af70a7e4c1))
(pin "F6" (uuid fd4caff7-c10b-48ce-a43e-4de186f77684))
(pin "G1" (uuid 33585e17-8971-4e94-9bc1-e116d55c17b0))
(pin "G3" (uuid fe6715fe-4c34-4353-9bf8-c14c8e96e6a3))
(pin "G4" (uuid 20c8ff53-f4c4-427b-a356-c81320cca1c0))
(pin "G5" (uuid 7a05f2f2-a530-4548-931f-f34781e9fd88))
(pin "G6" (uuid 57c5207a-ddbb-4e09-9100-d76bf4e89ead))
(pin "H1" (uuid 987552c2-b940-4923-a16d-967d75176ccd))
(pin "H2" (uuid e7985627-c11a-4450-b2d6-ff31d5f7c28b))
(pin "H3" (uuid 61059f4f-ad9d-4c65-b948-c4ab5a552f0d))
(pin "H4" (uuid ba6fd2bf-0ff9-40c1-ba2e-e7749937927b))
(pin "H5" (uuid 6d8fcfdc-16cc-41dc-8d79-30964634fff7))
(pin "J1" (uuid edfc64bd-4c70-455b-8454-6dfc943cc808))
(pin "J2" (uuid ccd8f16d-2648-4321-8af4-77b90f4d1779))
(pin "J3" (uuid 21fba293-a08c-4511-970c-e611f10a00d0))
(pin "J4" (uuid 8e517402-996f-40b9-8592-d24b05d58129))
(pin "J6" (uuid b922cb93-2371-495c-8c48-da6186d3656e))
(pin "K1" (uuid 73ffcec2-3793-4124-a7e7-c6572ea1aed4))
(pin "K2" (uuid e607d70c-f6cb-48ac-97df-4017aa2f9c09))
(pin "K3" (uuid 01e4867f-6544-47b1-9ac8-5fd2bec054e5))
(pin "K4" (uuid 195b7ab3-2947-41a0-80ad-2232e1b13a90))
(pin "K5" (uuid d0807bfe-d728-4520-82dc-243938282a57))
(pin "K6" (uuid 104291a5-088b-4d72-8fa4-f846ec6ec850))
(pin "L1" (uuid f42eddcd-9240-4e81-877a-f17bed8ffd20))
(pin "L10" (uuid 60633fdb-2cac-468c-9988-77f9c9a01768))
(pin "L3" (uuid 603de9e8-54e9-4d51-89d2-cc1e4c649224))
(pin "L4" (uuid b37e22fc-143e-4195-8a1a-515478e635c3))
(pin "L5" (uuid 0800d4cf-1494-4b0b-ac72-e871d2260f99))
(pin "L7" (uuid 83ff71e3-1db5-41f9-8499-8c2e053ee182))
(pin "L8" (uuid f026529d-3adf-4dcb-a40b-fafca2076e09))
(pin "M1" (uuid 691afee0-6d4b-4ba4-8b61-06fa8288e7d4))
(pin "M10" (uuid 0a2039ac-61f5-49b3-ae0f-5e4a251d4aea))
(pin "M11" (uuid 20c8419f-cddf-464f-8fe6-86bdc99e5cfe))
(pin "M12" (uuid e50db980-3663-40ab-818f-e2b30a9ccf38))
(pin "M2" (uuid ef02aaad-e0cf-4b2f-bffe-462f9daab4a3))
(pin "M3" (uuid 894ad102-5989-4d45-8a01-f3493a21dac3))
(pin "M4" (uuid 1d607d14-5e5f-4816-9bc2-f4a7b4dfcd21))
(pin "M5" (uuid 40f79783-cc83-4d00-8481-f28b1b038fa4))
(pin "M6" (uuid ada59f40-9946-4073-8a91-bb58b292200f))
(pin "M7" (uuid be679b8c-d39f-431b-a917-02cf81bd772a))
(pin "M9" (uuid ded87763-b94e-47b2-b5eb-d87542fd57e0))
(pin "N1" (uuid e5ac5a9b-e3d8-4600-bc84-86ce2b40b6af))
(pin "N10" (uuid 2ddb651c-aa29-4ba7-9785-de076bf273fa))
(pin "N11" (uuid 78ac199a-fa85-49ec-af73-bf8bf8f30d01))
(pin "N12" (uuid 4ff808e0-0bda-4a75-835a-65fb793ca398))
(pin "N2" (uuid 315cb413-ce9e-422a-8aee-55add8a62305))
(pin "N3" (uuid ad14f236-4857-4a1e-8634-b66d7bf1aa13))
(pin "N4" (uuid acbcfbe3-99ad-4107-baca-6059fd6731c2))
(pin "N5" (uuid d8959cd9-96b2-4577-bc13-3fe4eee135ef))
(pin "N6" (uuid d455fa41-cfa1-4647-8f40-1fb8f5ad936e))
(pin "N7" (uuid 3e3f7ab9-3b6a-4c27-a5ee-efbb243cfef2))
(pin "N8" (uuid 4a38df1f-a140-4dc9-b5c2-c367949ee4b2))
(pin "N9" (uuid a3029ccd-bb0d-43ee-b7a2-7d417ec880a4))
(pin "P1" (uuid 99c82b1e-fa42-412d-a3e4-b47dcfe9deef))
(pin "P10" (uuid 0eab08b6-1e52-46b2-8e8b-d09e7256acb2))
(pin "P11" (uuid 9e14a71d-2996-4edf-9aae-6b8bb66d5500))
(pin "P12" (uuid 33dc1899-5066-4dcc-8a66-53ae1f558650))
(pin "P2" (uuid 82dfb9cf-e5f0-4e2f-ba1e-9f5e05f0e8dc))
(pin "P4" (uuid 9f51d78f-fb4f-4b41-bbab-7422500a44ba))
(pin "P5" (uuid a65e95b0-ce83-4e3a-937b-58488d77a2c7))
(pin "P6" (uuid 0184e834-ab8d-4461-973e-875bbc2a52b6))
(pin "P7" (uuid 6669bd46-d3e0-4323-9133-fe86de837d30))
(pin "P8" (uuid 8dd83bef-8d25-4ee3-817a-eb3e2a89d0f7))
(pin "P9" (uuid 7753b258-c16a-484d-8e5d-df9ab2500afd))
(pin "R1" (uuid 78c5befd-9ff0-40d9-a509-481c68abbd34))
(pin "R11" (uuid 66d464e8-c4cd-4369-8842-15318f732d7e))
(pin "R2" (uuid 696095eb-0385-4405-b301-ff7cb5e32d18))
(pin "R3" (uuid 2bcef4fc-bdde-4346-82ea-5721abe119a4))
(pin "R4" (uuid 3ef3bf38-aa42-44b6-ab9a-69db70a69637))
(pin "R5" (uuid f290fa69-ec19-4243-8583-b7e2c83717cc))
(pin "R7" (uuid 7d171e47-c5fa-489c-bf2d-a03baf5f6dbb))
(pin "R8" (uuid cbd36fc1-3285-42ff-9b06-ceded6884af9))
(pin "R9" (uuid 6216f32a-4d7b-4016-a6da-553cf24087a2))
(pin "T10" (uuid 391e3183-1dac-404a-b6de-547d0751c5c1))
(pin "T11" (uuid 494490fb-bde7-4cdf-a615-1af8611d46aa))
(pin "T3" (uuid a822cd85-dab2-4ed0-8990-7e5e41858416))
(pin "T4" (uuid d469a737-99a6-475f-9d31-16397e26b9f3))
(pin "T5" (uuid 86895e2a-6455-4867-aed9-3ff631696cda))
(pin "T6" (uuid de554385-d9a8-44c0-8498-40546e09f90e))
(pin "T7" (uuid 5435275a-a112-441e-8181-369d28d70ce0))
(pin "T8" (uuid 375719a2-0b61-4039-be75-eeaafc6fa9b8))
(pin "T9" (uuid 419a797e-c5a2-46fa-9b33-584cf663d803))
(pin "A15" (uuid eea5b4d4-edae-4f23-b5a0-b578454dc1cc))
(pin "C12" (uuid afcd5b71-337b-4db6-bc55-852ee4084f3d))
(pin "C14" (uuid fbe63745-5b48-460f-aeae-65297970b3c2))
(pin "E14" (uuid b0b413d8-3c9c-4b12-a03b-590258580d7e))
(pin "L11" (uuid 4299aae9-cd0a-439c-813f-6ad99332fb0e))
(pin "P13" (uuid 5034db72-4521-429f-9593-a688fc63a182))
(pin "P14" (uuid 837b6669-f175-449d-ac85-1ed8052e72d8))
(pin "T2" (uuid 0945a2ce-6e76-4426-ad7d-b4e41f34ff59))
(pin "A1" (uuid a51d9f9f-cd27-48d8-bc2e-7ff478c23d59))
(pin "A16" (uuid 5f7746e7-2d4c-41c2-8efd-ce8a098a9dd1))
(pin "B11" (uuid 9a16ac05-3f3b-4472-8bd0-d317832e6dd9))
(pin "B7" (uuid 793d98b3-ebc5-4693-a644-3591ff02ce4c))
(pin "D13" (uuid 378d85fd-4697-473c-a2e7-311fd990fa84))
(pin "D4" (uuid ba13914d-7eca-4c99-9194-2aaa7a621e38))
(pin "E5" (uuid 1f79244b-a9da-4203-a967-cb2d6a427225))
(pin "E9" (uuid cb85d694-5011-4c23-96f6-6f97f480c9eb))
(pin "F11" (uuid 126da346-f1c9-4ffa-8d20-cf1e6238cc62))
(pin "F8" (uuid 06cbb78f-a428-44a0-b890-c2f9e7b78bbf))
(pin "G10" (uuid 4f3228eb-ad19-4167-9a26-250cab5157bd))
(pin "G15" (uuid 922b92e6-0be9-4da4-b642-194b0fe64048))
(pin "G2" (uuid c6f4d978-c0a7-4cb1-91e4-7c9ca29127a7))
(pin "G7" (uuid 4c64e0cc-5125-42f6-bad2-3f4f0473acd5))
(pin "G8" (uuid 6f064949-e4df-4e8a-bad6-3ed262dcd35a))
(pin "G9" (uuid 810ecf3f-6f0a-4d25-ba60-a50d7e22eac1))
(pin "H10" (uuid 6cf19e8d-3c06-47b9-ac5b-0af2268c1542))
(pin "H12" (uuid fd3aa9ed-6629-4114-8cb0-9e8aebc43e61))
(pin "H6" (uuid 5dcf8bdf-1329-43f1-b378-8156a95b6b9c))
(pin "H7" (uuid cf383bdf-0e1a-440f-8a7c-f89554c8a82b))
(pin "H8" (uuid 2b2575cc-65f5-463e-acad-62518e4485fe))
(pin "H9" (uuid 8c08532f-0c48-4808-bec9-e60344e87605))
(pin "J10" (uuid a4c56d21-8dd3-4b86-b8c7-ddd8b8647686))
(pin "J5" (uuid 044cea1f-46f3-4198-b60d-fd4821f8aedc))
(pin "J7" (uuid 6a1d9acc-66ca-4a6f-8627-860f113a5d4c))
(pin "J8" (uuid 8ff94806-b397-43da-bfcc-0f232a13b87c))
(pin "J9" (uuid ef27b53b-2f1e-4f22-ba57-52f4b8e4a684))
(pin "K10" (uuid ab080bc2-ddb5-4af6-b6a3-e681e8b74b31))
(pin "K7" (uuid a1a292fa-4f4f-4f18-8e3a-071479a79628))
(pin "K8" (uuid dce71028-0caa-4993-bc2a-6ba5bb31a2f5))
(pin "K9" (uuid 7e3a6a49-4970-4deb-8bb7-85947988a668))
(pin "L15" (uuid 28403d8f-952c-4106-95db-c9b2ff3db02d))
(pin "L2" (uuid b09b52c7-18fd-4dd1-9edc-d5a1bb9f2d59))
(pin "L6" (uuid 0c9904ae-6ffc-4652-907f-6408796be7ec))
(pin "L9" (uuid 48e286f3-3114-42dc-9534-dbea91d4d241))
(pin "M8" (uuid c70b1ace-9f58-45b0-8106-12d907a260b4))
(pin "N13" (uuid 093b9d04-3cac-47c6-b76c-ee4a221cdfe1))
(pin "P3" (uuid 13da9c5f-1557-41e1-857d-f65f6e5628f6))
(pin "R10" (uuid 2ae74e11-a4ce-4678-97e9-12859b171fd9))
(pin "R6" (uuid 8d6f4d85-fb09-4178-891b-be228487c410))
(pin "T1" (uuid 0bd0ab88-03d8-4cbb-aebc-be87e2c0282e))
(pin "T16" (uuid ae2f95fb-1e15-49c1-8125-8196cd2bacd3))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "U5") (unit 3)
)
)
)
)
(symbol (lib_id "Device:R") (at 271.78 128.27 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 9ea159f2-76e2-451d-85d8-45844a1eabb7)
(property "Reference" "R16" (at 275.59 127 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "4.7K" (at 275.59 129.54 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (at 273.558 128.27 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 271.78 128.27 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C105870" (at 271.78 128.27 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Ref.Price" "0.0006" (at 271.78 128.27 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 57e29eb1-da64-4119-bb9e-778bc7ed29c4))
(pin "2" (uuid af4119a6-60f2-4e3f-bf58-9e9f2150f711))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "R16") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 27.94 25.4 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid a258a0f7-0a4e-4f3d-b572-14ce46fe85e0)
(property "Reference" "#PWR036" (at 27.94 29.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 27.94 20.32 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 27.94 25.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 27.94 25.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 32ffa991-2cb0-4bac-b048-97d99a61d3f9))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "#PWR036") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 27.94 45.72 270) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid a5e2fa45-e141-452f-aee2-f690f277bbf1)
(property "Reference" "#PWR038" (at 21.59 45.72 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 23.5458 45.847 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 27.94 45.72 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 27.94 45.72 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 3ebb9bbf-f5b7-4c86-a282-63837e9e2251))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "#PWR038") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 256.54 93.98 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid adfb162a-8436-4b89-891c-4543689e3bda)
(property "Reference" "#PWR046" (at 256.54 100.33 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 256.667 98.3742 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 256.54 93.98 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 256.54 93.98 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid ce97a4da-2fac-4bf9-bb18-f413e129949f))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "#PWR046") (unit 1)
)
)
)
)
(symbol (lib_id "Connector_Generic:Conn_02x05_Odd_Even") (at 254 139.7 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid af091de6-8018-4ba4-8cd4-d94be6997cfd)
(property "Reference" "J2" (at 255.27 129.108 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_02x05_Odd_Even" (at 255.27 131.4196 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Connector_PinHeader_1.27mm:PinHeader_2x05_P1.27mm_Vertical_SMD" (at 254 139.7 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 254 139.7 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "-" (at 254 139.7 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Ref.Price" "0" (at 254 139.7 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 3c91f128-74bf-481a-b614-791520d22bc7))
(pin "10" (uuid 24426653-b0dc-474f-a563-7f8f1150ac7f))
(pin "2" (uuid b8bdf78e-1626-41af-9ec7-7822eba7a2fd))
(pin "3" (uuid 5530d72c-7c26-47a0-bc6b-1f737af751a9))
(pin "4" (uuid 8e6b8018-7887-489d-a6cd-6cf3194cab67))
(pin "5" (uuid db415562-b052-4b37-97f1-9a2db89a0c3b))
(pin "6" (uuid fc52e806-1f04-4a93-aad3-e9fe0f413c6f))
(pin "7" (uuid 5e52f7e9-e9af-4dec-afd2-3fbd219a8d78))
(pin "8" (uuid b0d05e5e-454d-4f2f-a85c-2052ec5ae8b3))
(pin "9" (uuid 60f64f82-69dc-4f66-9bb7-883371e159fc))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "J2") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C") (at 144.78 29.21 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid b703859a-965c-4bcc-af0a-212df7bea24c)
(property "Reference" "C28" (at 141.859 28.0416 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "220nF" (at 141.859 30.353 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Capacitor_SMD:C_0402_1005Metric" (at 143.8148 33.02 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 144.78 29.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C880414" (at 144.78 29.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Ref.Price" "0.0036" (at 144.78 29.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 78918d83-08c7-451a-bdae-458997d452c3))
(pin "2" (uuid 986cf781-6013-4176-8c61-626d980095a5))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "C28") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 264.16 68.58 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid c7bbcc40-dc41-4b03-8b2e-7f74a3eb4780)
(property "Reference" "#PWR047" (at 264.16 72.39 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 264.16 63.5 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 264.16 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 264.16 68.58 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid aa5f3f69-91a9-4526-993a-523e686a7bbf))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "#PWR047") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R") (at 264.16 72.39 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid d220969f-6175-408b-a2a0-9f0e95abd296)
(property "Reference" "R15" (at 267.97 71.12 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "1K/1%" (at 267.97 73.66 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (at 265.938 72.39 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 264.16 72.39 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C105870" (at 264.16 72.39 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Ref.Price" "0.0006" (at 264.16 72.39 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 99d72ef2-d2de-4da4-b33b-f9322a79b977))
(pin "2" (uuid 5c76bf5a-98b5-477d-a072-758219cc7973))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "R15") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R") (at 254 34.29 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid d80af76e-d336-46c0-bb6f-d4325d4624ab)
(property "Reference" "R12" (at 257.81 33.02 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "4.7K" (at 257.81 35.56 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (at 255.778 34.29 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 254 34.29 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C105870" (at 254 34.29 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Ref.Price" "0.0006" (at 254 34.29 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid fbedb5c1-9ac4-48d1-aaaf-57b4211cc687))
(pin "2" (uuid 4c47a348-7870-44f8-be1c-37a3530993e0))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "R12") (unit 1)
)
)
)
)
(symbol (lib_id "Oscillator:ASE-xxxMHz") (at 246.38 38.1 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid e08fef92-e4f5-4778-9ac4-a39b0b8f9eee)
(property "Reference" "Y1" (at 243.84 29.21 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "33MHz" (at 243.84 31.75 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "Oscillator:Oscillator_SMD_Abracon_ASE-4Pin_3.2x2.5mm" (at 228.6 46.99 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "http://www.abracon.com/Oscillators/ASV.pdf" (at 248.92 38.1 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C252339" (at 246.38 38.1 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Ref.Price" "0.5014" (at 246.38 38.1 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 8bfa660b-2af4-4810-ae89-90802d96619e))
(pin "2" (uuid addc8dde-d00b-4b13-883b-14096a63dcfe))
(pin "3" (uuid b637964a-b45c-48a8-b724-91781ec981e4))
(pin "4" (uuid a43c0a88-dbca-4f16-a999-a6f3e2ebc060))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "Y1") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 154.94 25.4 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid efc96e6e-4ef7-4c96-bbcb-e9db0dbc5eff)
(property "Reference" "#PWR039" (at 154.94 29.21 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 154.94 20.32 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 154.94 25.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 154.94 25.4 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid d32fc0b8-3864-4e8a-953f-16820eb57a46))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "#PWR039") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 246.38 27.94 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no) (fields_autoplaced)
(uuid f3e7285c-4b22-4e64-bf96-a6653f55c16c)
(property "Reference" "#PWR041" (at 246.38 31.75 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 246.38 22.86 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 246.38 27.94 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 246.38 27.94 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 5ec266f5-5c6e-46af-bbab-f7775bcfe1c9))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "#PWR041") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R") (at 229.87 38.1 90) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid ff5f0e5d-cb92-4b85-80a2-ac3424ab2c06)
(property "Reference" "R11" (at 224.79 36.83 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "27R" (at 234.95 36.83 90)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Resistor_SMD:R_0402_1005Metric" (at 229.87 39.878 90)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 229.87 38.1 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC" "C93929" (at 229.87 38.1 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Ref.Price" "0.002" (at 229.87 38.1 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid dd919f90-def4-4fce-93a8-496d46ef226b))
(pin "2" (uuid 9b0c0f80-9d93-4623-b1c0-2f11cf8b096d))
(instances
(project "pcb"
(path "/4654897e-3e2f-4522-96c3-20b19803c088/35d2a4e1-1cb2-4b6c-a7fb-e3a9449d4ff3"
(reference "R11") (unit 1)
)
)
)
)
)