Initial Commit. Created Hierchy Blocks
This commit is contained in:
commit
2aab33c063
Parts
cds.libcds.librefcds.lib
parts
capacitors
cap_l
csmd0603
awb_dev
awb_model
chips
entity
metadata
part_table
sym_1
vlog_model
csmd0805
awb_dev
awb_model
chips
entity
metadata
part_table
sym_1
vlog_model
csmd1206
awb_dev
awb_model
entity
metadata
part_table
tant0805
awb_dev
awb_model
chips
entity
metadata
part_table
sym_1
vlog_model
diodes
1n4000_l/entity
1n4448
bas16lt1
mur105
ecl
100e101
100el05
100el34
10104/entity
10e016
chips
entity
metadata
vlog_map
vlog_model
10e101
10e104
10e116
10e131
10elt24
10ep142
10ep90
10h103
10h116
entity
metadata
swift_map
vlog_map
10h124
10h125
entity
metadata
part_table
swift_map
swift_model
vlog_map
vlog_model
10h131
VerilogModels
el91l
mc10198
mc10h104
mc10h124
misc
200#2d1#2dc#2d53d
74f164
74f245
8061
VerilogModels
ad7243
ad7249
ad8009
ad96685
ad96687
adcmp566
adg1208
anlg_dev.libanlg_dev.olbas12av
conn12
conn16
conn30
conn32
conn34
coto2342
dipswitch
entity
metadata
sch_1
vlog_map
ds90lv019
ds90lv027
ep12sd1sape
euroconn
f245
fci_61083#2d101400lf
foxclock
g3vm#2d352j
gnd/sch_1
gnd1/sch_1
gnda/sch_1
gndd/sch_1
hc574f
hct123
entity
metadata
part_table
swift_map
swift_model
vlog_map
vlog_model
ids_c10
ids_c34
inductor_1206
inductor_l
awb_dev
entity
metadata
vlog_map
led_1206
entity
metadata
part_table
led_l
led_small
lm7171
lt1055
lvds_driver_ds10
max9374
max9375
max9376
mc7805k_l
opa2277
opa277
opa4277
ostoq047150
prma1c05b
ref02
sip_header_16pin
sip_header_2pin
smbconn
ss12sdp2
ss22sdp2
testpoint_l
ths3001
ths3062
tlc274
awb_dev
entity
metadata
vlog_map
tlc274_new
xc2c256
xc2c512
xc2c64a
regulators
7806_l
awb_dev
chips
entity
sym_1
vlog_map
7815_l
7815s_l
7906_l
awb_dev
chips
entity
sym_1
vlog_map
7915_l
7915s_l
lm1117
lm309h_l
lm337s_l
lm337t_l
resistors
pot
res_l
rsmd0603
rsmd0805
rsmd0805_1pct
rsmd0805size
rsmd0805sized
standard
10#20merge/sch_1
16#20merge/metadata
2#20merge/sch_1
3#20merge/sch_1
4#20merge/sch_1
5#20merge/sch_1
6#20merge/sch_1
7#20merge/sch_1
8#20merge/sch_1
9#20merge/sch_1
bit#20tap/sch_1
cadence#20b#20size#20page/entity
conn_gen/entity
ctap/entity
define/entity
drawing/entity
flag/sch_1
gnd_earth/metadata
gnd_field#20signal/metadata
gnd_power/metadata
gnd_signal/metadata
lsb#20tap/sch_1
menu/entity
msb#20tap/sch_1
not/sch_1
origin/entity
pin#20names/entity
replicate
sign#20extend/sch_1
sim_directives
slash/sch_1
synonym/sch_1
time_directives
valid#20b#20size#20page/entity
temp
transistors
mmbth10
mmbth81
mmbth81lt1
mpsh81
mrf5943
mrf5943_j
ttl
74f07
74f14
74fhct597
74hct04
74hct165
ds1023200
ds102350
ds1023500
ds102350bkup
f06
entity
metadata
part_table
vlog_map
vlog_model
f07
f08
f269
f273
f74
hct04
entity
metadata
vlog_map
vlog_model
hct238
hct273
hct374
phys_parts
0603rf_wv_12d.psm1206ftprint.log1206ftprint.log,11206ftprint.pad60c85c35d.pad60s85c35d.pad74hc1g125.dra74hc1g125.log74hc1g125.log,174hc1g125.log,274hc1g125.log,374hc1g125.psm74hc1g125_1.dra74hc1g125_1.log74hc1g125_1.log,174hc1g125_1.log,274hc1g125_1.log,374hc1g125_1.psm805ftprint.log805ftprint.padLFCSP.draSS22SDP2.draab00.draab00.fsmab85.draab85.fsmallegro.jrlallegro.jrl,1asizeh.draasizeh.osmasizev.draasizev.osmat_35ux.draat_35ux.psmbatch_drc.logbatch_drc.log,1batch_drc.log,2batch_drc.log,3bga256.drabga256.logbga256.log,1bga256.log,2bga256.log,3bga256.psmbgapad.padbgapad_25mm.padbgapad_450micron.padbgapad_500micron.padbgapad_600micron.padbondpad_wbd.padbondpad_wbu.padbpcap.logbpcap.psmbsize.drabsize.osmc0805.drac0805.logc0805.log,1c0805.log,2c0805.log,3c0805.psmc0805.txtcap0402.dracap0402.psmcap0603.dracap0603.dra.oldcap0603.logcap0603.log,1cap0603.log,2cap0603.log,3cap0603.psmcap0805.dracap0805.logcap0805.log,1cap0805.log,2cap0805.psmcap1000.dracap1000.psmcap1206.dracap1206.dra.origcap1206.dra_oldcap1206.logcap1206.log,1cap1206.log,2cap1206.log,3cap1206.psmcap1210.dracap1210.logcap1210.log,1cap1210.log,2cap1210.log,3cap1210.psmcap1500.dracap1500.psmcap1812.logcap1812.log,1cap1812.psmcap196.dracap196.psmcap300.dracap300.psmcap400.dracap400.psmcap600.dracap600.psmcap805.dracap805.logcap805.log,1cap805.log,2cap805.psmcap805_uprev.psmcapck05.dracapck05.psmcapck06.dracapck06.psmcapck60.dracapck60.psmcapck62.dracapck62.psmcapp2_pad1_pad1.padcapp2_pad1_pad2.padcapsmd_c.dracapsmd_c.logcapsmd_c.log,1capsmd_c.log,2capsmd_c.log,3capsmd_c.psmcase17-02.dracase17-02.psmcb298.dracb298.psmcb417.dracb417.psmck12-10pf.drack12-10pf.psmck13-10pf.drack13-10pf.psmck14-10pf.drack14-10pf.psmck15-10pf.drack15-10pf.psmck16-10pf.drack16-10pf.psmck17-10pf.drack17-10pf.psmclcc28.draclcc28.psmclcc344.draclcc344.psmclcc44.draclcc44.psmclcc68.draclcc68.psmclcc84.draclcc84.psmcmpshape.logcmpshape.log,1conn10.draconn10.psmconn12.draconn12.logconn12.psmconn16.draconn16.logconn16.psmconn165.draconn165.logconn165.log,1conn165.log,2conn165.log,3conn165.padconn165.psmconn20.draconn20.psmconn26.draconn26.psmconn30.draconn30.logconn30.psmconn32.draconn32.logconn32.psmconn34.draconn34.logconn34.psmconn50.draconn50.psmconn6.draconn6.psmconn9.draconn9.psmcoto2300.dracoto2300.logcoto2300.log,1coto2300.log,2coto2300.log,3coto2300_pin.padcoto2300_v1.dracoto2300_v1.logcoto2300_v1.log,1coto2300_v1.log,2coto2300_v1.psmcpga100_x.dracpga100_x.psmcpga132.dracpga132.psmcpga160_x.dracpga160_x.psmcpga175.dracpga175.psmcpga191_x.dracpga191_x.psmcpga192_x.dracpga192_x.psmcpga207.dracpga207.psmcpga208.dracpga208.psmcpga262.dracpga262.psmcpga68.dracpga68.psmcpga69.dracpga69.psmcpga84_x.dracpga84_x.psmcpga85_x.dracpga85_x.psmcq_pad1_pad1.padcq_pad1_pad2.padcqfp100.dracqfp100.psmcqfp132.dracqfp132.psmcqfp164.dracqfp164.psmcqfp208_alt.dracqfp208_alt.psmcqfp44_amd.dracqfp44_amd.psmcqfp64_idt.dracqfp64_idt.psmcqfp68.dracqfp68.psmcqfp68_l.dracqfp68_l.psmcqfp84.dracqfp84.psmcrys11mhz.dracrys11mhz.psmcrys14.dracrys14.psmcsize.dracsize.osmcy10.dracy10.psmcy15.dracy15.psmcy20.dracy20.psmd041.drad041.logd041.log,1d041.log,2d041.log,3d041.psmdb15.dradb15.psmdb25.dradb25.psmdb9.dradb9.psmdbdoctor.logdbdoctor.log,1dbdoctor.log,2dbdoctor.log,3dbdoctor_ui.jrldevices.dmldiepad_fcd.paddiepad_fcu.paddiepad_wb.paddio400.dradio400.psmdio500.dradio500.psmdip10_2.dradip10_2.psmdip10_3.dradip10_3.psmdip14_3.dradip14_3.psmdip16_3.dradip16_3.psmdip18_3.dradip18_3.psmdip18_4.dradip18_4.psmdip20.dradip20.psmdip20_3.dradip20_3.psmdip20_4.dradip20_4.psmdip20_6.dradip20_6.psmdip22_3.dradip22_3.psmdip22_4.dradip22_4.psmdip22_6.dradip22_6.psmdip24_3.dradip24_3.psmdip24_4.dradip24_4.psmdip24_6.dradip24_6.psmdip26_3.dradip26_3.psmdip28.dradip28.psmdip28_3.dradip28_3.psmdip28_4.dradip28_4.psmdip28_4_amd.dradip28_4_amd.psmdip28_6.dradip28_6.psmdip32_3.dradip32_3.psmdip32_6.dradip32_6.psmdip36_6.dradip36_6.psmdip40_6.dradip40_6.psmdip42_6.dradip42_6.psmdip48_6.dradip48_6.psmdip4_3.dradip4_3.psmdip52_6.dradip52_6.psmdip52_9.dradip52_9.psmdip58_6.dradip58_6.psmdip64_3.dradip64_3.psmdip64_6.dradip64_6.psmdip64_9.dradip64_9.psmdip68_6.dradip68_6.psmdip6_3.dradip6_3.psmdip8_3.dradip8_3.logdip8_3.log,1dip8_3.log,2dip8_3.log,3dip8_3.psmdipcap.dradipcap.psmdipswitch.dradipswitch.psmdo13.drado13.psmdo14.drado14.psmdo15.drado15.psmdo201aa.drado201aa.psmdo201ab.drado201ab.psmdo201ac.drado201ac.psmdo201ad.drado201ad.psmdo203aa.drado203aa.psmdo203ab.drado203ab.psmdo204aa.drado204aa.psmdo204ah.drado204ah.psmdo204ap.drado204ap.psmdo204ar.drado204ar.psmdo27a.drado27a.psmdo34.drado34.psmdo35.drado35.logdo35.log,1do35.log,2do35.log,3do35.psmdo4.drado4.psmdo41.drado41.logdo41.log,1do41.log,2do41.psmdo5.drado5.psmdo7.drado7.psmdsize.dradsize.osmep12sd1sape.draep12sd1sape.logep12sd1sape.log,1ep12sd1sape.log,2ep12sd1sape.log,3ep12sd1sape.psmesize.draesize.osmeuroa.padeurocon.draeurocon.logeurocon.log,1eurocon.log,2eurocon.log,3eurocon.psmeuroconn.draeuroconn.dra.origeuroconn.logeuroconn.log,1euroconn.psmeuroconn.psm.origeurod.bsmeurod.draeuroe.padeuros.bsmeuros.drafci_61083-101400lf.drafci_61083-101400lf.logfci_61083-101400lf.log,1fci_61083-101400lf.log,2fci_61083-101400lf.log,3fci_61083-101400lf.psmfci_61083_connector.drafci_61083_connector.logfci_61083_connector.psmfec32dtp.drafec32dtp.logfec32dtp.log,1fec32dtp.log,2fec32dtp.psmflat14.draflat14.psmflat16.draflat16.psmflat18.draflat18.psmflat20.draflat20.psmflat24.draflat24.psmflat28.draflat28.psmflat48.draflat48.psmflat56.draflat56.psmflat64.draflat64.psmgi_axial7b.dragi_axial7b.psmgi_axial9.dragi_axial9.psmgi_br1w.dragi_br1w.psmgtl16612_dl.dragtl16612_dl.loggtl16612_dl.log,1gtl16612_dl.log,2gtl16612_dl.log,3gtl16612_dl.padgtl16612_dl.psmhfilter.psmhole106p.padhole109.drahole109.loghole109.log,1hole109.log,2hole109.log,3hole109.padhole109.psmhole109p.padhole109u.padhole120.padhole130p.padhole135.padhole145.padhole150.padhole150_anti.padhole160.padhole165.padhole170.padhole180.padhole190.padhole210.padhole230.padhole265.padhole280.padhole285.padhole32.padhole48.padhole540.padhole800.padhpac_100.drahpac_100.psmibm.bsmibm.draibm.padibmcon.draibmcon.psminduct400.drainduct400.loginduct400.log,1induct400.log,2induct400.log,3induct400.psminduct_smd.drainduct_smd.loginduct_smd.psmjumper1.drajumper1.psmjumper14.drajumper14.psmjumper16.drajumper16.psmjumper2.drajumper2.psmjumper3.drajumper3.psmjumper4.drajumper4.psmjumper5.drajumper5.psmjumper8.drajumper8.psmlcc20.dralcc20.psmlcc24.dralcc24.psmlcc28.dralcc28.psmlcc32.dralcc32.psmlcc44.dralcc44.psmlcc48.dralcc48.psmlcc52.dralcc52.psmlcc68.dralcc68.psmlccs18.dralccs18.psmlccs22.dralccs22.psmlccs24.dralccs24.psmlccs28.dralccs28.psmlccs32.dralccs32.psmled1206.draled1206.logled1206.log,1led1206.log,2led1206.log,3led1206.psmled_dip.draled_dip.logled_dip.psmled_ssflxh103.draled_ssflxh103.logled_ssflxh103.log,1led_ssflxh103.log,2led_ssflxh103.log,3led_ssflxh103.psmled_ssflxh1031d.SAVled_ssflxh1031d.draled_ssflxh1031d.logled_ssflxh1031d.log,1led_ssflxh1031d.log,2led_ssflxh1031d.log,3led_ssflxh1031d.psmlfcsp.loglfcsp.psmloglq_pad1.psmlq_pad1_pad1.padlq_pad1_pad2.padml403_conn.SAVml403_conn.draml403_conn.logml403_conn.log,1ml403_conn.log,2ml403_conn.log,3ml403_conn.psmmot145a.dramot145a.psmmot17.dramot17.psmmot193.dramot193.psmmot194_01.dramot194_01.psmmot194_04.dramot194_04.psmmot211.dramot211.psmmot221a.dramot221a.psmmot244.dramot244.psmmot303.dramot303.psmmot305a.dramot305a.psmmot309a_02.dramot309a_02.psmmot309a_03.dramot309a_03.psmmot317.dramot317.psmmot317a.dramot317a.psmmot332.dramot332.psmmot332a.dramot332a.psmmot339.dramot339.psmmot357c.dramot357c.psmmot361a.dramot361a.psmmot60.dramot60.psmmpak.drampak.psmmpak4.drampak4.psmmquad28.dramquad28.psmmtg125.bsmmtg125.dramtg156.bsmmtg156.dramtg250.bsmmtg250.dramulti30b.padmulti30t.padmulti43b.padmulti43t.padmultibus.bsmmultibus.dramulticon30.dramulticon30.psmmulticon43.dramulticon43.psmnatl_m.dranatl_m.psmnec_sp.dranec_sp.psmns_h02a.drans_h02a.psmostoq047150.draostoq047150.logostoq047150.psmpad100cir62d.padpad100cir79d.padpad100cir84d.padpad100sq62d.padpad120cir62d.padpad125.padpad125cir63d.padpad150cir63d.padpad156.padpad170h.padpad250.padpad262rec35.padpad30cir20d.padpad31cir21d.padpad35cir21d.padpad35cir25d.padpad40cir25d.padpad40cir28d.padpad40cir30d.padpad40cir33d.padpad424cir170d.padpad43cir33d.padpad44cir33.padpad44cir34d.padpad45cir22d.padpad45cir30d.padpad50cir30d.padpad50cir32d.padpad50sq30d.padpad50sq32d.padpad55cir25.padpad55cir25d.padpad55rec140.padpad55scir25d.padpad55sq25d.padpad60cir35.padpad60cir35d.padpad60cir36d.logpad60cir36d.padpad60cir38d.padpad60cir42d.padpad60sq36d.logpad60sq36d.padpad62cir32d.padpad62sq32d.padpad62sq37d.padpad65cir.padpad65cir35d.padpad65cir37d.padpad65cir41d.padpad65cir42d.logpad65cir42d.padpad65cir43d.padpad65sq35d.padpad65sq37d.padpad667cir227d.padpad70cir43d.padpad70cir45d.padpad70cir60d.padpad70sq45d.padpad75cir38d.padpad75cir45d.padpad75cir49d.padpad75cir51d.padpad75sq49d.padpad80cir50d.padpad80cir55d.padpad80cir65d.padpad90cir70d.padpad93cir51d.padpad93cir52d.padpad93cir53d.padpad93cir56d.padpad93cir58d.padpad97cir67d.padpad_designer.jrlpad_designer.jrl,1pado.padpga.padpga100.drapga100.psmpga101.drapga101.psmpga108.drapga108.psmpga108_bit.drapga108_bit.psmpga120.drapga120.psmpga124.drapga124.psmpga125.drapga125.psmpga132.drapga132.psmpga132_ci.drapga132_ci.psmpga132_x.drapga132_x.psmpga133.drapga133.psmpga144.drapga144.psmpga145_amd.drapga145_amd.psmpga156.drapga156.psmpga156_x.drapga156_x.psmpga156_x_ti.drapga156_x_ti.psmpga168.drapga168.psmpga169.drapga169.psmpga172.drapga172.psmpga175.drapga175.psmpga176.drapga176.psmpga179.drapga179.psmpga68.drapga68.psmpga68_sq.drapga68_sq.psmpga69.drapga69.psmpga84.drapga84.psmpga84_sq.drapga84_sq.psmpga_sq.padplcc18.draplcc18.psmplcc20.draplcc20.logplcc20.log,1plcc20.log,2plcc20.log,3plcc20.psmplcc28.draplcc28.psmplcc32.draplcc32.psmplcc44.draplcc44.psmplcc52.draplcc52.psmplcc68.draplcc68.psmplcc84.draplcc84.psmpot.drapot.psmpqfp100.drapqfp100.psmpqfp100r.drapqfp100r.psmpqfp120.drapqfp120.psmpqfp132.drapqfp132.psmpqfp160.drapqfp160.psmpqfp164.drapqfp164.psmpqfp196.drapqfp196.psmpqfp208_cyp.drapqfp208_cyp.psmpqfp44.drapqfp44.psmpqfp52.drapqfp52.psmpqfp64_ti.drapqfp64_ti.psmpqfp74_nec.drapqfp74_nec.psmpqfp80.drapqfp80.psmpqfp80_ti.drapqfp80_ti.psmprma.draprma.logprma.log,1prma.psmquadflat24.draquadflat24.psmr70.drar70.psmrefresh.logres0402.drares0402.psmres0603.drares0603.dra.origres0603.logres0603.log,1res0603.log,2res0603.log,3res0603.psmres0603.psm.origres0805.drares0805.logres0805.psmres1000.drares1000.psmres1206.drares1206.logres1206.psmres1210.drares1210.logres1210.log,1res1210.psmres400.drares400.psmres500.drares500.psmres600.drares600.psmres800.drares800.psmres805.drares805.logres805.log,1res805.log,2res805.log,3res805.psmres_pwr_5w.drares_pwr_5w.logres_pwr_5w.log,1res_pwr_5w.log,2res_pwr_5w.log,3res_pwr_5w.psmresadj.draresadj.psmsc59.drasc59.psmsc70.drasc70.psmsds680r.drasds680r.logsds680r.psmsha_sot89.drasha_sot89.ssmsha_sot89msk.drasha_sot89msk.ssmsignoise.log
signoise.run
singlepole_switch.drasinglepole_switch.psmsip10.drasip10.psmsip12.drasip12.psmsip16.drasip16.logsip16.psmsip2.drasip2.logsip2.psmsip30.drasip30.psmsip6.drasip6.psmsip8.drasip8.psmsmb_coax.drasmb_coax.dra.origsmb_coax.logsmb_coax.psmsmb_coax.psm.origsmd110rec19.padsmd110rec23.padsmd130rec60.padsmd140rec250.padsmd143rec128.padsmd150rec90.padsmd162by68.padsmd180rec40.padsmd20_17.padsmd240_235.padsmd250rec46.padsmd25_310.padsmd25_310b.padsmd25_35.logsmd25_35.padsmd25_360.padsmd25_360b.padsmd25_400.padsmd25_400b.padsmd25_48.padsmd25_48b.padsmd25_50.padsmd25_50b.padsmd25_75.padsmd25_94.padsmd25_94b.padsmd300rec250.padsmd30_115.padsmd30_115b.padsmd30_31.padsmd30_31b.padsmd30_35.padsmd30_35b.padsmd30_55.padsmd30_55b.padsmd30_57.padsmd30_94.padsmd30_94b.padsmd30_96.padsmd30rec14.padsmd32_17.padsmd330rec48.padsmd335rec350.padsmd360rec300.padsmd36_49.padsmd36rec12.padsmd38rec32.padsmd40rec15.padsmd40rec90.padsmd425rec75.padsmd435rec220.padsmd44rec32.padsmd44rec72.padsmd45rec15.padsmd50_25.padsmd50_36.padsmd50_63.padsmd50_70.logsmd50_70.padsmd50_70shape.drasmd50_70shape.logsmd50_87.padsmd50rec12.padsmd50rec19.padsmd50rec25.padsmd50rec40.padsmd52rec32.padsmd52rec48.padsmd550rec60.padsmd55rec425.padsmd60rec13.padsmd60rec130.padsmd60rec19.padsmd60rec20.padsmd60rec25.padsmd60rec40.padsmd65rec13.padsmd65rec16.padsmd68rec12.padsmd70_230.padsmd70rec20.padsmd75_25.padsmd80_20.padsmd80by180.padsmd80rec317.padsmd80rec320.padsmd84rec12.padsmd85rec13.padsmd86rec330.padsmd90rec19.padsmdcap.drasmdcap.psmsmdgdog.padsmdres.drasmdres.psmsod57.drasod57.psmsod80.drasod80.psmsod81.drasod81.psmsod87.drasod87.psmsoic14.drasoic14.logsoic14.log,1soic14.log,2soic14.log,3soic14.psmsoic16.drasoic16.logsoic16.log,1soic16.log,2soic16.log,3soic16.psmsoic16w.drasoic16w.psmsoic20.drasoic20.psmsoic20w.drasoic20w.logsoic20w.log,1soic20w.log,2soic20w.log,3soic20w.psmsoic24.SAVsoic24.drasoic24.logsoic24.log,1soic24.log,2soic24.psmsoic24w.drasoic24w.logsoic24w.log,1soic24w.log,2soic24w.log,3soic24w.psmsoic28.drasoic28.psmsoic28w.drasoic28w.psmsoic32w.drasoic32w.psmsoic48w.drasoic48w.psmsoic56w.drasoic56w.psmsoic8.drasoic8.psmsoj.padsoj20.drasoj20.psmsoj24.drasoj24.psmsoj26.drasoj26.psmsoj26_20.drasoj26_20.psmsoj26_24.drasoj26_24.psmsoj26_26.drasoj26_26.psmsoj26w.drasoj26w.psmsoj28.drasoj28.psmsoj32.drasoj32.psmsoj36.drasoj36.psmsoj40.drasoj40.psmsoj42.drasoj42.psmsol16.drasol16.psmsol20.drasol20.psmsomething.drasomething.logsomething.psmsop24.drasop24.psmsop44.drasop44.psmsot121.drasot121.psmsot123.drasot123.psmsot143.drasot143.psmsot223.drasot223.logsot223.log,1sot223.log,2sot223.psmsot23.drasot23.psmsot89.drasot89.psmspak.draspak.psmspga325.draspga325.psmsq55.padsq60.padss22sdp2.logss22sdp2.log,1ss22sdp2.psmssop14.drassop14.logssop14.log,1ssop14.log,2ssop14.log,3ssop14.psmssop16.drassop16.psmssop20.drassop20.psmssop24.drassop24.logssop24.log,1ssop24.log,2ssop24.log,3ssop24.psmssop56.drassop56.psmswitch.draswitch.psmtantalum_b.dratantalum_b.logtantalum_b.log,1tantalum_b.log,2tantalum_b.log,3tantalum_b.padtantalum_b.psmtantalum_d.dratantalum_d.logtantalum_d.log,1tantalum_d.log,2tantalum_d.log,3tantalum_d.padtantalum_d.psmtarget.dratarget.osmtfc.psmtfr.psmto107.drato107.psmto117.drato117.psmto12.drato12.psmto126.drato126.psmto126h.drato126h.psmto126v.drato126v.psmto127.drato127.psmto18.drato18.psmto204aa.drato204aa.psmto204ad.drato204ad.psmto204ae.drato204ae.psmto205af.drato205af.psmto209ac.drato209ac.psmto213aa.drato213aa.psmto218aa.drato218aa.psmto218ac.drato218ac.psmto220aa.drato220aa.psmto220ab.drato220ab.psmto220abh.drato220abh.logto220abh.log,1to220abh.log,2to220abh.log,3to220abh.psmto220abv.drato220abv.logto220abv.log,1to220abv.log,2to220abv.log,3to220abv.psmto220ac.drato220ac.psmto220h.drato220h.psmto220v.drato220v.psmto225aa.drato225aa.psmto236.drato236.psmto236aa.drato236aa.psmto236ab.drato236ab.psmto237.drato237.psmto247ac.drato247ac.psmto247ae.drato247ae.psmto250aa.drato250aa.psmto251aa.drato251aa.psmto252aa.drato252aa.psmto254aa.drato254aa.psmto3.drato3.psmto39.drato39.psmto46.drato46.psmto48.drato48.psmto5.drato5.psmto52.drato52.psmto59.drato59.psmto64.drato64.psmto66.drato66.psmto72.drato72.psmto77.drato77.psmto78.drato78.psmto89.drato89.psmto92.drato92.psmto92var.drato92var.psmtosh_2_8h1a.dratosh_2_8h1a.psmtp.dratp.psmtqfp100.dratqfp100.psmtqfp32.dratqfp32.psmtsop26_24.dratsop26_24.psmtsop26_3.dratsop26_3.psmtsop28_4.dratsop28_4.psmtsop28f_tos.dratsop28f_tos.psmtsop28r_tos.dratsop28r_tos.psmtsop32_4.dratsop32_4.psmtsop32_8.dratsop32_8.psmtsop40_4.dratsop40_4.psmtsop50_4.dratsop50_4.psmtssop14.dratssop14.psmtssop16.dratssop16.psmtssop20.dratssop20.psmtssop24.dratssop24.psmumax10.draumax10.logumax10.log,1umax10.log,2umax10.log,3umax10.psmunit_body_a.draunit_body_a.psmunit_body_b.draunit_body_b.psmusrdef_1.drausrdef_1.psmusrdef_2.drausrdef_2.psmusrdef_3.drausrdef_3.psmusrdef_4.drausrdef_4.psmusrdef_5.drausrdef_5.psmusrdef_6.drausrdef_6.psmusrdef_7.drausrdef_7.psmusrdef_8.drausrdef_8.psmvia.padvia_fc.padvia_fcd.padvia_fcu.padvia_wbd.padvia_wbu.padvqfp100.dravqfp100.psmxpintop.padzip16.drazip16.psmzip20.drazip20.psmzip24.drazip24.psmzip28.drazip28.psmzip40.drazip40.psmzip60_mot.drazip60_mot.psmzip64_mot.drazip64_mot.psmadw
cds.librefcds.libtemp
tubii_tk2.cpmworklib
back_ports
baseline_buffer
entity
sch_1
caen_coms
clocks
cntrl_register
entity
sch_1
comparators
ecal_control
entity
sch_1
ellie_coms
front_ports
general_utilities
entity
sch_1
gt_delays
microzed_module
entity
sch_1
power
tubii/sch_1
tubii_pcb
entity
sch_1
tubii_spkr
10
Parts/cds.lib
Normal file
10
Parts/cds.lib
Normal file
@ -0,0 +1,10 @@
|
||||
DEFINE mtcaplus_lib worklib
|
||||
DEFINE standard ./parts/standard
|
||||
DEFINE ecl ./parts/ecl
|
||||
DEFINE ttl ./parts/ttl
|
||||
DEFINE capacitors ./parts/capacitors
|
||||
DEFINE resistors ./parts/resistors
|
||||
DEFINE transistors ./parts/transistors
|
||||
DEFINE regulators ./parts/regulators
|
||||
DEFINE diodes ./parts/diodes
|
||||
DEFINE misc ./parts/misc
|
4
Parts/parts/capacitors/cap_l/awb_dev/awb_dev.txt
Normal file
4
Parts/parts/capacitors/cap_l/awb_dev/awb_dev.txt
Normal file
@ -0,0 +1,4 @@
|
||||
start DEVICE_INFO
|
||||
MODEL_TYPE=1
|
||||
SYMBOL_NAME=Capacitor
|
||||
end DEVICE_INFO
|
14
Parts/parts/capacitors/cap_l/entity/verilog.v
Normal file
14
Parts/parts/capacitors/cap_l/entity/verilog.v
Normal file
@ -0,0 +1,14 @@
|
||||
// generated by newgenasym Mon Sep 13 13:58:02 2010
|
||||
|
||||
|
||||
module cap_l (a, b);
|
||||
parameter size = 1;
|
||||
inout [size-1:0] a;
|
||||
inout [size-1:0] b;
|
||||
|
||||
|
||||
initial
|
||||
begin
|
||||
end
|
||||
|
||||
endmodule
|
13
Parts/parts/capacitors/cap_l/entity/vhdl.vhd
Normal file
13
Parts/parts/capacitors/cap_l/entity/vhdl.vhd
Normal file
@ -0,0 +1,13 @@
|
||||
-- generated by newgenasym Mon Sep 13 13:58:02 2010
|
||||
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
use work.all;
|
||||
entity cap_l is
|
||||
generic (
|
||||
size:positive:= 1
|
||||
);
|
||||
port (
|
||||
A: INOUT STD_LOGIC_VECTOR (size-1 DOWNTO 0);
|
||||
B: INOUT STD_LOGIC_VECTOR (size-1 DOWNTO 0));
|
||||
end cap_l;
|
0
Parts/parts/capacitors/cap_l/metadata/revision.log
Normal file
0
Parts/parts/capacitors/cap_l/metadata/revision.log
Normal file
4
Parts/parts/capacitors/csmd0603/awb_dev/awb_dev.txt
Normal file
4
Parts/parts/capacitors/csmd0603/awb_dev/awb_dev.txt
Normal file
@ -0,0 +1,4 @@
|
||||
start DEVICE_INFO
|
||||
MODEL_TYPE=1
|
||||
SYMBOL_NAME=Capacitor
|
||||
end DEVICE_INFO
|
19
Parts/parts/capacitors/csmd0603/awb_model/device.prp
Normal file
19
Parts/parts/capacitors/csmd0603/awb_model/device.prp
Normal file
@ -0,0 +1,19 @@
|
||||
(PropertyFile "1.0"
|
||||
(Creator "Device property file created by analog_uprev: capacitor on Mon Dec 11 13:00:54 IST 2000")
|
||||
("device_info"
|
||||
( MODEL_TYPE 1 )
|
||||
( SYMBOL_NAME "Capacitor" )
|
||||
( PORT_ORDER
|
||||
("A")
|
||||
("B") )
|
||||
)
|
||||
("model_params"
|
||||
("symbol"
|
||||
( "IC" )
|
||||
( "TC" )
|
||||
( "VALUE" )
|
||||
)
|
||||
)
|
||||
("device_max_ops"
|
||||
)
|
||||
)
|
32
Parts/parts/capacitors/csmd0603/chips/Copy of chips.prt
Normal file
32
Parts/parts/capacitors/csmd0603/chips/Copy of chips.prt
Normal file
@ -0,0 +1,32 @@
|
||||
FILE_TYPE=LIBRARY_PARTS;
|
||||
primitive 'CAPACITOR';
|
||||
pin
|
||||
'A'<0>:
|
||||
PIN_NUMBER='(1)';
|
||||
PINUSE='UNSPEC';
|
||||
PIN_GROUP='1';
|
||||
'B'<0>:
|
||||
PIN_NUMBER='(2)';
|
||||
PINUSE='UNSPEC';
|
||||
PIN_GROUP='1';
|
||||
end_pin;
|
||||
body
|
||||
PART_NAME='CAPACITOR';
|
||||
PATH='1P';
|
||||
DRAWING='ELEMENTCHIPS.LOGIC.1.1';
|
||||
PRIM_TYPE='CHIPS_PRT';
|
||||
PRIM_FILE='/modeler/build/awbged/element/capacitor/chips_prt';
|
||||
VER='1';
|
||||
XY='(1400,2400)';
|
||||
DIR='ELEMENT.LIB';
|
||||
ROT='0';
|
||||
PINCOUNT='2';
|
||||
SIZE='1';
|
||||
BODY_NAME='CSMD0805';
|
||||
JEDEC_TYPE='c0805';
|
||||
PHYS_DES_PREFIX='C';
|
||||
CLASS='DISCRETE';
|
||||
end_body;
|
||||
end_primitive;
|
||||
|
||||
END.
|
32
Parts/parts/capacitors/csmd0603/chips/chips.prt.baselined
Normal file
32
Parts/parts/capacitors/csmd0603/chips/chips.prt.baselined
Normal file
@ -0,0 +1,32 @@
|
||||
FILE_TYPE=LIBRARY_PARTS;
|
||||
primitive 'CAPACITOR';
|
||||
pin
|
||||
'A'<0>:
|
||||
PIN_NUMBER='(1)';
|
||||
PINUSE='UNSPEC';
|
||||
PIN_GROUP='1';
|
||||
'B'<0>:
|
||||
PIN_NUMBER='(2)';
|
||||
PINUSE='UNSPEC';
|
||||
PIN_GROUP='1';
|
||||
end_pin;
|
||||
body
|
||||
PART_NAME='CAPACITOR';
|
||||
PATH='1P';
|
||||
DRAWING='ELEMENTCHIPS.LOGIC.1.1';
|
||||
PRIM_TYPE='CHIPS_PRT';
|
||||
PRIM_FILE='/modeler/build/awbged/element/capacitor/chips_prt';
|
||||
VER='1';
|
||||
XY='(1400,2400)';
|
||||
DIR='ELEMENT.LIB';
|
||||
ROT='0';
|
||||
PINCOUNT='2';
|
||||
SIZE='1';
|
||||
BODY_NAME='CSMD0805';
|
||||
JEDEC_TYPE='c0805';
|
||||
PHYS_DES_PREFIX='C';
|
||||
CLASS='DISCRETE';
|
||||
end_body;
|
||||
end_primitive;
|
||||
|
||||
END.
|
68
Parts/parts/capacitors/csmd0603/chips/chips.prt~
Normal file
68
Parts/parts/capacitors/csmd0603/chips/chips.prt~
Normal file
@ -0,0 +1,68 @@
|
||||
FILE_TYPE=LIBRARY_PARTS;
|
||||
primitive 'CSMD0805';
|
||||
pin
|
||||
'A'<0>:
|
||||
PIN_NUMBER='(1)';
|
||||
PINUSE='UNSPEC';
|
||||
PIN_GROUP='1';
|
||||
'B'<0>:
|
||||
PIN_NUMBER='(2)';
|
||||
PINUSE='UNSPEC';
|
||||
PIN_GROUP='1';
|
||||
end_pin;
|
||||
body
|
||||
PART_NAME='CSMD0805';
|
||||
PATH='1P';
|
||||
DRAWING='ELEMENTCHIPS.LOGIC.1.1';
|
||||
PRIM_TYPE='CHIPS_PRT';
|
||||
PRIM_FILE='/modeler/build/awbged/element/capacitor/chips_prt';
|
||||
VER='1';
|
||||
XY='(1400,2400)';
|
||||
DIR='ELEMENT.LIB';
|
||||
ROT='0';
|
||||
PINCOUNT='2';
|
||||
SIZE='1';
|
||||
BODY_NAME='CSMD0805';
|
||||
JEDEC_TYPE='cap805';
|
||||
PHYS_DES_PREFIX='C';
|
||||
CLASS='DISCRETE';
|
||||
end_body;
|
||||
end_primitive;
|
||||
|
||||
primitive 'CSMD0805_1';
|
||||
pin
|
||||
'A'<0>:
|
||||
PIN_NUMBER='(1)';
|
||||
PINUSE='UNSPEC';
|
||||
'B'<0>:
|
||||
PIN_NUMBER='(2)';
|
||||
PINUSE='UNSPEC';
|
||||
end_pin;
|
||||
body
|
||||
PART_NAME='CSMD0805_1';
|
||||
BODY_NAME='CSMD0805';
|
||||
JEDEC_TYPE='tantalum_b';
|
||||
PHYS_DES_PREFIX='U';
|
||||
CLASS='IC';
|
||||
end_body;
|
||||
end_primitive;
|
||||
|
||||
primitive 'CSMD0805_2';
|
||||
pin
|
||||
'A'<0>:
|
||||
PIN_NUMBER='(1)';
|
||||
PINUSE='UNSPEC';
|
||||
'B'<0>:
|
||||
PIN_NUMBER='(2)';
|
||||
PINUSE='UNSPEC';
|
||||
end_pin;
|
||||
body
|
||||
PART_NAME='CSMD0805_2';
|
||||
BODY_NAME='CSMD0805';
|
||||
JEDEC_TYPE='tantalum_d';
|
||||
PHYS_DES_PREFIX='U';
|
||||
CLASS='IC';
|
||||
end_body;
|
||||
end_primitive;
|
||||
|
||||
END.
|
13
Parts/parts/capacitors/csmd0603/entity/verilog.v
Normal file
13
Parts/parts/capacitors/csmd0603/entity/verilog.v
Normal file
@ -0,0 +1,13 @@
|
||||
// generated by newgenasym Wed Nov 3 16:26:47 2010
|
||||
|
||||
|
||||
module csmd0603 (a, b);
|
||||
input [0:0] a;
|
||||
output [0:0] b;
|
||||
|
||||
|
||||
initial
|
||||
begin
|
||||
end
|
||||
|
||||
endmodule
|
10
Parts/parts/capacitors/csmd0603/entity/vhdl.vhd
Normal file
10
Parts/parts/capacitors/csmd0603/entity/vhdl.vhd
Normal file
@ -0,0 +1,10 @@
|
||||
-- generated by newgenasym Wed Nov 3 16:26:47 2010
|
||||
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
use work.all;
|
||||
entity csmd0603 is
|
||||
port (
|
||||
A: IN STD_LOGIC_VECTOR (0 DOWNTO 0);
|
||||
B: OUT STD_LOGIC_VECTOR (0 DOWNTO 0));
|
||||
end csmd0603;
|
14
Parts/parts/capacitors/csmd0603/metadata/revision.log
Normal file
14
Parts/parts/capacitors/csmd0603/metadata/revision.log
Normal file
@ -0,0 +1,14 @@
|
||||
(Comment
|
||||
(Time 05/14/10,19:33:24)
|
||||
(User root)
|
||||
(MsgId ECO_021)
|
||||
(Text "Package CSMD0805_1 added to cell")
|
||||
(Param1 CSMD0805_1)
|
||||
)
|
||||
(Comment
|
||||
(Time 05/14/10,19:37:11)
|
||||
(User root)
|
||||
(MsgId ECO_021)
|
||||
(Text "Package CSMD0805_2 added to cell")
|
||||
(Param1 CSMD0805_2)
|
||||
)
|
50
Parts/parts/capacitors/csmd0603/part_table/part.ptf
Normal file
50
Parts/parts/capacitors/csmd0603/part_table/part.ptf
Normal file
@ -0,0 +1,50 @@
|
||||
FILE_TYPE = MULTI_PHYS_TABLE;
|
||||
|
||||
PART 'CSMD0603'
|
||||
PHYS_DES_PREFIX=C
|
||||
|
||||
{========================================================================================}
|
||||
:VALUE | TOL | PACKTYPE | VOLTAGE = JEDEC_TYPE | VALUE ;
|
||||
{========================================================================================}
|
||||
'1PF' | '5%' | '0603' | '50V'(!) = 'cap0603' | '1PF'
|
||||
'5PF' | '5%' | '0603' | '50V'(!) = 'cap0603' | '5PF'
|
||||
'10PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '10PF'
|
||||
'15PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '15PF'
|
||||
'33PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '33PF'
|
||||
'40PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '40PF'
|
||||
'47PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '47PF'
|
||||
'50PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '50PF'
|
||||
'1NF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '1NF'
|
||||
'1.0NF' | '10%' | '0603' | '50V'(!) = 'cap0603' | '1.0NF'
|
||||
'10NF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '10NF'
|
||||
'100NF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '100NF'
|
||||
'500NF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '500NF'
|
||||
'0.1UF' | '5%' | '0603' | '50V'(!) = 'cap0603' | '0.1UF'
|
||||
'0.1UF' | '10%' | '0603' | '50V'(!) = 'cap0603' | '0.1UF'
|
||||
'0.47UF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '0.47UF'
|
||||
'0.22UF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '0.22UF'
|
||||
'1.0UF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '1.0UF'
|
||||
'2.2UF' | '10%' | '0603' | '10V'(!) = 'cap0603' | '2.2UF'
|
||||
'100PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '100PF'
|
||||
'120PF' | '5%' | '0603' | '50V'(!) = 'cap0603' | '120PF'
|
||||
'168PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '168PF'
|
||||
'250PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '250PF'
|
||||
'330PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '330PF'
|
||||
'470PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '470PF'
|
||||
'500PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '500PF'
|
||||
'510PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '510PF'
|
||||
'1000PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '1000PF'
|
||||
'0.01UF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '0.01UF'
|
||||
'0.5PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '0.5PF'
|
||||
'1UF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '1UF'
|
||||
'10UF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '4UF'
|
||||
'4UF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '10UF'
|
||||
'10UF' | '10%' | 'tantalum_d' | '25V'(!) = 'TANTALUM_D' | '10UF'
|
||||
'10UF' | '10%' | 'tantalum_b' | '25V'(!) = 'TANTALUM_B' | '10UF'
|
||||
'7.5PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '7.5PF'
|
||||
'NS' | '10%' | '0603' | '25V'(!) = 'cap0603' | 'NO STUFF'
|
||||
|
||||
END_PART
|
||||
|
||||
END.
|
||||
|
49
Parts/parts/capacitors/csmd0603/part_table/part.ptf~
Normal file
49
Parts/parts/capacitors/csmd0603/part_table/part.ptf~
Normal file
@ -0,0 +1,49 @@
|
||||
FILE_TYPE = MULTI_PHYS_TABLE;
|
||||
|
||||
PART 'CSMD0603'
|
||||
PHYS_DES_PREFIX=C
|
||||
|
||||
{========================================================================================}
|
||||
:VALUE | TOL | PACKTYPE | VOLTAGE = JEDEC_TYPE | VALUE ;
|
||||
{========================================================================================}
|
||||
'1PF' | '5%' | '0603' | '50V'(!) = 'cap0603' | '1PF'
|
||||
'5PF' | '5%' | '0603' | '50V'(!) = 'cap0603' | '5PF'
|
||||
'10PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '10PF'
|
||||
'15PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '15PF'
|
||||
'33PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '33PF'
|
||||
'40PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '40PF'
|
||||
'47PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '47PF'
|
||||
'50PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '50PF'
|
||||
'1NF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '1NF'
|
||||
'1.0NF' | '10%' | '0603' | '50V'(!) = 'cap0603' | '1.0NF'
|
||||
'10NF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '10NF'
|
||||
'100NF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '100NF'
|
||||
'500NF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '500NF'
|
||||
'0.1UF' | '10%' | '0603' | '50V'(!) = 'cap0603' | '0.1UF'
|
||||
'0.47UF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '0.47UF'
|
||||
'0.22UF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '0.22UF'
|
||||
'1.0UF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '1.0UF'
|
||||
'2.2UF' | '10%' | '0603' | '10V'(!) = 'cap0603' | '2.2UF'
|
||||
'100PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '100PF'
|
||||
'120PF' | '5%' | '0603' | '50V'(!) = 'cap0603' | '120PF'
|
||||
'168PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '168PF'
|
||||
'250PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '250PF'
|
||||
'330PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '330PF'
|
||||
'470PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '470PF'
|
||||
'500PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '500PF'
|
||||
'510PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '510PF'
|
||||
'1000PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '1000PF'
|
||||
'0.01UF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '0.01UF'
|
||||
'0.5PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '0.5PF'
|
||||
'1UF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '1UF'
|
||||
'10UF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '4UF'
|
||||
'4UF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '10UF'
|
||||
'10UF' | '10%' | 'tantalum_d' | '25V'(!) = 'TANTALUM_D' | '10UF'
|
||||
'10UF' | '10%' | 'tantalum_b' | '25V'(!) = 'TANTALUM_B' | '10UF'
|
||||
'7.5PF' | '10%' | '0603' | '25V'(!) = 'cap0603' | '7.5PF'
|
||||
'NS' | '10%' | '0603' | '25V'(!) = 'cap0603' | 'NO STUFF'
|
||||
|
||||
END_PART
|
||||
|
||||
END.
|
||||
|
25
Parts/parts/capacitors/csmd0603/sym_1/symbol.css.baselined
Normal file
25
Parts/parts/capacitors/csmd0603/sym_1/symbol.css.baselined
Normal file
@ -0,0 +1,25 @@
|
||||
P "CDS_LMAN_SYM_OUTLINE" "-25,25,25,-25" 0 0 0.00 0.00 22 0 0 0 0 0 0 0 0
|
||||
M -15 35 -15 -35 -1 0
|
||||
M 15 35 15 -35 -1 0
|
||||
P "VALUE" "0.1UF" -95 55 0 0 28 0 0 0 0 0 1 0 74
|
||||
P "PACKTYPE" "0805" -100 -23 0 0 16 0 0 0 0 0 1 0 32
|
||||
P "POSTOL" "10%" -100 125 0 0 46 0 0 0 0 0 0 0 32
|
||||
P "VOLTAGE" "25V" -93 -48 0 0 22 0 0 0 0 0 1 0 0
|
||||
P "NEEDS_NO_SIZE" "TRUE" 0 0 0 0 22 0 0 0 0 0 0 0 0
|
||||
P "NEGTOL" "10%" 0 0 0 0 28 0 0 0 0 0 0 0 0
|
||||
P "IC" "ON" 0 0 0 0 28 0 0 0 0 0 0 0 0
|
||||
P "DIST" "FLAT" 0 0 0 0 28 0 0 0 0 0 0 0 0
|
||||
P "SLOPE" "CSMAX" 0 0 0 0 28 0 0 0 0 0 0 0 0
|
||||
P "KNEE" "CBMAX" 0 0 0 0 28 0 0 0 0 0 0 0 0
|
||||
P "MAX_TEMP" "CTMAX" 0 0 0 0 28 0 0 0 0 0 0 0 0
|
||||
P "TC" "0" 0 0 0 0 28 0 0 0 0 0 0 0 0
|
||||
P "CURRENT" "CIMAX" 0 0 0 0 28 0 0 0 0 0 0 0 0
|
||||
P "TOL_ON_OFF" "ON" 0 0 0 0 28 0 0 0 0 0 0 0 0
|
||||
L -100 0 -15 0 -1 0
|
||||
C -100 0 "A<0>" -175 0 0 1 41 0 L
|
||||
X "PIN_TEXT" "1" -100 9 0 0 23 0 0 0 0 0 1 0 0
|
||||
L 100 0 15 0 -1 0
|
||||
C 100 0 "B<0>" 150 0 0 1 41 0 L
|
||||
X "PIN_TEXT" "2" 102 8 0 0 23 0 0 2 0 0 1 0 0
|
||||
|
||||
|
13
Parts/parts/capacitors/csmd0603/vlog_model/verilog.v
Normal file
13
Parts/parts/capacitors/csmd0603/vlog_model/verilog.v
Normal file
@ -0,0 +1,13 @@
|
||||
// generated by chdl_uprev Tue Nov 11 15:55:04 1997
|
||||
|
||||
`timescale 1ns/100ps
|
||||
|
||||
module capacitor (a, b);
|
||||
parameter size = 1;
|
||||
input [size-1:0] b;
|
||||
output [size-1:0] a;
|
||||
|
||||
|
||||
CAP inst1[size-1:0] (.A(a),
|
||||
.B(b) );
|
||||
endmodule
|
@ -0,0 +1,13 @@
|
||||
// generated by chdl_uprev Tue Nov 11 15:55:04 1997
|
||||
|
||||
`timescale 1ns/100ps
|
||||
|
||||
module capacitor (a, b);
|
||||
parameter size = 1;
|
||||
input [size-1:0] b;
|
||||
output [size-1:0] a;
|
||||
|
||||
|
||||
CAP inst1[size-1:0] (.A(a),
|
||||
.B(b) );
|
||||
endmodule
|
4
Parts/parts/capacitors/csmd0805/awb_dev/awb_dev.txt
Normal file
4
Parts/parts/capacitors/csmd0805/awb_dev/awb_dev.txt
Normal file
@ -0,0 +1,4 @@
|
||||
start DEVICE_INFO
|
||||
MODEL_TYPE=1
|
||||
SYMBOL_NAME=Capacitor
|
||||
end DEVICE_INFO
|
19
Parts/parts/capacitors/csmd0805/awb_model/device.prp
Normal file
19
Parts/parts/capacitors/csmd0805/awb_model/device.prp
Normal file
@ -0,0 +1,19 @@
|
||||
(PropertyFile "1.0"
|
||||
(Creator "Device property file created by analog_uprev: capacitor on Mon Dec 11 13:00:54 IST 2000")
|
||||
("device_info"
|
||||
( MODEL_TYPE 1 )
|
||||
( SYMBOL_NAME "Capacitor" )
|
||||
( PORT_ORDER
|
||||
("A")
|
||||
("B") )
|
||||
)
|
||||
("model_params"
|
||||
("symbol"
|
||||
( "IC" )
|
||||
( "TC" )
|
||||
( "VALUE" )
|
||||
)
|
||||
)
|
||||
("device_max_ops"
|
||||
)
|
||||
)
|
32
Parts/parts/capacitors/csmd0805/chips/Copy of chips.prt
Normal file
32
Parts/parts/capacitors/csmd0805/chips/Copy of chips.prt
Normal file
@ -0,0 +1,32 @@
|
||||
FILE_TYPE=LIBRARY_PARTS;
|
||||
primitive 'CAPACITOR';
|
||||
pin
|
||||
'A'<0>:
|
||||
PIN_NUMBER='(1)';
|
||||
PINUSE='UNSPEC';
|
||||
PIN_GROUP='1';
|
||||
'B'<0>:
|
||||
PIN_NUMBER='(2)';
|
||||
PINUSE='UNSPEC';
|
||||
PIN_GROUP='1';
|
||||
end_pin;
|
||||
body
|
||||
PART_NAME='CAPACITOR';
|
||||
PATH='1P';
|
||||
DRAWING='ELEMENTCHIPS.LOGIC.1.1';
|
||||
PRIM_TYPE='CHIPS_PRT';
|
||||
PRIM_FILE='/modeler/build/awbged/element/capacitor/chips_prt';
|
||||
VER='1';
|
||||
XY='(1400,2400)';
|
||||
DIR='ELEMENT.LIB';
|
||||
ROT='0';
|
||||
PINCOUNT='2';
|
||||
SIZE='1';
|
||||
BODY_NAME='CSMD0805';
|
||||
JEDEC_TYPE='c0805';
|
||||
PHYS_DES_PREFIX='C';
|
||||
CLASS='DISCRETE';
|
||||
end_body;
|
||||
end_primitive;
|
||||
|
||||
END.
|
Some files were not shown because too many files have changed in this diff Show More
Loading…
Reference in New Issue
Block a user