12 KiB
Learning FPGA Design
A large number of resources exists for learning FPGA design.
Some of them have been collected and aggregated on this page.
An online class was held by tinyVision.ai, and is available freely:
Video format
-
Digi-Key Intro to FPGA Starts with defining FPGA and covers HDLs, programming flow etc. New to FPGAs? Start here!
-
SystemVerilog in 5 minutes Serie of concise and focused descriptions of the SystemVerilog language.
-
VLSI Chaps Discussion and explanation of ASICs, VLISI, but also SystemVerilog.
-
Digital to FPGA 101 design a circuit in digital convert into verilog and run it on an ice40 FPGA (like Upduino_v3.1).
Examples
-
icebreaker-verilog-examples A collection of examples using Makefiles for iCE40 boards. Can be used for the
pico-ice
with minor adaptations. -
Xark's upduino-example An example for how to use a Makefile for building a Verilog. Can be used for the
pico-ice
with minor adaptations. -
tnt's ice40-playground S. Munaut's examples for the iCE40.
-
Librecores Index of open source cores (Verilog, VHDL...) projects. Good Verilog examples.
-
Upduino v2 icestorm examples large collection of very useful code, and a good overview. Upduino v2 only.
General tutorials
-
Hobbyist's guide to FPGAs FPGA tutorials, theory of design articles, hands-on labs targeted towards hobbyists.
-
VHDL Wiz Community offering tutoring focused on VHDL.
-
Hardware as Code A 5-part tutorial series using the UPduino as an example.
-
fpga 101 fpgas for beginners Tutorial explaining concepts and practice with videos and articles.
-
Alchitry Tutorial focused on the Artix 7 Alchitry boards.
-
Fomu Workshop Workshop by FOMU the ICE40 FPGA that fits on an USB port.
-
Open FPGA Verilog Tutorial Excellent tutorial series for beginners and the more experienced.
-
Atadiat Introduction about FPGA in both English and Arabic languages.
-
Verilog Tutorial for beginners Verilog Tutorial Series.
-
CircuitVerse Teaching verilog through an interactive book with challenges along the way.
-
ASIC-World Tutorial on Verilog Introduction to Verilog oriented toward ASICs and chip design.
-
FPGA-4-fun Tutorials covering many practical problems with FPGAs. Lots of info and very well put together
-
Lattice IceCube2 Tutorial A tutorial on Lattice's IceCube2 software/ide. IceCube2 is no longer recommended, replaced by Radiant
-
VLSI Chaps Discussion and explanation of ASICs, VLISI, but also SystemVerilog.
-
Digital to FPGA 101 design a circuit in digital convert into verilog and run it on an ice40 FPGA (like Upduino_v3.1).
-
Verilog Tutorial Tutorial series on verilog with example code. all examples are synthesizable in icarus verilog simulator
-
CFU Playground The "Crash Course on Everything" is a good introduction
-
VHDL in an hour Fast paced but practical guide to VHDL. longest hour ever
-
First look at Prog. Logic Getting started w/FPGAs article series 1.
-
Sparkfun So you want to learn FPGAs Sparkfun article introducing to FPGAs.
-
ZIPCPU Articles by Gisselquist explaining design,verification, and more.
-
FPGA Workshop Basic FPGA development for absolute beginners featuring the Upduino V2.
-
Upduino FPGA Tutorial UPduino FPGA tutorial using APIO.
-
Installing the Icestorm Toolchain Guide on getting comfortable with a Makefile-based development process for Icestorm/Yosys and Verilog.
-
Explanation of essential Verilog concepts Several pitfalls and myths debunked about the Verilog language, as part of an introduction.
Specific topic
-
clock domain crossing Sending data across modules of two different clock domain: clock domain crossing.
-
lowRISC Verilog Coding Style Set of rules for formatting and organizing Verilog code. Not difficult per-se, but problem encountered after initial discovery of Verilog is over
-
Interfacing FPGAs to an ADC’s Digital Data Output Article from Analog Design exploring the topic of ADC/DAC <-> FPGA interface.
-
RISC-V on an ICE40 FPGA A very detailed blog on implementing a RISC-V in the FPGA.
-
RISC-V on an iCE40 FPGA by the author of the relatively high-performance yet tiny RISC-V core FemtoRV.
Wiki
-
VHDL Wiz Terminology Glossary of many concepts.
-
VHDL Wiz Terminology Glossary of many concepts.
-
Hamsterworks wiki Introduction to FPGAs focused on VHDL. The site is offline, but an archive of the content is still available.
-
VerilogGuide Wiki/Book for learning Verilog from the ground up. Uses Quartus and ModelSim-Altera Starter instead of the open source toolchain
-
Chipress Collection of explanations targetted at aspiring ASICs engineers. Many of the topics are related
-
ChipVerify Wiki covering much of Verilog and SystemVerilog syntax.
-
FPGA key Community focused on providing resources to learn FPGAs.
Simulators
-
EDA playground Online IDE and simulator, quickest way to get started without a dev board.
-
Verilator Simulator for Verilog code. Convert the simulation to a C++ code library that exposes signals as C variables.
-
Icarus Verilog Simulator for Verilog code.
-
Digital digital logic circuit simulator based on logisim. Alternative: https://github.com/logisim-evolution/logisim-evolution
-
HDL Bits Interactive (in browser) verilog syntax lessons. initially assumes no prior knowledge of HDLs but quickly ramps up difficulty
Toolchains
-
oss-tabby-cad A complete toolchain based on top of Yosys.
-
Yosys The central tool connecting the languages front-end and back-ends.
-
GHDL Simulator for VHDL code.
-
MixedSim Tool building on top of ngspice for simulating circuits.
-
verilog to routing Open-Source toolchain alternative to YoSys.
-
open FPGA Older toolchain for CPLD.
-
FASM Low-level format aiming to be a de-facto industry standard for FPGA toolchains.
Courses
-
Hands-on FPGA class A 8-week class was given by tinyVision.ai for getting started with FPGAs.
-
Intel FPGA Academy Digital logic/FPGA courses by Intel. requires .edu email (contact intel for access without .edu email but response may vary)
-
fpga4fun FPGAs 1 - What are they? Intro to FPGA lesson 1. Link is forr lesson 1 of intro to FPGA but the entire site is dedicated to learning FPGAs
-
WTFpga Workshop Guided discovery of FPGA through the IceBreaker board.
-
IceBreaker Workshop Course focused on getting started through the IceBreaker board.
-
Intro to Digital Logic Circuits University course by Steven Bell that assumes little/no prior knowledge of FPGAs. Features the Upduino v3 and "Digital Design and Computer Architecture arm edition"
-
Digital System Design (DSD) Spring 2009 Course introducing FPGAs to students.
-
MIT 6.205 Course Requires log-in to access the whole content, but good introductory text.
-
ECE 5760 Course A class that widely publishes their teaching material, allowing 3rd-party students to attempt it on their own.
Other lists
-
F4PGA Link list Another project aiming to collect links.
-
FPGA Design Elements coding style and notes about various building blocks/design principles. Fantastic list of resources/code examples etc
-
HDL Simulators Wikipedia list of simulators for HDLs.
-
sv-tests results Table summarizing support for all SystemVerilog (and Verilog) features support in various tool.. Useful to check compatibility of a toolchain
Books
-
PLD World E-books ebooks about all things HDL/FPGA/PLD etc.
-
Digital systems design using VHDL VHDL/digital design textbook by Charles H. Roth Jr & Lizy K. John.
-
FPGAs now what? FPGA e-book that employs a hands on/learn by doing approach.
-
SystemVerilog RTL Modeling, Simulation, Verification Online book on SystemVerilog using Cadence tools or EDA Playground. Work in progress
-
Digital Logic Pocket Data Book pinout/reference for TI Logic ICs (74xx series etc). Not exactly FPGA related, but useful for configuring the FPGA like common logic ICs
Organizations
-
Build an FPGA from 7400 series ICs DIY FPGA competition submission and source. https://github.com/arachnid/dfpga
-
Libre-SOC Open source high performance CPU/GPU/VPU on a chip. Focused on high performance open-sourced OpenPOWER architecture
-
F4PGA Umbrella project based on Yosys for synthesis of code toward an HDL.. Good place to first learn about toolchains, but some dead links
Research papers
- Sunburst design whitepapers reference papers on coding standard etc.. Range of difficulties
Games
- NandGame Browser based puzzle game about logic gates. serves as litmus test to determine familiarity with digital logic. Good for visual learners