pico-ice/Docs
Josuah Demangeon e338826451 Docs: better wording: USB-serial instead of USB-UART 2024-05-11 16:31:15 +02:00
..
doxygen-awesome-css@df88fe4fdd Docs: doxygen-based 2023-12-20 10:46:28 +01:00
images Docs: turned the diagram into a photo 2023-09-08 11:36:15 +02:00
pinout Docs: fix a pinout diagram issue 2023-11-18 14:15:20 +01:00
.gitignore Docs: doxygen-based 2023-12-20 10:46:28 +01:00
Doxyfile simplify the build 2023-12-21 07:40:47 +01:00
DoxygenLayout.xml debug workflow 2023-12-20 11:24:51 +01:00
favicon.ico build the documentation with jekyll 2022-12-19 18:07:11 +01:00
getting_started.md Docs: better wording: USB-serial instead of USB-UART 2024-05-11 16:31:15 +02:00
index.md Docs: adjust category name 2023-12-20 11:05:15 +01:00
learn.md Docs: add notes about dfu-utils 2024-02-10 13:20:42 +01:00
pinout.md Docs: fix the pinout diagram URL 2024-05-08 22:42:39 +02:00
pmods.md Firmware: merge a bugfix in the pico-ice-sdk 2024-04-20 13:26:34 +02:00
programming_the_fpga.md Firmware: merge a bugfix in the pico-ice-sdk 2024-04-20 13:26:34 +02:00
programming_the_mcu.md add notes about v1.6.1 2024-04-20 20:02:22 +02:00